repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
sandrosalvato94/System-Design-Project
VHDLs/constants.vhd
1
568
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package CONSTANTS is constant NUM_IPS : integer := 1; constant DATA_WIDTH : integer := 16; constant ADD_WIDTH : integer := 6; type data_array is array (0 to NUM_IPS - 1) of std_logic_vector(DATA_WIDTH-1 downto 0); type add_array is array (0 to NUM_IPS - 1) of std_logic_vector(ADD_WIDTH-1 downto 0); constant INT_POS : integer := 13; constant BE_POS : integer := 12; constant IPADD_POS : integer := 11; -- downto 0 end package CONSTANTS;
lgpl-3.0
4c92478c7e1dcfb637b9b17a88ec1fbb
0.639085
3.120879
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/fpu_conv.vhd
1
33,432
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qW7Q5jpEhwWuxuEwdfXfP3nYifBxHp/hFtCq0s+XD+RUdyale+z0aTCXBa+sXvR6JxduQMH1pdtm Bfj/7mDUfw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUKzuI7LxbtZklSmvgJyDzGewsI5e3rQ9PgQcNu7svCvhoRUW2PdscJ82C8L+lk8dZZsPLhhc5RA 69ypo4Y/L/iQegduPFAn10Jyqf2X+qU+/vXgH2iAwFtIIin1Jz20AYITW4NQ2oTji9j8luIIgtCw A+eiN0E7gQYUSx7MnaA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mtvtvYWZYqSHSEFJcBo8KUnPN5x2zLupf9AML6FcJkwpaDuVlfolVs9NcG38kyFPgJbMaRk+NAvO KpBcsF3x5Dn1f5odLpEksCEvxst1+X5wHvhta3NVKKEKongXKgC0nDFSBTFgeJYDI5zA+ohSeO/2 2SC1DcwpOnjv3adHmX+j6qmJjGqvnsgM6Z2hZFx1+XQHQAfpiYG7aKR8hOqKI+FlPbOcVlKAIMKN K1SdxHN09knGVhqzQN/H+dlk0nVCTFm6nG4p9duPOP5RKHVPrcx0mL5Z643RiHIfkNOnQaRjcQrX xAUEnJr9fexM/fu+f9EGvFcOw3ER+H4pGxwTzw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rWX8kZqAj2YAIOMQ5DTkwZh2kFnoS8ZqR1uhO9hEwGTOuW+POIQvWifEZ4Kmv1uknjQ7orYFYeMu Dfl908oc8Oo3fmntU7SFKMK70bx0o3M67VGe5vpkqrfZQzX/PYs02Bfpsxt8+EJgqAGiu+sLNT/j nUj2KE+fADWIkbNW6XY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block peWhc9KdhGBrdwmA85FeJI5YwY4poTe/YynMFg7NpxknY3HxEMw7cjXkBp8JJTs7dIuVhGYHjCkN h7HSSvwr0acs2xY8wN0MnJtA15+89Qqc4aJik9gUmPGrdatrw7nK40b9R+AfTcJd4zXVNwku+zyA l0/iLW1lU0wQyWrRBpw8PJ32Z+SHNt1+FIwBxBG/LIfooB77+nsxAnUG5iw1HA+TRV0Decsb/nAe Vxb4NW6jKXz0GpG2NH/9J0OhbzQcD9ykNpNQN80VEkZsehBQw8dJAO4TI8mEuSBSCsSBLeXYIgYe PUEP+a4tUttx3NOfBsLN2zfynYYa4gsQvqJKtw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23008) `protect data_block pTHniygGcYeseum/S8UOkJTt1C50X71hep0atyf5uajaQRkW6uY949t5deaeDcLGH69bgbC5s0tZ Yj/4fNFVLOjJML8DvvlXGg8JFNiHIPGg3BZdDGEFnzWeoG57qhcf9dPGgEuyvk6ATnyw5+5d3u4d EfONnNBP0TaKaGetwOR3s3an7zYnqhErL8g/Rger89LfNybYf75ui2NY3IBhzrqb94QrIqj5NxQX 6sBU0Zj7+4NP0U1kMct7YT5II71wG8SauP4SnlYCQ5F9TqucMtR2c2orp7sbrKM5AlN75p7Ufkyg QS3cN0xttDzkZju/70FtGfV5MPN0CvvW+uhEioNHGPCNxxdadNbA/Sb0h+F7ilKzvaqskAvjNOv4 Ynqbd3sMt3XTmfA2u1mmvxfJCjXcNYO/kDyH+xossG0T6QkbkhJDe232WVt6Fzf8BcEEXH4FKXpp YuZQPxK0HZnQuQh7VKc/divu8u40AKF0NXJWtFcaZQG5bcV57f9PJpqnVSTkJJl4C3AMK9wU6npQ bg5C6JD9nPQn83cSp/xSe/hZ88p0koI5dbqQ/DzFT7Dwa1K4aKd5QSgyvTn47te1Od5U31tPZDK4 LYpxQd5DVxspkvmVf6f6LMkbCLMJ2S5Zw+2g+iLyTUTHNg8Rz38E0+VQAGwesnqIveMe7Js36zOj SsJ7K4bV3KVXr5AgkAymabWC5PxQPdeymJgiCUK3x8G1n+EfSnq3ve/a9aEO5IeDwARKHYVWuQ4O onWj8/SvCP1Lu3h69371qxw4aSiHWDMVvrecSggJ8b1pQG5fiTtXJgQM7zteXmIM3gDodGbhYgjH JkJmitdMSW0Qxg5/FpD3lD519XOJeVl5FjtFf8UsVLRr09L2fkPb0a9uy85bCTgV3Lnf/CcrP3AW bSMHIMNlW3/91v6seVM8x/mPUSHDZ8WE3QA3iXT33V3Y3lHudxRjxHpRhzIB6hbe2GB28FnQdbca oD/X3C64ejPmnZsdSLSCQmhZSZRp3IrmW+3C7g3wl/rqANqJNzyHTdIUNCOUNvRizkhltPMnNQFn FlyWVGF5v0jQuRWRMG+ZCp1IXaA1KHPRgROkFTtkQYMj1xk8lTPmB0YtomT6+9G/9c1krQrMAAWo ewNLHeKTe3X145LX/MVPFazvRGv0RoV7g5KwX5adNyJPn12zZXDmwduj7dUPsITxQxE5VSSUEB9A G+UZMgzwKCD5Mxg4AeIq2TV2h8scjzcE5rTPxCjgjRknokHiUTJW1VgwrXuIB6nttJ6/S8AsQIV+ nVbXgwjrJw+4pOI2yqnBRtmRQV2Ytvkl5g/DIFSkD35xPb8qMbeC0BisCis4TwdtledNviiAnoJD +ovpKfLV0YRwk4b/NzJST+DraV6ULQzEst+O9Hs8HmUNq45HtdYUY48Vs6hY8IlQ+xE9RZaTk4pZ 0/re97g4FB8lgoLnoEAHkqWdJnopkGAhOh/Ow63FORS5AatJDmbsuCV3QaBRBKimV/1W/y+QlVx1 09y4UjrhPu0yPWGPP4Z44PJlnYmOFCc4UvTUtbmYZB1sSi8luUnlnOwRgVO7CEnYUKlnt8Zo160a PYwrTcIIS3ND2KxgiYgVwJJqFOIurpua4HqMCzIYbGMczbdzQd2zGsIGIZqZjgZeL16aIQ709mJv 26o5xsSY90m5pDRzk0rpXZOCUsl4ULoH5dWYWGL/5tf7eVdzxsncGEVTSyOeJJA6Vi/3pPspBBOb ff27fkxLU95v2LO+OHj+CZkoHiVm3CIqhduW84w6QVarIAvxLdH0vqoIpJIrimF9OkYq8jbpmf1U C/m+K7yqIsEMIC3gZ0qfDQe4KQaFc+QNbS4ng1XhOJYucnvFEEjTkUKmGpE1AYIGhrf863PhvfLn WBLvvZcPrudMBjEHzhNNciaJL39r/fsaHr4iCEDkx+59dPOUd/aWx0jkvpAStRC2P3sA8vtpWfEX 7QTfmL13HC0G88/Ogwkaaojmo9IHqxk5fJJi/M67/4nwDThIUqBTyibazhsYiqFC8s2bz+O77El6 RXDkZZ15IVvWMSUICNdyQIZJiPeEVcwNb6HuCbWKQi3uuVVgVYaOJ66u9fatRo/iA4MBZWSFKahY CFk3xt/YFw8F3foxyPpJcXnuFI85vgoRZ6g60HoIVxLLbxpXOuRL5ckOwbnD3Qsvx4RKVI1GlU/X Y77jHWm6zswZNX3tyNnMWGKKw98iussPYKmfpY4Qd8HAYLt3qkkmeCY9AIEK1zFd4vTTdCM8aobh ygKeGVrG/DSZsB/taX4t0UT04KFhn03irtAeIZpnEbI7YaDDFg3rEPjfkkPoiJToBz9QTECrvxzc Npv6XjMFnSNve1BS9CQCjkKegtT9p7YcbUfPSFWqz0a60W5b2i1e1FXhkvSBoflY1eH3XnEaNt5x 0tXH+1wUE23FT+vB50SjXKFu4v4PMcrYjfUoYbszlfAbtQ2fEKtkddwoYE4uWdUvP4oklFqZLYT9 e7pAygWW6YBoak1YsNr8t91dHRNo1yZnDHlvyIsa6xNq23RLFEsmHyuNei52pDwW9Sb285/a9Scr jOAf3SD5OVyOPH8oPLbtHI8JIYfzMUTCY2tVOLCMrmEuBRFSbIsH5uTAG1Ilk10PCdxG6gyF1Nj2 7GRrnSZmGo+zshIn+pYpAJV8gcGCU/4ypnJ5P/s4bZbrcN6UmgWti2SywzqyJ/ayACye0TIjJ7AG NJIJytcNatHpgurHUUetIUExYJZ6UNI/7KtUYMsr4USP0FpkqeZ2gwRGvDHV8eflFVj6uVF7BKPS JIImFEYjsBAw+bzRE90Pf7uPhcqHWt6qlvQHRzubQ6cVAPm7yO92B9/hqfrAqg/yCKzpAtUyVyRo XV635Y4kBSZkPergtM2+ReMkPTpHvDexa5FJdW2Gq0MiJOYkN0psFENEf6Ph91rbmSpuoLFQY2y3 XX3WhersB/4JvGDLH2aBiYN8ipEVytW31/obJHbwxs2vTqR32xLsjHzukFbU/e+/9UG+Qq8yljK2 PqLunIK4wWtEpqx5G2KQ/AXfubMRBJTMLSxBFIbDGJIvH5qETQMwypB+OkvY3zYzkAlCRGb1URq4 y7Lx7bj3+oebDjOmdoBTAzHJEqwZ6dbtxsrFPabH9LDFuc7KPjEclcByEmBG1ES7ddCESs7kWnFx 2AgorfgpBwdp14zgNkdSL4HhfP/TueAqh9AobfMv7lGb366utXnbnnKXnKjR0c3u+F14zEB5Bb4/ PGSOWDzTitSi2n4cZ69P6iitPK317koc823WUSXHPeSVv2tLKs+AJ7wlmzS7qmhTRiKA2E0Heabh UMu/CYgy22cE51tOycRgvPOoKqHznkEaOBzB+fU2a1MupdqaZIyd9lRkRdwMj8RFL/VLqP8OsFkq 2fmmypT6j49LqRcVHBEAt1FWwE6gBxcIgH9ZZJo0qj7pWAW3v0yq+Y4igsdanBDf3w4322kFzrMX tYUTpOGRcYc2PoUU9XWdo3zMgpelfJZtbKvzO9zUAHT8a4tPz0F+v8BO7EGJq6bomgsYFN2YcU68 p9f//+n059Cy9pU2imSauCO1zxPtw4POlBr4bgZDaE3/86tebXJIBzwGWu3RUAiqfgx2EJPRSVV5 YaZIS13ZJlV/+HKPXyASGZRvIwMMEtj8V8+P1H3NdJDESoO14xi/61ZpmUVcxh0EtCYjOOQZPoqz YAYBnldC6+IiAMSGGHzSSmlQhQbIgOzha/cKrwG+j7OzlcoC8XijKFfxvaqysTR5Z06peb9rN9bz VnKcJPStujWkRQ86FpcUbV+JjNvUcvIT2UfW1+2qz5/9gm3fd5hLAX4xFEmzb3dJFc+LyouWyY+c DbEdTRTxmrJ1hF1qo4pzWJUq51tF8V3ljt7TZHuA8+z1vteoIqL3LQlSeccc57ZFntz8ZtnOW/wh JDeSHJipoXVjKp+tVirG/vDzgtFp/lutiI1Vax1eDtGhZfl6Q72CdJD9Xw+Vd47RWwYAHk9Jsr4p GXGyPW7wWFJPY0iRIiQj8Vxu38ZWB7QnP6EsRnsSChoDGyZdIQI2D4r6RvqjW7vaYxDEWyVwKqjd Tkrm2Fp8Iq4cb9k14SVgPYDHxewtxxR6sWn6z3T6P+8hj8qyHhJPu7ttYPOs4t4fmz8ORKNhQ2L0 m+CnG/IAD49+aBLSt6IZNCGFVS7OGeOzLs4AbInoEvx/KZi3RLu5qfJgKHBMHDm73o9gq9LfH670 vulwO0AnyM5XZ5EkAJqEwnE45SCk584uwd99lPjwOVJpwgmz0hssQVMuhcyzIffZTF5b18lkvyeG X5dma7SgcXO/laQuDZQEL2XbQTzvIzJcRtkvWTgPAMF+Qgs9yqV4q9u3qdEZ4njWPo/cls16oOqE YbgXCNMAndN9RfUO+PsTZwvyVOBRgmdbdou3rGrQDc4qcf5+MWk/t3Il1MhWFRWkqgoJTenRX4SY MDAWv0TjurfcJg5OCjMmx5HzewdmcHNWa0yxL6+lwWXW5Qs1TCEElgEBObvMfar27IljZMCyLFlY M/4BGoW7K2yCIxXk2VXyAtGdszP8REJIHVSnC0aa6SCS0d33KfvXQWfLEYLbPB91EIeIpZt3Ix6A t6jZ9IxzItNt5mCKD4G29CCiAZHf66MYIg44lhizKYsbi1X1jyyQJhqPamPhlWzWJubaGwwmYKU6 4VGNHaj9BHcAkoy81vJ1PsNvbtrlfV6167gmGhvwtW8ohYHu6Jl9PoexFLjioIH8kMNNyRprhMQ5 xVYt4UDimnxqnTIay5T5fYGHedZxIZ0XF71R6Tu6wIDqczc6mQPAoLA5K4yULqsQShX9Pp+euxCt m1bFDWWsuMH0SjOqJlFO/NJRe2HzKVo4eCb4Hsf1qGOuU1J1UBGh39ZQx6F5Rqpu1dojefNOrWt6 Hm/NwaV3/8VzxLHeGMMg+9sYeLBjDY741AtPIa7n4+moxn3ovEgXRYzgq6HgLZvjeUvXOI+4eOYq NedANBRxsLXz+jd12E2yEB23M/Q8aRK8PnaCD6FQmHDf91OK/rOoj0pvxyHpHctrgJkurvwU409l vX9d9YkWWYVbDX3tLop1Lx5BBd2UMa9uTXhOwiLAnnRbz9VYTzBXYB6IVxbmbZKR2Td4jM9+Zvli 30AAKGxm+6sGRT94D92KOoTnBc3/rRxdHkj7+X5duM7a+1UWC8chgRfy2d0falaSKLYumaVk5JPJ SI3K55ou/Ai8N3bm4FFYppEpfotyHstahQvGAI8z1wOTG30mdZg/IVHyF9IOji4pAYCuUlWwnx9L iRBJqcCXwl78DVo5NsOMZ60p8o7lmIbv7i8Wl8mJDSQXFuEOnAwkbKphiMvpqGz0AXV7sSwcqEGv /WiXDb1AknAfgHYZcUfcLjtAWhBr7inLHSWEs+mxFuzibq5sg2yRgkB9TrrKe3WWpegeveFLS22z XjxFMvWMIMzkMfd6ZkYYTmyhT3S/c9EakrNkQF83iWXlKUngkfsXF9n1EZUA9wrrYnMGYv0G9wHk rDzXTxIyzZiOanjmuIThoWUpnlTkbmBBarztBqxtsGKknURQ+lBBmKTSDC9mu7jSSV4MV4BhLV1W 99Oyd65FNXhsRJ+uFhS/HryeFvc0Rrfh5YiqbcxhaYb384+Ir552I5ZjlgOOihUSd6p8D21HnsFe 4WCG4BUMwbd2NvIXNx3rywezYylJYwx7MAwNKl2MjwdYJvmHE1uK5LBvI8cEusYmKEwkHzul6ToQ BhxaEIAqlHmOpCH4zpd/TPL0LMkCw+dR17UL3CYe7rDf7dWNEtUsYIidodwQ9MorKhsxdDAFk4YF BewfhClHZFyY99GYr/qmAe6LL4B7GON6CsSnN1SUWtAD9HTrRi+MQygeJK7fiX+x1uQ8FaN/NVbK jbdQLVaJjBO528jsyjdENmN7pgUfCAjo7STQnYI3p9/AvFrihLKeOY5ncicqK+iEfDt3PuS7RBzD Uds10mk02nqJRce916fpfNedamJ9xR3LrBuZHk0IGYqdEepwdy2IvJl6CMDYm4iMW8MNWwDUg3L7 t85p5cMrWWjSXSK+Eq/3VZMhoySn+oyxRFvi+eMzYWzVmXisECQuJ6U8D0v8Ego+asSkVWHcbpwH qbWgM06uf+nGRudk+Zj5kDqjARxc8d2v8Ml8auIxKl1VtXcB9QbRgMSykyMiLOaq+3iZOzi45oZQ yaL6tptZWvCytOrZEba+910kz4UhfwM6wYKM13s4SfZy+xEhvySuQLrpG+VYBD7nfxOG73Gjk3oK dDyqoLNVKeIQ58fcvNHni5y3QxXSHXSxrzh/bGXjFu/ht18/A+gge2Ci5NiKWaZtnMLhdG2Xt5wc OKinekGbu+qSmTKpr0RH/yYZ2m5dyRbTRrQeog5R4bhFYRhooH3iVYo6QkUgSdvC6efiDmw5ejou /DuNBI1z0RzLP9/rTnatN63nHvqpnSs9otQ/3DnJf2w8tJD1aYFWux87mNsJbfeXACs3EXnavUVq wLwbFo0eSWZ0iy6yM5BXwRKsHUS70Z+Mq+qMbhQScmN7Y27YqqkJpwaLkLg54SaLySUA9NMUCiiP eFYudZfXYD+dIK1el4diwEo1NBehCbDW+QhN3d4AkkD39H8m5NisGg/+XptPcDm1K4H1fXJO0Bfq 5l6WFVxaITTPzae5OGb/PSUDLB0x45B2aYScAMCZl/4fArhEpzw/Jx5s8j55+BxYmP8dthobIH6+ qySP/NZFErm4cO7RP3vE4gzRhUxS6fXrAOLVDFwPf2qA1gDqmiBTTofADSpK2LB8uw6opBbY0z+T wset8/fiCwzSuSGlJyxUwSNOzIG1NoY4X8MD6IzJeSHG+IzBMH9G+WIbixjF+3GNNO89HNyvPFC0 4AQKapXMEpNa7r3wN/E5QWfl+Hkpz415G94IT/brP2dJzale1JzXQ0w53iabzk+8bmmXraO9A95C k752IQiGoGoea94L5uNIdRn8EJgFV+a3eN8s/AxJTUGVFsD37KUbBwgaLqJKeaqpT1qpbOBiXD4b GEvyRzei9oVBFOwpzc22kCVeIFo+xBtJlmX6mAw4rU7XNaEkyWeIkLQRQV54vXvqlFkekLHGsEZf 4JZFIW9iYKljlDeRvzzceF6pUlADcam7YX32sXN1rDdvzYUExUqpz4i54bw8a99xfDP2QjsRcsPK W5cV6TjtFLeMnAQ9DXLzTprn1dPxu/4Ea2CWfFsrPJTJfiQqlJmeMdn1139RirSKzdJiW5ojndaE oo60pxmo95xz3tuawmMQJZVCC4hYDbSmUnqFgfGGfYA8DvK6N8Y2Nx/TyK1RIsl79TecuWf5JkTJ RA6Z6n5T9u3j2NQ666V0JigDJ2He/9fH2FGFojHeEkrz0pGAs13hDeyptZfVsSfuHeiXmmioJ0Z0 bSpLJqOOnVdERHusjZjW0ihPZs0ydzGTnnvkTsVALP1t/Ze5sfU2wuNC9CxrPOUT25N07Sz0ubT4 L+DVXrpMSlggOl2+f2e5kOYfZF2LHA9ER9g/rfiLL7Har3qH+QV27biXKSqHn3rdBRW/FQnp1dNO bWq2vs6JDZEctGrmT7DR0SJkjPiBp0n2tketV3Kg9qEihL5zwmAJ5hukKjrT/XZof66Le6HBbOVv Zb38Ut+78nvWV3vIHXY5HnOqYJ2SdZzmqiZhwwud3Nh+x77Z5Ya/FKktOQMStc+HsM56gJfhPlTl /Z47mPMgR5jIn9r/4sl2i5EZmCBekcLiuQMFlHNij2S5zoIg6xhZbra8EEoUXRVx99+wV/Fr2q2S w51TD27bbfsQvcdBfkC+ZtrKoxzOFQm006IzMwQND4vWCoFqlSfqxTugfKbTa7mdEv7BZSAUYh8b 3mwZbpn6dNYMvmcYGGKPAjPzw6uL600sHkBfLjfThgPi7NHVnOr6iMQuPPJknnbJkPuHyhzEXipz Su0yjyPd+KW2KiffJ6BMRZ8V90BwJmMtDMYHNzJyq/CkaeLwFAWxdJa/HCPG4lwxSRJvKJkn1v4H IOCIxExZjssguc8L+oBXGFm7ojw8IApFUr6YFxuoTHGn9rwd+wzwvtwL2E62e60BlVUANxMdqEBy RwiUHXtswF+fyGKpm5jdjutPilE3CADQYCFrWJrtVmnG5sdcpPShuz1g8IJ+6/GLOf6hJa6YcC1d Zd6cS26BBPTnk8Ir0ZgTcr9IJ4/BcQkJEMCxR7pJPsFejMZONoM/UPfR4awi8GiCQbvfDowgOL0d YRqRLtb23wpw34C9OvD6p2c0uHffugONd2ukyg/nH36u6glAstIxxMhjFrms2O/B6Y4M47DihXYc dBLGhJUjUn4wURW/yU9UZw+wXm4pTH6KtFJnBpf41lD81H+eTVLjSkJOszSslf9rBG4i8SmB6iGH 0oNEq60CIxqfggVg/QSSBnN6ft9BsDEm03vY1wIAOVaKhqIWEoJ72Jm9QKfPxNFqOKhAU43sS8q8 adbkNpiRInes4FURac2k6is13mvH0r9FX7IPrOgSlro8I+Ahgym0YPtFr4SIbqoABudW5Vhphyaq jNDz9nr2LyqOEJG8cnhhN9v0O5rGkCw4U8iVQin3NgBEGdYEoNyQGQmbdLoB58JEKoXF42wLLR1k Vwx2CxjtPq3Y9GjyQAUlSkGztUJGacVgo3/rECRV2s8Eza0rLAo4NXhaUbLSpKX82/9eAnYhRZdP oVVgBPGSBwKNOKZMd84HFlST721o0iouKdIr6IX11vUAf0hStTjRnyfY8IrPnBOuJ7RcLkxRu/YI n6KbXRJ1ATr55PzOQCfqX3uIBQsKgLkZa899GxFGtbknl4xpbq7CA7WP8DKpU6CEJI+6ZVw7Yt8W z5ZiAfQhvbM6MYcvwax+1stkdIZ8dudO0D3/9L7FUHRZ9r4eQQs2jq6zTSI34RGfJRmwtx2/KeDo FyTUhXYSL3xmjmRBuNmFVoWR7OWA436WCWumXWaHNYUsRNCY82F1t2CSUS3V2KxZZqlhd3zGzajE 5aVd83/z6/t0em+VH0AYPZYYh7gnlohVRrodoUGaZVKkVaZ2wUxve5FV1W/pivJq53F5T7Wj+zBi ZdVS5ZfqJaqpP/jLj7kHbWOd3+tQz/hoGlABNhTg/JJnYcjBJoQ4/uW5uVW7VAisvEPN+1ZGOOAf 56phFZuUlpszZDTgmfwfHGj6YdpebiArkyySQXsFTfLZ7iCdRg4xWNb14PO88v7GTU0uPQLGml8R EO1nCwDSQeEdx9ozuPRLvcrg9zWhwqP9qDQapBE4guc10WvtZ0OvGFdVnDmbHjEMh4tOvVrYQV2R 7/FnhoW/K+o3tltGmK1felcNRwDG5PalTNeBaMAAqn1OGYvPfsV1aPM7fNI+mHNPCpxh2aZ88pbi E1ttMsR2hjCwJ/M/id+bnsH4UFIcXgMUcVPXxM9ZV6AdALUf45Nvzf0GtVrDv3ky7y+dld4dspG8 B2dk0dEWBnbLGJefWKP479bceVodvDE5lmtWnWyfOF6VA4JAfDwjg/tkHISGTHy69YrqC0hRUzbB RWdtEVDpUIK44UN4P6q8wNK4mDqjoWw+ohojCVMlGJZdfjJmjq8Tlb1qCyGK4zSlvmwlMVQHidgC A3HWYPNH99UtzeZvAf5ccvgN1ZS7qb/AV3Il5DCf0qpUBLi0LWVpJuXZcL8y4WxbwSL3YSzyR5+p N6/e0+MnBTkRw0i1LMS/DrLTZFLtATpLhsRyJW/u5x932vprCTPvNHJM2dTXBjD6Cma3DwC2IiY8 /p4Yd8xlVYBg6Xg60inZSbwq0vBW1inyPMJ7yVBL0ZgKOdPMZxguabE6Fpop8oOtRBNCbFgf63wQ cfekEpF+4FFhl5aDcFxGvCnlSVQrsJrcGxdrM/gM/zMMl0KnJI5SH+TuAWwdFhEO/L97oEQsNUPL XC8K+JRwCxbOjKgYp6AgCkJZIoKlH33wQwWn+1mh+ZAUqfZMOcLNy/exPfMv8mhMqvjmpU0tsUZp 1uyQe9Fdwf1EM0CSW5s1hwK+Z1AE80Qq0xkiY8q8BVL6ejhFH1XqmuxR25CFxpOGGBd/gXz0nWnl QT7hYVLwVWibIZYSPRVWTpwdAlwS2HR85mad9Mr2sBsKASpSnU/4ShDto30GyHd2tlQvWaA6vcKH PFQl7zNAvOWpuGFPhK3G/v31TArESKjXGkNc6JzYHV5dwXo1RLCal4VhbiYl+iaLvLjufiBoF1dO RaOG63WqfrD0X+/Q5ZD3r2J/rVuICtfKml/mF/rtVBLI9eugRHMbcGpxI2xGTM9DWBCh5oyJ8lHT VbNSx1wxi4Dik8981z6GZqfIuObeLPgxZaHfv+3Tdjs9ixsBisFjmGNoADBnQuBCFVTB0Q/0sHxL yWQl72jQs0Lea7BOlAnPYvvxrVWJbgpDBorVIdbDE/x6J3uGooS/boBtC3ASCTdVOQR8s8PKyHpH ULBpM8E1xruHd4emtka2NaAfFn49w7YazTF9SF9bfmQvaI1FD7RS2PA3QDhOMFPOdv2T8RoXjdqp 8hc5SEdO6Sq+qSHyetKuzGVkxa+bhOrkXFJdAl7bPN4OMQo2yfOLnuy4Okw0mEQphzTqP+spZZKs QBVJwSljVPZbQ3hvoOVq05KBED2NiHC5YGSJmmqCaw4STYaKi2xptka3JrVMQ/AEE2FPdv96LfBM ER3CdocgR7lBH7H3pPOZV//sbDS3RMC1NIUjwBnwnjh3PUcmnebv7ZgzR+qM2+sVTHamqNYuoypq Xfw8HuIpGeBEbJFEzJxmNW/popp7Akn3c/Nml88uXeRvzOgvNSlzEotLCSTV3baun+qNvV29ezbb /t+ENEAjZQ5327CTq3VdLHMQKBFGoUoM+ln3aOTUcPgvaBuivNMVmO9Seu2uy7vHP9QJFSssYGVC kyj0nik55gCmhAWDXtfnIy708j3Sq1B1pUoSUyY1WLLHLAwIcrkpQSJu+TZEArAXKnd5VJeiRbtu r7PlxoKYoKrrVAcoPJLBpbbwIjaFDwlcByLcJXHH+yLOlR+HbhtFbcMTga/OwOKOShlhl2LJDuvz 0gONJR9UUa5Id/Vm+KxplXhVM/OekTmOR9hApmEU5sAFdna107iVZtq7a7vrmAfJq2Bz+0+cum7b WJqZgneq9Mz8SavecKSE5j1N0qcsUvjTha44N1fXW4d9HvvNsgEP6dvMw1tsOOEDs6nlnHHVaQMH gIlUMmfnFvm9+QXjHmGILy5Sri+0dqmbTDkUh+cQJgMXy6vANIIMSb028AAV+fxtKp7GxWJ0Ihrv JusLeh/ayI+5J+aFN7/cOh0vw+PRmP47EFTxCiAi5Pq8YUVaZdWJdzi6850MG1IW+Emv7GJ0AFup X3OA19FfiVyB3jSqy2j87D4nZS/C2mv3Vlj2cSuPPka6w2loxd4kj7Ps/2xnTAIDR9Wm87VJ/8WU B/5dArbRog3PKLGdesmHDe7JNAlbc8YU3c51uxmErQdHhsS1m99EEiX9mn12JlFKBma/Vu8Lg9Wr 4bhp3edEZ9G4W3seFnUjpYGgNynitY92rbuYxXlfUQ+d9xZEr6qUpMHqFg68a8+jN37zwbTAPAa0 h6VqLWORZR0W9GM0hneyBVlDKG5cwphuLcsstbgvHLdWfNmSa9kXPdh0L55huSxWNXOyLY+jwNm3 Xm5kIljTUZ+BD0eYal/KW1H+uLvOPBWBNZnYDEq9lhCXH3AM4vGnsuHNioJz0l+snAdSs/4JvXfe N4HOTYgZNcULti6aSP+zGHU/J/GxoK3Rdi1MWsla9vFl+nEBE3SXu0s2eVOBQpUVF88OTDOhGy0E j4IHgTa9b7vj736Mt3/HjrghB8d9jlfB1/K/jx1c7D1opeB4MCtBdlbMJw8e91k/D/zsCr+kw9lC dj9cXio0tSfUrSnWVvifNt/Ay/kZa9FNT1SIt1DuuvtW1gHA8BZpTOhm7eFDuAomIcJijbOq2gvq jv7WIBThdDcy/5GlQFNoHOIoe7bkomCAuMpKCeKedCuZT86LmWA1PwXOuZ6QN12jjtgKg1iOW7LQ ZDy81ZnbPhm4cgkSt/gXyIAtwf+c+hoUmw/4EMd1TLwcxNskZpB0h5B6fL1g0Dmag+daMorTdmY7 urtbX5YYpdtLYeEl7/4x+EDwHDAVpNZWHBj3pINWGnzXehxF1NcOcYduGljfknWyhfGA/smbtbjJ ylGJGtyqfmBJ0cijIl6tm3VY6Zpx5eyh0S639WXXU0E0OR1jNvzZgrnOvlca4pDreSgK56WQo4il qyQ1CpXRa9bOJOIgIBkXWUyEWfdHcUKlPuBSM9Q4TK96ufV1Mr6Su8NelBl2m/CpWDEork5KUifV XDl6kB2oC/4Ga7uMV9IqgMoa1jeZYPdCU8M40Hf/mi3toDzh0vMpx3N01StuFbOu1RNlUwSs7L4U 9ZfFA+UMEZZGhmMKJ+BWTssUuPnBG8ceMlKqXxp5iQyAXATdwg0WnuEFa1DLetvZN3QLQswoB2d2 YQjnewbNEs01bzdYRr0TQFRnO9exFG+409n4SNDx60gPCnXhnhUINbq8/555D1NHGHEvONCU2gSj U3UP6gh/oROREWwaAd8UtyfCIdlvDUy4IdKkRljp3YWMFOFn1YI8j3yLJdA92G2Mhv4ky27p1l0F zXyOwwLUOR2uPlrPQmcW/TTCbXNjB1RFnJ4xqgJkLYLIIJ8LNuGnIJm+XuWkj3E83q/TJ/2fbGjy JQZ4QpYPDEI+haJ0KZUiZGY1RoOLoTVBfDtB878p6JcvBh1edmZld8oHK2xu8ri2VJgALQ059b5r 5KHEXalstIEAC6YBa0u09ciyUBvVn2OH8Zuh56a58IIl4oH1AXYxNHiaapfYQr3g5mS8NSo1AEM5 XcjS3f2f39lejQDIcmWVqfKVKXRv7RJr0lYKOgKNwEUVrE0ww0UsEi7u7d9XS2iCaVhm6OJgiDhD ozCDrenixK04oDMtRpc0HhRK8gJq1Ep/go5B6q5mUpD7/XdKDit0/wnP++xsvBPUdOReV7DgVnr0 in8smYA3lIuR0Jh/GsU4B7YSwgWA18Up+RQjkFCGuYd38k3fPF1x22LR9irLatfjUXPM+46CJCyh /oSEu/dsaUBsSzvU6R14THbwXqmZMOWAQyV5CFUWd/kfulTvGXlRWaMyLMxmHX+EB3yfbtleuVw1 Mupa1Djfz49mhHwqd9fnG6ZYlsy5bdjRtHXf3IDed5vFaMvIFS0xh5JfVD+q6dmNWZM/znwattKy g+Ykxk56vnTbW1MsI3Enw37AtbFUTjg92eLkzUcKSUSdTzWkUOc/SzUoViUjcOld9iLa8T6rzi6I ILKIFfqDxalCFith96ymcQ/wk5kia+fRHYvhkgWDqEZpFIfE14KMRH/YE7rIPFg8EQ/nVVqD/HwB t0XWGSZkknt5WQTiGr3z68/HUZkCD+5zMd5SS6Rm82Hv9JahLT4juVxBKJegGOtbf8VCZXh3BjnF 0i5iA8DHvTl47c98qXq/TF8z+0lMyvuR8chzoPaxHIRgTQFOsZ5q3ZxKAwSTTTHoaOq38ojRCChA IVoJBEJ6hlAmzzQS5cedx9om5GMyISLWlDFWZLMQT0SasRiOMKq+HYn6xTPBAD6gnrWS9nuWXwkj QOeuGlU9sHntBMvdeJZRlx5tZYfxOI+77p6TUYLgpMR5UvXBUSa5ROhIzQi79NhmNF5DdFt2eTMF BOhnWixDnKh9CY+d0jTgv/+YNSnjpzfKAhaFaHTv8k+A0qpCzaWwR47t+ctKQ2KE6ss6sNbAe50s DteEaCPo2eod2YsYxh2WoJ79s+PebVwBGabSoXF0EPGadAFJTSHAzMGW4AgW1NKjQGumKpu+AbWo aWk2JDFa7cpcYv7QZRyZbnFug3++lSBNlK1uIZEroENjTCSskf/Oj8u5Hj2OaGx9iNV+Bjdr6d2v u8KgRX866GIVrV4uyZciRxoS0w4WB5BLp3WYPFXceu5Gq3fHHxESn0F4x6ov8dbu5LGD/xyNU7me wu2h/r6Y8/gmrt8MuNpTyt0sjsBeaEnWvjuaDZ4E3gepj5ufy6SZN29bXE3p34KnGGk52cy7XXbe A27ybPKz5WBpFzpiB5lSq0gOce9IiDSu3N+y8hNYekNMjEPrPuG3D+XwDMlwMJiXOV7HvkfVO2l1 sQjykBI+zRV9q2Qe1DYVhXli4exzdaK33gzPsaPQ+ZpKl39IjRjbPhhtmSPhmdaPlOOacB3tXCdq BE39GEuYXLmuAMQsaqq8UU44u/cOoYd8bQ6YZza+Vq1SFPEhXrMcE5PUXHWQ+vjY8WLguboayWrt vIeptqubUjhwfhtvnR6DQDelGvoXOs90kKINMRubCeXsidYG7yyeAlsyxx2eFGyHfIxVDpi6OxGb RmBiHetc0w8e+00oMlJaXqGcLxCAerYslU9ehQIOCOkaDhcjKgof5kSwIwHyy4ENw5asjq4URYc/ 1m4oxPO4BhpAh2Dlz0FKwqSe+V8YqN5nxQW7bD4T3KJCY5AqIgPlFqx1qMYQ9Z7P+Al5qYUZ23N8 EENPDusgiRsvsr1jrdoC54JFmahxOqI0OIYL3p2XUuHEmYZIxN9Nr7L4nNOPfs5acFi7l56+NtGd ziOCyiPE3ECfqFGvvkgKFyIKt/HRGt/xDZH4NBW0aUitDG5xV5wQGf0MQtAM+Ou5uxL34HBZcNl3 rv6wge+qpAQSZhOIB/ay66/aU21fn/+OcVilAwUiXEnXvlG1hFXaMzzTuS2W//uje5BPaMJFgkWh Hr0PsA6EqtyfGGXB3ND3/0t1p/8h/4zepcH5o3tySCuzmo5Z+dVV0jl/iEEXsIUX3Aq8HyAlIaTB kDmIn0GxdXy1YM6unWrKyZF9yyrpWkAh86G5gSXf7rlpst56io/b2HlGZ988dVYzICJJPOdHXoFD xt7X93RsVvNbgCkdthvPnd8Oh6L92IAw6S3Vu+NwDvO3srOK5zC4K/K2IWPCcPaNeER/pDUID4ft 5M4tW2c0qufpSh3b99gwwvbpvmPawn2UOFpM1NWmIV27FbQsk3O8E2YjOPARvMIgoS2jsgpe9gL8 igfc/zaEDu7ggDIKNg12iqlL7QSkpQiIzhKjPFceDxEZ69hq6SikJv4yYvuWikHhZknzYEOlg9s4 g8MUdXQhNAwonWTCFgjffLFwF/bPNEyHXQQc2NDYTUcBPWR1bpxLCkdZrWBsunIBZV62+ReEqkTl 4NZLs/+1jW+0+ScmVNzOsEpf9EJ7vrlfWDoXgvxNLt1XdIVPwEV3y6DXK1RJ5qSXfVuT8pnUf3u/ F+kcdkUDWRCx8LVrO0o68M3foxVI2v08KNXDFI2O3CPG3SpSiuq2sgOvHSM07mxAw+HTJmspakC2 PLpkx0tkgBbxR5tzgkXA0g4b6spbougXH2AsYb8PKWm5r0FextgQOcMkWmMmEis45LZ719HK368v Zb7Ur/wDwr0TeWe/ARYyUx0WaLSLuLoBDARp7XIlvmr+B/ufZGs79VSYfgGKewDzJh0x7BxUtIi9 ZvNYGZnpQH0VqPZgJGy63yM1KVZjMWca61Nc9tjXZG9F1BZh5zSaN5jw1xG0QPVgluWIsVXMTLCK F1mVrkQhxJXMnDOR631SNQqjVsysGbydQKb28qZcHQNojoDZz4z0XlpeVwk7grV8fFpvtL/9hmyv W3Ruc71RacWHvPLlf7krTXeoTvUTqCtPdF/cKecOWsKTZm+e9E8Vpk5GDT8yDlHTYlltR7THEAw1 vrtxU4sP4gzAfdQCyfHPPPql1wnmuewUkuIOBrQOJb7xyPBg26vgK7BPIxjbWFMHSlYf7bN3AKbH R2730F4QwEZUrrpv0Gz5pRL/vSkr1YSYBcn5LigjxGYQsXpaZNO4eUv3FMglSAa4LCce/ZCCL5R4 apKoMR0vIkc0aynt38sEbFCEIHROoDKVaBUYp8LA9+8gmg8ONJDpino2n9oJFzh0y3L7B6WVKmVy HSmkfJpJTNg/wUUdW6jZsKY/HD2euH7TFhIbojHwBoyLjl7gPwPwcA32i5E+RskDoQUEtMvnFoNN LAR99xCAManJ7SYtFwAj2MQ9AFKTD0S3U4x6u6CGFF73dABicbo84aIJ7v5vVAON74Q2Z6Mc4Ea8 6bBIvotrQI0PcUVNTq7Kv0wInyvC5KY5T09QiL2BIXcO6ROsaICBjajCf9IeDaPLUVR4IAecK3Nj pv1iqVADXbyGjByZ9h1JhVYPXk+I0Bc/Cv7lYOS9NW0G3pFImlTIBvyoApFEliSVDcqWNoHDY9tt PmsG4rcIsvOe3Je8FZIA91R2f4sx4mk+Eeft1Vg5FpvjSiH6kDyqzxvJjoiJHUz+Hf+XMb1DBkoa sTUEQwh48rxDszTanZ6IpU66WzHrmZGHAEt6iXSSk/6JG7YqGHUk+cwDIKoNhip5Pf98h9jYwWEE 8cFsbrT8AbZk3rZ8NMOa+xiZEnA6l+Ma56BK8wsTdhkwpsmpA6XDXQvvgBTbJWPLMLv8bWZ+AULk 0Hd68Q4fDrXy0SB4I/vfpkZ1CqeVOGExglgIkWPhhUt5lHvczX8s6d+pCXiwp9Zgp9TRU5Tzil8X O2VLgD10ThjlbyL+uW5f5PEzc3GjcBG87UOmGpxObzJwiTs1Q6jRlXEGzSzM64bFP/AqYoeSTtPq 7xEE1ggSQyNuqskqAkG9TYx/jmhpuSvJwmEYNH4r4jJTlhLV6khJzq1pApHCGPwvQGrEN4D+uZdH qj6dND51dlHmrZvABECOoHflS7knbDt7KVyqrfWlYG8y27oKtY+9U2lGSus1hf2Sy9HXx/rkIqFx fzMCDr7jNiwPoNzff6X6/PP6e8KmPMcypiZZr5HwVOtUP/QsclxDADCinAqo6sV7QF/+LwkZwF2n L1ZsHhYsLV5KoP/lyhToSDDl7B2cI5Kmap55wz3t3cqeNpXzBULv/YulE1GiyOa+rbzFCkUkQdrv W5ljawrXQlL7iNDbfCCEFsC3Lcfz+XXn/vEWpX2kJLlD3B5Oae3znY/LZy1VS7Yo8k4cmvnGCLVf DlFdXBn4EZikoK9OljjQLrtlpO2njl66hNV4575yhJg6qBBe3X/LnB1sTjtwCmZRopa2saltgpN6 EqNibqsEVNX6TnPjB9K7QIpzE2xInveZWpPjcINo0ILcl9SS+sUURMAECR0RBv+rPiCXyw5qDpjg Ps7VIHCAJZBRXrJgdL+jeAUnYaMyXYUsNb3GRwQUFMybNIjQk+KB0luyAsbCek36Yirb1wctU/4S QC9M5TUy03JvsLN75JwIvkpaipjyBhG1BTCz6OskY0W1FP/zVx9vc5fGdVZaiNonsF+ZZU+cEv60 U0O6WkfWaHekW+zNs5PAcWwPGfTT02RmxxCNSXQM8sfSuxBPeEtM7kwLISH8+GjCDY9bjPIP6XuD 8MPlyixKeiZra8PSYwhYxwQyHdEqj0/MTe5oCGqqCmcQB02g/Cwlvpn2XQRIgijvw0Z3FEL1gxN1 nV1JqbHnzYYdzx3w/SIEOl7hdBCjfAPLEGsAcSqB2f33RCofr147c+EQNy012FsCpW/2GDnAsGqs 4HCAy3TPtHz373lJFdjIuEBRJOHtJdd63V3QtIA8KbgrwuHGoQvZUZsw2XVfNPrTk110joxrZlV/ e3Puk9bCwzb2lUEqINaYAXBGXcJVP7X4yLNALGN/ebLDrCcZEdjC1I0MwiT+uGEJEfa7TNta7jZw Qg88dXNCiUNbTgx7SyuZGm1KcVuN9z8UZOvJk6gN9SDsJJj0iqgLVyVmo+IDAcAMYSFO5C85qejC ZRzcu/+T7mOftjCTGwj41AiSmAUhF1p0UD+5l0HqWSLvGnnYRLztR0NnWRwP10Y+jODhSO7V0siX cMpj5qNKgZcsx0IGJMLJEV/B+9mGAdmqgY5B5+lYk0P/SUhzTGbNiVL5UyW9+jXwzpEgpLZH1wva FyC6NaNkYB3si7FAS2iJL8shWnVIHbvdS4D4qscJXDLFU5gUE/SEfMjHoY46lcW2HHpEK0fdhOnz YFilFpZ1lwndrhamt3JA9DYS61zvMxHxbji0SHoPVsQZ7snmvjRK/R3NZjZsxOHF5mYgb2SLPdaz MCMwuYq6GepIF4dmysJcwkq9YiShrM+ud6HPjslCn/wRvFtS5yJ6jOOamgFDsR2rEGFUP9L9Zl1v aMBIOwXJXMDdbnydwTrWp+GmfCA6JUws2bccTK6tlNdWni1MJaAqgftCSvuuw+UQjz/9bIjwsLXC 5ilz8kE6Wu+TzojrJuSO1Um5KcY0DwJTlLtRRWMtjwG/ASWGqF6EEIZMO+h560KbqemmvWNLU2Ug 1wA9Te9a961cEEBfSn1jaZHaQHlejrFhFzEOsG2ryg5RcN0OZsQL7C+CR3a0ORQRBZrR8ftOB5Vv jLuSOc0EUoyVE5BHuN75S6ZyX4B1e8CtAFWtlt0aMflzVPPNlpOMmRtXBZX6mYzWAQviFqPA0i8q rGAuEkssKGMQuDYfmUaKuBokx6z2vtMsmbHLHVaJMBOtMpI1A+NzArfH7TOxelEfFVVjryQ7yavj foIqc+zYFI3U3Co/FtSzNVj0MGwWzgIc2IBvmy9RXf8xMapONqw4xY9HSRfK/12tel4VSX5ymT6c fjE3LogftsEPgW5tYvKWo3Gu8elx4WdFWD5BqajfU1SYL2QTLAxPYhweVX+IJ5ylrdH+xdqLrDzQ dbc+8oWvA6G0VsYZXL1H4x01lSmzt4MSyHxsXnwulej6DuI/rBIKyp1Z3rX1+DsOMUw1vUbDSl/t GP/By+0AcXOnoXwMKoK/9ZTWzNse6d6jIGHMpqJbgXvlrli4IOJHiTIvwwpk2celr3tR45Gr4iVa ox9Ao00cwdch2y4RfqStTiizeTd0eVNcgJ8PRWlgtJNE0BqG3+j5zTDjJ9+OKRSHrELMoy/htvV8 HpIQ2Ohpu2iRpDVSKsMWOvfqm7kyfzp3BPNipaCRbsMcIPrVqSc1gP/SUg098GA3ulYc75OnZuA5 M6I7IAfqI28H9TWjy359Zj/X/2oP6amHyboEXpd3QWKcDaae/NQJ/DqAaLlwicn1G8TdhabikPsL lhmjNvElrOSc+F6DVB9bvwpK2sJF7P5nQCYe9dvIVg7/KU5KTt0+Ixn/bhUBtYXhZOvpWy4wXihI sVZcmyQPDdJTgojr7GAvhsJ79d9rNLyJ6VthiHQY4nlAUPEWDmEPMlYWUZIn/EvYrcvsnH3wgO8I i0xT6cSZuANPJyiiaSus/EYdLptmd2JlHN75gg4TT1trrQxCkvHn87lHnr95TpLdY5x2QxIRmZAF QnqPdbF5qo0C6CYdi7HakFO8qhxenPwIRDGVr/pcwt7nuZwmTVDiZ6EG2cduAf6m1szFirl/mBpn QJJZc6DuoP2gjbeaFJ6hgzaexSJc41vQOneIOl/RcELsf9rBEU526kRBMg+dIo1l0QFo1BR7MTWV n1hDF+8s5crpzSEB3Ud0iOi1bcUHW7hSUxuhFMXwCEGKFBaUH/Nzlfe8AMnH3TzV2phzm5gSTD3N zVCOiBQmtwO8dvvSEDSGXDb9EdYROMgrZUawfPfTkglItFNohGvImu/ENhxYaf+ZcbGuW+cJYB3+ m64lfa1PZ0tPf1pCCCg/zXO3MccN+UEXitT36s8onv+sqadVoff/rGYWosz7oy+gm8jqXQUK/FfI 2jlly0q8pItD/ab+bvlI3Z9ZsGyk+1+4QAM9KcKjpJkyB05C5iZmM6q/rtxsHFhYBJh+bHtHrKlT k1A6W3oV+ORX2oB3b+3LgkR3rnjJYVvW4sG2K6B80pe7+0ODHu76rsLt+xZ/O9LLLLYOGxeAw52S GUSCXsvnJC6/0dLeAUEwAQTsrNvitPscoRdj2zV8TALEHSl95bwuaLKyczRv1IY6125jXC29BLgv nl/uvAKBH2ZPDxCpiPtkFb+QOX9apxzBg9iFAM5wA53Xk7x2yn3NDa8YbiC1xiUgPo5I7eQ8cYKK q1ZXBHgxz5oPTcy3gytESg4sC3QHyB3TVjbV7O2PAcpPAlsqKgAG0ATjWGf9cAPptlzzTdqENN/u V+ITETITpbfy5/K4YkTYmEcKAXSFpLUXZdx1YPTP6fh553z7ZWHeCYNOT1e5SnCMLUWb/i0XJpPW qBWBpGiHwHgXvA86gf07HtT13DaUPiKpc2tbL4WLba7+PDa/yemPddcXH/LX+ugw18iIqmSsdBAH VDv7OSv72z1ITCfjNvnjYT15V3IWmBgaAZKB4E0P0eMbx42DwxFtDNU3KsjGHTvmMnYC0om0/5ag 0eUNBI5Fhl7uww68cxPXHbFG4hqoDuh8u504hd4YYirkL0gN0Rm+tsHxfZAafesgObI8MWti4NEI TxB8sFe1rikyr4JCGuCUX92HcfGVVTwUKr2rapf2cNut68k0cxP8b9M3+34xnkdw8RwWGaV6Gcoz 7u2Ib5AxgztbUpX/HT+8Qy1NGNQtubkub+NUI1npyXqL8ANh0JBJwd/NuGn1A6CULQRAcfMLFRaF JHMY8qIQns3Au/z104FKeGf6L2AHodmgGPdK952xqRRiCV8WUqX1qjuU5z2+9ZF0JiOH8jKp9byl iGPrf+cPhjAQfmWmPHlAMfkDF4LJrHQOPTWZf++sxHyHV7GhXjrGWkew7GIFJbNgA9dimk2irDyt kPDyMr8HqfFk5vB0noy4bMU7RYaKs+81+GERPuL2Gxrf5hBhjdMXKUC2dQICkXhf/7L887YwTrma 1KzoKaxIK70i6NIFfU7re2NfdcuyfhRTjrs3W3zII9T7U7DfFv8Px9r1goz7vg7nT/FCxtqAoMLv KG6kAfHpcNpzjQxsHdygIBvM4xmCPuex+r0/VMoES7nL0kKDFYHqAV7p9UDT4UpTjFmj/sBip8D4 eJEGV0+3DyksVq9xffIpXkiLGqkXRVT5z/aIBQpxWQ5KAJxiNk3vazDHr0jGksOYD7u6ZzQQjYJU IwO119fsd/vl7u1aNm8iyzKNAUvsCUlIwLLc3IKE97zZdgchnlMQ+DNeKEjW+kaNlVGr4+wmw7z/ JMoaxsqvqr7MbgCE2fuIK/QEibHLZ7GVuwu3JG/kDF7x+2sFj7KFIoVD4q7HNgTnxhj3UakiS3Wp gXG/9zMV1PqMMe/DNzR8kInWS2g73/lniA08Jj9Uwi3nskTYsOUcgF4r5UIIhonmV1xOxH9J/eHD T2y+ae0eAybypGH1iICrJEhtRcvZUZmKQkRzWmW/RsB55YNMlQnFxSKsWzTx1i1mmEqKn7SyCuO1 b6Y8mzitBsGnll9Y+QXVwfD0gwHHxF5bhFRlf/u3IbUPn0Uf9K6jFtmRU//NXYhazsqc/FjvHf/P xsxOe/EA5Mmj39b7X2ihIbX3qBiEj4St0CtVAVmISVgkK8GQRF0RvOGSmV9o8oB5eDQD4hGfqVtM jWxJZvaTtAWUwBjjzkR8fTjfcn8pJ5mKLyhxJv0bGtpivZodRXlKlBb625OHNwIxDWMw7COqujNX OyhezWkr94tIEo3FQ0/xrU1edoEm1ZXAerrBlOgC45VDSTlBUnZc6Ukg1nfA3wc3Qksb01tptHXf YPJ5F1tW8Ko8iknTu3dZ1jFaRNTXw7q/KgW4unZUH4TobnIude2c2ng3ipri03IqcwyHL61Ck6jy ABJibhw2QZhKm0K1RERCtpiV4du89T3PXxKLkbCGe1+JHN/lrNHaozm9QLPMHInxu37vv9uwHx4l bHRmNolZnhwHevcrGp0uSRcrn61a+b/ew6/2WKLzdlIO8H5+YAfABCzqtxsQJheoOJV0B/i3pB6l TmmJtJYymPzrSIe+DGdRqpinJ//WWnC0Dk8VsRJm+JORGcRo60U6/fd7o4zW96R3xfpxMronn1HT V/oK5mRJyiQw+29D6WcOwLrSO5T6MZESdXy13/ZrBke//S21ToMmcxUhu4c8siD05tXEPxObdUOK kovoT5n6yqyWBHjy8W5gIsChmpvXW44/sZpk6WNsJuWY9ZnS5AgvC1ZSwew43B08U+sU0BXSCxYp CrnTQv+L2fwQSVWPFnMKVRq2N5IMlZfUxyE+LzvtL6VlpDXEhmxvris2hFtwJXqLwPWixbotbMm6 0ByQqREIJ+UwoZEgim2Pjo0Y8qTueg1LbbMUL53YYCQ/K5+CUd+ceSlBm0CJlQBQ5A/JsoVW5369 jJKD1nwy/j6oQYpiy3W+gGdFQw5KExHL1manNJyNbBwxNjfZ5R+gh7xzWpoc1gyzBqK1hM61ltuT rTeCfbHOlQI+z8HvUjpd8CabtTze8NXzWWUVhgcmkH5B5nY21cpDzJ/9qM1ypGinPzGDHJ4TvfTB Zv2tkATckoaIHHi6iTN8SVzu/2Zi8dR7P0QlYnxfo1ibcGYvSzIW/M5k0O5MHh1xlo/xq/VW54FD S0/kBQotYtbjZ05H3uIfJ361j2fHVYaSv4VvVil+AiDHCvPTayRTrX4Ya7PvxO1GB1OfVx+XFdL3 +IZQie0TzjmTdNPiNip8xYAwiYciposdz/xrki76EycDXiNQVxVWFG8QvbHCzJR68iS75j6vNbrI C/L/nzZX1MvOuLfuwX3mRzzKKum4XH129aTYknv3UrtFEKiAU71uNgVsNarO3x4o1HUAL/8O+SkW +HSxrWFaqJX4rsomFabFDd8Z07ppBPQ5HKrJHR3DIeM5x8XS+75qHn96ZnS8X22EeH5rv5te3ruO 0x2I0nNpoHQ/qyXKRDjjDk4960SWYLi9syo3s/xvSitUtUUZCupIFONcxDw+beyDOK9qYF4HPQok mnyJOutKDadd9dLAMvvFkDOCBC4aokVR5rxctXs3bJRJEE6zZS1lOX/qKLUExf0hwfdAC6Qa1SIG SaoSkJdqcwZI7Rko5Jj3Ht8EsykbGjBAT2/m37ei0k+WtsTH92rmWvVVcPgx9QCDaZCAsyvk1Yru nVSbLB+P5CsSmtbjQUpGRWl8V21j8zAh+KGcyMHzLyQvenIhrf+fiSJkQQZWq867Fp7f8CXDcttV OJCWZxVF2JFDvmrRsgA8QAgudWaHiTWDYU0EnwcarLwQvYy6I/5I4PpaZgikanYWZAeI8M5HOt+L qm69oFpFGZfFV1GVJV3THBKt0QO/1Ysxi50hYtBVwYvTlHuL02zlPtAoGpk3AztLZz/m6YAPC0EG TPk3b12rR1z7HA3vIKNoyj7yFBQnJc87opbJ1LtRxrPZylA5cfGitMnGJl3HJPa1RjqCjWPCGrUh 6/wa/LbaHoDQO+0FndTJmBCXOqPMTa3cWLTKNX27LXsvXPqkoE9xald1nYEIbfSnb4Qm+BNMXOhH 04UrdJvGSbv3fpOL12Kljczsdx4neYgu7IwwglOqb7oRBH8qDklfimezz5wva+VZVqfL77wIWeLK 4GrP9McoFBzcCLVITzKy0nY6oII0Ts9pFJtaVbdRylWMrsdHY4p+7nWlpnMk0B2QmxABij0jitEX u36UFmFJEO6QbcGvPS0cAg58pxGDiCz2AHo6EOpsxcNxnI0cxFniKrCiwX/Z/s4ABFBPTfwPnAGp jsqxnHtQU9Kw+/T8lkpKDhhcZ/BL81K64jwpxy71zZbG/nFeuXDs5uOvZg7wdB/AedBd0iFU2hDn IL5bkITJVGMRfkvD34bGO2JBRoXu3eIqSzcHz5iatda88JivfIGTmM7Kxlw5DK5/pZaYq9oTNPEu N+Qk0VoGRKjugDsgcrFV4GIQxpKvcjMW/ojlvlcm36uQEJZVQJrOQDkjzR2i7P9t1iEQDoyvBP7k O5aanYNDt33O+A2HvJa12N9YYDTtw4c5VNVsBZNUTF4uxrkxi583YcOgO65zezV3/vTuAj2GUWdT ySptnpqTlk9o1r11SIYrXeILc6t4m8AFiB5ycxV/816Y4jyk1TBR7Ewyy90hS7/IyZaVr6F3Bfnx AUVSs/Uu2fSCNZZhsLKBWclEiKIXlL5hdfxqpLOncnWkXNqugFB4GB6eYQHQ39FFvtgvWh4V4XAp 3UfHn1kg+Pikss/RbkL5M1WNLS4h1izGOpHZRHDyMG/a1MCFhvZRIyb7OXm8AHZ7X2r2ulupaWd/ QNvVJa3SPlq2J80buWe6QFMw1dEDm45aIxxHEvJd3FZNKQPTq84pfFegsJ59rhsRZLA9MbCtk+lP xRZQICpblSqZosaOvKL0xQzir4mwqdKHWs2no7c9M5iWApr/3mA/BqhQig4f7A9JbR4Nqf06kDSK kVpGaiinGFcHYOLABmogCHDZvIHOLfwepqSvi8+91nKKR6hSgc4J/OLE0jF61aA2skhDr5D9ovbt qt/oCst01+WGePYXFVR5RdrC6lyFbzUz9ngOBVOqf6zHgQWlpg9ZV0t3uLdo2MOXsTdhhXFUMtvL 9EIbg7c5luThZDmr2G+HXIO5yT1RVn4AsuLnfCeF1eNFBUfW9rdBgycBnx+o+Ywx9QIFgYkBDrvl qX/fQPyUDr/hBRI3yj0AjV4qF8zuCS44zLAxDi4WZwMQdaaYjaUr4secBRmbEJd5g3ahGA1I6FOp ivCJ4i/3uB97VCDOBadDloAPiD0gjakPL7FESm8aCSeUBmXB41Kw2E0gRKUOBQmikTGOs8u8pIU1 66i8rU06dwRnXLwiOANWRLHy7t6ufiKd4NHzc360wh5HokP0AaO18uTZGs0pwrfgIE2SWo7mgLc3 OlUw3Le88Lnw/ITAzv/AkGUktoPiEzq4BHEBZ5KzEijWXqq/DczQwch9eWq7l55GFGtYcTdZsQZh ruuLA+O3SBcVmcxCIxGeXFlo1IWkj8sZymsbheB/fRiGaurLUjf2EbOa8/DADOmlXeiu4wSqT8Zq elxwehhoSyTyN4Chfgxr/feB9wrxjr1DmoHsQ6/63Gfi7n4RP/6L6/DkeAqcrI5LSfLWjYVl7VtA eQZaSGAvl/shV2rN0HpYKYT9P5kcamRphLsSZLZQEuTbLP3D+3TVyC3Ch+qxc33JhsE5xCBepegQ f4B6CyploJSwl8aXx6RQnrshPXlZbPLEKpQNmVIxgjCr9b5ZHUF+mbGFSIKJ5g9LolIA2Qe1ebth DclIBd0PX0XvFXpMgsTULQEyusGbL9Kgd8Z6mTnvYdBx8BJhoVeKoWxwm0OHnB+kKIKNeJYGM38M ouOaGOrqoTFkG6C86dtg8mZGp+kiWdYkbFpQ8NYP0cyjUiH94Y+jHpaA6e8KGVIYfKaL2B/bMGdY qOKD8xcEUDFz5AFKSnUrFRBdV4K4waA2mCvsE0FaH4947Kg6uXwmHw5y9fdHXHMbsHIj2G3BLY3m MtQF6n2uZoRNEwaH2ovFsw/ZpJdDwfj0cMOa9/xQoQqEnpxHC5GteYqSnxTOSwqjerUUCQf9VF2Q E9uvwHvrMGx4DpysdWhKpdZI9FbEYDabJ1TivAdUdu6/pgiJZlpqUJD6fs4/1fZi43MPvr2haBL+ VFbyfu7CBoVmIgjt0C7bsFipSoz9wsoe7IcS5ICUSpjKKMqu8C3YGDGytXCv0D9pkw3RdMtLDMYT rT00ys8gFxach9LF556z7Sqr3t3cThnsRB8YVzGKbUGaAupUvo7AhA/10ViUV/ToAWLn5OUs6can N29ZfUa2S6EtBjhodfUtrLQNxyMGqh2wZ8lJ57GU/SIfLxEINVJr0oboKsTeoY9bNvIJLs95AlNq r6rPQWbLRAKnor3ogdY2vi013Bz1TUPjsDTwHjKv1nTbOfkZSUpuFFVR+yZigK0vx2bNpac2OAse sr4MVxqtFHIFZkapT8tERCR48AacHCnMfcRuCm2LjiThW1pp5i8i4k02zUzBRNqb8P6iiwfdRoX1 LAFCiqufYny0QlW7Jcu7JEzV6cx2CNrBLnS3psKFfVFRwlgwARkbOGNSIgFcaI3M5qVb2iV2VdKF PLa/8ptThJTUJKmxuJaVO1Gch5Ywj4iq4OoN+uGwY6UPt8uJJrijLnfaJNXOw60Y/+sDxOaxwict V1aI3OllPF/0zpR9t2AkIXyY2GTs0CCo6aTrE+IppNeDEOaorji7IwLLT7c9CWB8XcRo8MTqiVOT /hoYIWmyMgt0Lv+LrWFRjfr9R0A6Zt9IR/o9ZMvK38h/yKj0+qw8scDn7rRqM4ObXcp3lQ5NkRNJ 510EqsGaJcgKK5FuXTCUtH2oWppLTWMjIsPRssSYpcBYq/hUpCuf06EgKL/Nk94nGo2F/C4GrErT HhULlox++N85TWKgAEzgJ58WLo6vGjmFaziUq11/7otMEysxAXr7h5ZFU05gilN0d18XdnE9YgnV xPiuDkrTKLnFHjuQaARB7Zr+pw819feg1DKOixRI98w287mx+94b3KNTXVddAJQaXfjVh19P42kJ yjOHjBSVZmjyjNXcQp+nmHm26vLzm7Yh6iunGB4yZ3SXcln0PL3Z+t4seAREwivvQ76H1rRYdefQ uAIfmyzM9jGHRuYPTZbq4x6mmzVPtwYK8cuGP30G09lCSKC3hzzaw3pyUBa/2eGfOFKbb98G7DbW wc2Vj2FZSlbv++3NBKdQ6f/xVykRAzpUtj6HKP85YxpYzugJGAZC65a6i8yjedpoAhOUdU1AoFSX Q1zhXfxAPeCaA5rlvH49yDh3Aidocple1yRHM13CkV2NVwB9+jqqJrUoLZxTUvIp1c84V+IKrFn4 wpov5x+Gq+oXTEeYodPg4PsaDcONveajDt46BLqofcaTXEm/zI5WMqbLboEzwmjfJw5fwCCW5l4H 3nyLQJE6dcuPAtM+CPS0lw3s/MzoF7fUFa7K5U3Hfn330tZtovxXkEbOi64iKoFKxWNGFkfFzSnf DiFstwZn6ZXWE0oy0QlriKWUqIzfHvf7AzgjnKDJn9uem+1KmYP99KtPE3AnF3KsJJwpS4QiTg6Z RElR6JX8a6iNgoUa9baDp0N69RdQt4gfNcR/Xj6CU9oquNsbNIIA4+Tp3VdaWBS8iAh0rVGOPGSp KKjkyyT8zF+xAmf8P+h188gwbwbm48qeOwLNRnZP5/b5/MNjcXN19aTBE3x5JfYlsrOSnkktyWm+ 2dy39ZJKEG9GjWbW+ST/Gr020zhRDUgb6Yle5mdY/g7BSehoYOHZ1zzOrAvth2SylMhsSst1ZbFd oas/Lr+7u4EihBQl3yiCZqKsRP9pAX29HHmYIfa0VTjkjWmx3YyT7guafjeNtwQS8edGnRXiydnR HAu5vGkB/o7fFHkVWM3O94YQHtu03FQocf5H7SsaxxI4hAI/TmMl2szxS/RxlyfKIRPmWbsIrarH YVWG0lcEzH7QqjlJUbf+AZ4QqX7g/ULXUSWYXstN0KaXEO9I8/HpxipFB1Ls68mTB+SfqPNpi5IR 9Ho25bJWGDp1cZAcGje5Cm2HWt1J0LHN4CvSMgnVlM8PK8lfXPVh5oCdaB0hCSllyUjw2V0865IE Uz9xeDSPkqXSwQSrXR2VTF1qjQ03mLRqGR3/Gb5jFyWCti1tyBUurSpWwRFnqRCAYSJOwVoHLJ+0 d+FPfNvD84s19QnvuP42sRj0MClQRYJygHscBvIF32LfOTyQmMQcd1nZdvVSgKvq2YZWkfGOoX6c PeBYBwibWrfaGO76ujdalVuSPAdChaAfFv15engnrZbSPpj+aapsUrgum7GfqfdlCYZ7tInKR7Sy QblpDAkoUEJr0rfxzkl86x8AroBKK+4lJy4KhVU5rYt994PzqEsfVcrwGzHFhTi0GOSzwTqjjUUV siuwjOMjPh2QcdyFkCQ4ETYerPghXTj1MgSSHx0AD1Hn/igitzOJmejinREBINb3Cc1/ZcSwADgt /cq+a6Ug2JxWeks2hpRGMw3MMRgxEaS14PEfACVJQFHlkDeal1g1lB+sEXLHzu0Arveeet03zzJi Jgbk7ExYCO7cFXuCnylIKuXrtoKd+aSZs9FTrP2sk5jNNR8hcTAVLywSrZ+oHKTeFhGYP70BThNs lZnpeqWe0xzSGw1b2P56xfQ3H6On4uM+1YoZZqcwsqvT5fMrH9HN+DlXC7pHYw2lFYpqAX94js81 1Ic7j3O3MvnDH4bHV3Qchs9cuawnUAd5HLkAPs9mitYbuahe7gxJ2rVOlDK5qaAgvySfFwXojblt A7t1stQ8c/eONgPNEjR2zqPZCiiYw5SIQuQAFU/o1SGtcsiaFEwxXpqYixaT7N4MnBNUDdM8xa6I qEe04ufKRMJbHVCgu2leX/fAXQ4Vvoryg8I/2GxGgS/IR372tpL3HnRZthheT9fKJr3XIJfpHMjX LlOque/iZVRfsoIX8itAsOHlg+l/ZKLyhPKW3wg9NmdVZBriK/z93cyZgrh8rh7BimE6hDnDjy14 EY5x2K8MqlP/11Wpc7erN+grlLR9w8DZG28vKrcbwXDcO4xPgKp8bs0i2C8hWVqJu6CMz8p0XCSF GMvw0VdWxyq49CQ4aZyivF0LdF3h+4tmTdlOMqoGywX3jzQgswKC7/TdhCHknJTWp4ZpW6rmO2/V 14tfblFebxtvmjUylwMbksoYtsTqFi4nVvVzGY/rxWkStGZ0Z9jqUKfxwf73rUxtIcINqZ8jg4g/ exDccAEm/azmKMBuJ/gIjxa1hlQee92+xUGOPJt/vVAB4U706hYsyux5nTvG1xEHMxsxi6VCWEUD T2UqDDoAGxOhrquIZSQSCakLlEM6wmHZkmrinte6C8JZ/CVlXOQOwl1k12Zn1ahJd8y/UUHLTmA8 EKaTYK11OAl06txf9MsMvN83xzlR3GYZ6ETtxMv8EYhhDVcRx4nyq+2z7qC2IH+x8y33v7/SKNEi F9XlLIhpQNDOMnGc12K6m+BRXFRC4CfbLpglZX7+/5gq5EnW1BvrJZ1WnGFWgZZWLfMSRf8W0gMl QyVOcUYlBqSc5rbYHvoJqFg4QlHH/vEvSY1Sb7c7WEYJAYYHGESss0fKLHYSu/Ie9oAkNkhscT+H cP2/hmowiUmm4zl3J8+ZPjbsLFi7vcMfs/2tbplSjobBkQ47nchkNhDQOqS4IcTfhS8XIS+usNY2 BqERMYwtKMx26+rzh/OJbjvRxpv1v/2Y8CXYsgrt1GEjNIW6IL/8BTVCl1bB7gTnv+OuyAJGGCJ1 MwK4ScOoU7JGMJSeLieHTMFV8HxRywyExho962oS+l6MCPnmSzIkS6XY61zkRxVjKDeTRTni76nm 4xVp7qiVD7xQV7UhSlJUyQ7254kTOJMO4LAw1aYFjQsnnw82hdxVlHLNCEAPWi0BCH33e8Xik6RZ w6d5YVj54kuguTzHdeGpUE1bkD5226tKdYYxLF402MwE+u2siIgNWwF3NUpfU6LZwj+nMbQ1muB3 Kbn2M4TcNyr3+jx6amj8Rl2K5sylVfqhOHH3NDCwJX9mvIVClz9lVw892mA9UUY8bnJD0pq86918 O3Eh2jKtUb9BLugWXVgoyZ6xiKu3jESBzoc3GrX3crzZJbdeY8ewbfeTAtPhZ29WE4U6oQCTd0P+ 7HR3D0JUpqBNylJEpaiXEfMilhaRkX41Mgg9ek/P+vWIfDH6HNVcprSWpW44UGP0fuiltyInO2fv KTz8uay/uQSXDQ6bB7SLlqA7lC9Q855/SWZYOUglMITFbKFiDeFbqGoITNUqvZB2sCZtfvA2TQ5A giXwoTdulHEFEnSTuDyp5NsLsW5sLMyLMiIrc+xkZi5zV2kRwxQv/WhLKsZzknCaubia/5oWo7/P Hwxzp0MHQWiFfFfl/vC8tv3Qa2MaU/ktoYMChZRJJaIezpdwVj6/Yq5z79yH1YGoiY4ho7Vqbfug zczkCTJAD0cPRR2DPlZhV7FBdHnbXgpk/Xn9EHROvD/o0YgJnsHXSMK+5tMyWF7oqltRcK8hE2HO QYE8b8/2/CFiVSaOZfSIg9JmOhRwqXQnXwV0kty8/M+YRiY/6YU8c/VkV2hFlejKrsc3kzFe2hvC DtBNVIZd4ymdMhSnJdGZE8LM5xqpz1QgVnvkXVJMXRB8a7zP4VYUgvqX5BmiN4HP8nJsHcGOmmPG 2GHJh/YbtNNfVirRlu6+iX7sfkNhxPuJbXDh8cs7KaRpkJ7r4/1Df5HgXLnGOG4ibZJA3i8BKOAH oKkSIWqkQd8Q6hHHC8UPKftuZy90Qwm4RewUw5rZ0lW3IeLqOtXVdEi7ZPz0/L6JkpxV1Siw+s6u xnY20GfNWuCwe2nXzdhwrb4u1nNn9NiTQXI/XHUIGWhzit8Kcst3GPzHvCnBDHNC7MTy0GpXAzA2 jhgGd6otOJLGk2w9uJMgMrJ+k3IkOGK3pnZd95PFvXUEvek1nKmbdjnz8kvSlUWlxxImYvUpi+Eq hXHUpIiPLDbgZW4uY4F7UIYB/ZK+OUFT+o25M3NaiT37MQBioak6iLglC/rygaiGoweXAhi5G8xR FNiifwGHygWpvvR6NyLVIW9rxU2DhB8nEgJDXLkt4jv02fKoHy/4ELTjtH4Eu6Ap16wyy/uj5sOX 6S2c4DUWE0jx8/5T/PVgbcSGCOh515vUvsX7UIv72+4QKZcVbsivE9Hb/OhAwhvJ/lw7Zd3RJyiC 4EG9+/4PapyGxB6g8iK7uen92z5DGpWw99nIiFX8cu6kUV735Q== `protect end_protected
apache-2.0
abb11f807349f452fc5a63b373da5200
0.947296
1.834907
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/c_subtractor.vhd
1
1,065
library ieee; use ieee.std_logic_1164.all; library WORK; use WORK.all; entity c_subtractor is generic ( width : integer := 4 ); port ( input1, input2 : in std_logic_vector((width - 1) downto 0); output : out std_logic_vector(width downto 0) ); end c_subtractor; architecture behavior of c_subtractor is function bits_to_int (input : std_logic_vector) return integer is variable ret_val : integer := 0; begin for i in input'range loop if input(i) = '1' then ret_val := 2 ** i + ret_val; end if; end loop; return ret_val; end bits_to_int; begin P0 : process (input1, input2) variable difference : integer := 0; variable temp : std_logic_vector(width downto 0); begin difference := bits_to_int(input1) - bits_to_int(input2); if (difference < 0) then difference := (2 ** (width + 1)) + difference; end if; for i in 0 to width loop if (difference rem 2) = 1 then temp(i) := '1'; else temp(i) := '0'; end if; difference := difference/2; end loop; output <= temp; end process; end behavior;
mit
49a0922995136330240f38140b89572f
0.644131
2.862903
false
false
false
false
marceloboeira/vhdl-examples
008-state-machine-calculator/hex_to_bcd.vhd
1
2,303
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity HexToBCD is port (CLK: in STD_LOGIC; sw0: in STD_LOGIC_VECTOR(3 downto 0); sw1: in STD_LOGIC_VECTOR(3 downto 0); sw2: in STD_LOGIC_VECTOR(3 downto 0); sw3: in STD_LOGIC_VECTOR(3 downto 0); bcd0: out STD_LOGIC_VECTOR(3 downto 0); bcd1: out STD_LOGIC_VECTOR(3 downto 0); bcd2: out STD_LOGIC_VECTOR(3 downto 0); bcd3: out STD_LOGIC_VECTOR(3 downto 0)); end HexToBCD; architecture behavior of HexToBCD is begin my_proc: process (CLK) variable random: STD_LOGIC_VECTOR(15 downto 0) := "0000000000000000"; variable one: STD_LOGIC_VECTOR(3 downto 0) := "0000"; variable ten: STD_LOGIC_VECTOR(3 downto 0) := "0000"; variable hund: STD_LOGIC_VECTOR(3 downto 0) := "0000"; variable thou: STD_LOGIC_VECTOR(3 downto 0) := "0000"; variable tthou: STD_LOGIC_VECTOR(3 downto 0) := "0000"; variable hthou: STD_LOGIC_VECTOR(3 downto 0) := "0000"; begin if (rising_edge(CLK)) then random := sw3 & sw2 & sw1 & sw0; for i in 0 to 15 loop if (hthou >= "0101") then hthou := STD_LOGIC_VECTOR (unsigned(hthou) +3); end if; if (tthou >= "0101") then tthou := STD_LOGIC_VECTOR (unsigned(tthou) +3); end if; if (thou >= "0101") then thou := STD_LOGIC_VECTOR (unsigned(thou) +3); end if; if (hund >= "0101") then hund := STD_LOGIC_VECTOR (unsigned(hund) +3); end if; if (ten >= "0101") then ten := STD_LOGIC_VECTOR (unsigned(ten) +3); end if; if (one >= "0101") then one := STD_LOGIC_VECTOR (unsigned(one) +3); end if; hthou := hthou(2 downto 0) & tthou(3); tthou := tthou(2 downto 0) & thou(3); thou := thou(2 downto 0)& hund(3); hund := hund(2 downto 0)& ten(3); ten := ten(2 downto 0)& one(3); one := one(2 downto 0)& random(15); random := STD_LOGIC_VECTOR(unsigned(random) sll 1); end loop; bcd0 <= one; bcd1 <= ten; bcd2 <= hund; bcd3 <= thou; one := "0000"; ten:= "0000"; hund := "0000"; thou := "0000"; tthou:= "0000"; hthou:= "0000"; end if; end process ; end behavior;
mit
4ae941c22d9e3943ea4ae275339882a0
0.559705
3.09543
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/2-MESA-HB/metaheurísticas/mesahb_spea2.vhd
1
2,033
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:17:13) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_spea2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END mesahb_spea2_entity; ARCHITECTURE mesahb_spea2_description OF mesahb_spea2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; output1 <= input2 + 2; WHEN "00000010" => register1 := register1 + 4; register2 := input3 * 5; WHEN "00000011" => register1 := register1 * 7; register2 := register2 + 9; WHEN "00000100" => register1 := register1 + 11; register2 := ((NOT register2) + 1) XOR register2; register3 := input4 * 14; WHEN "00000101" => register2 := register3 * register2; register1 := ((NOT register1) + 1) XOR register1; WHEN "00000110" => register1 := register1 * 18; WHEN "00000111" => register3 := input5 * 19; register1 := register1 + register2; WHEN "00001000" => register2 := register3 + 21; WHEN "00001001" => register2 := register2 * 23; WHEN "00001010" => register2 := register2 + 25; WHEN "00001011" => output2 <= register1(0 TO 14) & register2(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_spea2_description;
gpl-3.0
3034f5b4184b1ece8a0ab1fcf0b5aced
0.667486
3.142195
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_first_stb_offset.vhd
1
17,703
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_first_stb_offset.vhd -- -- Description: -- This file implements a module to find the address offset of the first -- strobe bit asserted active high on the input strobe bus. This module -- does not support sparse strobe assertions (asserted strobes must be -- contiguous with each other). -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_first_stb_offset.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.0 $ -- Date: $1/19/2011$ -- -- History: -- DET 1/19/2011 Initial -- ~~~~~~ -- - Adapted from AXI DataMover v2_00_a axi_datamvore_stbs_set.vhd -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_master_burst_first_stb_offset is generic ( C_STROBE_WIDTH : Integer range 1 to 32 := 8; -- Specifies the width (in bits) ob the input strobe bus. C_OFFSET_WIDTH : Integer range 1 to 16 := 8 -- Indicates the bit width of the offset output port ); port ( tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); -- Input Strobe bus first_offset : Out unsigned(C_OFFSET_WIDTH-1 downto 0) -- Offset output port ); end entity axi_master_burst_first_stb_offset; architecture implementation of axi_master_burst_first_stb_offset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_4bit_first_be_set -- -- Function Description: -- Implements an 4-bit lookup table for calculating the index -- of the first BE asserted within an 4-bit BE vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_4bit_first_be_set (be_4bit : std_logic_vector(3 downto 0)) return Integer is Variable lvar_first_be_set : Integer range 0 to 3 := 0; begin case be_4bit is -- ------- 0 bit -------------------------- -- when "0001" | "0011" | "0111" | "1111" => -- -- lvar_first_be_set := 0; ------- 1 bit -------------------------- when "0010" | "0110" | "1110" => lvar_first_be_set := 1; ------- 2 bit -------------------------- when "0100" | "1100" => lvar_first_be_set := 2; ------- 3 bit -------------------------- when "1000" => lvar_first_be_set := 3; ------- bit 0, or all zeros, or sparse strobes ------ When others => lvar_first_be_set := 0; end case; Return (lvar_first_be_set); end function funct_4bit_first_be_set; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_8bit_first_be_set -- -- Function Description: -- Implements an 8-bit lookup table for calculating the index -- of the first BE asserted within an 8-bit BE vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_8bit_first_be_set(be_8bit : std_logic_vector(7 downto 0)) return integer is Variable lvar_first_be_set : Integer range 0 to 7 := 0; begin case be_8bit is -- ------- 0 bit -------------------------- -- when "00000001" | "00000011" | "00000111" | "00001111" | -- "00011111" | "00111111" | "01111111" | "11111111" => -- -- lvar_first_be_set := 0; ------- 1 bit -------------------------- when "00000010" | "00000110" | "00001110" | "00011110" | "00111110" | "01111110" | "11111110" => lvar_first_be_set := 1; ------- 2 bit -------------------------- when "00000100" | "00001100" | "00011100" | "00111100" | "01111100" | "11111100" => lvar_first_be_set := 2; ------- 3 bit -------------------------- when "00001000" | "00011000" | "00111000" | "01111000" | "11111000" => lvar_first_be_set := 3; ------- 4 bit -------------------------- when "00010000" | "00110000" | "01110000" | "11110000" => lvar_first_be_set := 4; ------- 5 bit -------------------------- when "00100000" | "01100000" | "11100000" => lvar_first_be_set := 5; ------- 6 bit -------------------------- when "01000000" | "11000000" => lvar_first_be_set := 6; ------- 7 bit -------------------------- when "10000000" => lvar_first_be_set := 7; ------- bit 0, or all zeros, or sparse strobes ------ When others => lvar_first_be_set := 0; end case; Return (lvar_first_be_set); end function funct_8bit_first_be_set; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_16bit_first_be_set -- -- Function Description: -- Implements an 16-bit lookup table for calculating the index -- of the first BE asserted within an 16-bit BE vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_16bit_first_be_set(be_16bit : std_logic_vector(15 downto 0)) return integer is Variable lvar_first_be_set : Integer range 0 to 15 := 0; begin case be_16bit is --------- 0 bit -------------------------- --when "0000000000000001" | "0000000000000011" | "0000000000000111" | "0000000000001111" | -- "0000000000011111" | "0000000000111111" | "0000000001111111" | "0000000011111111" | -- "0000000111111111" | "0000001111111111" | "0000011111111111" | "0000111111111111" | -- "0001111111111111" | "0011111111111111" | "0111111111111111" | "1111111111111111" => -- -- lvar_first_be_set := 0; ------- 1 bit -------------------------- when "0000000000000010" | "0000000000000110" | "0000000000001110" | "0000000000011110" | "0000000000111110" | "0000000001111110" | "0000000011111110" | "0000000111111110" | "0000001111111110" | "0000011111111110" | "0000111111111110" | "0001111111111110" | "0011111111111110" | "0111111111111110" | "1111111111111110" => lvar_first_be_set := 1; ------- 2 bit -------------------------- when "0000000000000100" | "0000000000001100" | "0000000000011100" | "0000000000111100" | "0000000001111100" | "0000000011111100" | "0000000111111100" | "0000001111111100" | "0000011111111100" | "0000111111111100" | "0001111111111100" | "0011111111111100" | "0111111111111100" | "1111111111111100" => lvar_first_be_set := 2; ------- 3 bit -------------------------- when "0000000000001000" | "0000000000011000" | "0000000000111000" | "0000000001111000" | "0000000011111000" | "0000000111111000" | "0000001111111000" | "0000011111111000" | "0000111111111000" | "0001111111111000" | "0011111111111000" | "0111111111111000" | "1111111111111000" => lvar_first_be_set := 3; ------- 4 bit -------------------------- when "0000000000010000" | "0000000000110000" | "0000000001110000" | "0000000011110000" | "0000000111110000" | "0000001111110000" | "0000011111110000" | "0000111111110000" | "0001111111110000" | "0011111111110000" | "0111111111110000" | "1111111111110000" => lvar_first_be_set := 4; ------- 5 bit -------------------------- when "0000000000100000" | "0000000001100000" | "0000000011100000" | "0000000111100000" | "0000001111100000" | "0000011111100000" | "0000111111100000" | "0001111111100000" | "0011111111100000" | "0111111111100000" | "1111111111100000" => lvar_first_be_set := 5; ------- 6 bit -------------------------- when "0000000001000000" | "0000000011000000" | "0000000111000000" | "0000001111000000" | "0000011111000000" | "0000111111000000" | "0001111111000000" | "0011111111000000" | "0111111111000000" | "1111111111000000" => lvar_first_be_set := 6; ------- 7 bit -------------------------- when "0000000010000000" | "0000000110000000" | "0000001110000000" | "0000011110000000" | "0000111110000000" | "0001111110000000" | "0011111110000000" | "0111111110000000" | "1111111110000000" => lvar_first_be_set := 7; ------- 8 bit -------------------------- when "0000000100000000" | "0000001100000000" | "0000011100000000" | "0000111100000000" | "0001111100000000" | "0011111100000000" | "0111111100000000" | "1111111100000000" => lvar_first_be_set := 8; ------- 9 bit -------------------------- when "0000001000000000" | "0000011000000000" | "0000111000000000" | "0001111000000000" | "0011111000000000" | "0111111000000000" | "1111111000000000" => lvar_first_be_set := 9; ------- 10 bit -------------------------- when "0000010000000000" | "0000110000000000" | "0001110000000000" | "0011110000000000" | "0111110000000000" | "1111110000000000" => lvar_first_be_set := 10; ------- 11 bit -------------------------- when "0000100000000000" | "0001100000000000" | "0011100000000000" | "0111100000000000" | "1111100000000000" => lvar_first_be_set := 11; ------- 12 bit -------------------------- when "0001000000000000" | "0011000000000000" | "0111000000000000" | "1111000000000000" => lvar_first_be_set := 12; ------- 13 bit -------------------------- when "0010000000000000" | "0110000000000000" | "1110000000000000" => lvar_first_be_set := 13; ------- 14 bit -------------------------- when "0100000000000000" | "1100000000000000" => lvar_first_be_set := 14; ------- 15 bit -------------------------- when "1000000000000000" => lvar_first_be_set := 15; ------- Bit 0, or all zeros, or sparse strobes ------ When others => lvar_first_be_set := 0; end case; Return (lvar_first_be_set); end function funct_16bit_first_be_set; -- Signals signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_first_offset_unsgnd : unsigned(C_OFFSET_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the input port value sig_strb_input <= tstrb_in; -- Assign the output port value first_offset <= sig_first_offset_unsgnd; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Implement the 4-bit strobe width case -- -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STROBE_WIDTH = 4) generate signal sig_first_offset : integer range 0 to 15 := 0; begin sig_first_offset <= funct_4bit_first_be_set(sig_strb_input); sig_first_offset_unsgnd <= TO_UNSIGNED(sig_first_offset, C_OFFSET_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Implement the 8-bit strobe width case -- -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STROBE_WIDTH = 8) generate signal sig_first_offset : integer range 0 to 15 := 0; begin sig_first_offset <= funct_8bit_first_be_set(sig_strb_input); sig_first_offset_unsgnd <= TO_UNSIGNED(sig_first_offset, C_OFFSET_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Implement the 16-bit strobe width case -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STROBE_WIDTH = 16) generate signal sig_first_offset : integer range 0 to 15 := 0; begin sig_first_offset <= funct_16bit_first_be_set(sig_strb_input); sig_first_offset_unsgnd <= TO_UNSIGNED(sig_first_offset, C_OFFSET_WIDTH); end generate GEN_16BIT_CASE; end implementation;
apache-2.0
ab6e9125beaccba1667bc611a10e9ef5
0.486019
4.981148
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/carry_compare_const.vhd
1
11,125
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PIvagUTTmjgmigA/aKOcjJUxdnLQs24O367A5oceoVgFau9jbAQKEvOUeGmuv7YVl4flCjVlL1yN d3dUTLHNBQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HQgCP9FO4PFdhWNdqf2s/Byc9lkmrFJEJBrtwxJDNEbgLJAEo9V81oCIxF5jlol0DgJSGcg5zdyP FlkHfS170QQSWpL52F79eJvarBg/vfLDM4nu9Qrn00DjeITvkkEmGyYCR3Z57llifyf3Q7f6kBHv nMtarevCmjQaL/WDYR0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q3NgWyI1FZxON7p4wCZdqd799YPjwsRIOhRD0FTNRLZOKTD9w+T4Mm38Mi2QFtfDEVyKdbn7eMvd Wh9x2AyTz67PgLG8yLpC0QbVcJcCKmnzNxkRQp3+RTJqc6krVf64vI85wtGwSKUpqaIBuaC3TyzH 2/FK/nQ9oolvhJxBkgtM4VBD6jIVNPuUiRXVY/JjhAHcHxXN+i0MKLzf73tIIhZbxiJHmInItBgx xrQkAkUEDsnkppDOE794bP1TDyDPOfWCu4rr70FxEZgNQXUl63q6V+UBhbOVfO+7Fg9NImDrHkVg Vrbkmemf8UN8l2k2xvkNnyve3/2fk34lYNDKCw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y4H5idZAymB+9OfsLk+UWkocH5jgjjhOIryRIFT8RmWGGWKH+sE3EQp2aMPIp5p/4MVUlKfke4Ht brK9RncPnVx/fcNMT3YC9yZMtCWQm0iNx6OkLW/vaJvB4Pv6oP1ygtQFXlh4ls3ZO248igpNASeJ eUPxj2QAVwJd0hLoANI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ko2fnR/i0UaPRxl+7JyxwQfP6iLMPhr0y2+uaVyMbo2mawwbObUHJ7XvTw/eCVcZjKkGtPtBWs25 bdLUovrqg1wwHnvl/xqagM0fyUNbKhn14vPjKF254AZP94omXRZGnX675woB2ddHUiQvskzu8tvH shiWY7+680nGc9t0gvaOkl3UZuZ4MwXbhAiY3woFvpV9ysoe+0fauwUmMi5f3hzBD8gvH/irrFsn KJ3nab8wkmi5Aey4oEUPB8BVKMDIcXB7IY578dVHhqqoXs6Uv/uIeyGrMRpiALtLeDOuC2OoWJUD OIOKzMx7KstSP31LPWCnIqIfUV73AX3ovCHDtw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6496) `protect data_block Jwr7HqLyOOHOuZWLnO6CiRWjDRm2BJ6E3mAeC+J4C+y88KGSI66oG4z+zLekWU2B34JBwaQYJSb3 Np1UfGHxL195sXn121CQ2iUtnV7JmnaVIUy+sbFJACJ6VOhodgukrmC7E6YjMpNXNmiWV52/n+iO Kgesyc8uGFy+FMq0jDMHToOYWuqjxj8NvON/Y12uK3keM5mFWYemzTKspqBxFS5xy/x9s5j01YRF 2d5yb3E6wQEU261MeFm2MjVg3r4c7oV0+MGd5cZtFLWX16gczD8IBwKzCvofFYoUCgOCTzklXwfX fzbSgTXLBujr3ZjLkcfYrAguo79+Sabn28TDG4LaSRoS2BI788ar5rkch5S8fAOwpl7WUXIcVtbe GX5B5qbTtP3+3K4+9txj8eTcjAcwRJax0UxF7yeN+hqbGWMaV/mB/P9OWTN/vUpmNxioafMO/Zzq WHsOr228q0ZCAQQ+zM47uiJc2ofkPaUHyX4c7z/v5tKzTaa36L+td1Dwo1up/iGzR2FZHjHcoDrA QIOOq2u51RtWAT++CCeY/PNvWe1Sm567BKxNtO8OjQ1t750KWNIpqMV8BjHTafwVd4wAGJSOvonZ 5QcmtnMtrO5DNFBr/bhj4Yab5USl4G04i0OnMRV0iNlanSWV6qhWBWCr7WLp3nuOeHev6R/zgj1S Qsqcow5sZddMmD3kyypCxIKaCiQYWP7m97TWmd1Bw5lIedOPkXNKhvqT31FwDlov5IFYRxlecWUi F/gn5Io5AOvVohk7yYv3Z90fsC1PqtF/kctxTBhxbvrRW8jslWqzHfTDDntMEoipC7cxxMGYRhpC 8bb8K17u+h5dUlrB5xSTkNUzqFBQnZU1LAtf16mx/CciiS/rwdoY64EX4/zbl9nqXI0FkKjbcitU 25L6J/v1TqN0dJnBpCluPLBpP3zHWfUG2oqM6aoPWPn6iYIMezLJk+6uyLypqvr4M9tOygXFLc+8 JQCIQsHBDc+9W1iaEEDr1oYtRpRJPv+TaPsgTwsQbdHD7bkqCJ7beAjGQtlAg4+1zsTGs9K7/JX0 6/Z96tWviEHj3PpnsG94ykSZUktbglh6K1OjL39I1giszuYuKIu+grcLS0wV/ps6g8Mfp778Wn2s dDKaQyi3S3HQ5EWCJM3AKgW5ZF8sTime4oe5uuadcfDk1gQgyvXuB/VoiTLvez8OaUzvXpMIbqOs WSq1u+sdsownpT6GlyLgLttAyjcAcjMkviJPR8q1z4X2EdDW2mVD8Enk5EDdIEoVpZy3GGGczioQ pgGsBLOCYXTOplo5GBQG6ZowDLe9Qe1y7c5CpyKEIrMKTV85ANSbhKKxW/YzAYkjjtits7Tte/qk pu0oSAuVeoEHyDwe7+SazVllIFHBKIok13AUOzlBTe4Yu57lR+Urmx7Si3FQBJEMddWYrhrAtlLx C+LlGR0z4zLzpRs+Xj024qgeleVIsuFMWSIEdq8ZCMxTVMCJ5zjBJJVsaptHc2y1zczw1Abzinl/ nIjGZX5X+GK5C6v2oGBea6YXDEleWIxSvNPMBdoZTYDIRYyWm2288dsxrnySG51cf4aDE899sHHt cFyjGQzOwVPBJqsOoCnahsW+oWf8iiPVh7uGOrFo4QAL9ioHQ0EjbMIhGP0q5RMc4cH3eiHYcQ99 YyLUlutvwVGXo38Gg5hNxByJn2blxCU4rAf1xV7mkO2jI/mP2Gb8bjp9hcgdPcBzZpm+Y+IclX3h GwWOBPgCmYRynmwwc9IPD6nHwmyaqBehp9SykRjBaJjqKehGuYZUJVcwJ2ZH4yD9w/ZeTXmOJICQ YbJRe9r3tA4eMpxh/zlZd7xNAU7TWh2ZmEW4unBXSTjbzq0AvIV7MBM54wVvJkArRn5DUSf9lIkO XBWmB30bAQqskcBOTdY2dcWFhB+/pCDI/QPAkh+i2xZxnhGhtbROS5sTHhMPXGfavNdggfhZsIBm cyBRXNLhuTgwPRDqm3y0LGXQwE2iI/nPqdCqQIvOs3hg6K+pIa2KYJcgeSOJ3i/vDFjqhJ9KZY1h oqmyl2MFI7G4Uhlv2avmBQ//3PvZZgd0s0+8wlJAIYTcu5NmJbUF01KfjWlFGrVJNUh49LcqiMu+ R7CsEcpsuvwazbtL4mEIVpnDUoqMI2Q5XsGoChMSMbHoKIvh5Uv3nUanab6KfFPMo2Jsbn3l+f8M mt4klli09huAZ1V/nO2pHC6C11apzCxhw2u739J8xN7Xt5J483hGGiy7dVmU+RzyYIxAAIkoofqk xPr5CB7xkBnwKjfL7uDk32kkk4bQ9+fJwfhSiLVrJpIDuT4YyJTvu7DwuCDdVlgzeqUdH/P7FZ1v 2pSyP19CZ8N4vhZeiK4e8WF6gdt/0PTIOSL2dMGwjuNTVSY4d5u2RkzqVCiH4lJwlvA1k0QhSK4N qgjqkazNapIIUerpbwKZaEUXOfRzK4UbBryjwWWmUjbhdL6e4xjA+cn6/UioACPzLXrQ2HEb5+F/ 9R72x4v+jVPQW0taLUTyQ1QAhYsRJZLrXFsnq9eYJu9Lz/b9myGAYAtya9wtbCSaj4Fab1cULLgh OmjQlu2uF/Hpxe2mUml8nxZC04aWhz73wCZEIuJhzQlegojVUVGzU5JUlLTi4qqdXQTJxFEE4yqs wBs8jGG1iqxBGn63vm0QIvMIhWDp0DLtzpScqHsTp8X+KCzK0B72czRXFvUkWn8opH1uWIX1Ehxs uWDbOekHRDgLX+gYhDyVdErBAy2zfQEETMSdKiIqK5OFOOxE3C/WfLpGH9bZD58brfyARSjHbleO Hh489GmC19mhM0wDzC2qAop16GoEhudXPMmA/+AEXAWcVu3NN7zYy0jsYEy/TgzspGYFrYi0lrRP ml2DBn1pKsMZhvQiZemrwUKD8GM/0tOXJXJSVnaSLgzVY7KqAP7M8fPn/AdI4/Wq7nSiCATL5bNR iVDWQZYfFCvXxToza3YZ4sb/QJouOQiTskb582UwVeDhrH6beqtr4HitVoqLs+5Xr+A+lILgjeyn xJbC5tTMpxrkRW0BYzV6veGr3O8eUa429kU62/JVn/qnosnOo/KN/8jRJmj/eF/gH9ncDRbtD8l6 ucZ1Pd6LA3azo5KNzUXq5cUEpgRoiVAlqOY5yMmJNHObxH3gTaU569GSLiLU2sSNjGmrg4xAZhIP AW6ZTqnyLxpDyMcZdCZLRILhki77bkIGRL4uFlO4JuCIGm9GYc1XrB5XSl/mgA8yt/92W49xCZKq JjFYbibVerWvEY/Ar0BBnfVqWXdxzg1z4m5a++DKaPaprWHhc4pxX7Ix3pprAyKQTi6KNd/sT6oL h16+VoFli1zlvMc9nk8Ag+EdOcjbfXGouRZQjRmdXiJOP5fq4yf7yKhlLyLA6Zhd37pgZLPf8dNC Qc06j7EF+5WZzNmFXavc+CShialjmCzidd9tuA7lOX/0nJ+Z5JhBHeSFw9QW65Jhst30uhRJ4Eaj dAIUsy4/10lzE2v/dDaWwWxtQ+MqhNsHgVTuglp2lvjLiDSki1U7JcEs68JB4q7foKdMhSA0sLkh R+OFR5AhNkGs8jQIIgfRpNJDvBUThO23sfPD6f0FBeyle+8VyTOgenumdrjtDk9B20dfBAKHrtfZ /N4tsaXq8dQ6IJI3JjDPQDF4zD0+fVvyUFVn+rqzQMVSqbydN8C/H9cezoZ6jVMqXh/k+T2UznDo vJaaVJBL1cQziBHiHWLjVtor5OT+iJH4CynxeLsmwqNadVa4K/ZrjUqFunByK2C1Ep1Y5ADlyM9n Xp0OCmwmvdwj3JEFxLiD3HQxp5hgNncmfdjEBj+nYtfQgOUhkCpWrDJotW0dm+bML9Zck2iLd5vA eBo1Z+BT2ToYvQLDQCW5T1znn84kM/4bhh9iES7hTQIuErWJEu4BhTBcdRt1zT2WETMTHbGr9nDy dGKUb377zMjEGpZbXy/uaKrD2rCpUs3iW8v5dJv251/uiKOqf/e/EX99kakaNx//ctUZ6xTpItNl MvCOMwXdwS6lFG1kXRvbve7fWB9EKCC3qjTvPxbO/A0e0ZVQoPyXTnoV1q9UjcM7Wz5ciBytSqKE ovYTi1gM4BLw0jMMWNQq7UtxPuS6Z3UUkbT2uEjwNtvoQZfHrzZwGmAFou//IJv4GPtFyCBB8Ugn BX2Nny69ftY9C+zXSejXGU4gBUuhoAVDpYNlTFZmmw320EgZDGTnm8W5uskooOPaA+FNQxakibu3 FUehPnKHAEKp/NanY9zJA86aNDM21VowtGOT7XpX2vH+TXSy7WoRkVsiaWrYrvIUQMpJUvEcYXEh kpEPFoGUsTbfQDVVb41RxiFHhsGOBMddriCetrdk1OIh3Cjh5Z59ojSsrm7EHxizBq9h3QDFKB7R Ipa3dT9RyTNRthufdB6gSGRl+JynQPJNt9BL+GhurAJ1cRTG6BSai1a2IqzOmTUW1sVW5KoLVu3i o+VNSSPuZLwwKOErxwIUnHE3vjTb03pIbyD1hxZLJCTY2HB/FBHuLuySeRWKse7X6RIZcn3illkX WMq7SnmIwNcnM9MqySdmGoNPniRXYaBT52TOHKKGAbGNTd6urVDWeTksGvPvkHuSLbLj5dbJYLWT 6oHf+/MAHvSVxpmoc1JsWVxNHF0Lc9gPlY4UbS7YIya6y34MrM4aIO8xI2PhWUSKjPYO+FcvYTls RMv+JOIWIxqJ8g1Wgyw6HCMrtYhbsdk8Z8p+aSmLxVLAmuxM6FWvy0sEU1Ics51dqIjxwFcWjew9 ViErxVcgR7h6srGkPLjvBEfET7FzoryLPhpsTIR+vMWSCxqM9xT/nk+Ck8xEkg+ahy2d8/vbcXJ8 8jeE1m7dZ21aQPXg78QfGxg+rh0AmBZl0RLUhMwxie6pB/HsaAPGqXt9Xcshlh7pR5st8I3FJqZP aHMmaSZMsjpeuAHYEHQZTpO+PMGsAaa8B397JKuQPx43kjNyvt+oQOq+V84Ojz4+wkJbwV4sD5Yw d+cQ1eOOEi+aqsAo4I2gVVtj6hN+hwjnuzUPq5R3vLjafu+3dpYt9CVKgm8Ri9f2yH0cK322bsa2 GUmJ0/ajQIqrfZ4yjRK7tejUX2HqioVfFT97WzKID0PCYneEgZF1DDvSetYTYz9WZ5yXY+cjyxA5 YV09cAAas7wDilAdZa3Kn0moBanKmNExBtSND6irsWn6exk0yJZ0pdvX+kokjw88fL19cN8ne7KC vO8Sh99yqxjcuVfAoK22u5r3LCuE603N3XIQT/JQXEMvJeFRFiddDscSVRmuPQtKVwhPh2hkAoVp 5Rbvvii9fB2w0hhtdH1dGCzSlhYEc5FjpcW7AhTc5/tY1AoXBhAj6s1ZGWVjujhDh32NtuwCgsbW m3gaRo61Oq4+Q5rHPdcKq/6mfupvEhAOiQiQa6hElg19evNqCZfTb542PX/XIeRec+Y2+JKgHN+r VD6ceCb2FKXrD2fSv+ldbelR8Tzj17qQcjrSIjULXTgceeejo7qV1+kTwyhow+OCYzzpIg3J46G1 xIQav0MJbc/TWGxPChi2+8AvqoqBY9RFIWf+s0HuBVgOd0C15iz6ou2zXraaGYgMiC4T08JAU+c6 UpLYVxLoRulWHpd9Zdgj7+xqSWVxrAE/IuIio0xTqRgMfaBQsdSP0r69cgrZPjNDvj0Oag/Ryjh7 VJcbTJLdI7aKAEWV7uRVMFlFRW5aKLI96BEVbS+w9NwiP0OeJfidXfwEmLKJ6gYdHTgrkEaCy5Fl ZxT/QgMcA6z1T5BkTsdv5WsFkzcxH80y4cdbi/nXKcrBZDVsQ42GNS+OtCMfAp9dFY2Bzsrc/5z7 GQ/q1YsSZx4/fiNX/T7zXd5JYHm+3dDdBvT/NYWgk5jx6QuZqNIMD5wF6fHbP0Wpl+1swBxhB292 ZodJDS0lf4zkA4GQVsvY13PwdvKXO6psjGaMByqeVx7ZuEWypIqX2uKaphioi7nWbNNhVvojQuQk au+KjA9YIqtgod+UDK4qIaPjzGczSOXuM0Kh99RInVE3Ekt2Yurxr8z/TWjtpySktxf586Q4dufM Tec/MqTYN2aL8j9OjSBCgJ4VypjiI/e4XOpLmqT1+hMCcfTnQyWdCcSk2KkQKkwDwddo0QVN+KHk hgTu8wDBiRdVXEUCSZdiJgBXdAkIFl86VOtefLSebSAPijSZCSr3mSfJpjkuVDVp7qNyWhbcvk10 QM4hDGOqn8fQN4/YmQgWrmmR/0fPPrS3u0t4yG6QyjYdpQU4DFfS0+KdkAngvq+XydnXKHP+llJh 2wPMm9TjeBiKAsjbNKkfLBstying636yty8M7mEEM9YXUWwl9V8JAcFN+h1WQpYRugd9jNvZUk9/ pDIeaAGjhne5PeSU6whs5wNfrntWM89zsWJqJFgsbnKBjawkWAJoMWBjIcGOxHscdOpunJ3wo2pz 9kmLZRBPWxrE6RPSfR9PnBHylWvWwAmS4ofN33+CO2h+HPnU1Gl8lUJ1GhdOrAk6NpvSltwRk5xs LQhjx/bmwVhDxmoPt2Zhg0Xnq0rLki+KQo+vspJyPR7gDiaVpDfw11BTinj0Lex/1AYlAiNtCZxu 22kGlA10sz6AkIJG6NC8wev7weYcZXltssGOuzXqz4/te4pAUDux8QBoHwtACSEJKHSrqs7kqero Pacq5iDnpZG8k0CejSFriFKm691QwMZcR5cuydlXPxryLWjfosl99JrcP0TEoIaS7e1IhI9QuY9T G6TrfLVj5cner+eWyCphw/hjI94RZNsIuuEZODp+MCReUQmNpv54hnZKjOkOdPoc86bk3YYYfqva t+6Av3MNvFECg+7z6lSj+Hx1P/DbhTgC1+pdLn7DYE+kzHN2CeSmxkjW+aNgWgc+oYHqTbC6WOd0 6nqzT5sYvMr3IguUruB3CVLQZ9itrElx6mL4kSOyaugghY8alK11PyrBFt1cgvaYqVNbEZG5zXHx sHdaVprztID6GkP++hwH5nsRWO4MX6r//BK3+s6z700AAdqFdrwnW57qA6l+D1HFJNAp5jKE1I39 6GpDZ/jhzZkwB//hAsKc8HVilhGiolcGh//3BDVhLuAuai0xW9EEjMZRveksGbHNvpffhKZJoWv8 Z2vHK97tkzIwxQskjrJRABGaCGn9w5oQzNPAYf61zLGYIfwezwK6QQuTyBbziS+vO3rdgWSKksdu rNNh1k8KJx0HKd2mOxcbtstIrI7i53ulr+Am4BFjLy3vyNxgo46KFE3O/2qbeKLWbfgTGsOyCIjx Sl8fe52AihdANS7PQPCZznHkgac4XTbxhBUuvu6xsdHP1FE0U6/zktQljuYVvor4PM4x7YWihiXo Y726PxrnGTnUt2DX3tMvTnbNA1r2khZTyXGEXeDVErps7TXCL56h52CFRr6hhjDT706PaQToYWkF K63gP2z4iZeBi9JTKOqd3YRxkJ84tbPBUA+lPk4aId0Khn5PsuTKwlB6pSEYebojL3CDPbLjT2w0 zsUrRC2mNQaWyHFMEND/WBtWkF9VE5zKIMXD8jQQH7z/gVpPwwwuciGc9aEkQXsyl7edbOu7iGd5 Qco5SVYXKfcj6Pk12MAOdC55LYTvdFmpIJs4KmZ3iGO7kRDEDOzd7iSbNrVZNnBxJ5wGMZE8znU3 pB1+oTnFh7bz14x1B8cMPJlTjQeCsVFig0yqI+Lh5Rx78ZIl52pA8ZNdQ52HhjHo+sV2JFE1LoqV mgKxiV+qq52ble5AxRdr3a2FJMI8jdHXp/QgpqFWxvwXvqEU4J0g9IVzeo0WFnLzIazkMXgPjdEY Z2torOkGenHuV4ByT8wM6UdSS/Dxp/UiZLR6NdR6FTHQ7KDjRloAD5ujO5jVfqMOAdZuv88KlMYC qhDvEOCbNJdIbuIRpZ8Dck3rsDogBHDDvhVE8cR7pFxNg2xi/31fq0smSSektXZnYNZcK9S8ctez xyNzNHLzVH20fpRflKR2Cpcs9HrvqgjB/3j1+HGSVG+x9SlNYhARcmep4LVyQOpUWWtE8UMkZ/dW akvElE87tjRYp/GcM5bCJwZSUY5UIMLd62BAaXWAAAWDRmC9qRikLYPM5dJOG8ebHGKdmTlr/qyO FeuSQsz2fTmTd2l/7tj/3LHFGnHIWIOu2jQqzfDl0mCUv8PBlNsS03BkiEv/lGbBxwC/kB3eweiB a3+NLYhnxpvMac7T2s5U3Z0ykLwy7NeYLAH/61LgLvwxCTM41xjhtCJAVKjrJ545c44/M9u3aX8n 8rRWJlbgtSziWCUpi+Bcw3iJ05+RwS522lyxS/S7Q12ifySsXY+uGtfDTbVL+90VjAc3+gFfXgrq U5LBHJj4O7InPslgjd23ZKkXKKyH2L4yYrQXpbztpAyNh13qQMR0vD2fQJJiaku7dQ1FT24RW/D8 Y2uCKr3HEgvicLUFZI5tasesHWO3ZVoLOwICtzsRXF1cGb3KxWiL2nBpAJ20m7qujJPXJlnP5+EP nGCItWD9/kvjAJfbpytvN7x5GkVA2uuBv/pWF/GV4C4Tj+XfegzR7oQhMaKKk9hS0I4XoZgH3m42 bxq01LbCpYGniQa562xev1VqnwN8VtjadYu5iHb2zbokdenLNVpExjF+mAyd5yVct3mET/xbrg== `protect end_protected
apache-2.0
c2a18c0f93a19c09abf41d1c8809a6c0
0.927101
1.918434
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/common/input_blk.vhd
5
28,006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j568ylTKCX1ijKCu3zCEYX4KzYIJPurwijBGL11yx0O4LKHLMP8dlqw1rKJAJyrIFXxSA8VDlDyI zGGu45lCWA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zsr0AQ+BVB+qiaA/LMGWEJP/NrZG3InNL+c379B92bObAE0efeTZpmUE1xBqjehQpvWUAwUx+nu1 ovOY8kEzNgIq2y7PBozDXLUFYCGPeG8YSvcca9nazpYZq3J1pYo73+j7dFLANXGQbyuVYrJ2fYen tlUyhv+6QbaysUJuVbI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q2+tD8NGxa+F0Kpjcc7LfAe6tSHp2t/mfv+sRfVCSbFXzphOA7xbOWMnCZ2v11rkLOTfvtsY9E5x egpSXJPwZm/DAmzKgDWCXDHkuVgfrpxeZMNdJ1lJ9SpDF+JD/u4nORV5Q5/DeeXs2+CQ5PqkdYUD eIjqeKg1UKhzovo4tymm7vrVQtfKqVoXYUxWEXB6QJLlvWA5gOpbJ72hUPw6a0NzFAS3PM9gJRWr +V/WEj/+m/HldnM/s5CLDxqiKGoTJeipBMDXuSfUTg9agdUBzwGsFCMZJSLJ4cYWQUG6tMY3AaLS MOniFSWAyMTYTif8NQsspDwq92mtLcETXdUz5A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SIoa1SLEDIJ50U+1JCg5zLLYmPSw4kw2HFkGIoi2lK+mwV4W3mmmZAX326KwZQjzb9VWnIVFdT1Y UN2hFjGyLs8z+rzG4Oy9dq7L+kkKeWNXb0jGjejfJbh8K9cxmEEPP8/IjSoJaNkIJlUxmJUnjyHE IKC2aqKScbgxjZHZt9w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gJv7V3tQbfvvgMOdcWebzitR5f3OA0wOotRLu972K8EfTJsXxH0DQMQ8HCrhd5UEee7Tvs6urOmX 4T1c3Y4NjnMrZItgHfB/3LHHU5Q6lGh2xEHpVDRliN5nKb7uvF+tFsRpVx/0WaKIcOh2TmdQivVq t7Ji9bC9MkBUU/jWx+WFIR5jbfz1A1FGH0+eF/NDwDLQv0VYxbu6W1vfB6JwqRtBcN5eqo0dIzII v5V9w+gKht8MzTOKz4lC6isojTNbPRTIZ0z3i4bXGr5CP+egRZFBb1Rbm/SC//k6cCxEnR69Yl/n FEdtLDULJEcs2RJeCMPyEljAhW84R0RsF922+Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18992) `protect data_block /LNCLDd+E99C0N+ZFjqerZrTN+mszLOGsn/YrrBpQr6iRSq+1TLQvIQ8I4MZW1kgjHbadFbYMUF2 JCnrQPJiRYvo8p8NXXPT4Vp1LuYBIFW4RIix1BE8qyHOpM2WFmpllO+gPiKBR44j9JrKIQtHpxfw fKyQgXME4A17iniX0DSV60of7y3KoBO9CpjKxQ/FskJ6889lnkqbFTZJJZ0byVKaCg3pHXwYGMXr 7ufZAektkunU/L4x6RxQe98FfLcrVyJ8aHJYGz0n9jA3WTwka3Qf0BrCmHG0XZlovnpFyRxcN/Nk 7/ZBcfM562p/YUVLMO9vShQKOqPFwtsMEHamLH0uAE9xdcDyn9WGkglFL1a1ZIxnH0gB+Zizn2p4 Y+AkijoA4Mdb2Hm9f7QNJ3BLoAbLeOBp+K8EANooolruIK6+guYufSdRa1hmPg25EGj0bt+SbO6T IJMEDd1DIMTZHWOecG81JeHlXreXW5ZNJOaVln3zd+iV9qYtrazR/SIQK5hkDtTx3WnqQBChRgxf IQKR8WtCBtRI0XxZmGfr9O3Ndh89SKf1JZ6S9iCjQpNJTYX/Wc1CYORLXghy18HBAFZWOfpukBVQ xZa8aCwo0NFOyDnuYfvjOv80T8jtPGbAeh3B5trBx7+5lCsGAH7UJaUpUg9PAns4/cjwwl3l97eV EnjtdfOaZmlEwx32+/DoDWePmva7cxjQfZfSgKayXyUgUdHVdg95QMTEYeTdX12+lm15V4felJdG LiLIYeb5CB70gSKEMqdzivjhJ5QTUY3FxvYFfLeNcyoFEFzr/MkG1Tj9M+CLXIEO0oWXy5SXppaB lhWFk9sG1Ov2h5ACwlq32ArorMOqggi89tPLpjWJ2CAmHe/pzcWlBLx64qa20PYLlob8b2yjA2R9 Q+wMD3T6E4Sp5x2FguHHMn+15r+CKzBe/3K+bFxV4jrWS1Cp2DCdhE7VmABhc89kHcLWOYzN+M+p CLmffa5VcMVRnLrlKxC67vEsH4frCs54o9iLXIiJoE9nX0wHRqUebdr9OllumaRKAPogVHmKa/om BJKHvqm3di/wLSSlVNPKXFYEQeZl6OB75KYVzgqDQb993MhtI3OETWXaliWirm6msTnir7AYKudR kiNXeVfgZ8dTm8272M03pxlQN0FJloCfMyTY7PPcMYMbRv5u6CohBMiyJG6ftzErf17ec9N5uR8I 4YUgAAVIMk5fusub4DQvvEtnTMwOpWZMlVsz5cH9yWrl8vClw+1+wfh++TD5mW5XzzAkZ+Efa9IY u+QGMB1uq4vakiCw40s9lvmBJ2zrgQUIZMit+2oVLRGVJbIDqOIwwgrZToSWeFe1w6ol6XN82Zcs Z7mdncv2Tsa6fziP3q4eLV0y0IaOOFnhCOTvGhmBGA0NPDXiyyZJtfp1Rnl6wTq2Eeow4Y97NMU5 suzYN9wYUN/Kk6Z8tkYEHfhzuGT7my1184/xGbgPP2YPAcGcQtfy0wmbnLhzNBVBI+f9CK3j0/X1 0+PpWGEDQ9K3PSm6OSweTdtnPJ3+iJEIrQ14uGFgMpKOHFfd60gn8zDVXhh1Kl7I5RMLbM71tOEr ZtTkkJVwv9lPGmqdCTDFtvgPn51N9MMjCWRN5ynm/gO327/Uy565n5UfyhAn2tPL7y86CKmlBhpu FScvlkfloqsNWwjPRa1ivK+MomRfoCgpbD46wwgFWOQ08rSH9qwjXYCHcx6k91SHgCUNVeaPlmA8 GeAVOuXT2pJbOgrEgtozlv6CfwjaZKI/AByWc6FENzHwn8EY+KPGW1GEwLcRhQdeB6j6zTAiAtXn 6MaXHHB3+FmNQHTp2kGIaZeJNQkhdZiF8UOKYo/ncAUcYCAiUWW78HZXc+sM7ko95WIcx9NGVmvG hnpe1Ou7H5Z26HOzB8GTHzz1L9NgWHv3AXeFWHq1plMuzFVfiBrM1ZfkbdfRXi5KZGWImSBCTF3x xVgqV12sHtm4I1YxZEecdyY4yQ3BteY7F0f0JNkVB2mAC3NewL4GB2ds3IDiJfj3/xHKJ0ACTpkM ybfnce/D0Sp+ZjranGJK3Tx3yqcsjOUnQUoRittSwlhC6KR6iAR0blwJyuOgHlfbpJrfFFLgboyA o7OGoeOst1bydW7SkKsNO9izpzN6YPotwSQjdYxPTPTbJ87c9AyR4S5y7MuzhtBiPyfDJ77N0Xp0 5DmW/MckLr2W9Raey3W425U4K1CY8ivBPLkyJi0Tl+R/3a+/Di2/kov+R7tsZbFv2tf0utcsdJFF Ku3jpWOJEMNCnEzkv01kjygnYUuC1nXk2VA+pb7pO4noR675CGgFnBkeBFPZli8qbDoioTgEBCSt GreFWtvkFrjGjgeFi4eIXvCtZejL7Zkhj39viGU9kaQugKSfJKlg4EsD5+GXhBqBIOsDynQWI+Ay uyle1SnU3VaCJce8ueai2QM5r5BYXPq1OEyR9wkIRVzrITfy868rXnTQd1fkjpsPD6q/XT1CSvI9 jAttxENK6UX27A4xzgr7bno7YomKHlRlcDBYxoBNvkcqZHSIofCg8o4P+8dbsHzK7oxI2BZVLeKH TKotd5CI0qsfBjmFqWpqrS6wCUriMweaOofPy5YBD//IN/eT5mNl9+skHVwraSVcjX2fxccxLa8G 57OaGYVK321L6LsrM8tSm00FHLUJdH87e4qfkugSQBgim2XwliiXTFro8x3a+cj5evSHbxL0foEV ogku8OXJRG7z4PfFu6RZf4NuNMs+b2puxEJUpur6AZ1AvCrdbS4WjBKlfcgaDEHpIHAeugIFGOuj DulnzYpBg2nka2hquBLHd+NBVbTLZIkgDbNxJPPQPAL4Q4c8du3bofqJCcY/5UIrG6XwWJgrOzYa XRQsQfaH10r++Zbhkq0kExPVm8YKHzQLirmJyFIq8mR2Mcp7ctckc6jnF1eMIA2u1ePNEUyOJ34U G5KIrlpcj5it2T1cxrnPYKrF537eYGJcwgBoPZVR7pFX0qSni2u9W0kxjsErqUMpepK/SWjLikh/ NVjFb6eU8rlYnOqlSb6yOkZzg1xHlPL2JlGbaXOcWNmNpQFeAyyjzTThB6+fCsz5e3y+FKtvVDHT ybODXplSOhIofGf1ZGdNhPPbgUM8iGum+2AbgxZ/TDj8RuPUOKAWp2O5L7cdozqOX0jXvxYpuWNr utt+9C3SZyFNzbtrOl5bZTuO5J/yIFPYjeNkNfYVb1RadB0wKfRiRX6mqGSwXC4h2uNHOi4jStWf FToq3381RtVpIoZ5Ex8YU4/u/6yVSKGrueoKCQBtMFBCsdQ0NxsZ+16aYjpKjkIkld+GgsRUtJRu cDg2QI0jayFbMVYxzXFO47/sZrC/3dKQ63EJ3yPFDGnJF5CEF1041794U+1ioki2mqjHLBr7v+0c iYjjoOC/XsaPX6v+6/x+A8kkN6gc9TQI4LLz2f9oP3B+82TrmfSwqMc4dT2EbUWBT1unUI9O32WN RM5YjNuawt9z5d2l7MpJqEF6d6JUF7d3rw8Yol8YyN833MNaHVztCjq4fdBqA1VDJjZsLFWCCOmr A+ST3fjn9djOVSBTNGMMAjRthKw1errBiAbyHalFkJXaLGb2SxkRiO4boM2AiYIgcaCxttmp+0fU jxsXTn7NP6uNE8GwT786ikYAZbqYMzdnRnrr6oM8WZ62tQQ/lo7yrMtGw9rLHrkiRoRIR+/F8fFr DBauCYPf8PCXGOZKuvR7dPHvEAdOEsYaPgz0wMB1ypEGgCq4sm3b9PTsGtO2yFTqWaNHSf+kdiGn M6ApLg/xO4svgCwF+rFAD9JMLIugpkpY5dqvCfv7eHkky4k5LspCYq6FdwcQkM4SCx3ZJfejZAHc 8optjKeYyE467t8dX6MxCX30iOLe4BJRKEsAsbIgS0RLjTFEwowahLKHpsSOpANY3BNizKDDHFUH otjnb8A7LjErX5ECBrDtYfwQ0CKYiHY1V62a5iP+brnNy7pH0LnIZ10kDbD1VthbE3LBZXYM25+E ulYqZ7b1Ou/wnpyiyIxitWu8qNFEmfk+7HO5hSCStexNvv/65qFomybelCVis27hikfToy0zqSM+ BpIJGf1gVk/PIiPTvKedXpn6xZUc9ZqsJoORxX9r25DKG+addeXf7pm8RLxZApq7+dCeUT3dgRbK Uz3/9eXgY2qOqlhOagRfsOQMkbF/etntpONeCVCLeVYJgFXMPZgCDxtle4OBU5SM/9AUc+dTQNys 3Wfjiqd7rSApiMVlYDjVqMRG3fdoVG4YwpOD5DvtW/pwQOVRESwUjIVwj4Lw6hpqh3dwnwCOfDbH ezlLJVspXPA3X1E8F7zuBA6fpCizKwTXN8dlfPFTIvkhArG2GC5e284lUHVXvhQ7tLoGFCQKEA0Z 3ig+zX+6uYjMmtL/7j29PKUSSGQ5KU/lamyNpJzia2ShzkNpe05LoruCnePOlwYDsrF4nZYsly59 W7KP5YH/wmR2TVwuHQQqMZfml8nKJFbc4MHU0Yl8IYztFLRxvidPOdvvVA8F3hdHmuoBsxPJoTFj inSAUuC50xGgPvW3CSOfv7leRoFEylIsHYlxawqNEcRxpz4QwJ2Kvdg+SWPmbkIHyrvlFEriha6i /QaoNaUDsds/tHu9RZwM3KAAd/EoIr4o65QaV/0/gha0BL7ToIJjfgjZlh46BZPFZjJ7pKanrI7s uUUmoMNQD7ZtajeW37C8qMBaswRRyflIdg6TrOvtNuwnQGFpLk49VkmeSR2zUr9jcCCFbaGqe02C YT0pwWxFezXeHhPzIjDc9dZQmNQMWUEgsyw1aN+emnyRHiFOz4FHiRVBoekFoklvMh4492avhmm/ aY+gNA7nBBqDgiriI/wPUJeo5Xi13skqO9hVmPfp7eSipIxtefMbSicg7C1Ink6y8A37f21JEdxg 3lq+Kfx+tiNadQoIgfnDVRVhWilAo5FBtvlAkUP6l5WXs6LQVZbpBlNszgHmV+8vbAOlhgv40p0r /NEKBMcoNHDachPWWC0syzLh3GzPCceNjsy1EHFd5bm7sVwUDjJOKNrIKD/NjF0skrUHfY1bTyoy bWTCiZpTIQhzbAVrU2hNOuTKP/+toKtmoiOaHqHUIgJHZaFk3s4voW19LSUzbZeQQgFvchnTj81z 9WG2h8QTJlyDekl4eNiTD7DRTbuEataCOsVW73TtbK6lWbj/GtYSeb/Rv1d4aasK0GtwC+ImRNZt j6HC5gZ3V9Dya4hvpunlS5y/m7N5RdWaEpb/a8PBWhHEvVlCSNYHOK/VEXl8+31DjO63syqVfJl9 mhse7+NDrgn+zG80cxAbziR+AXXjKEg/G1J5Fu6pheQH/NtBNVRdTqXwPLQqZmt87pBLFtn9zfQO JiMybM10PisT5nf6OhTD91tuALR91m5JbWzXAzDH/B43lSR5Tl9CoLApp6WHuzZyUasyr7Ux/jDu ykbeaYvXYW9OPlx0XRSiRj9vPsd/v2pBTEezb9IM4mn+JhQDc5xFDpjQwbuHWxS2RbG9qpsswYbE Bn+YVbffZ8OiXIVEMtBRANfvLYDbBsEqGt3aETYARBcTLfAQRoi+YSoUYAxP0ZDTHUqd1YtUcZlX /wBdn2E1Q8WsU7FiXfkyuYK/kb2nNniWT/7FPiqhEEM2GhwVy9GpvfU0JXtaSO/q98X2DgB0OH1B PeqD76oj+o4LBvgzVDcOdSExYyzQydUPHxVPg6CXW4chrAxKgVozFilsdaH0TUDx9C9ZwFUApeox tN9u+T2/O+WfCYQvf75WsQ9PbigFnEcFzSUG85Dvxu3inNh5uPDxQEpwbTbT+cFTpdBRfpXoU4g6 9/maMo5UAwV8PkRge6UP/8i92+fYaXMYaTX7e1xmmzaKE/qSLbXaKydrpUPXOvIxpbKax3X5kTo0 1YoAAxJgPK5950FCNn0hT4hzRK5ODe1lGmPAtwA7fwcI8DxNZ/qS+IHGcCrdNXtH6dD8nVRdKFEb EXtNVBYmJRI4SN4RQ15ZEqUDdra3QtpSFqTNtvlXLNS0We6QP8ZIvnpJEABe5v5Zrk21D3sm39zU zBDu1dQbKSWuTOkGJSDP0MExq3/Bk28LSd4eV2Zp5+I9MI1IVDXq3rVgN70HMyUS1oY31h6x8F4e GZgELoyJzJCTHe9PFCye2v3x2XUXeBpC2odZ9BI1aLqiyOl4izR1+xZjcXt52dAYX+UH4M74ppYS rFC0pEK2rSGoO6v3BSC+8C1oLONvMLJHqYI9zBjOLUJuK5Mcek1MHcVJMDB0pyyfmNJ1eGMNiFLD JcLA3z3P85CsdOUSlcUfUrHConuc0OyNIytH/yXpJQYXip9aXSv1sxybXAK4GjoSEc+WeVIuwiFt 7pvzSOQNpFzfhHBOjHA6XhRNILAVFj/F1+hpibVPD/LhcRTUAEIxTF2JHPyf2A7MPZcTaxWDay57 JKL70xGmy6KSRki0pOq5nwrYRnT3uyig76s+nNU9AlV/1UZzY9eFYw10Md89WWaaYPB4GVM9ur0K GyhYC6amYB52v18TNRPXGIseUCu4ipekMcrBJXcNbz+m+QhDyTns7yGPn8RBHOFpgQvoRzwTsl0w oVMW1ADcNC/pE9NMwQW+i3mvaTgrKd2au5tZD9eAFKdcCoD+ATWOcMxKGDCHMkxfXlMV0EXvStY3 7JlACcYquax0vZTqBndyXMQ/ItrAmMpnUcH4v6xPt+giCbvx8VdMDtum8JtX9B+0TU6RvKwOxFwM I7w43vFC0tmr66mfksoSEOS+YINatrRf5oUAxF7ikyNDFZIUSUt0U3+tzbgHVQF/De1o7S/kN5PN HvKqrzCoTrVERbUUDO0ugCeW7QGgl6o15UNhpIaBbYtq6EREYShk+XiCTW6gvMbB0vX88p+4RwlB IznTW4MTN9OAmGkTBeMaws/ngnwiqISm2ubfslMYDteWLYr6HEBilZJmamT7oPh4qcb4irxxjVEJ pjIWjBcNVF0tRoc2/oYMIUMqOmhiMa9TgzLt1rCmB2KDD86xnbZPLikcq6uzlUH/1p47Hbog5KbV Vd2HYCT3Y6keWvbGSwcDrDNk0s1rKSYS4L7Ikaq+r3d1zhaw36I8bhKnSawz6eqVakyYebQTTHu8 ptYplLfxRjyz6ao1bN0qUmRUWEDmElpVMTSzJft0V3+2y4gtXPwvGocB0616ol06fabUIvVHHaQj cRy8f6vgPlidgncB4m3pSqHEH4ZMsAIrbBsxq6ndqgGtqxnxosekyJHQqpLx+pdrWKDUkKMj/VO3 ljj+l6f6DxqBwhLHXdnS/SESrIHyZZLI3d4b+Snmn3fwca0KM1/BLF532mYmHCOHo+sjG4cJVmS2 jhpIDHW70Rvx1HIGH17WNJB/iPX2l981vbTAoU+JJ0zXjngBu9x3sUlrCf/qD6YlYXMoouEuz9Od rYAp2aFlIudAVwQnhfKPHZSs7mi4RBawuDdPNhFLv5kIl+bSoBvRRy614BHvcUxBHBDY/UZjjAJW UrHP4of+WLIMknJ5L8wT/Ny53169SthQKVZ45cUA6BziPJUfDW+iyeeLDe2MCvHTK93X7t2MuWv7 5o+tAD02Rx0gwqzJMNGrHQtzXfVRNwzspbjPQdBX5OHcu/ugc2NGJFwC4fea4fqd8tGDFwH/6DBY 27KN7kOEASPi6+tWtx/ZkGD/ue/f9h7sX4zk3y/dkaESUmY2qLaSU34HpI7vsM0h8DRPpZLSCSTz aocJ1YGzMRP+ArPbIgWknW06D/ZIJbOF8+N4JUY9OULIJz3SAxu6hqOJFH8YR1kgTTQ72PlfFJvl cV3Mp/Xs2jotbtEdmwbPHgONYNJFX7I9dIqYASieBhRORdmTWkV5Kyvu8Qc7ViVAcRMGfostq5m7 eHZ9nI/JcAzL8Pcy1XSTBjqOVHaZNp8AnGOIBg0HumvriGO/HfSbL4qoEkSvIOy/xalrA17Wei4u 2QwwxTrMfa3OMyGfa28bX5wSxgTJT3wRau3tOah+bIFZ84WMD3863a29VfhltbCdk0zXSd02oM9n 1bqt++SIgqFIJRQ7IMoeQK8RG32b+AooPPq5396As3dcTrnLE3T7faUFdsETY7A0AXnK9SdeaSZQ wGwj70xKUvLpfWG0DKVIDKE8LDB5oFQ9ZytZ8ANMfq+I4hMbeDr5cG4AotqiKsVIRlLsrpSCBlDO RNLBjYiopZhQ8sC9HNUM3BiF61soa2vZPeYxv4qfLUORUUfQs5mYa6P09mfRXQzlS0uZ824/bYw4 pAgrhnVI/kmZtcsmOKPJ8bFArsfvTWDvgeks/1O/EqGWgxaHS/llh47YlI8hNTo0a5OG9li+WVZf pUOvEOPRxrW2FdeG16+SIPfh5tBjcQFzA6ht0cuor7g9wOX/HqFiyJzx7TOotoGqTlaZn+9kQAvv yvrHUrVUQ/suB/aCT1CsWaRJGMGNASA0oVY/vlc/240o9r7Wx9tRlJhiVyFsH03Fsc4uMOoq3ecc Zzsio0iHH7iv2+F4luX9+rwO7G5sx8S9Q9wjaxBsRh0GEyUtMZhjG/Gj4CWzfzQDgdkg3sDMH6Ta 8ik7+pBwctIwSUWHpuw8MNRBRr0Jqv6ZgVGo3Xqs2orFXcmF7WPeo+XqlwwIjBlFEJhFgRlyUEQw +wyYNCJAGx2CEsvEgSgZK3j+2zB4vm+TLxbtciHToQvjIgh/j7/YwdtzPUfBNCyzJLiI667SHzb6 Xu1FnJvUZkxh/UXc7GDa2ivLino/hdRl/DQewUQAo6awWVNS4eFICSZXHsGSBl4chpv6RRNMFORL M+1/IrZHobg1XhvxgeD3Z02Z88QyTaLx1MtKu+JM0SwTvAgHZm0KLw3qxAvE0HcZdO72VzvhPP89 rjXZCjeg5BIe39d/BWuG6zL1rimaeyKLVS+7d0HqGwvwkS+1eV4DsSsZzjpmeiViV/FeJ2K0liuC fcdCRQXwGdOFnkRvUUIG+Rtr+3/jtC1FdBVsZi5W7iIQYIHQO+bIzHcH53bvLGMykHLvsRckKjeZ S4Yb+/kqydvqBy09VPa1N1IdjC7UjavR77feVfkbdzxfQm98xQajVuMvdIaxQOBxAzQl6RtH0jYr IDqHgCXAUaMf9b/Pv/BSfhf51HdGhynASvwYbhLz2VTOywLac4bCDgzavoPwTrvxM/QanSwgEkP4 F6EL5mR7IrVMvgRKHgZTJUKHku8U/OvoXYIlc71RT9bTWJniZbK2vv9QciDIzGep806ueThvZWPF h/BOt7ozjZrq50gK5b54Uj3BDbbqSFOs0/uNUh7CQahsAgQvoRQyQ70Ipip0xzne7Ws9oYXaEEBM AYV2qU5yPzphTTjOkNKTWB+9Qcmrw64yKYW+BoLL7nvJs8ptYUf4y6WJK8ZTvcIMfjX55+OFhIuH j+RTpU2rsKEFI4CruvtFkBN6PymLtEFb9zJhx8/w9fNGXfrzu6QVuAEaMEnG0Ilz87cojo/D9qnf yd0szHqx5Q7Yl/f3k+0vd07Vpq924wiAkM5U0NP+UQ3Xcud1lEZI5mVht0zT8NmQIsJ1qYha/iBj vrM9+HuL/P7bti5DhEQkYD/8zJ+7UwjbaaMBlkJXhtyy0lrTESvqOyyFw5x3NVrsFZRZoBvWNYSq COsojsQKhMXeJxFQ0UQTwL1JxCiQQkDFzCvTUj6YRqho/AWHMxqWRZNSNwqj3/S3voRFvOgaR0o5 a4KD43g1K3299LT99Pq9kccrLr4uln3kcddzwsb0oscWQ7KaUlHFZFG7FLqge1F4bG5+na/mprPU A6NaAu1ppOvChZ9duuu7fmO+0h9WduumFcB296iTAh+KmzH3cKW+0YiFfcGGGIvb+ic5wY7Psgrk WxRYeqTGYjjorNDWhBVvwTkbe28XEsRW0qbImxTJuwQVfcHYbAOp5+dUf0juanDVaLlG2bZwluos pZVl0M61M/NIHwwbyJv5fsUuV7GKkUplBMnwQ7OiVyA4UJOpc8ElxvjPe9rrG+Dq461mfoE33aDQ iskmtRDhfTOcLqkd8KyV0rFWCap8aM2tEWeiJOymRKoS2D8EtdIcTrgLQYeqraXBQfc04ssYnem2 bWqBwtWGw6cP/dVON64isCncmYOagmHzGNFWfqTUJ8qlz0S+m0OneEYF/M2GlErVXaLXEWzDDY6i gNB/lk5jfhVnxfMrUfuTTe+9pM/j+f6xJMNWgDdTIlMxSPaO0+oGxcj978PJYqyvS8IfBixRx6y0 mjZbxO3V1+wEZuq0rjW3QmmLSB/4iQeIfOK9eKHsBDsUD+vgfuMC+b9unQWRYZ4NxY1NRkH3h08+ H0ScNg+js5xPQTGz37SYQMnP5J4O8BKb/zxZGAjw1mZ53G3gNRjuUJw9wyspoGL6xKTJXIkFsLxw dz6+AUlv6uI+W+eQiMD1jdQlYbZE0pdImsjON/qd6txCeAJtYzdvR2klVDNt3xbPYKvZ4oVhCiyf 3/n3Tjd9tNEZaY79ShhXsHfwAe+LGUSRvV7+45MMT+RusBrszGVY9wn+MdAHKQTT9vYZT2I9LKfe nlO3wTMJ2baCUJQ5kn/XyTN+bE6DUjq8q7gkw3xz/fjU8g/V+u+7OmTj8byb0uly8WQbW+QUb0ph 8puO+RTt3He+FRFon7ZKsKyNWEXZGITUZ5MSXwIStFMuMl6M0FpzSDszKbwA3gVA+RMKm00RfF66 N6jKAlrUnkraJtqrqr71SZSe63Mqp1qrgo4SRFQi4HnXZBs98Uugcw54oWsdwBq7mwCrPmmmRjdP lPJGgdO+1ArVvKMRXXVM1rKxSY1d7L8iOWtGZ6XTeuw3WTAQtlwuauRl4LfMABuzqGae4VBe6C1z Bz+NblfNbXIXgQLdnuWTBa4u3DTBbD50LAgdTykvyXSvfz3GJa4zTUNgUQ6LHTKbRnnyjr17JpIn z6OxVR5klOwiBeJ/xnnEYAhgrcJx8q26FrEsjpFMO6CctHary+OLCVhjlJ1B34z4SdnbBHNsHIoK 4v4FUWXhcKUxUpaRcpiF6EA1CVvL7cGCIVz2ZsAqKSjQtR+iPXOB+kTDGb9llOg6vNgC4uvuwrrk ou5WkyjS4H2Cu4+llZocRrPjqP1inx0Ifw8riCSeMsrAU8/sETXN9/SBav74wblpdrQsHAacF8Wd JrzPrpWPXrHDSwHzNKLvWmCQUAOqENI13nth6yWkHWlSLmBeAn4EA5z+aOu69YOoRXCq356yRYDj reiIVDeKOcWlHgDUkV1qWrwMfR4575YgskQgPhbOrP1rGTxB+cLb5zYl2WvMlAyTNU91chOv7lfO GE/FajfQyWjaQ5DiNEBeELIDzeevC1KRma+tgNWDRsJnOKEq3R5wkDJy3Cv8CMZ3dgxckVK18Z9o uPmXHxVaDUDZv7xBnlpSs18fGvvPLrUY401DfV7wiMm/GdKXTa6matCDmOJw5NhhlpQqDVEaprMg qH5kbYEX6qMGAUW4a8HgNBAeL5ZX9hEY9hi4g85vYy6qpg8kE/KCLirAyFPydAav8e0sFZWuNBkj jm8Z3Ez1GWKnxGdl6do5t/uOzBIKO3lJgnvhjJr6If5JvMMl5H/mskFl8NPH007w+pvqxvUflQK+ P4pCKMjO/9EmmQ4hoXVaffGZkEjcyPipINY+glkrYtXasrddJ27KToBi/4BRP6KcF6G9lensi28q RYhErcbFOJGIaK+bnr5VeT51Bm5Xy13X5rF222V+oL3BRp6r0aQU//sMLo//rWZj0ELYo3RKx3p/ OxPvBjuPYVTWwZWKxMBFtR8ELDBTXSRIE0wSz0IWSXe2LhTDl5MMjESV5zI0gA9MwkbCliC2lkzV ff9bsEXVED1zJpcD2lPos2At9cgiLyrfrYsXhYBpZkcKDTjvjBHE61r1u8mxW2qoxu0SuaSR5dX5 1yzM4OvYj73+OAybdK/UoZGwXw0PPkofwJVrV/U4ds12ZdnIUMbJkKcm7HC4PCpOySNVVe931feF CWQ38GHsXPWM28vSqA+brOPPzdXiwrCZWVS710Z00JNGbVJ+9xWXIw5XjIi5VY2efaAFa4RbpIQe Zm/v4RsRC+pGYNYPGu/Hm4UruGuC4mEQW5qN8H0PwYiNhABKfATxgze6cJG3RqM2dtRR87yWpWWv lZK1g524B5SIvBUvkWc5IrXJ3s+MM1FWx9uSwiFU7YPkgYyLFYK8b+hTDs2MWjrg59EsHzcahAGt QQ+1ZtoU35GpFw0YuOfLYRE3D4pWZ1RfXxzYGiJwFh7+jf5kIqEedSMp/hjtS1xo9arFsC9BTSsW UEHcXsj8s7ZI2wUE09wZYtulTcLkSPDvHB+55r9L+eElontAOj2FXI2AWUxTnyPh5LBVcsiajAx5 OW+Sp1xi2baCSBrmMG2C+3FubI/NNT1zZESAN9MPvXyGJAPhR4G2j+TSTq8mRFbHcWelEj6k8nzk ywvXInmos2SEfPlje/5xSAMtYQuAGVkf3ZTfxsnnB6V2K1froYjS6ov4OPtEIBombxlM3PnCEFKj mCugJYHU26fR3DQFnc2hRleB1KqH1UIkXlcseLWEu3uIf+kX3EhpOSk6PMzZX7tVF2/210BlbGJp 5I3J2vGMuiydxqOlvXSzSE9QN1rx79HMaWduuOXdQHOf9dPunCUoEezfbbf+nQyBU/T1wT6IaEwB mu2tQcLvySNITtWt+modRcXPzT1NVCidYp3/sy7g2GT2FIiACuYW43MyBpxZMzYAoSyJTbJm2TDC BXsfc9xR35nJZIIeGUkeV1APPi9lVDkAXJQGOAhqxRTJyw+IXy86F3xVwu4RtzRUeq3R65ewfoT4 izK+guOQd3bHUCf0Y4bGEEkie6lM22mKZpFijVpZ3YVzv0cWvqAH3YzZhGVxmFxlstkwLHyuewo+ AFEMs9ZuYId3Q5JGmH6I/7P4N+rjrEN7s4jQnUEVgDbCJTUO48BohaAkhOPRSgoSqIK6kK7EdHSX wS8zyRU4QghX60Na3khkMcJDiQPcKbNAlKfP9z05OM7/+y97qUV4Krsbg2Y2MtyL/M9tX0QrbTLT WDw4HO8j3KvCcMgjzPPmPd5h8aCkmXKjA9ORvJ+cUA6jlPsSn+HbfqRKFY5KCzaZDCCI6K+lGY/Y 8Hh3WiWt6WmILE8t6AM+wMZpgolN0ixh0OzJYV+fVtjXEtRk+7lRvQ8WdgYG123q20QDWlPkcSFg +Tx2LuQVFaFd11CbPWkxEJQ1skVNB2JsnnHEpwJAxDEzc1hPGaFpATGEa0dak/hES1Z9pEqaLmtc +kwJ1L9t7Fq3YtATAk5XpDuZ0puxdqTz/j3MNnvVEhynQBOpusYnWkOEddiBR1l9s/GyPblgW/mm KzeNz1QjwM6VRdOgdw3s0Sl0zcP+Lby++vkNqJOc9e2Oe3MdPR1IMo2RCMiSjslCw/H5TH770RzT 6f1xgpOn9Cg7FymT4ZUwRMGf25wh7e1wvFMtol6j2lsqyumkfrBwQoiUf1HOpy6IulufXlngNOjw aJV0brbZ4cww48cNlab4HF0YFJqiomzN+dWODryOhuqdDo7Mi9LFH1twxwONMr0ctEUZvWg/ef1C fYX+PxCgLqaup3NULsbwQCNsVCUqfGPSSm2DuU8E9sQhDuIKeistU+dP7nxUI/s0aGr+2rnbY5lw 7iJ79ZLv4poUIz1CnOMBHKwNLzpyAIsNmUqLimWVFpeLzRO6f/2cM6MMq1jTuTzFSIpix0GFnOgw coY8amAfSw1XgyRZISB9lSAwH+SJdXkq7YMi3tgrLRt8Ml+ExL67Yt84lwaBszQgRzZUGF+RGXFO P3HO4bmZgli26GsLbvuc44UObEjHX3PtYwu6YR0yZ8cC5+Yb0rsEln4kOdZVNA/SfTMttjMosd4q ZVkUlO8kRqi+bkMAHOHhm5NgDVZHGnHNpk/9iRx1wHRGM2R+dWUIpGKxTa2eyTTZ1riduNibYNUj gTSktKpJFwjSboVSZKiiAajD1EU2F7tLWpcEWOYlsup2nyCnPtV2x286oVgjTmsewZ53xWooTXi/ 69jW0fF+Es6KEZBKB4hN18Rzp14xb3FWjL0ZbZWVMHNG8Umpi9EdVNn6S9KiWLfpwgyoTHCnIDOE ud+HQpQUVDwoG7nSipfu4+pekRpsnwo6LNtFR6TKnrH6ITla1tcmNGiyNCajWBXk/R4iEraTCiX1 NI4OhaFzt9YDWMRAoaimhmj9SKgkIa6lkVCS2ggxULCEvi02SQI/n6TmIKHYz3phdbP04qrd772c eI6TP17ZJPV4rOGrzGy1lApfm63LKcOHwycatxnmQ1J+rd2U9TmvnA94jdNMC1cBNAJrcWsNm6n7 AuaeflZ0YP3pg2+8jRIlhHpTgBKNCccSPJIO949by9Do/qvuS/LJnXgRP/8i6b5wXl9UOZmHUbo7 uWWoIQbuvs6a05rN+LW9OFJoFwtI6JZzKU2D3nrjJh5GqAiWbLdvg/WDCmb9C9VaD60z1zHOLrLx +B+zRnH6jouaaXwcMalS6WRTl1xmNFZ8Zy7/8t3cJ+MHU37LNFybHvb3QX+bbkBR12+ncVu4Hc8d RBVXmL6ca/GN0gwWNgNDmMPkb4H3t800nDdRWscaDbPkQ8vVVYO8Nb6VhYPMUOz1ygc7xTA8zsXA MVP3kQk4WCtK5FehbUWpljPaaA44Vpq8X6w73MgtMHVdwf7GcV7eSSK4ZzuhgvDRvvn0pJ/1cXII fUcIXDkXJanTfP5S3MrqszQdh4R+xw0yAT87UX4+NFrBqqz6IgjXQezs9hQDyAdObH2Ol2ID1cUN zo9PE1Lnvyq2nkQwxe/cN0zVHXxGtVcavzn8k/SVjleDN6XRI10Svh/HBQM+gWrdQZKrTwKem/zm 5UnMuxZ2HgLzIVfFMVb/LNNsyUn8S/UrysZwIS84WFQ1nHWT5HKyMpR16PpHAAwpG0mt6nHbwLvt TcsqEHe2gO6hglr5W9WnZFcR94HBvRUVRDzEytHbBJ6VuvoHxRVrCs9qYHGlF1hyOoxMUYU1jm/X E+ylC7jfzf6Ys4yP6eO5LTQbBAWUZakk2RiDkLS2hN8ud99tt27Q2YiW8CytxAMXVzK5Z+T3Rw1O RtYhq2tobX0m6C93N4eO1I84VfuVfQy9+TtUbgUODJ3YGmtwzfIEoNuEABc19UJHoOCIyNnC+yys olGuI9XiCcgShvvQGszjkMXn5Iwk+2CbB0cC7iWGRd29fgX8ENAIrp9A0YyM7qh8+DXt//CZytc0 IUHiTZYnq6BiA3V0Lny9IvrMLRKO76oeO9l0nM3Ab9Qj9CRjE4st2FgRL4FnAmDJ4uJjZ46t7d/D 0AktFt5MWmfFg0ee6zGfnLY/J4mfqjVWPpbQ7qpCAzyDloKai3A5utsv9Tuc7y7xkOzny6nLrk3F 4jDdclXHZn473IehHtV6q+1FA07SySwO80GfmdTcOGBZi5DB0jDQW/I166pVVlAr3oIRI9hXIhOg mxozx/gAXmX7rLvtLNubFtWeWxTjrUXnCbD6E+GmdqFzCmiqbxXfo8qb+ikJrZDWiOz7ygiED/i6 dviGIRaODrPZIFHmS/kfH5d8sZApv7hX0nbC/taGk55+FCZncde1izTIPtnxc46uSsZb06F8NVRj 09/ma8BaLwvYMNBIMsnuqhJ75Pja/jPeHwyOkV85yVpnBjOHr8lBNy2RncH2xOIp+VB9ey7SYeFs HPHRsgbxH5WpJ3ZeLc9p443Mme/K38iIu2hfubG7pAh2ljEVAvoS1k3JyyhwvvZfDXiO/Qxc4a/W diyxQ5sI1DQt3wlGerbIyYHQijf/bRZYBl2RQQh8ABQNtVlvYQ3pdFtIYptWxtnAOGneIYCDXo9b Jhk65NAZGIXP/UT+UVHVQs0FfeHmzrf+IkRH/06Wvc3BNDfy5OunFqoGh2BSCfHxjqCak78eOn5A R7iMHs4vtLEC2esMA7XatYKBaWjcVbmpUk90Kab3pZFhYdFjzhznM6cr77BvwbT2THfKdHWTDWX7 XZjxuQNyZxZC5bmeHgJp1XZS05J0w8P0UD4D7x6KF9Yb+t10kLM2OxJOYm49ab0tHUNzu7GnGQMa UXZkc3JAO9CrUyo0oX0mB3kdk2dJ2txF0zd6+XBgaooXKQR00BHamdNruucplQsL8PAsZUB2Ches yTjg2/2BP92B3WSD/v/Qg9D4HWDV76LsCuqXJJ4rXR0x1Fp5KcFEBozUrpBl/ZAEhgVRVLLWHbBw Q0kIeNh017k0+eoEDMM6fFKdMemutdRorN99i5uf5VggvRJZZOYIYhWApWTn9+qi2OrMY9X2YT4K f5c7a8+y5j2jl9qA65qqUUT/XcAGmY7ajPDA9sRbYxZClWEXsvUamU7CslTe8iYuaCo+4y8DM19P VUZeQxC8w6Csl/dq3Hwm0lWhPKqi+CR1uvl6GmB5lZO7HGdma44TRqyRj6PTHEHg85GUcU5A/gsj +1CKi+TFXqItFp4RVuG4+i8o7pRXf/Twbsxho7kPpZTVNiFOVaR6FxNW7/NmMBZN3VwVZNpaiISk jJoWkn7XjAj2l7fDKy1X2iUyigY4XdG6Nj/JOtIC/LRCZ8kTC9hjarPYXkAcPpCbiqkMn0pb5S6x YjJxA62xdV8LD+/NwYP2xht1kcE70rL0tw//lg0IFKnHbTTWFTDHVzHDQnTUEvWS9lg6XC1YTDd4 /aLwXtVX4beiRkqwFAknd56aua8OfKw4fAEeqxsxwF6aW0XezzgNRBxKVAa4QSKvOc2bsZgX3gG4 OdKxTcGnXq3A2Z0iVphb6CNr7aK8PL73oaMxGumecpQO/+uqCdIGq3uTHsqywD3BSI6h/GLPl8P1 P+pBuydt24Mwl7WOA9ZJreL14WSKNraXDy2G2s8I+A1V2zh7cIq24RMMAJfCSh6YzZrj3mT0HJwL 4te08PwNXz5Oalluhq2uutsGNP9Q6N0qm+D9aH+W4ejGqx0c821RiLCvr9KxAoT/quguPa7Qi+PS dQQPIfnoCY0oMxg06wG6sh95UQ8Xjm/viiVSGd7ihvieCtjiHVhK+oFYzWXBYGN8cZ/XAEXb+2b2 gtp6LorZ1aHJWIJYE55f+45BQMkokRmi75ldRukfUymCd9l9RuJbFd1Zl7Pp3dCYS533uKTOSRKO 31eUiPq7h2raAzzEikbb2ECOJirhEvLTW5RwifAbPrRc9GXIGWmvMV19gzhWYqDHSPTBky0hiWEn dZtrg+6SNcrXThD5/c4BhVjZwyvLgahIt2aKDFaJdrGbipUw948Cvuy7mutZy2MUdmN/Cmh8MGTa x9ekLKSeP3JwWpb5BOaSWjs2/nzdra2LnZhINQzO427+a4Mv0rvON/XYYxQWi7u4eyH3MM7s8IV6 974XVcPgDinpSORHBOCH06TpGQB6Zp76Lg308jmSe3lYP+uITwMkwU1jRIHsg9S3HXOe1N84mewC JmrlFe006Bx5EFc3K9+g7AufQZAA5RubyI+WxhNtsQZYqCAOFneHrjbUhtoYNRXmSjw37RWVj1q9 VjNyiDSH7oFCVborHnUkYQ1cQZg8KmGo2KVCe3nznCf/VqimYxzP2ANZpk2KueUdV8MaqPlE8iOv iAd2M4tsqvGN/+KU7Jni6joN8mbyp3wGtATJaDLPfFhBjZq0HsI6rWxsSCmcTMfeex4e8eNTVbx+ WA6QFUnotTcsvf2YogPjHUa1bibj7m3pex5D6EkIT75/fpyjdfiyHi3SvviwIXWe2AmPbCDoo5HP aWpHZCxUNZDAAqsZ47X279KJHGR1Z6ekMf0mu8BVUVT0464fxgHHvEw652OlGsBqX8Zl+4cWWZmg gVnrRXDG07sorezDtmOBi04CwHvVzE6KfeO0xVvhDBA4BkOkFJPDzZ++GJ8UY/JaMj0gGBkyeP2v M7mREGJoWYuzySa/uSD00edME/7XrLPdFRI1ida1UqxfZXVK27Phe8UwdeKMDkNaXa+HD4FCSbgw QumFU8EDhNivI5bLE2TtBsEAy/loR4T6dqOgV8eadDaoPjG/kf6AquwmNPrui3IYiUCIZkH+YKST V33uyUAPyY9WeMNqEsgPr6OG8itrSFWmBI0mOCh/KZrfszjsOUEYawGKDyQiWbuj4JYYTw58cFj1 1qT8sNz4cJpxjuydmAmDjWs4Nt7pfIVTyGvzuvI1sv+9Hm4F8uD/4LJm4mUOA+DkQyhqaavHXL/f tJTXXm0gVXVgdsF3UdxjtOc81/C2MfkK8hcom5K2A3M6yv+N6SJ7/U9/W36l8BUjXImEcqJPKpPn kchf29PTy/a5DCQtlqqfaZ7vt2LQ5dYZFxBsTM8KiIeltTgWK9I0U82C0iTFrfD1/H+mLcM48WYD hIEwS0wwQ6bFohfLeaICTQWAEpVEX6/ZALlGRYp4unz/jQWZwWaBoyzGSTlQtt8vV3BikVrCY0cX QaDco8uN2pyn8tM+2q29/ize8+fZGfKlfniWuymyOXuaVV9vqCTtLluUaZfs2bO56uf3LO8RqUle 3LWs4tF4cakO6OqquAgJIW/YSekUr083Izof4te4JPVvhmZhfIsUf6g3CqZR8SuZoDub2NkxLNMS R9db+wtEfcrPpiTuJ0vzitos6lk3Yj1I/psplhuYCL4b6D6G665rhuoj38UMR1ck7rx7LCzLTMz6 /AZ1TmMlfO2s6uSSUIsZ1s2cIhhcAu1KlYjA9Z60BdjIqCtNJQQzue/MboOu60rHtdSHvjFUP4pn rfU0+zKXDybTyHg8jzFIz5Zro2MlfMnCGHR85+0j6+tD4udNGP+ATlQWYvh+GM8wf5uBEy98SpWZ 59PPyvy5aPFCHZU+8oW5u73PEwt5RGqhWcQg8aQb8TruAbWQV5+GE0G6GDOTvpfLbMeK55QkhBvb x74ToK1XUXW5SVyBri1uJUZ8lxfJbF9UXP6Y8kTn0fem3rKRQFuXJN6c9SSIv7442dixW5OvjfKQ twXRBFphRDJ17mM7ow3uMWK9L8XO4ygnZ8mWK3yEDbQ7BaG5LQQ7XzB11gZeK8KUO5+rzDYb6BUu 8QXqfjurZUOtta29lMcniWDc0EGMbHTGRcwldNrun78NRRy0U6+ZQ1Px1ZWQ6v+R/KsC1dui1Arq IHwNw1SQRMcfp7zyNMIdWn9cKXYhwNba70O19YTWF2Moe9H15pbs5ZVV2XjXXF05z/tx5X6dHhhV 5Q9MsQvEZ/kfe1ZqnhSf7lOI1GG5rgRP4oi8kL68J4vaEzgtamjbPioVaWQ0SmZTpGZQHE6rV8w2 BuKPggU14ZhObdOYwWU063hqsnT+G83ikCjwxL98oFgi9/41VTGO/j9Seuj9uMoEYVsehXMm02i4 GIb19nFQJ3nGglcZp84P2dti8oqOSFH0YkHs2Q/tAWYHZGl48EqR9pJ9X1mP8wIxvyd7BW3VeMES eQc42v7px1vgg+6ssBmmf071wAn+/U9Swd25bKB/hff/COjWmc6oQ9s9XK1XxEO3QjIXSQft5erZ kYIxJ/GYAv4oiFPcxa4dT3N7dnKmjreHKhQ7WjYQ9NT8GDCBwnekRIF20hoPM6IT10wct16AO0o+ MK9OI4HxMYo7ARuMFkhL1bl4SbJw1Sj3fdUfFr0RxFm/faej9jxF/TdQzOOiGlLV/B4kY27t60oB 8DPjuNfif6Nstkvtu8/QYUyrtwQw6Procr6lpXJxwZOdFZYmtukp03qymjA8zfrj7wadYyZgnGK9 RSeyDdn0oZv1567nnNOVsVYgQ3wFmrrJ2YO6xggCpXdXP/y7OA2zl3FmJFth7+gjCV2FlSVOba0e Jtvi+EiRwhxnxpxShWvHE36bfVFNuWRSf5XegUieRT4o6wIpdInJ/25vio9IQcSJqojnv96DmfFa KngAsTufyT9SgD0wzOtMrAQmTA08XX/+vJApr1PuF+EQ0m7u5XWKHdBgoYts1L5yI16Cwh82HuF3 KATrjcAcC9AKxl/JtwSNdakiLAoGA1vV2SoXBwGVc5DWtpw9Adzvpn/wLjLU0fHq9Fttt+jgSwrr /U7hZjFv19axybAi0EvcAknyATo9mmi1M8Y4X3X43QPJ5k/miTs3WTW8BUTY+k+5zjiQTz5eOh2t RRKg+tnmEeU/ioHvJwkYU5ghUMafx6yxFIE0sSto/aHtU04IQs3kUITECKf2LuhIJRpazQtdHQLX swMUaVE5ekJT2lNk2i1AsNkBGiDJiXMLWAGm3TRDhr1AMnVunOyDukP7EySkqOi1TW3zrNkGB2bK UcaElEhCXoqXsWCJcht01m/IoZahKE/5GhBj9maXLERXT7vUUldSk0EWv9JZsQHH6jK+RgSDWs0b 7iD+RRAnUhftJQAum2Wj9uc/D0kGk2o0wVWh2m4Ro+89DzMeXygbnnppf3U/aPLWooJrsi7Jew2m RiShEVIpnVTOfjTnxscbgO4QktcfTH7OruwzdP4vv1lZXc8D9Quntdky3uv2jilR52Crw30HFBHF +O7QRbXETKIAm+ZQ31cDepYYHmsMyATaXdm2FI/MQlKmDGb6z5Lzl97elRX8NdSpBv7BJ9gwp4bV dRvju9nC0UgFmyak6IJ97ENpWMRiZ1EHJluwB76alUGt88UKOMFdNmYFlyrL90xZYQAY5I2dj3Um tkq4isQKQxCcROQdkHDUMZ3BQ5lAufxyVksRDEiNmM+SEvOTngBS9vMG+Tu+3qzrzDC8e75OY47j kP3BFoxpz81HQsmNZuOHgwwfyoIGyDqX2esjHmD2sSZVkJZXm4RIfq/RV3ZwL6FP5TjFa7SAfl/b TJakNLnPvPleFg3r0Z6PkxKL2xsqw/FO6bk14iBxLghZn4Pwe06O8sK5ed9t8YylGKzWUTABRLBZ exMiKOehV/bilDicooRmewJaIg08x3KwKYAwsS7o+6ha3DjW73epuXjyRiZaGjFUVHs389pXfWWt 2UDYXROHWzHgLVTVaWxxGHyYcnjh7DLWwFn8HPn9TuwZUntEH0KKyE1hqdpL2GZZkVbKH+mYKB4U g5dJzYmFdBB6dH1O/DPHeX7/yt1tqecN2oOuk9fYKcLoAZMV8VUtz3IseKfjaLvL9yD3swe2N7XI ezhSbKZ+8Q2PXz9gGbdlvzzxfg+iS7reIgGilHuemPFtBaYx5Z2XmHlxHZ7qzMtVe1HeB8WxN3C4 +lo5ClFcEUb9KjBWbOEXVatFw6fJwqL2gmEDF0IGobN3KnH+1XJR7utK5Adh6JIEDTMzkTskBNOD riCtIXFiF6lxfOiriPNpEQuL1+SOFUAi0/fA2yj3BeKju7KDQTbuq2RXSK+Vot+b4K5qThWoXOpw Jid7zB/YMh5jmJbb5zQBrE1q9T5yV05IJ61OVQiekslHbQfvQTleMlbi9wLE9SlMug5WcXDbnpTS QAqKyyGJF6fqcR09etZSuqoe/Mdhukmb/Ky7xIq6tXRI2Gb9Y2NK7QRZPwZjjr0TS9DuDM+11+re TDYxhW/xBxAltIiEocRxBkEdPCrtuC8p9bNuUMlTSuGL5BeCWcuNbC58WzRvCf/APfd1s4iVJniY 2spU/4LctxMWks14K6Go22b387D3qiUwvNrw0oekyt+jaJXwe3auxBOCbXKSAc07syyzpqipvKYt 88IUL0CPuTPHBoEuD9dq2tWXaEA9V/zNLg7gwsSmk8QKZ184p5hHX+9EyJ8K864P3DwO4AeHQYsi OjDXPeK49+FDC0HAZ6qZufsgzyE0bsSJ2PVRVdP/ULCIraWVAZoenWRD0OsD2HDA0Dal2Vz+wdht /Ewy1ggJnpwHwNU13scqlhVNT5yJuDQ4N8YMP7wC/S/4KxjcWPpzKPArh+BPBDA7Fk5BRu2hREmi Mq9d5m1n8A3hDZgWogDNkuvXNaVf5EW/jGj8oiC7I+d/ZFL+5TBZcCd4PB6sK7Ijd7fOfw8Bufu+ 3oDrsul5+WtcvBxFDw6jDIYBEhdgts+PerEHlt1bx53o6+BxP1LVpGL0mwCwiPpnIoRcT9GRhPF5 rOf9K1WZKbZfjLWbntO4IbwkxTQzZOn7+AYpQjQltfjwjRNzJY4VEEW3ktk+JMpfShCu+QOq3R4X nV5zQS0elTvDbzeRLPrme5ju0HJELdeVljV0VZl99LHcwZGJwaG8urpCrIn4i66bjgec8hqO/Ts8 zoqQJcl2VuWMHYw28CwoIgl4Wuk9aVBi5tgv+9A1HZRhD9nE4QDnNJw4VirwHbEdHgM3J6ULix35 n77XuDbPI5jqUIubDiMuj9ewSvIAI2MTxeUYjXjs4jMg/raNSMWkK+WcrhOorGY+2Z8KhbvoBxKY dSuL8PKLhFmfBU6vPhp6szeqyr0wljeKSAAbuES0wevca2NjitgEqmCUBhBDw+JYecWybkK73cls rscWO5WqXPLA50AEAN4USTi3g6CnIgLJuKyWXgZ9QlkXZ22ym8MiWg/C7Gix/qWuMxZ+RZpOJiHt Ie6VKsihiWuGCF6CX+H/+6R5IvyXoaJzlLkX0vvYPMFifgo8DMQET+NQHGQfsw85cpFj1qW8M6cP 0bkW+cq2CCvpmpaJBBKU3JP5F8n5559dCDgN5/LA1kGuLhK5usiDVFVs+ou0YQJg5S86JoT2kqIw BWHihiMgjAIo5S8KufXh0G/qLJrBuTr4pJOMXAiyyHSUG0s5kkeFBVTX8sHpTw/l12r6W+HIhjHR kUwT7PZrHZkub3wooLk83urz6NpjpcqhbP5WyLl7CNhRA3m4dj7ffyDSsXk4HMPIwpHiGhIvrUVF KiSG4zQWaUa84EaXnRkyAtaRhqrtVRdDFho9IhVAln73qiTU++BTVMzjvBMFbPAeVFEgUo/3T5D+ 3jZybHHYjNqadFauIG4tJYl39QYrO3EpDCv8jZGpFqYIjxH5pBxAoXFiKQMQ/a8DayPt+wN34VD9 +jqt2Dy6qIF0Glgdp5CwlLkSmZL/y1xeNFnjCGbVyFs2PeYwH4hJ1hHOFBkYLfw0B3IuIImx3aVZ UM06qWhVJoL6hdk2sHU+iduFgEUS/VpU2RoMRKLL1/kCsqFqiDKJlPouHKN9VrMVmM5pzTvkhlVA 6/isOq1HaI25+t/yEjXzulPeFrvjLJ7KctMDar7ZHMfRjzszpCcps5GW5mV5jpGyRDGOInEhVv9y fMEYqyhZ13C913LaxHQhxnDSLKEZzYpyT5A0Hy69AL8UheYfAE6k5xmcIIB6VsqQ+Ad1CK9KPZEq QJN0OlBuF2i6SJmb+eSll/VPPkOAoTjPxbIGt/yED6nEQnnjPac4ruGYTPXdxFFqo8C9uo9sLTjA 5Yitw6dKdBOPGzBRp+wS1zcA6A9lqAnpcUm3K4yXHMPRLrLsnTDTq8ZbtG9DUlJwYNSAwc3u04xX 5BnP6vXbkECOgWEb6UzuAEgVRUd5MPyEIvOlOSvVsLMgBt7g7cLxFexI2KPSNznf0jFLhrk9AfNx f61LnIg19At5lWK+w/YRmSNc2SsIhq1mzXLSxb+MLeUUw6zZew5Dj0DiPjeoWB8NvZY1xA0+LoW1 0NWEe7s3ZiAtXmy2wVKYqUWtPfGhG4gAgY/jy/MZwyGvfmlbecAwkfKjGg9r99TGVcpyYgrPWFZd 2RODmvHmHBlzZd4Hu0y3FEtydcyOkfQu4fLDqzd/v/UEPgnP2p6ThaC8qypaj0QolqkSjPBYoWwK Ucg7K+h/4qot1RzuzyGYWdWRv3oJhukckfLFBWacRQnqZxwMfg3SDP9GILa5UgeOWdjXWnaYMxc1 Qa7QwFQxSUm4q+2go971kcHQTi1R41NLCKnwk8SeqzTgLIZHSff1NecmQMK03Bm+mPvUXormH4Qx CtYYfBBlvvkDdaKefGamOC9KrhdBUiLzE7TWGrs0NKEY3t2BHFU1bHsRq/e+gBrEw4ZK/GSDeY7B zVGQhdhsw6N3DzZ7VfPl0mO2d43yQLyFYYAe4RpUjhcG0Ipd8EHfXyGkmiG+KYPcSh05IPXcM27j GcI1Ek+WI2raUnj0N+PqywELoLr9L9NgJLFEYh6FSTAW1wIUTWKUD+/iP9kL69uBgHRFeYjI9nta 9WBQb6Ia5I3hg9KSMQJdEOJIuWpRK4Na4Zzy6H1rtBaRUnfCXA3WCOguoEmNE7SJKqXkj5Bt2Paw jLQ2I2z8iGQmXCwCIkV1BlLHvfdvCoG5o/k04gNuhXAh97qr9kZEiOLMJP9J4lUUBvm4W1i5Skda VhBaWW2xL7T8uz5gCmc1Z6X21Ri8WlF3or+bL7aofKmjPP9UBIThrPhgYEIp8JLsAecQEhDJ9WrI xQEeOVHh4us9rdqqOqneVyaMtD1+klIMfXyZgfJpFxSjhv+AJ7FRNrjepkFbqlLU/wvoSzn2rqtZ 4xbPbYCvOoybdeMXPopfZJ4Eu0yJnku+op73Ra7hJbonov5jPRqRsYdhRxSiis6EYr7ZpKhZsl/n 2UROdsFXqNiEj9hnlHLWgjg8HHKP3UCOe2yiEHpVBG7QdqczaFRIeZND6GpB9oDSznRGT0peSju2 8YvVMcMzKSUlH6577WdBgFWxopcGTTQBNBwb/G1c3+Z6Nh/vMTAtW54mcCrU7BbmBQCsduC4886i U8EZtabVpNHcfWsBQ/xX50uRbvBSsXSWDtzPHJ1TynJOBqS+Add4j6vj4pHOHZUYnRXTKN+zflB5 poA0VHDFTYJ5nPZFXZ1XNvKB8DivYOqpy2NqS4SStCo2VPkYIfx3rgbzwYzYnKVtBDdk+Is20qgh Rn1/hEupNjiChnv/tn9oCYqyu41g0435BHNnQCqCx9VLGLSRN9SMMIUIJepGy7y8LWK8TppWa6/w /PRU8/lhwuHXwdKKupQibJuQjsRTBOq4JknA93BwRfpR1NDh16qICrae8n4j+srSSco8ViPeiNiH FRtf8K2nQocksiTbcqHWPqjFyRk0CtAJRUDT+YtXvR1DWvF5+bPA3j3UMl2vB8zA6PK4+Axh7lRP oQGQ2VUpo6R1j+Nc66JSl56l1eBNKZ7xl0m6C0ZRiTnBHbBDQXc5KRt8OiCrf6+Txu5m718mA9yw YC8UAKyiV+dLAY5znp4wfxH82Z50jy4QkEzaemzQZitYQz1A5uWzSm4/+xKKxga40Ned/bd65+pl 1idLeUW2V1srkIhC3n7Lq9gQvMb9gjdYQ7bHZZCSYM5yRgD2VFEERjsRetY8baxP13OXTAIWTWDq uTy3qGs6fuPq1FefUbDfnpnCvIUYW5gwvm5CGS/VRM5MXJL/QxZKaAJt58WdEgho37WONYw3OLzv 0v35Mi63cw9iL4A= `protect end_protected
apache-2.0
efcedbdf0b7c87bb52aa6b85e9b47253
0.944833
1.844563
false
false
false
false
KANGKANGABC/ArmRobot
pl_console.vhd
1
6,892
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity pl_console is port( RESET : In std_logic; user_clk : In std_logic; user_wren : In std_logic; user_rden : In std_logic; UART_CTL : Out std_logic; UART_BUSY : In std_logic; UART_DATA : Out std_logic_vector(7 DOWNTO 0); MOTOR_1 : Out std_logic_vector(15 DOWNTO 0); MOTOR_2 : Out std_logic_vector(15 DOWNTO 0); MOTOR_3 : Out std_logic_vector(15 DOWNTO 0); MOTOR_4 : Out std_logic_vector(15 DOWNTO 0); MOTOR_5 : Out std_logic_vector(15 DOWNTO 0); MOTOR_6 : Out std_logic_vector(15 DOWNTO 0); user_wstrb : In std_logic_vector(3 DOWNTO 0); user_wr_data : In std_logic_vector(31 DOWNTO 0); user_addr : In std_logic_vector(31 DOWNTO 0); user_rd_data : Out std_logic_vector(31 DOWNTO 0); GPIO_LED : OUT std_logic_vector(3 DOWNTO 0) ); end entity; architecture beh of pl_console is type demo_mem is array(0 TO 31) of std_logic_vector(7 DOWNTO 0); signal lite_addr : integer range 0 to 31; signal motor1 : std_logic_vector(15 DOWNTO 0); signal motor2 : std_logic_vector(15 DOWNTO 0); signal motor3 : std_logic_vector(15 DOWNTO 0); signal motor4 : std_logic_vector(15 DOWNTO 0); signal motor5 : std_logic_vector(15 DOWNTO 0); signal motor6 : std_logic_vector(15 DOWNTO 0); signal litearray0 : demo_mem; signal litearray1 : demo_mem; signal litearray2 : demo_mem; signal litearray3 : demo_mem; begin lite_addr <= conv_integer(user_addr(6 DOWNTO 2)); process(RESET,user_clk,UART_BUSY) type StateType is(S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11,S12,S13,S14,S15,S16); variable state : StateType := S0; begin if RESET = '0' then state:= S0; UART_CTL <= '0'; elsif rising_edge(user_clk) then case state is when S0 => UART_CTL <= '0'; UART_DATA <= x"00"; state := S1; when S1 => UART_DATA <= motor1(15 DOWNTO 8); state := S2; when S2 => UART_CTL <= '1'; state := S3; when S3 => UART_CTL <= '1'; state := S4; when S4 => if UART_BUSY = '1' then UART_CTL <= '0'; state := S5; end if; when S5 => UART_DATA <= motor1(7 DOWNTO 0); state := S6; when S6 => UART_CTL <= '1'; state := S7; when S7 => UART_CTL <= '1'; state := S8; when S8=> if UART_BUSY = '1' then UART_CTL <= '0'; state := S9; end if; when S9 => UART_DATA <= motor6(15 DOWNTO 8); state := S10; when S10 => UART_CTL <= '1'; state := S11; when S11 => UART_CTL <= '1'; state := S12; when S12 => if UART_BUSY = '1' then UART_CTL <= '0'; state := S13; end if; when S13 => UART_DATA <= motor6(7 DOWNTO 0); state := S14; when S14 => UART_CTL <= '1'; state := S15; when S15 => UART_CTL <= '1'; state := S16; when S16 => if UART_BUSY = '1' then UART_CTL <= '0'; state := S0; end if; when others => state := S0; end case; end if; end process; process (user_clk) variable sys_status : std_logic_vector(31 DOWNTO 0); begin if (user_clk'event and user_clk = '1') then if (user_wstrb(0) = '1') then litearray0(lite_addr) <= user_wr_data(7 DOWNTO 0); end if; if (user_wstrb(1) = '1') then litearray1(lite_addr) <= user_wr_data(15 DOWNTO 8); end if; if (user_wstrb(2) = '1') then litearray2(lite_addr) <= user_wr_data(23 DOWNTO 16); end if; if (user_wstrb(3) = '1') then litearray3(lite_addr) <= user_wr_data(31 DOWNTO 24); end if; if (user_rden = '1') then user_rd_data <= litearray3(lite_addr) & litearray2(lite_addr) & litearray1(lite_addr) & litearray0(lite_addr); end if; if (lite_addr = 1) then GPIO_LED <= user_wr_data(31 DOWNTO 28); motor1 <= litearray3(lite_addr) & litearray2(lite_addr); end if; if (lite_addr = 2) then motor2 <= litearray3(lite_addr) & litearray2(lite_addr); end if; if (lite_addr = 3) then motor3 <= litearray3(lite_addr) & litearray2(lite_addr); end if; if (lite_addr = 4) then motor4 <= litearray3(lite_addr) & litearray2(lite_addr); end if; if (lite_addr = 5) then motor5 <= litearray3(lite_addr) & litearray2(lite_addr); end if; if (lite_addr = 6) then motor6 <= litearray3(lite_addr) & litearray2(lite_addr); end if; MOTOR_1 <= motor1; MOTOR_2 <= motor2; MOTOR_3 <= motor3; MOTOR_4 <= motor4; MOTOR_5 <= motor5; MOTOR_6 <= motor6; -- GPIO_LED <= "0101"; -- if (user_clk'event and user_clk = '1') then -- if (user_wren = '1') then ---- litearray(lite_addr) <= user_wr_data; -- sys_status := user_wr_data; -- end if; -- if (user_rden = '1') then ---- user_rd_data <= litearray(lite_addr); -- user_rd_data <= sys_status; -- end if; -- end if; end if; end process; end architecture;
gpl-3.0
489ffc3cb7f8298d8284cca99860cff2
0.420052
4.07088
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/proc_common_pkg.vhd
15
18,735
------------------------------------------------------------------------------- -- $Id: proc_common_pkg.vhd,v 1.1.4.46 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Processor Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: proc_common_pkg.vhd -- Version: v1.21b -- Description: This file contains the constants and functions used in the -- processor common library components. -- ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 09/12/01 -- Created from opb_arb_pkg.vhd -- -- ALS 09/21/01 -- ^^^^^^ -- Added pwr function. Replaced log2 function with one that works for XST. -- ~~~~~~ -- -- ALS 12/07/01 -- ^^^^^^ -- Added Addr_bits function. -- ~~~~~~ -- ALS 01/31/02 -- ^^^^^^ -- Added max2 function. -- ~~~~~~ -- FLO 02/22/02 -- ^^^^^^ -- Extended input argument range of log2 function to 2^30. Also, added -- a check that the argument does not exceed this value; a failure -- assertion violation is generated if it does not. -- ~~~~~~ -- FLO 08/31/06 -- ^^^^^^ -- Removed type TARGET_FAMILY_TYPE and functions Get_Reg_File_Area and -- Get_RLOC_Name. These objects are not used. Further, the functions -- produced misleading warnings (CR419886, CR419898). -- ~~~~~~ -- FLO 05/25/07 -- ^^^^^^ -- -Reimplemented function pad_power2 to correct error when the input -- argument is 1. (fixes CR 303469) -- -Added function clog2(x), which returns the integer ceiling of the -- base 2 logarithm of x. This function can be used in place of log2 -- when wishing to avoid the XST warning, "VHDL Assertion Statement -- with non constant condition is ignored". -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- -- DET 5/8/2009 v4_0 for EDK L.SP2 -- ~~~~~~ -- - Per CR520627 -- - Added synthesis translate_off/on constructs to the log2 function -- around the assertion statement. This removes a repetative XST Warning -- in SRP files about a non-constant assertion check. -- ^^^^^^ -- FL0 20/27/2010 -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package proc_common_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; -- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63); ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer; function min2 (num1, num2 : integer) return integer; function Addr_Bits(x,y : std_logic_vector) return integer; function clog2(x : positive) return natural; function pad_power2 ( in_num : integer ) return integer; function pad_4 ( in_num : integer ) return integer; function log2(x : natural) return integer; function pwr(x: integer; y: integer) return integer; function String_To_Int(S : string) return integer; function itoa (int : integer) return string; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- the RESET_ACTIVE constant should denote the logic level of an active reset constant RESET_ACTIVE : std_logic := '1'; -- table containing strings representing hex characters for conversion to -- integers constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); end proc_common_pkg; package body proc_common_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function min2 -- -- This function returns the lesser of two numbers. ------------------------------------------------------------------------------- function min2 (num1, num2 : integer) return integer is begin if num1 <= num2 then return num1; else return num2; end if; end function min2; ------------------------------------------------------------------------------- -- Function Addr_bits -- -- function to convert an address range (base address and an upper address) -- into the number of upper address bits needed for decoding a device -- select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits(x,y : std_logic_vector) return integer is variable addr_xor : std_logic_vector(x'range); variable count : integer := 0; begin assert x'length = y'length and (x'ascending xnor y'ascending) report "Addr_Bits: arguments are not the same type" severity ERROR; addr_xor := x xor y; for i in x'range loop if addr_xor(i) = '1' then return count; end if; count := count + 1; end loop; return x'length; end Addr_Bits; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- Function pad_power2 -- -- This function returns the next power of 2 from the input number. If the -- input number is a power of 2, this function returns the input number. -- -- This function is used to round up the number of masters to the next power -- of 2 if the number of masters is not already a power of 2. -- -- Input argument 0, which is not a power of two, is accepted and returns 0. -- Input arguments less than 0 are not allowed. ------------------------------------------------------------------------------- -- function pad_power2 (in_num : integer ) return integer is begin if in_num = 0 then return 0; else return 2**(clog2(in_num)); end if; end pad_power2; ------------------------------------------------------------------------------- -- Function pad_4 -- -- This function returns the next multiple of 4 from the input number. If the -- input number is a multiple of 4, this function returns the input number. -- ------------------------------------------------------------------------------- -- function pad_4 (in_num : integer ) return integer is variable out_num : integer; begin out_num := (((in_num-1)/4) + 1)*4; return out_num; end pad_4; ------------------------------------------------------------------------------- -- Function log2 -- returns number of bits needed to encode x choices -- x = 0 returns 0 -- x = 1 returns 0 -- x = 2 returns 1 -- x = 4 returns 2, etc. ------------------------------------------------------------------------------- -- function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------------------- -- Function pwr -- x**y -- negative numbers not allowed for y ------------------------------------------------------------------------------- function pwr(x: integer; y: integer) return integer is variable z : integer := 1; begin if y = 0 then return 1; else for i in 1 to y loop z := z * x; end loop; return z; end if; end function pwr; ------------------------------------------------------------------------------- -- Function itoa -- -- The itoa function converts an integer to a text string. -- This function is required since `image doesn't work in Synplicity -- Valid input range is -9999 to 9999 ------------------------------------------------------------------------------- -- function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end itoa; ----------------------------------------------------------------------------- -- Function String_To_Int -- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end String_To_Int; end package body proc_common_pkg;
apache-2.0
c6377cd7c53541865c37b5257829919c
0.466773
4.644274
false
false
false
false
rcls/sdr
vhdl/clockgen.vhd
1
2,144
-- This generates the cpu clock @50 MHz. -- It is carefully phased to get the 25Mbps SPI working. -- It can be rephased via jtag user2. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library unisim; use unisim.vcomponents.all; library work; use work.all; use work.defs.all; entity clockgen is port (cpu_clk : out std_logic; wform : out unsigned(9 downto 0); clk_main, clk_main_neg, clk_50m : in std_logic); end clockgen; architecture clockgen of clockgen is signal update2, update3 : std_logic := '0'; signal drck, tdi, tdi2, sel, sel2, update : std_logic; signal drck2, drck3 : std_logic := '1'; signal init, bits, shift : unsigned(9 downto 0) := "0111110000"; signal updated : boolean := true; signal count : integer range 0 to 4; signal first, second : std_logic; signal div50by2, div50by2prev, edge50 : std_logic; begin occ : oddr2 generic map(ddr_alignment=>"C0", srtype=>"async") port map (c0 => clk_main, c1 => clk_main_neg, q => cpu_clk, d0 => first, d1 => second); jtg : bscan_spartan6 generic map (jtag_chain => 2) port map (drck => drck, tdi => tdi, update => update, tdo => shift(0), sel => sel); wform <= init; process begin wait until rising_edge(clk_50m); div50by2 <= not div50by2; end process; process begin wait until rising_edge(clk_main); div50by2prev <= div50by2; edge50 <= div50by2prev xor div50by2; count <= count + 1; if edge50 = '1' then count <= 0; if updated then bits(4 downto 0) <= (others => bits(9)); bits(9 downto 5) <= (others => init(0)); updated <= false; else bits <= init; end if; end if; first <= bits(count * 2); second <= bits(count * 2 + 1); drck2 <= drck; drck3 <= drck2; update2 <= update; update3 <= update2; sel2 <= sel; tdi2 <= tdi; if drck2 = '1' and drck3 = '0' and sel2 = '1' then shift <= tdi2 & shift(9 downto 1); end if; if update2 = '1' and update3 = '0' then init <= shift; updated <= true; end if; end process; end clockgen;
gpl-3.0
5f0c658cee4f95244a1908946931eb14
0.605877
3.22406
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/microblaze_isa_be_pkg.vhd
1
55,025
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YfebqEbtkOgGFa5Jir4YQJS+OxDLXa5WnQ+gH8xXmGzzr1E72JxgxP3g7D+NQN6DIGp7C9iaOTBX Jlh9rmPE4w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oEuWcJO3r6VqE3XrExcldkWt7dMUMQOLSQ+GjkMbbe+AQOyawD2mL9F6+/XOtKQu7WJlIoXW8Ca6 IsmgdU8tYrwz7Gr1MEnM/afguSJImWeMQ+pf+VSQvuyunWCoe9iL2zYaf/JHcqsA/hT3nqvyDJux 0Nvaoa95Sfb7imTAebc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block r1QkSNOWTxbojCMJ4bkIR1t4YRmBaNjsuI01InfOEsCd4d+yzbwplTkBQRhsluxYd4EezdsH3De5 mygpv2G7MCxc2O84tdou35/ETjjW0/I/m2/iu9na2InnIqlTKjrDgWpK0D2AwyqB/9WhelaPovHr Ptjxs8OZMvvh165zlB/HuSOXc+i68B5VSG/1fabzTIohYrfZ5uOJ72PC0DOkYWP6fEtnDm1HWEBp b8Hf2iAPKu5xs2BJiu1G1xy7gTXhwlDUiyOvG65VM2+Grw2PghGc7si7AwrlmDcZdsZfhUrauioH 5581SUcOEH8yjaDRgyVNE4layD0Xf2OzI6GagA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ed5stYeGEK8Ogjlo8Ib3P6x3/bwU3esEIk2y3fwCFrkt6kWD7StXg7ZF6YknnZ//ynP7Xs6TPrHh GzIOtIJGSqySL2THEg84PSWbpK/kHEbHHAaEuKJEvAieIexZ/45+fgGdLwpHuPET1gz1gPe36pSS pmf67+gJwsarRrYVon8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qtyrVhtRdwFeSXzv0AVDmNJsFsG9AC1gQ1gFDi2O+72pYuffQxhwxEIt9NlaT53So599ZIZwou9/ jd+y+9oYpjRNw7A7ukeqKeayW1n4E1NmwtiESXwctnc/yiBOR6zX6LUnyityphTIa/jIDRTsTX9P z1p+TdIBZ24UCX4FRosoaRSuK7uJ53Eu6yaYIjgSizPos3LFRDaPwZ2N1yT6OEUr1AwDwQf43U2y FUpGawW+m18ZBE25KAcd52IGN2I3HL1kiZa2sQn+NE1OH8SYMxtxBrFjZcnQy1Ts4PWvHbrTMQuA 125xXfUndwv38UKel9D5QR4lTQfCYT1JucFePQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38992) `protect data_block ahSpk6h7ENXkXuQVzXWMblTBDp3LsOvQA59T9qALyUu0F7I5JF1yu/q6yRvhlUqwW260HnQuglxM sAtD69u6099Xoirje8YgxL9TjycgGpz8Bwis8ljr+j+tLvxleuozSz7I3gOXb0VTI6/EcHF/6PQs eUJeTJsZXwHt7bLeOFFfes1A/5B3rCx7PQlla4wul+PAikXom6AX0TTNxaEJfIsto6qJ9V7cOkv6 3uxwJdHlPcaH447IqPa9rimMfnNzgO0vfoPjSnHDVs1KZKJmtFJ2S2nA4j1YHhUDGx403hWH47DL hAxzvHDdMbEYLI3n/0eeeNu8bHiiJVpMnUcAP7MLcH9cSVC0r3eWrpCopVeHuZsBDjieapWhyBLn W2KqY8nC4ukNtFIXDVW+vkAwNFswrFz20MecI4tASGGJU6Uw102/pkkxR3sRWOfHbcztCm0pNISe AZt9rnLtg5J84M/ctgWbQoiUlUn56bHINwRUbHdluNDnpXJPGpYKqiUceGs66bO+VFJEikc4a2g9 wI4lhbmPptrZuxD8ffc/I9MGWEKBMHbbmr0vuAG5I9Jw7GhSjdu1cGrwv8BXXK+uz2Kaiw4apJZq sSX7jaLim1k25Yo1vOapA/GudNOENNuqqa5r9+XB/qC1UHue2tDD7ZZihY3MdPIzHkdP4HSMNN7G 9InH6xF00R1a30oghh/p/WUiGjlhpwn01n3jzH3jlhP9XDlwX/ZKdXKSctQPl8DZR/oFJenk0G+Z +Ow0IoFDByfwRasoBN+arraWqhoXBBZhr0CXvZ/t++8F5a6d0zKgnSE4zfc/dO2C+oA8FMR0FYAh zC6f7PnfBtiy5xiHNsFemFuiu45B36O+luUiH1BL6jVcqR9qE3T1MSoDXwPbHqY/ryFpJ9cnN4pp XDSg6YLfuTjlbU5uTe4/R0O2dMNerVKwfdDWkGa4VbKhGFlhy5T0+KNPk1BMwVxYRGqatPp8i0v1 rKmReOXXrI5HdrXnXeqRrMjHCfzQarLLbh8QGhwGkClIZUsxhhdNDjfRipjsUCFVTsFGvNf6bmiA 0LpUQ+7NZPQm4CkPcy7eiQnzVTZtUP2+xF7OzmqOeftYzUYzzccnfwmp0vrtsKmhzi192OJMeI/2 9YE09I9Qjh2bnXEnUgrlptsh1cUD2K/r467IvuNz5RAlX3N0DbsYXj8j3exENHI4qL+HTathr1N9 UCSiZqhJutizN2KA3mJMknIdQwl0FjhrlrLsys7Icauu2AGdnTR4S+aZZiKgNFMutjQX8EZyzUnZ FWwO7uyq3mtJpZDgV4WNLrEfnH+iPNZLGLmdPJr3qctZSTX3rpVABcOi88NOQZkD2EN46hJytUND YDKkhPoHEVdmT+t3hGcwYBGdwm8lDlYF1ZdiW5BmJvuBZz4n+/0y32CcFxfFeH10XdZWAosobspg dJ0VDzKdiLa+madsj5OwIMEsCMwt+9nrrFl7fetGKVSexuGqOWS15pMVTlNGAYK0BaMX7JZOpY+5 YgaPu8sdPqc1uhMuRGuFT0ZuKjHEojWC/8+AR+wQnrFwB3EFW01g0vqm1isYQS+ZXoLVF+rDesv/ TwoXf8kOGo9jVQAoQOiJOivmQCP3X/tofaHXeIyj0zIqMg434k84DIVXcnnvnDiBttMN4rdgqIw/ CrWaMlHeExjPpwIa4zDCtIuz5U8nTFhVIHFBEACUCoYtqsseIM3tjMfY4niIRcc74rXe0bOQ7ab6 lJ0qRWIt9bU1NwFGWGfEw7i4BQjq992CIy6gN1RFi5282ZMqoKrUw0XxI2Bte25NcTTj1eQjJyDt PxyZPGLqnLDrXqqXKrIFK8PDtL6OtSkNPwCvdUg3pPWX2USU9K4meU5XmbmkIsELMh+TW63Gp+DR T6wM6bvB6Rf9PJpiKiflzv/+IFL7YiHJaua/chiCWYSZRAkyje1SMFlpcKpuOb3Hjm5AogC+apAS bpGwesfQ4u9jSi5CfGXgb7/+8cr7pXmryLa8XaAJ0VpSxV37mVaqTMQVtlt9YekyqRz8ELi43Zjz akO0G7nq/SNBJeXeQPbPGVcxKtFG1dDRoKntKFY6SctLa//tH1e6V8n5RBDKZSBhkq1pKXE/qMNu zToTm5ELWa++daOKFub7UU/DCp5CKbH/6Pt33Mtr+ik8H99VigCv16l4sWu1AG6jWhiZPNcG+N9t Vd4IoufK7FIypy7hxptWxxkb59bJX3wL+p/Lfdy7crfZb3fLRAvuX+ud+UHodDFQ+BWcUSby9zmf +wvMw6r1rr6SVDzDVOTb0S4/FKitCThsQM5244GGu15ueetd01p/tVWyZ38HajoVdmZVuypjeNsA ZyV8oB6PuhiSc4gWejoseFYQtVxLm47XWvi3I9MiKnBTEtiNMh1lGAUfmNcNhUsfhALB4SIgCoYn w3v+n5sdwzPeRvmkPzWNYBQb1AyHf3Es2vWx192318XwRs+u186m7/82yZ++5WUS2fJf0Z8sLoSw 2q6xD9dTSST7pSR+Zfra7qOSrMeDbxWhv8nviiYUGJFm7/tvy9ILhIZrKhyV/nxE7YKEmNxp/5Gi v/ASwbR6r99gfgPFsxwWQT/lCxU52+Sbir8D2M3OZ8e6ft87vDtTT9H9OX6k22sBuBQ7RiuQhmLP jGxdqI4acLGN+nmpjWuIBs3ot08CWjgRwpvWOotEpt/QVkIkdqClfq8b2eVnBrXPWMkilv5zcahR +iQfllr+trgh7/bqe8bzJD6Q+bZxea4XWZYtsYLVjRPju0lGiCMoD2VNw1mikhv/QwZGM+cf3cMB syq8no8OPFwGDvbOhZvcXT2qHyeM3rpn79dqeG3l3p6qTFL6967FIMh1Z49f40Z6YCGy2JOJO8dF Xac2VujVAGcqkDJDrIN9HgxfaXbI7j/usLTBqWMRojzbHFhsyTCddjdAN4ZbpeDlheW96Ke4pqvw KWGQt1+65J/kfShqYNx61UuqtpOHe6TQl/TTZHAujobz9Mc7sKBC02HT7lKVa/KLcwwRu2CvIPbd 5QOZaIUzZFPi8S1kYliTYiPbXlVCuUA9EwrTcoOqqC9Ww+LEOva5/iSO6aZc3IcAtykyVEy6EOrp otIf1S6BgKRh+6cs3PdBg8hh8UD6JUHyWLramMpE6yhCcykpCAecRBPxXJFSwy4tXWNrd2h6tl92 12A0vq5SW4nkrbb47UOsEFJ8CpLOs+5FUPO/GMuKdTkJb9A67Ux3FMOAip+lXV+wn3UH/savs+gB I9i1agmgN/hR/AKHZSwo+G6PfL7v3ftkVo3bTCoS1TQXbZKQvN+wOjlQLrS2hSUxJ8INVYkMrJk2 uOovPegMYtsTnq2eU86V60xrfnIGWb5rxB7N0XjRtwZ1dNHsgpZpEsthu4/s9EY8TkkdatjvnKgO ETuBwO7Q6hC5JHr9rWgEqSGQErx5YE1d3T1C97t2Z+Z8+xX9jripyX3TtWo0bcsGAgaasXa1/fXW RuQJZLD6bIt/5xsWZxxEqb99zecmP1PkG3TxoiOlrnLt6SZfVCoXxLTS6ivV4I4Wqjlea4Eo+cTR 7LE1jnSJN70X1/bPHyYlRBfeGp5ix9F/3+uTsYbajE3PkJIg990j07otgPvykaDwPGNljhH0sRU/ zJDEdyMYbLmm59MBZAbYiP8op6f1nqzfLk3iBFpA5M+/2FVlzE8zWKLA+z6UQwy31Fz2vVlSBvJg iF5QHCvTe75vHUbYDV+/U9Zzi6dPoxxNOU0RJoRuwqwaWq1XM6u0Vxac/qjxCZuHt+yDMb3fRJaL DERdulNwmrNiJmvhce05ueS4niwpVRSNR9FaHmSgg0XuJKp0i8XZ7AWiNFLifsh7SrJUaFGqTfgr 2/tJ6GjcLT5lFbT+WqWC/NpKM/qO/vNumlWjfjP2EjdLnopLwBqtBETHtuDOd8jgoh42ZRPPWuWy axvdptHIt5p1d6ME9SjWxKYeIsBgHHpnIMq2hGwefP3xhe36Kj2vxjJiepBx2+6iF2+e8lZKbQdu 3mQ1m9vb92jp4qGhe2zP6ePp6KYZjnUEFx6SjoQO/8IRG7hckRY2EO5Vcx6jowy1xM1wDNCZ7DoL /0vt0mdjJ8O5PkenGYYDEhUu8//ujf1m0odjKT06fff5nbj6MfMmyVKWNg7nJcbMnJqNktBs3Lt2 5so0u5uYU10mTgs75C+vk5ghDydEzMPXK9sVeSs7T/7WWQyPBfHNci6h3nCVzcxCDv7wgyiQjnsq yTU4bPbLKDCfZY8Cto5BRvkIlZmLrF1fyDeCSZxINyA3suDp7a46mJKQ2yaxxd8yaAaScPIdnP8s WIeGkkt/pTih+3U9KWb51byh+d1EXVRLpI4xJsDoOdfTfyvrxyR44KZUZUT16f6C+y7JPKt3PcxV RzlY6YGIcQYPoFxfzm1h/8o5q3aGH20w+ajffpc0Phy+TyOLkus8bpcX5oBRuTfatYMFEyokFpg/ HTdAektRqfBqbjecUc4MhZ1s6I4E5HC2O9JOEKYqmgJ7BqKCnKty7FjBjy2boMVLLT4mASGtl/C7 3hoX3Ka8AIIKYQZDV9t8rrYcYzK4JIpUDSIwxZbwnmwqqU/OCy5v5ojIli7YXPXUpqVVNL4QZEcv IeRS3znuuJls9O9vMKeCT/04o2C9yq98L2dg8z4Ki0pT2xsyriwNcIFpN+gsWq0ymVy2Wv7IK8iH cOcqPeVhWBCAyLT/ySa2g6A4mtUGDXjELfbiONEncgcAHKLIOJd27WzS1A0d+g0ISIH6CUVgJ9DP R58FYr4WXVWnSmRvMMirXeV0b5d9XP+MyZwS6pMZJcP5r4Krv84SR5mDmbQ17QNYWS+ri/ge/DZw +P2+fjeEEGfiQSyqAls+tvX7FsLU2NJX9DqDzuQ+2pHtOtDrIbeXOLV2m9rWMUhuPnFQJ/pmtL3J L+Ked9Yx1uDbQLl1uCqHwD6vMtOkfD+NdBfagpPgxy4aTyDuvLQdYQ/iCHracwgzS0+dNUPdiCEl ErKugoNsMC6iIPxrtwQclAv/8LSEuPSj7rrwA6I+uE9nq2618x82y0XMTuI0IBWsAqbvmBAa3eoG U+pv/XhQ0qGlWObaT5biOOYmCwfVg98Ax3Hzc5YWsBc7taXy9d4xCbuvb76HchcaQVJBuIHoPprP b7mOikNO3tfvsHtFn/CDTKP5FM6+Sz2pEMkTrUcg8R82Zdi50E97Fmjlr9m4SBtah3H+dIzdny1K g75b0trTuqblowKAf7xivFjtP8Pk/Wf1Axt35G184rVzyKTmK6Dk9oXctaNlcoE2lppOuBa0xMjR O5CcwD4PEc2K7Dx9Dpp2/wzSu2jQx8TPMyWfikg6kub0Gc1FQ6sxycxhpLXo7zhDJuU3YmMDi6+O 4FRe7fHQhlnJvgtR1aHAS4tReI7F6oAUlUxp5GwU83jRG/+fu2OHf7MaMpfVPWBswJLmwmI4aRD8 4cGxUsg3QMp8Fq5iTVa6lT99FanyQxgXEyaGj3RMrquXITCg8ttRuH1zpIfABN6eyLndQ7ZhewFG p/Z7Ol3luWQ6ITPiXi71xgohKsiEa21AV0L4skPr2N+dwknsJHNQV0HvFbaveASYaeX4f0mSM1Lp h6iELzVknYB3Ruih0LrVaGxAisaB+Wz6X0yepqVj4pgm+OQeMfcjH+1m6qUmtNrsEcthD3mYCtG6 EiEjnuca6uMkr846nD7Dj0uVYygPm2T6k7rkuYii3rfIrMg/Da7I4ZqfloIAmYcWCKLXtNcsI6zU nAJRSLNlcZv5B1dhWvDnZ8gWNUu/jsRycN5W+dQCwVrz09ojJUMmj8UMTsjO2nKPtqK/gmf3M76A OMu6aTqeMQvlbvXcJ/oGPq0/LUPz4a/RqupJNwA/4iQeoucauLMU9DJrOpvGIhqZeNLbUwwqz+Zr 1KnmfD8chd6KjWFpWHG1IaZ4K9XUH7k1KDexFBmAOsTzCJI4KrUd5CWzUe+iYZX7oCTAe7rtpbkP VB5m9ZkhrDb57GSTzaVxpQiPTb9BdQLQCFBs2Hrr71Sl39gYResCHgUax+eaitA/nCViZoVJNGbc ubip0XiNE++p0Y/kFtQHzLtST43qt5cl2gPZF+OBNH365CXbnjf04hrqlL3pJ/e4j6q79Fkw7eu2 23569MNLEFTkxlbUMm7XEtZIOE53/bJnVudZ8S0Dsmz56Wctc3pMIl6VFEZuNRiQu8OwsP/N+yAV 72LWMCYjn8caiLnZzRIKT160h4qPlftSlWGoerLQnld87YI6NgWARmHaqKnp4h5nNkmVkzS5w6Wl veaMyH4qlj/KVLLVqgEsfDQWyxAcnXFAbo9YahZXuaC6vXhfyq6J8EqHrkmJPACLMteUJN0RRaSA VbAi+uCrh18vw3zA3EjlCg7SbHB60EtAcEDTDUYOTFENrS+zK7KrrgXNoeUhlzKt4HJG9NozBrc9 vAGjrDc2LrMJ54CSkpGTBDP0bfD8p/+HHhFR+6DPKXLdayRjVi6MctZu6eVJaa6ATUzkwJMywqJy yLZcKAWhvs2WXWQdeDS0riOcwbRoXXXmilocyGQy81WZryUqKyMewkiDvNnkQrYfl1l8LMdpqtqE RCyFjxtPsQjB5zlEhmvEPieTr31Dhg+/JOVvfBXQvIL4LTp/gkDXqFqdfPWMoTgxwioiQKkvhw8t L/cCzw+sRL9wTAjk23q+6AxzVwcYc0W7uLu8GzpSF9GdNsQAzroe+J6DXXaeW96kSoFTUMBWBiMm 2F7bnjC6DlOrYSXGBaDbCBvfri4E49v/viwiLXcm9qL9PF6qt5Lgce4XgL3HTylQjZdxYp5UQ7U3 gSGv9tKtblNZ+ZcRWC5FMR2kVCcep5w2VcN62VtQILkC3bNRF/IlLkZN8I0W2eSDyK6L58Wb3Y1i YU1RQQ5egUlotAbAKoOtY0fOPTO3B4+CaF88eIEElSFxeTUjN7nt6tg2bQU3aH7GnvvCp6xM23I8 4P5uCNRdn7twTlbkRd77qEIXRcv5f8EwlxCaxRGc+OWyWYFsSodDtIXa7FJ4u4gti1Z1V2K1DVsT iAK9GMDvKZ9I4b29ebKej5jdHuoscbDCCHJIhJ+h1X8Szy8ChsDgMIQtI1YfoTedabALrNdUK8at r07glK5s6rbEoa3ebASFoeuAGA+C7Wp/eKnKCErnXH0bJ4f9R2Qrvgep0PunOKfaDNgo1IClEdMR OR4DyVWQIVEAxqG5Bp9UVoHDowgbfCxVJcOozEBNwShjBa+FOF0Q2tNTMDUAB/luOhQfE7qccfQL V19VAOqeBAS//ajUckaSVG2M61roJQMZZH9IzxrMLQ8lCD+cXNMVA5zPoqm6YJ5giD7znpmHz+Wr VUhHvcDhZpufQ8PSb+JqELlOiXdGlFqfpklWWDxlr4YWnl2p3n0F+zXjaiqrB3SIzbN3mS1BhWbz IfgQImNAxvBD3I0y4VdO9ydCTEmnCaDOTq/CoSGDL7Iz+ILu3uf86Q7cc3xlWF0gRpuO2anGpTsw upvOi+srr7rFSdr8Navqz/WbtqmfCYbDABPJGGQy1e/GUXxHwWKJTuCXuQIFeZII0Mmbs+1K/OcL K9I+I3LFK+cx4fTsRR4rdOHP86/o3/A8h9cWC7TN8J317YH0Ki6FlRK+K3sQLf549pQBe5mB01NV zRH1rvtbKBehBFOwadOp5EpzC2uRn6mUpVEtkOWZFyyOmLk66rs0AfmL3xTb/rccX0XcYq9PY/wv Af/6tnFfWj1DgFP7CBTE8R0xlc9XYHjvohk/mQsIiLosRBuW6GFz/qShC16dEHJn/YVJXy6CA9vm 1daxc7cmzj51smdjvWtBRFns1A/abIIkaa+MkMr557ubfJhuXW7JEfGpHDuX2HMAmLtMuzYUOzGN XqkIVxLpsLPX93fUpgdcoYQwt4O7fW3Wx9C0onTl1P19rFtlydTuRjGnH2GIwQrxuLmnxWiYU3dl NyVky7GJmPM9wD0Bjiwapk6BwDIP44/7nE2ABlFfhMyM1NaJ8OIb4HsLJXeM0nnfWAUTyaX3EaYA fK4ikQBjY0FQBijhI//4R5bTNmcTI22uoq65G9sOHKCQwLaZPTGMcargTF/jPrtikDipJVbPsNSH crokqLeknS+U4JdKYa2kyeKegdVx1bPDb8sfyLJaAb0vV+4kr4QnkTRCnY42xkZFdMRBjJ81bLee tEblrLr8WocT4NdbSbEkvu5fSYmZ3kyOtFNvFEiunil3oUMLG2mX+ziArS9w/5kd0we/KAeSQy5e umzUgw8I74xt1BpoaZTAm9p83UYWsQazzeXwSH6fe9FWV9J+q3dKqNNkNn49E+CB2yrdj2toCaf9 dEMJLSxvZolWLp4li0R/RD5o7H99/lGe3h3YCR2uEIQULrmIue0XkCeKoSvGVIIpIWXB5AQuRAce fIDGz0U11W8D5utBZp2IG98ctqExifKSerJFfdVguuGqbE28GJwL+emF/HlDrvSgsVNVF1AeE+Zu r3nbHEWMgeZy2A1t2cBapwn+hFs442LFRs43BjAESCbKwKdMd4k2h19N+NRJL7Am0F+G+FpzMzd7 XCfdSOKVNHuvolxmFjy6hBZKcqYNzrr06Z3VIG1npASzdwK6UaKt/HnuMkYexPoz4OmF6roFDexo aX+gi5pIIeA9cGDYofJZNQSWZcOyDVCoHS+5AHmp6EaPens/AgUB0BxXr/QnsLkJHDeA3A4OwUWV 19eZQO+352Vyg7Gpey3s2yQZeurYb89ltvfS7xyo0JGhB0IZ/L/kLqrGt/3yU/oIeW8x49EDpxTJ yqWWO3+QDGwUF03Zu95aLoEt8TDVRKTm8H1XTz5f3S4BBg6uJhp+vE3PqG1YymbTLwtAdibN05Hm XkFNphZtiVNZxDpJRLZWf0MsabyzVsSGIGVFafIpk5+7vOWlDtsi4zsvGhSYnm4LSNHnN2FmDSXt XWvTkQva68hmgmOxtj27ANhQrVCRWNpazddX00H7j06J+kNcre8357Z4BycEu79to1bXPuvfCQ07 1X/lznPnzjalvnKEiV1V9ZRuyYE1kE89Wxk5UWJ3ZJ6DXoTvADMPFStvZ/rCq0AoQXyuK9UIl5Gc Zzwep4cqeOm8UWf85Z5hg0KtThm0KXruhztWGlITmxDdoSiwK9vOLaTKP8SH2m0C7vquJ0rtnL4X EFhpZ838WacgTXcB9/+IzRM+lGXLlwC4MwXEtBq1HDY15ej3/t9iqp1YIwmzohHeI1cAHhACPRzO nYSsS+ekKNuacerlGC3CzoBHU8PMKBYyhQCtnuZ6FGUzukUmX8xfq2W0HZ5157fekGPbwJr9obqX 1ihQQONPofB7UTR0WGnaSXRDT2GmsCMxa1I0yhxntLLvb7fb252wLFbRF1gPppfnMD1fPLVf5U2f P+qjbedxE6FiofXELDIB1hKv1EhIHQaTDJg/nCv1kW/+Htjw+LVYg9T0n8NzHb0ICFzyHms2hUeb sV+/Z09zuqNGmSBal9MGQ3khwFDVW4NLyyRdiH9E42r1fJrBwXxrNcmpV6xBFcyeTm1cvqX+px+m nihJR0YxxymZ5B9SQXqIz9uu+kMsXi5Dt3jgtYQy7dfQPvHbG94UNXiFNTqPUyQnKeCcffqjyloo gpC8boDX9UsusYAgf25o5NXlcCYgNoIA/cOnbFyKRlZRFwcmqNPeZBwwONQzmUQhKaT4dGvb8ZxW P4Sz7mJ/+mavTBUTUOkQSuKCeWC7uGHUD/1tQPxHZN1OS1oB22nkd++PNJb2o+EWmD+VgWDJHzk0 Goyl8IveYNRO98w5jSCKifPLbqSA/YJ/Foa27sNwvFGTA5FiRECvS1shtibukxBpXDHOSHn1lKHb jRNZitBDLUhAyQd/++0UMTfDkW++bIjRl0wMdvShby2KxGImwYKciqTxzWOUMBgLBQYUbU9j9pK+ nr8UiiDqIV2ZAGpMUMTO7h8vFiBU/RJBSKkgpEsuuu8L2SiMf/Rt8hAVeSU2yDb5Y4k558rAMpXV 4SjMJ+UwX8ma1a5ecOQS5XZe7jtEvEs87jH6yihfq+1SdBTHgzMLpuEpK3EZ3r/sLI+lsIVxpIti mj0zwNPXj+TVVftOyuS2Xmm/NYlNYjUJI0ykGaThSmYmU6bvhtpmGDv6Pj60gqaSgsGuz6xMv8KQ WkyhdpDhCutGDX9MrSDIRudb1+GenyN4ClBfo7FvDnT2c1RcyM4rajCnJaJxIfJsjOUPNrdMOUBv wnAzZ15iF4QuFdTmOTHCU7t+vx+9cD5IpzBtdpMBOYa3bvYMNIYkZT+eaaVBQJuYqSukNZLkQwl3 am3YJ+Zu4Rtm8N1I4OdQacselMDzsw8yNigAlkw5DgkeP965AuyQON6APKVmjLhwgkS/o6byvrm0 3dt8BQhw06zfxUkow9HT1dffiaj474z8NiqSDbQlfXvx34c0kL3OFslBRV4BRehZo3/S3A38HrxK J2KriYjGEga4MKR0hv+Rwi3P48uVM0XCn+Q7XaHDe9SeXujRHF/NHhJH3PDCJ0x+9POQ8CBRzW6e dsnDgjnBNmy6s6Qqd7wKFunUJ+lqQSaTmfd7K2tsuhVzdJUt6dS/kg37dm6aYd9FxcC3qdydT4ME +30wE3MCWxr81nVXjpKNES+AShJ13Wj7Vmc5ulaAB39QyhFjh4ZrHTkW0YBKm6siYztcZm+pV2Kf TcIhlmQTt7U4MBZaiRUbgyRs015eUa7K/eXa3pgxBsLNxs1XF73sV+OMSou2JpFtubXCuBXYr0Is sRdm1pB5PX2shezsrVDde7gFhhYNuOocZgLfMOrLacnFySem/3uYeDiR1cZCCgokNmaaMSl0g5E5 xCHkw0JB8VqsbYUPt3IiXHL2P+yrxgURAMPYcyv3myje8I21mmfZgN06CWBzYQ/QrIzpTs9XwqKG 9f0A6OmIaNSekW0L2BLqqHSMzEOMnOeu1YqWuH3rGeXISdo8HkQUL6W3Ib6OyK9ZteX9w7QhLv13 eczEXpDpGU2SCetnc8OSrhWXKuslanctgXIvlhXXCbM69niNEPTbSPMOml2KTsBMWchyXWnIHBuo plzw8HGXufTcB1zv0hpb6Pb6Nz8lKH+x9+BQ2tMxjLWoxlpszzIteASNK3BFaw95cTJ1G3cgdcX0 re/9ZI4JRX8UjIBITrTFYdNHsbzM0P7EP1tEJ4H36aA+Rx7NVRdzOAXZ5CSeIy4XSBQ8tnwbgZ7d LbAxtadf+LfSw4sZkqB6P890YWW3eG3WGFGtHT3KsrekGe46ApDXr7xwlKulzXSGsWUhBtwsCtsH 1CLhiYCMRjlmeFjNS5uzYwdgteLCT1PupladOKSiUG/qvl6jD2mDP5sPy+m3UlwCzJxtUESdtpVU nmWS5ZChgMLkGs+GNtfVDyyn7GfRjcv7+S4UbG/C7j0pOh5hzyYbVeZrTDPMdf08jsqYhvqkxfWq 3ELlLn3Smi7k9FvLEnVmhvxh9jf/aLoL+B0d+ftYEPxJw+tMOV2MZEM0VYg00gPuPGQTtQMXy5Tx VUb+AnMp/m6bGIIP9Z8Tv6mW8mVKkXqwA7qCrK5KDw7OV4aunIVNRIoTKkQr5Gj8fYe7Y5gSeR8E HLhi0jDDw8A9uZU2kAC7loXqN+Ns+GdDo4Ni57rov85aWtFKhAP4qaqA0JM67YQzZEUsXIszqPuV tLaS9oPBKmBk7ti6svvBRQhXN+yTyakIVgpBxUp4JF++ztDH6EoLorpwz7UKQ3lBdqSXEnUEEnvm tstynErXdL2Yscnk7Rt4/XIvSK6BMhL70UBrNnuFyLcyR/cNAq2Z4lGiKjpk8/y++g8RHkNmngns 70Ao4HVSoH4asIP2p+Y4+5huoIl0/KgNmT2UkWwG/cCP+DyXRSKYAGrQUmgUQNmng3H1ppv+vEgu 61XNz3ilfFpCP+Cpyt6HbM0nqcgLsepObIR6dyefHue+QPSqR0t6I+ytGvsow2+72dYAnXg9wioB P2euj3pOIBKqD8VYdyKvLxWl/tCiyeFoYQYbz2zVVmnfrBwJlHNfckK4TYBdQsg3lE3WmsbHClAO PSp1q6IJoUKuoZ+gvyRQB2zG6w+Xu2Ks2iQoWKF78leSKvoQomkAsUli2OAFe3cL3xU185l09rxW E2bmtjEXvZsdfc5S6tCc/4mDNBun0WmSxBoG/3SiNys2ARJIwZLM+yXpoafgUSHNekD22hDzzNeF Q/2Py16eUw2nQmq0ymghKZmJY0+tuWknVXRLiYRa7llON6EWonsVHL6HALjou1SHK9VFjj4OlqG3 k57UvZJUl8hY3/Rth07J/xO1HxEaoLJhglsH5lsopML2mR6H/fDZJ+zaYbmR3QoaxRNxDOf9w/9v v+bI6KlvWFKWfFw0SlTjPt5mwpDi97g4FpGJOyEiiPVgFx+M7q7R9VWrJpzR+umH9Dk4Rl+0lZQM K5gM4O5aVDxN52rahQ5nVl1uynwl5uRoeLzR0+/BKH+VOxoaZkpei9KWn/WLSTPMaWmGENV3Rwpa HM1kaTqAnCZaxw17FZgoLWWloePaqwblE3wZhsiBI1ArAg1WilVwjqhlbRemm4cs9TeYBXCkTiC8 eXcTHLTkxdwbN94jn3AQgYfZFUEe1ksHufobAvmeuDhEf39p8sFlgFsz3hCOfrA8/2zYNd5sE/WF 1lX9he7kcanx9Ionn6obScUYuNiO7Dk/CHVI5CuC3rQuLfGkMkXM86YFMp8hcQo2lqFN3qOTT7oq gotqTEwKq/jRvgMN1sq2CCiBh79oZK6ppnVVJF9luxo/O9jlb9/Tvx4t1LnZmtPtQ8r7fsSZxMpN E1vhmc6dN6XQYDgslLxtZvA/RbHjhtR9cy6ikm9rgkHbMAFSE99/WJYvv3qRbgVO+7qXdoV/2C+G Gp2l1kM2A2KN/S3ys8i3fM23uEiv5et24R2x+JwpbcFU2hJYDiy6EQxEae5DsvPPIBiPPf0ETpCA JOQJpxQnKhgYhWnOavbIWtR5xGOk7BrHnfP0p7l/Ke4HfXtKEoqMDBY+moqy4lD/RP2AXlGQQe4A f8n3s2Fi4gt/MaW9kO6Wvzdje3iINDuU3/9+5nIuOoZWXyczLape/3qpfh13PA+DZIbX5yNXJZAX Fo7c4GbqYhkNk4K5CPUXBy0CdGbSWn+sS60vD55rLfVNxfYc3QLF5QLf5+b0Fq4GSOZ4n/el2CZv k4Xo0B9pHC+GfYfSqhBwKnLn2r3HeD67wsS9i5Vfm9TTPGGztwKTft8tvIVnF7HRGSjQG0mE3++Z VkuM/aRaaAT0woLweMtfdf0YcQsOAusjWmPTNsO2IKviZ88TokjokenXVLcUQrrHc1rej5UhklNA sDNS9LZ33MZcxRuaLbBY8t6LGa0ElhjG+NqvGWTp3Fg+JIHPTxufywtrVRDn1rbKODonT0OhK67R X2vDJGTfNA7lvn8N5FfP/tTeHMgKkbkkFUQ4w0GGJlPfdyy9U7YrewuFHnH9dKMykwlR4L4/I48c TyHVKiY15czQgO9DTSeFSabFWzlov0ShFQxgj6NL95dbtWldtsP0LTN3RJXkvLeY5Qn7TeRp/Yul 1LZN/NSrz3CGwhYoJVnVnf7LvHkkk4NQiGMm8uoFW46MOeyzqB1Mha7t0513g/S5eYUA50u/E+qL 1nHc24oWATPg1WTioIzk/qwCVuCewwQRe3cI1mI/T2+da8WNEVEI6JlvlTTF5HlfXssEgX7+Cxur lvfK7Wn4am+HTHpLvh8OMPJ1/i/Y7M8MA4ac5U1OaVUGS5f7wyIXXOBQSa/1Bf7rlxAkCwZ88tbn 5+vJUReP4HcFwwH+oo5Mo6oHQogjscek6+BGbRiqsi90Z1J1RfYhY28mAbawNvKVUneGXGx9Z/rV i7dWUQqtkGzv7U7f/h9IC2y+EMfQroVdUScJ/bxT6nngdvbG51yDn0YXy5DTEDoB9Un7E+9gEuDt 4YRlIvEQ5o72rOgzy4CBw2/pisYir+KlrganeIpkvQVtpvJzNtbll42imLSyrTSwDLaPTamyKmR4 mFLx4cj2Z188JW/DQ+ce79iFAPZClJpQX1EmNXN+Zmwc0Lkd6WvxbrtGllFW/2YzresLmPLzcgyG aSviACOqeOzqlGqaIdqdvIpwhpPvy9gjPd2htvrUgxEJcZ/WC1yGMvFYH7gloRTgz1DWshg4oEM+ cLdtcEiwkLSfc+L/RtT0bPznIkJiPKXsQQVmZBmWApRkoaXdbPyQfKnFlN2yh32LYIzZs8LPc1Zk XMZWOVuwra9dBhp7hthJnA4gEsz8lp30IpnLBNhg3EHR3S1YnCmj6LVfLw2JfpuKCd/qTWzIi0KK odOBuozAveNiPbKdhrFSfHR74hh87YoKJfuNGbsNOd6tBS4FPYxH8DNnrlHc1yKqh3AlI1VnVHgS hl1fnTlcZxmjBOGmWRzNw89gQcuLjo4fyq5tX0hCjo6i+P4Vaf8FHWDuCTyVF7CxZ9ByqyTdQo54 suiWaCnflZJBqkiJ0KLDXfmWR8nonIAFo6LaMIAJgtZU0itkupZN4BEh/yVmgvAqh59SRCDjUhk1 Un2BHMiQCiJIzhOGfjcG7tLpN8SBzWfgdUQONI/pxMdL33GGDf3BtY//fQM+MEsgvmOBymAhmpYw b0q45XMTUA0Q/RXVgR6n4PaOLcPH3enbuUMY/Q48r8w+S8fSx7LxiDvOHvh/jzNxRgraNQ3fY0th tnzGyBJ8HVQ4efKMOVUVQAMYmGUoLuxanhI4dbs1nd0Wiv0INgtHH6ba1yqIupSYEaL8YyI+KVqK PuclB9bUZB2y66fmHgOJC7JX9mRZmX+uAM33KseA3W5IgdAUAXkAYfv6go/J7tDbPhci6Wdb2XmD LoJWk045fYUbN+B70seR0UsaHZ9ztH/v52+lPlZfQs47OztKgPFiGwA3s2JI/JeXTe2C0/2/I+XR 7WZVY+BU9ayf/fFhz9upq9mzZFgLAXthMvhhb81SsUpIl7rtcNd7f4ntgGpQ80m7XKj6LMtlEBMr O9MQdrf1sMH7Pzn3ciygOFqRxSuNunlmcgHu8F5Oxt3L2u6RDNM4u03QhZstFcUkIvfEmf1gwaEM ozHLaV2bpAm9YJ7KW4vLoSk+7nnQ1bTZR5IuTK+I653ltVk7LK6++UbhvPE3RzZhyK1KwZ5AIjBI LEVkKVwAfq+euuTk9H+CeupnmKPZvUFBxCtUJZaEd3/dw6LHhNuqA7PasZFNnH2Ed57OTdnUsdgU w2Ub3czWXDOcbIm+4F+5j1WQLhoxjprvx62La2p002AsLO0mTZhApJv5VJV/iSTKNKieWcPj7x10 2axJH7ZR0nLE7MJINBB06YSMThEpVfdiC8i00tMiWNxXuHt18im6FR1nuumAvSDKukw6qj7vGKLb Lq8NB1Sa0LmRE7yyElh6XitxlyZkAlfOhFfB8zLV5b7xA75JY3IG0cu5BVsA79sme7qLK+JE5GRa Vx70RorLD4ZzBHqxX/iTi18a6ppgRV8Cpcak+zS1zjuIotRifAUO5n3DcphEPIci8ogEU9HJUEkz 1/6zxq+aYyQeOrK/sRQ+ZvcoaHw3mKQFaFZXr1w+ueFNMdyJffURSwXBoXGP249cimhVTr5UUo+q cvhSDrqqXll6gpcvOiv0eC/6mJM3+A8B5S9wNMg0ytmXX/AvU98v/dIVoj8fOiyDtEGfAas6io4c ip+5dF0FY1OKtexAQGoGGCrc4o16m4oF9fOeG4m0Gn+zBshJV0anvOkRYj8yIoeNfPlkmmsfa4Bh TDpsxEoGVGn/cFRXqdc9XWXqK3PNSIqVlFBS45i7gkITwU2a3eoirHIpXfwFNWpQfmX5BzEIxww9 q/R9XNs+c9dw+C7BnKMZfkVQQGN0XQvNj3LHpfieddyWjUPHK4E+1SefPY8GfqgW/GmzUDyiXsG6 NfqU+6illajk5DVMBHASfCc8VpAgEmXQVXjByv8u/00/a4scRIGxO6UXUnPf5dXER6ZuSMosTLYm cyUiAEHnEoXPbwg5bwaX46mE/WduTYZIn1S0qPW7zGZ4K3459RB7bLY127qhzrOrVyTAkk6Amc5h 32C/W1xLbTIjBgv8OyqxuUFVgpNTPnvFli5VXLuCisP7RIPWOQ5sdhP92vvddkx+9hvAmh89OXjK dU7Zs6FXSeuytWvl34xQvZyFQQxpaRNgfVNMHOGEMVwmCV5v+31rKB+tsGo/WymhNmStAHA4Qgxm XDmFVXzOmsAIQDmMjlau1LMbHGMZZY53CPyKhR08h2EYUw4SoTFifcdhebQwG4PEtHykhgjG5Pcv 8ilvJHhnTB24q5QJH6PYT209aa3OBCjgd7DpLZp1InojFhFzaEznN4LVlH2qwxy1fE/RACdtK8tl SRt+/fNZnUC68SGjw1e8XBTViNhv69xYLhorZKVFqCylT8IQZzW6yy+kvJo3w5vRx3kAyNPloMG6 A5zRPuf30QRxaD2CuzeG0NfI3aSi+Os1w+6bEBhDdFJAgK0zpAUp6kUKJqZr0BDt1BI9PadwRTsU R/z0z3QEZIyt06atWS3y3+LxMln3z3sBcZM/uphmUsr6GCyPh0EUvMkOnITcd1tfKCR7xVoRfv92 qkTSLWEqgrFhGh/cYtvmggng1D4ZEjXx/Vk3meF6se9wFomYTWBax2CWqpCr4Bw2hUp23QWhLJwP 1MvWHDRXu4zfoh5spLQazBa/WCVRuubzP9mh2gKzdD6vpZsXCqwrz+bj8zWKoaBRIq7QOggZATxE 57LRFGPB7DYDHXgKsRqGHLn86aTcsIwNLoqMK7r64Gxb1b73FHYv4F8CNVpm+D4kvue9BVyvO4CE s22PCrTn75gMavb6Q/v8n7sBiKX+eWXjzV+e/n8RExxbTx228CP+R8FZ09aEGoA74atY2W65mr/m wX+QBSNsdT5otJ57+yAXoeVcmO2ZFII7Pm3swwp14SpfYmpqupAqHihjfYS8cuLJ/8gpN9B89QMS +6M/PZPkET7QTov8MSNTJnqhagFoHIBWQIaSAbq1T/jghdx6peqblSN0JJL7wfE3GV/C3zXmE2cQ InuEfP1BnKYKYwT9obtb4pczHw/lYzzWp73mvizLc5Nmqf2NoCIE+ORl48/tAOizO/tI+YAP7wo6 zn55hHL8kaggUnm16OXV59c6kx6U3lKLKhFBR4rJMT15AVovFc9rkeyUEAWtZEEQHeW5sGrzktbF GQILm6nDGDzCp7ZUkFGym9Dhy3eMQsBWoxBpmiwYsYowmWOf8keMrjpMVCoV2s6rbGgso138Amqi XWiegQ3vOnVn9taYqNwH44JqpPqu3iuop8ebO6cG+L+5GdHGEHgK2eP0uykKgYnPibw8sr9Sw58r oufG5uCLfufC6pjyK+5emj7QxdZzZOBDAhP81pbqoltxVP4d/5Gkc/q+IMEJD93qC1y7wMCqHXLu ZyAt/MvCXORDpUBtZDcjCnVgM55mWFkPgbD709h6CPszwHcPQwekMMnhQsOQn8lzj9NnNTFSb5Cj kwNYI6EsGwMOj9oymiIUXnfaYgkciQNhEB9Ekh9AqUzvk5junCZkloqJokTREuQHzx8uqxf635P9 hyuC2+RCX4anuQ+hVa3Bx3gFKvq8wxyCwBZGd9RK03mUcs/OTLyVwp2xWc0nXuO1ERHrHaZdtPu1 Ajsml1KGc20AdYS9rRjrzg4xePyznt15qEiHUiw7zwMw5tD2Z8C0MtaP+IfLjovdh6220jGw8SHK kEd/6q/MdbNUHDA1Id0vEvoctiNMVNdZcZRlLi0FR6cKJHdx7VmeKd4aYqGCUgMu+D7taZFk97dC odMLOfUsg04XOoJqjyWu/kuHkE7/E5ppFucAO82of+iBUZaNGoD2KEKiyY2vvJjIS0iR/w7MmMX+ wtrWdlRbgeapAY9iH7Y7Aeu/SevMmrygMc6ZSGo8SAELPHLcAd1l01t21/pQY4ZZS1h+s/WeAOuP E87fqOOJ4pgKO/jawu94kU/9ngRuqj/R3NLAuOz+llv77LzrV61yFKOwgdEVpZTWfMvdWbn9gYzA bSVV6bH5/V3SD552Yqhxb0I+psrDn3w/accuNUDc4iMCAI07AS0/uSwhueQYJ95neOICT9uIqs0i tPHXMepTkZTw1L/t5/Y2622/3VyPjhj13q5qj9GD7dy+eFYUmpQUjAXgAux1Jmo0n5hhYNZB7oqi SdTvHs/lCcD2gm+kfzIeMetwRSXZg+gnVCD76HXf9EP4/dOfqMDltqcvPBIwEnFnS708i0ltxZ17 5mbMWE2PJ8kUqtigSL0c+14YupHHakGzkY7ZxlHZsq4FbHVzXHT37jWdiCTzlED/pgM+e+ilRgpd 3seSTUxBvCcgPPAUqmBYYVa5SlhnzCWwPgfMTtKK7FGTkE1RsbchvttxJDGNEY7JAV4CV+hhb3+W 8r2S9R9lOgsU/wNUeYd1xheMrWgZVwoHKcR9xgwxMoUmiz67l1w6hoI40E2YKpQsAX8ATevsxYvO oVaP+Ka+nFyYtQnXlyB3Kyxmm9J/uj3WYvdZ+zxEWYv44cIVA96QuFOIaGa39BKQ8k0p1gXq1/Lu Il88RovPMCg1MbFgyIwbg1UBNOrA+MemkCMgZniD+hrQMSpAzXR6hgCN4IT+T0P41wVeylmxBVyq dOSm3LysRfZbi7JyOXysfvpQ4EHS0RtQys/u6NGv8XFgQQYwbE0fAwpj0igvvx9p6yI2Mh35MKlz 0rb0AkKogf+7Wo6/P8VXhdmmPSfdcrJaXhMcGz+sOjz8PfsTFuhJHm3n/BQGme+iDwxo1yJ9EJwK hOMPoEJiRIn0+OlGVNVXN7cy24eF3HFEZFre6M05j8gHwlUbL4yC3WOnK9+yMhh/dK+6mTNGssJp hUu0gQmpsW1vjAwTWpp8uhn2DUvd1x+KJeY9GEkbB2fOrmN1+Ur0bqfhr7iDvdu2Cw13ARjO3Psn odai0rFKCVl/k6FHyGC9U4gUgWO4lQj82DTHICOJX9XIAGtUgkNrXddHNtk5o8cz07/v6mV4uVLW /USGgfvl4zbAjRqe5+BHNg8jcIE+9fJ+AVQEIrcVQjnNH5H9R93vBzMfJ2HYXSdi0/n46Xfa6eLu mdCv2WSmAmDFXlDNYYT/4/QMRGWwm4kvVC0gVs3SCxX56D37xrfUw6YzV/ewodA0L/Jrh0hP8ZCV v69gAAKSHPbmjtRAo50gHKCUYLDp77qQ1vuby3oURKosUC+cjo06xbH9Vwlrs+KA1Vkv9tHqkHl3 gVAIVfGqyoPxxfLy5a6nLEJ6fg58LMHexF17ntQiK4hnlNCicj14vTP210am5ROV/o4AdeCXHf38 2hysqTvVPGqESXeGXJKeO9OGyHcSf7V37tBrYkrFu7EdtznaKw4/RsNhsCnR/IKbOZgBhabPwgtY RIivCq5CYtQFt3JPChpVyuw4WW/FsRAsh4RaudyT70IOXjNXzm7Hr1fvNa08JCvS/AJkt07Id9yw sSQ3BxUa9v0mzttWyz25uG880vGYB76BCvjqKB47k9KKo7Ok59n10BAqwIIMdTlrqakcCOJm7Rm+ KGr/AoRlzqbOegpODrv54LdJYCRKMh9FafcJJpDQmsmTKzxAo/FI2qIZkSmA1lzrf1e6oGGI6e/Q X9pKr4ycr0IdaGssoxXBpcHvz8dn2895FStH1+khWkvxkPXXRrnokMMudP7D161hCIBcyZ4OwPSi kCByNMvCsHbWDb1FONe5a8/WqSbSD4CRaluGMP3R5CFMtHaYmd5OJ74XGQa71PPiJjWveQdNsa/x lzyFfQJpau6eZak0Bt9FGZ8DW5nFBHr4FAxUwjskAkLhdZc6S/uTM7essZyKnZeCv9zhAlW32bKq OYX2wNTp5iqRYmSk4V1v3Lpe1i20fwXc/t/Dl8QsuDoJWN59NNWUnPEA0dBT4luXmbqutjeRXZyW gsTqYQl/YfMTLKI4Rht/KcFKoHoxXYs/AxwA31IbXod+X1WC7qsqH6NjgET+ApwCJmE13y+A4IBb 3VJcYd6W5bchEzUHInfzA8wXAKphv12vEz24CBhBxZ0HZVrTysJ2HyjYAop2slwhetWFSQRyWGMg ZWKw4qUK0yhRGXJ3imTeYlf1J8M4YGKTtIgs+0nYMjfwKGPZ466CRJ6pNgo6tyAv93KFnZiL1kdH rOrdMiWbGkpNKlnQsZgcX3gAqz8q7fzPHxR6iGIlItUTHIUgaNFF+WFGhtjILgO8zyaw21k7hwdB AI7Nk0sPw3S5SBgXteYHbk6JEt0TowuXCC5pJ5pLmEUwI2YMjF6102TAddpIOea90Ea3Y8KX/P6O rTHwEhwzjU4TAQhXnyHdMz5LuTB95sUdvyyUAn1C/SIiw8mT71vVzq6lU7EXn9NmlSLRMqzzL1a4 KfdzdCfcupq6Dn58hQPuLllO2xLUnN4d1afTZcMbrJx6K6CGKw/IApRDVpW2xcguNH/pk8KefhxG SmstblIdG49Xn4Ff1Dtzvp7SnLszIf9p0FeIJGcgDpxG9sVZsLx95zGWrOnqcUs+7Hy72xRKRhJT Cz/QwE7ow+c4wXmcTdpHCdvMMYG2abx6H/lmj1xs8Iam96dRWPbF48t8tXkURx0MKCr5/sb9yMKG 1Xw6FCxpAwzwXh9q6TkHHvH4R6bA9zkuUknrFWR+BYOoib4y0qo8ckYgtKX8nLKhAOLubpG2/B0r jXm3FGZUk8KDiTEqTib229xdIuAbwO46ROV4/jLeG08bmR8cTfZ7qvwrpWKWFumP4wp1xsh7Owin 8Hq/TcpvzUkgfyARhGKYKHFwEC64g3/LzDJgqEaLDZELcfUXTJp95yDVACqCSE6yKfzxlfszVPg0 OQZEXEYmklAgNT+WjsaKel8FvsYh/nQQMpJro1SmwbfKBNl+MAHpz4O4dR31mqpgc3qndp+Kv3rO SRRlOcUdXDQlZG+sOoUyjOvFDwkvU55ohDs/eITy2Q6Lv4wRYM5yMOCJhRp0vomIgVx+rACdoxSO EepWdb55pvB43oCF3bINp3Xkwmxb0gTBA686uTBjjH6X3gUmvnyPVUkrA7XGCVpHjO3Mfq9CTX9+ Sgq2AwlAjviwPdyNizdiLq5P0CUPGYE7D3TVtFoBnhJLuPeeoGCdc7Dc3FzGgKqP3+I8vyvFuCrN CMzlp9z8vMN4nzsW1CAa3gAnFe+UEOtO8YjaPz9JHQMr2ggRaSQk1X/1l0LKAC8kFVbsV8TRaL8y G7pHPQpdnjKx9oWixcvGmtABD2mI4WoSGlDzGf81+ZVaDopOgEkrrOdwl+XLCPUf9uSOQxyBOQyg xkTQ5QCh8K7kf5pACmeF3Fii6PxIuPkof/ff0O7wlRQ0tbwq98vreYEkxdo5T1AFSs2o2N/roaFq ktqIw+bJ0GATyF6jDZk7PczomQvnHsARTkJq4W6lAlnhXfLei7Js713OLxn0bzHpT2DGg65BId5d i5ays4rn5M6DupGL9RlnP47gSazcj/z/gWJ5WBpeMi1mRchmLtW3a9XgtFZK9yD2jD1J6xvHv1CB Urpaa+dynlkV8kR+JI6yxCQOpZ2OUvOKEOUtIo/Te0vWUUDZBzNBOOjy+TpxKCsPr5TEvZ9sDfBX V8ZzOs4CMmLxFIz0+PMMtJ5mynWvaAXwkKUbelu6V1lAVKkDYJUNROUKrshUW1yQ7I9bCK4ad4dY fEB6A7QT62QfM5Z6timhj8tp4l6aczlVw0q5eJ0t+aNGs9dmwvx2GYzL5G4oA4vqX1ib4O6vTgBI 4wwEXbsZGeFrir2kzQwoHHq9qfPwxZZaV31Jp7YeiICKexSdQNDlihkvNwHRMBI7H0Y45e6Ohrqi Ry7CdrBazM79Qy1hg8YVaDoHSCJvLOS9lWzCvF1t11iutpnVzTIxOz3wVTDHUlYeINMuwjVos+bk t/o6Ls8UODdMoAopEPycCXaPKLHnRMu2oiPR38jEpAxNPQvko2kyZ3l1Meh3URFGr6DkCYHSWPdG sT/njMRvNUHaiopf7YbfYOgWOgL0b9EqhxyYjCm2TgET5XKNeP5Js1tAZEXO06dUUgrTkxn4JzaP E9qKzj0cl+agREqScxai1P8P62JaXiCcL+TCX1K7LhynagzILq6XSL8k+JHOWkUJZTJhEptG8vW1 LyKgoRi3+cbWTZkLpvjX8JxR8BDJYgjskQmfSnRjvxn0YxRdFKMATeHGzoVbRO8TaJqdQ6S6oQrn hpqX+fPhMQSvLdZxzfg4X8BxEmO84WmFUUhvgBzIyfeuEuIsyIxBrLSa1vylXJY1Ot8bEeVAunRh 1f/yfW4rgCoM5d2g5j5mEwGLUfyG2kU2tTFhVY4di0QWdj5lBQlsTvTsTrLj3cIkLfGSyBsDPalS 5yt44ORPOKTVoPzPiYGGuCbdGqR3DgSRDC0O4N5b+KQqIyAIE1eTODpqxkjtnp8oVf2TlmKeCs5e I7mNSKm/Yg1Xa2YbV/p8WnJoskrqYIugWfLsDxV0CJVWiHa5VRzIOlr7V1Vn+PYJASqDHOKMfMzm U2nNAw6PVSBnB2oVyk2FD6uHDK2miFPdk6AMHV2izvISwyLUggtPdvvpc2EGDDcjI9EkALtDSgb2 QHDk2lRw+cNnkA9TQXAX93u4DjyLswFwdPdmEJOyuSd1sHGrYMDLhEiwI+3evv3gxlPA38sSremc EJcM3x6HAcBF5VX+vFqfnUSCpQf8ABHKhgnNxAUBK5DP6kuWuwCLen7NV3d12GQ553YsZ103JbdO dKS9SRt66Y6QVrI/r5XdFMIlfqy1KWrA/xuEUjX5Io97PC9rns7DJjLqrfN7cu3YjE/3ctjGeXqA 3mja9uQzFoUFdNbyqtu5yLN3iurRMEP5QOiSqWzJe6Y4P1eJKUrhZ1YlPkCPPo/VJoS6QPHEMWhl 9AIONOtNaVk3Fq5TaP9KHWGItmzAa7OTRd3m2XA0oMyvKHCaGraD/S/PziFmR7u/o6XcJybEdNkY Ll7ono44lFuj8609iEG9fX4HFInZstP3afPvfpyN4iCYEFbM7o6PPu2xZcRvfyzxIilhng/Bx+pF swvkt1xWmBeBQi7a7cKr470xCNm/v84CHpgAcIAKJ7HZLkBbrsC1RKdhGUV4F0DJKlca4RjW1a2D O6gc4UfdMLsNCFpllzepzLi80MyeqLnFG3wH835jMP6yPxjc1limKHLLD7w54wBj11whk0C0Io6Q Ol/hhiF/VUz3GYT39YKIrUX4D9jGJk1S/gM+1GvDwSA5Ie2ASBx2SKiVu1TVoRjX9ST4XqjUUMB9 wEkoAdnCyQyWvFIlZPXKYEpFlxzY4CfOlNhrW4rJv4F8vpQiexlfanzh5fS8wSXO3PfakSaQ8FlS arKBjN0j+YZ81XdrHswH04WuTlylckrFQhAT4FDyq/qLxDm1E9iBFigYwoGUrC6fXHKQoPReD3xO u8VhKhtCy/3dMlyDIS05Nwy6hLcopd5zdN0detOPXcNtvryyhQKhNNt+MNb8BuUe2SzDPbWubX31 +Xi95/waZiXaHDmxKuGm6lMyyJdgdePbx5HdCMd3cvR7a1dITHhjvbsu4adjVUuf03jK5xkRsfT9 W7L0lVrawELtix2y51p9dKhy3EDKxTl0LYrYvaL+FpWv3F+jlNJdflKzamo4RD4PGPf7les+Jq4m l5BBfRisaSK6PVbx9biiVkuVGBDysxEP1WtdwmP4vJkpM+DGZk4UovWoNpIE0t8uwovObaVKhm3z qfyzek30YoKwPmLE6CZB7AJQebvEx7R2Fr1i1EUkvdwbwBj8SPrZTXzy9cttw6Rm5zHS7whimU2m IAyhzdPUusOcCGGkiw+niPYXLW5330yVp2ewsKAfdqOnFJWTOmN5wnEcOtyFalSwj2VQFkL761eb osBv9fWN2uaXEKxMARHDxgXJIhpCjGVaeLQXdzU1WQmTbZo20qnr2nlCEqMhki7KwlwpU2RGutKq sHH66EceqJ949Wxz0r0dymnzhCXRSoHqylZ/masByUXHpDAaXFZ/R7LnVlRCKqBC6lyw2WNp+AvE A32BJCdCZdrNHOA1CCEogKgL7omnQ2RvpRWXjuDgTzxmE/WB8Z1pgqHfRRdhEegyLAoqX6kC+tDj n+7yj/lW6UT9X+x5iRN8CEtjne8LAucwvgXXj2IITfp7bshLYxIxI1IatOB2x5/HtjBgxX863zrJ jbyl23S2vAvYs19MPdfriAkD9oYkJqxyJYixZO/JTsix2gqwfR3JSZ3w4U4hK8+Oxu6Z07Tu6TWA soUcD2Hkpqu9SjQy/K+1UGJRgnsPJI3fuhd1AxWgTpsbJcGwoqn9xwHB9XRDLy9U44rEpPyi8+Sw jyx0G5sXV2HZZOVxwqpmwHqKbCPImvZLy2PK9BSWG9Czxm9bbaKuRij5FdGk+a4VDiwkz3kpM5w0 qbTMCLauhYj2TBVzxhHMJ9J2XZrXXRH9FD/Eu7YNfKs5uSZRDVKGobA/wbSu+ZVZIWof/jdfssu9 ctYPLfe2zWEruWB/Si12uhvqxLzICJYytxK3XFLb0ovn/Ih1g2Hq+988D10qUsIbyBwT0aXAymWP IUN2AcQSxLzSuuFAsFSxiSn082su4fNjOr5yGQ3S/esTGun7BvrK+YBUOOtCSejMZ1bTU4pRiBiZ JIv7u4Zbx1FcMTQcCA6q7UDTwQ26MuGJusI7JYQ7f9VUlsYmdQopnTrYypeiCVng94zfjuDWH5NO MHNgl2P2gHxl2WQEbEFQ4Bi+Z5K8huatqsa77K5Zh+RDLwuqMttcy344eOniSax5lCeyZtOhHr1M W+fEt6AJ6iJ3ay5uh1dLD8M28QYdBHrONfrcLEcvbswoM9Geowm7nZHitZAcMYdc5m/5Ymq1mHty HbpmEu/Zvj0m34vijXVxuu+aAc26yLdvEb82WAowHKHOUB7QL5U4CfZkTdTjIOBBSmG55WoaPYOX hoQVwy4dfMAnsaDbh8X0OVGkb00rZIS9tDs1i5HIUQRsrlPZtPxdxULFs/X7pctVEQDL9MQtHNt4 mzvmgxudecHKayWoJVeGz3+mUjzDaeRkzx9/b+E4qZmGEh951BQkcXPD/xUKUYeCO2Fz+zzEXP4J +reuMGlRBhRmiv6YAJo/P3sssx3V0o9Zh5tsiTC+6KOAA9O7x1ddkFHNlNG2lZ0zAuuWT6kSp1mX KV+tj6LSvmZLG2UfYcLduuW7DygbOwHKvyQb0pVDJl99vDQWgyuGRyZqDK6tbFPp4E+JVDV4FPbU Uk5JR7EQk9wXEBdWOZCMvvhsSLLc6+JztbTUf6n5k6PpgNxpHFPnLNCHkTzR6tkKHjtUsmcKhPfK mmrQz55uhFtAZmOQMrc2bsqGEjwRfctsk05d7erLLX11EhZf+hJlGvKCC26TxsSfSDok3teiEO0t Ihp58XBtSXP8FDtE0CBl4u2q5rUkf2b5ih4Y/lc0qqoU4x03Ep4bdR0T0oj8riwzIPo0K92+I8gF 2F87RoRGgEbO2cdY1STYMCcXc78uldCvlWU7gTOeEJe5NvzThpHVCaeTrvv7/ixWQHhWKgIz4oR2 W59pajNyyYTTArWoS+8L9x5B2vRi+Pl1Z1mMvQ2x/0xmgR40KtlXAylaUXhude8EFZs2lCHbmZsT ClN+KSjOzH0U/NQc7U0w8JJFwMCJAtXg4yb98HXWk2kpf87apw4SoLHfkjqUgdWV8jvWfmz4PKxQ uaqUpXHdbri2Dz8OkbkymO46nXMyi6IG/a/6dqk62iNHj9XHSasxKvqimW0+25QOVKZ3Uj5jC5KM k28Kis4XMgJ6f0ohQfYo+1v8QTuAj4mSyecGJAIRWM56dHbmmydsvlXmT8CyIUl/WOgzUSikoP01 0boJ5YVLpAN3bHEqjm8SfMwaBEos3GmoZsHoPEbswx9vMEvHRS2CqeVcM24lH6DCZTskpk1kQQW3 MVXjKqyF7E74NnFgW1gq1VZfoXVcK/kzBm0uo+HN/oWfmI2Fu0NGvc7zJiLMRwByZ0J0vcVZwCLd EILNQhWNUML2GNsg9+ShTUPvcMbB4Gw0TBRjGiGX3ZfGpuRbn4bQZc898J+jhNYxYwXcaOBvHDLb nWSsgJLtQnX7E/Bv7w1jNRZcaag+75mGVchjIJvh+H1Lpd6xih58zPwfl8rgyqSUFoyGo70Uqgdk LBwPkRsQrqbxihOgjIaly7/FHINYyIFNUfICCNRumbR86G493ARmy14NYcDqFLYI1IWa9Ae5m12M VMSpUnn+SZVe+sSSL/wBRPGsuIN/6QlDnw4zw5XCug9gM6JiQx2SKCkcQq4iFCnOzKfqhOVpNedI 475VbyEl6KXRiO5X+TEvxRs2jJ+jE+yApGIzbIfdeD/sUtg7egqd57rcgVh+B/XLY0MvI7svlRA2 7sNE4MseYcrLz5RxdtsWuvN1A1w+pIqdw21OWLxLoN2Ivq1RakIunW6WZQwhBeZq/dMBEYpHhjEw wxXwwck+gjChDjbFLdRTgws7AopzWRKt3w3toMwf+z66o42ZW3qVPOpwldGh0gR67iC6iGJsqb4r iLbB5Tb75V58aojCNFPBBwK+HPz//t7dC1FkkOwSoGlOSyJKVL9KAmHzzvAyUW0/ymcAa7QUCOpF R+40JXeho7ZAqfcm1p41UmmVZq3I4+7pT5isnN88mbX4wUwem++fF5CLK56bpNcKFU8PeH5YfW60 htASfU1n0oPxT7r6pBXzSoNgK/Y5eX3W3VjPrBIg2vKmDdyLGALarVGiWppfuoBDYjilzI9UOSZb li95EzcbmmGmWmttEGyXSmYbTX9acEXQEnHQBj+LgZ/DXZ5H8sUrr75wC//mL/5paUb5o9Rtz1bf HEHeITGdxLZUi04+BP/FXqkGUkB8W1LmNxGS4K5kzRgEKMgUIWpMy8lP9JuYwH+GP5TuEQiGDwfB LeTT5e9OBaULjYq0qnwLpcAVP0IZAhz1o9UZjHbUCz/YnxKSRcHJOJDUqci1XHlXlwFxzqNY67x3 skDI86rOr3xhz8X5Va4qZHyV4/vI0AoziHXyJkrjMwfqncHUI8C+Aqz5A1LkvRlmSb8TfZj4cccS lGJ9G1l9EAODDbsRSja4wIAW9UJJ/uFehnegzSQRbfqwN7e6/fuW0lP9N+C9al3Icj7vSAqAL5pq LON3ZQrbSSoysrOGIJz99rCTiXoEJEgKa37SrDzhbIEH9l+uHB5e/903o/xSVFES8L07YzBn3Tai T6wFzt3cldXiGAddp0fTC7Wm+EnLEcnfJCQIePyUQqmVgIuneznTQ3CYgy256luhvjcQfpQaCAuN pPGmaMMevDoq+N0urPNqyc4N4xOXpbKJFzIO784Fl3IUaCH0zuVsTK8rbKqDr/O9xfHBSukX1dEk NML9LUMtlatF+YxXsNOUp4E+TgakffLEaglo+ClavgJsSiCP77RfwMEUsw/OqIBxRw4DhuId8RQQ Y6hTDj3F/sCG4HP+Vgdzo/uSM5g8UqIS2LqMkMbmrx6ZuMjgPbMpzxnAXHaZaTWYtwdvzok9Vx6t vONhMqGwuwd5bi7rZGuV1goLSRV8r84A8Zlbim9dwXfZ2kBt2zT+hx3QvfvXKYYbyhI4XqGVMSLW NwFnYNVee29F73TKvqNSPaOH5rS9FrJBBEUz/dPUIHXxtrOQAC9O3fc5fw4sq4oYJ25JiXq8MpXW DvW87el5OSaWQdNcyfW9Hp7F54L6hkGv0MrclocnTY6SEahBcT3N+p6UrawLW9TnHaUib3+sb66d MGLwpqKKxgzucABx2vrqItHilbYbCfTm9wghwLSKpw6yUKDOjhBBbicbBIASAz00zBIaVfapunxl SP9GucD/cTLPfrspYq5dPPL97v9EoRTfX2PDA3u+Id/RLI463EoZRCc2kIBazpZ7xr13pDDR72pG 5u8Z0Wai+/4p7KuGq360Fec/0eGpVyrpZLTMj0aq6jFGAH5W8vaV8tn9YUryef886Eci78w+1V5t vPnlJTIK6Zbv0DtmiJTjkPukH6DczCY5yg3eWU7VZHPA8guNVeb0eelT8G46kLuW6s+1pkttilHx S8UTHFszi+C/bqLVKCXjcq6nUuqoHnqDD6D/+fF89eldJXYazLMbScIvN1A5HDLv5wMBf2HoaW55 MknJcSSHcnAcaHm+VZQqftWI9RewhMBvAR6V/0tsVwUTYCYh7MNsoeyk8X5TdWAiKWVxIL7h0pBh d7dqs+Qw9r0tUpNHcULb8Vohr7e00q3pbWmVfeWKI3herJcmUxXv+qCkKdT1Mb4zDxSbIydWzXki 54p3gtSp0JKqNpf31GsTQl6BMCtUBJdmqb0TPLkMoQAH69s8yMOrnKvlDHBXVdE7SDYAzAWO0jVQ 9ZOKHqFB5+1mwr7Iog84ZrtbBW51ZMDt8zDbuY5t/A4s/pv4o7FyVp9CEySSLGoKqPevM0ZYj/Dt N0dyR68ijwlrVc7Dp08CFYrgEBz6P6fxVf7CjjLvWGEE3AQGqDzMXKFyg/qVDYmaaEsNTytUwQUq 3YrsOHGmyAMA9aX8FoF6mAwjdU5Ck8Whp43zyizzNiMkS3qP6ofKEtzpdI3bf2Q/ftVLqCEgcYRt zXSopom4KtteBJ22bGCjVnG88UoaE3fCXVr+oGimfgauvXmtnv2JZRR6WKm073+Kld3AGl/rWHjY 3oNd5ABQxkFKeFFph+1MFIxZVn7TCIhxEsBwV3nt60qbxaX2SW6v27OugBAyeKeqbKzLtjYszMoq 71FPErWs5pqkO9k5NDdPX36Fnov54C+P1Adqy2PfMRmH3fQKW6RwT4hgldQwmFdfaVsTwYxANobr 7jwbWveGvNB9BR9SLEUqu0u+QGPBtnelmFRulGgewJR4tpfYduprL0oLbJTJEw6+aOmErtkkOTcR OeqoKdpI3bMdaFcz7jsanrv4TgSjGwpDqmVJN1z78A+BYHeAa0JrDWny7VrehHjHQ8pnlZ4PIYqk DLGtG8LVyNOLjgL+W9SnN7E05VYcZATvOTp/H31nuLNQ9paEM0KB0PjdlLb/ZFYIp+4uX0bFFSc2 WYJ9zQUmFq9pZ6TlSICES6URu75G3Hn1aS33BUtrXR7BXFUJlFZqhEYx0KAwH/bOKcLeWXzA6AB+ EtsEvOfdikMRGSJsejErzQHtv7Y5CkY9b8QfsvfQfl1auC89Dv2CCIucGm8BGHXMjWqtaPhZSA1z n7j3GtW55b7m6jC63QvxV25UdaWSvTrXqxI5fpYdQmmEeEMNFj0eerqlpVLpltKaT67pG+kLky4m mzbOZ5Ma0HWYK824esP3MvXt8Z8BwZb09BsgnPJAHI150n3Eq8eCxDTuLP4CjvhDlOtarwanznOt AmggJWMdGjihHvuYAz/foycKaHvVMJ7XJGXZuQhJM5BsOvqY3fOEki68rqKoZI2dasIsAnRQhlrM Ow2OjNT6IDTyYkwPQ817EaavLsUkaivjmhBgt7lwztalMNgYSakV2UVOrUgAuYUKb8ZMgBpJMQUO dIu4LeR8lJFTj1GS9bC9fIAd7UXZPWZoTrA69jOHIohU7gu/dBrvxFrobSSvjHf2axr6HfiIuOMV 00+OwDlDlUZI7FD6XZkVaGVdQXN8YtGO3muWSB16Wga2mxV4sLaaZNaJCZzMjhBQQhpv0U3bxua0 P8ax3HFKIRhToMaMadAWTwsPoLI9a2/VuYLvi9D40/+N1Ln69LaadmKqi8PicZ8TM2eKwN2zbTkY teUhXTNxW0m06Uwi2MhRej/pB1BnfVxe5KuHpPdYhhzNynl1nGscr1VtgBy/9ZItskUansamAkfo Kc8OLQW1h8vneWQENsT0xBZHbMxX5fRdw3cqnPYBNkkfDZTOiG3fhRbFYCZh7ZlT4Be5yVOkdlrw +VNXP+SoxOqom2PBWK8LfCNV8CWcDiHk3V97C4ltLlGqaYcM3GlDdsIMChAoLxC7mrLrVEc4D2Bo hJADMvcJPD0LJLKs36AGaYNsxrpSW2LD9xDwx86rbDxSTIlpTf4JU8WSf4nlD91QvQNHnGMu0fgi xqiiA+K9soprmRY9t5j5ygSwxRPC2n1BvhaAooTHA7YP8/3zp+C7BZgq013VT3wAM2y5AAi+sdE9 c0dJ87NjQyFBtvxPDyGL4brIH0fSC5KU8ND6sdXIkYOAwDVGIvACAkin3TF7fHfmjPozcwSkb9Gs jy/GWFf32rUbXkDbK5c5/QKGF28GuOTpGIyfEZ9Wf8/SGwvwZupr3WGBr48ohkWVovRjhIzVHdMH 8RwF2UAfO8N+fnTlfqVwieRHwdck1HyZcVDWDr77ksPdRDTfXiHFd/rYmqDPSuqSr2XDTNoc2gM3 dJyLSx0+lmvaKJh1Q4WJEaupAQdKqcGM7B03RJrWJ+GwsOHlSlhniHN7gEYwWTQm00y0qHSqJYmh UD7qnCGSICnle6/25+6RpXqhk6PbQxr67EtK1nFJRbhFvm0+jKxBEWJshxyIVDkABn/iotntsPIP QFqVSNyRISnKoApMA1E2AB8IlzbIqlxEG5qHb+A2YWGgzKxPGOeUCgU0n38VceOd5usU+yFjklU2 iTHjNGlosR7FkQGhpzXFGTLUGcnFZifIuV7+pnpZVdwSHtje9/21lWfr3gIArF8SUySVC5qNLHVI Zr5tIbvBSba48ysAp2YuPjxm8OxwvXd6twisVy7PuJtZwkG4u6tAIMTfxNJESoLQiktsxMqjLClW Ckkk5EQI7QMIo62kQ+BJnYdoY3vQBBCefiMM3yz59K81ct3ueOwwrUpRsALW7mlXiZN9RovSkO/i CZpoUrI+QSFpBIyyHGpdghy/mPm6ucFWN55j/wK+6p0iUFaJVjmFHoqDQbRlKuGAdhX6GbZ7IKNV oHCDEh6LyniN+jUSKFS416405V/bmtzho48CE34CCxJjhq4pGuOAjhD01ZX6qrI8kNuIxX/MLORv 10HL7ZP66gHRp+LYvZbAs+uBHc4WZxV8DUFuu/5vyIwLjddiNglkSxBNo80SR6yE4e32fmRhhwNA 02f+BuXqANqmcctrIsU9S9HajGqU2XmLLQ6z1QolmZ2GoECkCtJfEf2XS9kwh+OokpGkXIHsgvmQ nJCFuhPWgKN4mEEZX6qkalv4+sffaoL8dg77eX26VOhG76VfHCHmpRiIDkzsoVr4gwrrnWzAK4of Paud9QWu6UdHcLdcdI5KF6vvWW9PB4MTHsoRwR47h2uC10mFBgVsPab3tN8oowne5NSHC5RCE47c ftlZobN70yPhsSHBxwTMZoG2Y1vE+q6akTu7g2Zbgnztm+ElGPjN5mQpT+RC3RHOJVUcCTuC9lCV ZXzoYKdsUeaZszy1N31WbPKGDI7qtwQv2n8FXeJ00ziwrauhYMmyr09NyDHF4nGRAKiPQKO4Ku6b KesuQvQY/dXArQIUZAX1ihf/1rBxRMw2v04dzpHIhgS3kwDoWs344cNSs4ENgk7FKB+2eMC7OLd5 dzHKKF/QKz8s/3TK2AYDXIU2+CStBxX9xdQJ4vneDVpb3UoT6hmW6veCKROFFAG8JDS7hj/WYqFL axkvUlCqxStqkQ/Oazq/FXsiPmR4+MAm5IYrG8WUGUp68Cgp4C6bnh3jUwq6xdrN0e/9gnSOxRmZ rH35KT92YaIXgxzPx5DXkQRjvAPHgd/iuoBYECJd8DWrXzR9vB86mxORbu59PhCqqa8uTvQBME6y yP2bNH7iNZsj2oQJ+a9lJ/6Gsx621/hiXqkdDnrXUQ6+wVaPLRsBIQJMdytk9B/tf3imG2resgGM d+hb1Fg/45oT8Y9aZQGupbal9EBelgcQr4Qg+gYE+tqe/y3XdZuZ/92zbRqO4OpMwHuuBtXczLbr T9II100+tpbFJar3Bkx26p7du7lF1HDxu3W2ULwoi/w33Rc4lK+Utou0+nJCbd6ybpgSSfaRX9AA +G+kigLq2wzbeXAEG+mdSwT/umRJ9cT2NqL3c9toB5KPm3RNEbh/si7OGXmYnlR1Lu8+qaMYy0eV mzw2frJBLWzU9f1lsU4QBkXPSeGgTLj09vL7zljyF76xasLP3Bucrn/p6iOPKQTmEk/9J2yCOmtS p41V5RwYXbStgWulvvDb8SNClxpiiYfk1bzK2HDXGFlg5YTk6wVnW7LZWu1iaN1D8rBbZAlP24OV s8TaZzaoU1fL7F3Qbo/6b/35VIN4/rTw0LiV2VpoCMBi1urVLBSLLkWII9Xve9wQ4TckmM8FNoox KkEoz/Oqa033cofkX6XPQAOJhGAQcDntHT+wNhFGiJ4Smypn+VX45cVi5QgsVYCSygBL8CbhXnC1 3CAw/Q3hoLhme6PiywmjuUSBTGEspdT7kBWJByUvsAxIpNrhQJ6ITYYKgaQaqlcJMqpWHOzNeai+ vBiBwlAAvy4X3ahvP3xCx6qf3yy2pkUiG6ZGLfzG89v3mgZESLb/svHcwfCns2p8dN/u8cMG79zD f6i45Np7TZ19wyAunbs6yFX7nhX1fx2LxkpHjtbrZsMGiwcUM17AKHcfcpAPXjsrJ1M2vfs/aGG1 PIA3nE3dKn8exVNOnhzgKeP+uu8yfp6foCwY33CtpWEIHuRQvdx9jXkM3EihA8p80Ne27S6kRfAj BeWng8yRz77AQhUyjqblGEpZMvo9L6PK1wZvETgF2L8/MwLsT6k4kbUIrhZCsAvJdrbf2zzRWgIh iKVxSG93FwHlQle9YPs/0REf4ENt8Zs9OB9pORXhAAXAtCsyhSV3NIIsC03kCD3aeTv2DMINOvAq BWMWAVKJ6v1xlSWOw4cFWJZ69TNnBI0ly44mk8aQHdzloPk2wvJlKb/mFwX56mNV3hDjIML3XSVI 6+Y8lmFvWIRZRT7Bu6zBfpn7ARaDrhqldQCJDYLNKSDboSEBkJqewSPP7+WMnv4q0vxcFPQUqY+T bPfyCfdT1Ksmu/CVUkR5RoRXevYGXqGpsJH2Yn9wbk4WJjz04Ztm6yAhFS0xbBOrnhpaIpjE/+mu m8hfyc39laP0rKqwXnjtagcIBqsLX0HQAG7re3WeAdRRa+8rnNi7xxscuSXNUH83St9fQu8R0o6K UIYsYCHQ++pORezo4cPbSnpuOktSwyxeejhM1EV8VfMVOBR0I4OjH34tzqYBHgoSaiTf2VqBvcl8 4wtzVL2eX6g/u4Es+/0ZNPZUqWseI6zlPnWKOJYc6Lqd2syq9Ozh7C2zqe0EMOEZYnAaZhnO3Hxq emsRTAm02Km1ZlnxlANXjwv9AkxDXP2PIPcRYwi72HLSE0tW8wHIvM+7mrSOUmm9Rwyk6sTYov/z mG8J5otqIj2psVxBPclYtbr36ntAcbhh4KGbI2V2Zq6cN+n7yeLCai/ErADe8U0Pg/XIXpWG+4n9 v8+ws89OJ0Giy9t+CbAmTVlznw8Yq5fmZOaU0kSt6si2UNQFUW7bVduBnOHRDdi4wtdlefWdcwoU l4Ssc4uDuuIwjjE8JBs8MFodiseEBde9nB1ni61BUd2WURX9/f/on0OOU510XBHLPC322ucYd7ES pqMaz47ZwbDWvKkrqArfp4yB/u3q0XT+sWhcr3H6GNnEveU8UgXbk5xHbxBlpYQiub5gqmCD8RqY yqKcdU99RXeSyGMuJw/xa9dO90el8wYJMynO/vsJShe//h81QkH9W7wm6Q3MUjbFccc+qwS59r+p yi091pBHpvo9qL2vJeau07ZZ6+Lo7Oqai8uvXd5RVRawUWW48BvaGvnBtHOki6SUU7DIFuzOfZsc hKwxNZKyVFVUKgrzjjX3676BlSPoX0oB8NPx9M/5gpEnVLQ9cMTM+mix5l2AY+O5SAbMicbjZbG0 O7wvBXML8l5Y/FgFAUlIoz4WEA5bznzPaGwLdealEqtsZVAknzKo5W8NYQF4sKI0UETlRl6bEu32 p8WbYbUH5OGMZuLhQ1v/VAe6/EzL2JwvduHwP0i3t9Q5NlrwASeR3EJtY5tQ1HXmp2DvyuMp5j85 Md78Wv4II7LkxQ8ACiCuEx/QHWcqI2m2yC/B6ot7+CGpsgBPqbnAgiKpN/8jOp2iBU5g9mUUc9YJ PgIdXgeHj4ekGSy83CUgaTgrKbRtce+e+JsQMpI62uKafNQkWSffG1KSzd/ospH37RMmoy1LM+WU +Qro2/hoBckkMMRfNWeDKiC4TdBlkmRxjqlBE6IaB7RmA0bdXMf/W4EEmD9W4k/My+q0h4TgR08S lJ/Xs0IVZszpVm666Pron3M0AcR9iOY3zdOa3wiZPUBC3NVs8mxgX2eBSiQzevPq0HF+UBur6Q8t yaTiVszQemTINeMcUCYMnazLUdvoiYhoqZzNfjByCEblsOr611rOVFrRdBwzh2iIfdZkMjZ9MFYd VUy8p000AGtgQItSI9EgAdDwn0gUUpYxCFxfJyzvgmWf6bjvREZFMLbHQpqVzl91Ig8aRPfjECqd Rgsz+elFcQwUUXmZGQh2moEWfABjy12Jb99eq2oJtIbudxm2Ej59Hizdng5pbYrlwoaUzsy8NUsg kJKfNRQvipxK1z8f1UujOVusGBHM6SUZmq7gKg7Y64DHHWqUIMQYVyqXDsPJbg8GaHo3Zyghu4SN hwWWBnOlxTTZpG1OJQjoByUrHpuOUXUxS7iQqpzbNWEiWablCg4iGlSF5EQpmIA7uztInULLlXIR GYBGAP3UPhF/SVg7/euEwAVojuGYaG41nZfe7s+2hNxEGzsNNz2DNU05esq82gS+FR1XV6Gpuvb0 PdkmeeH0FKo7Sf213JqzSfX66XPQYzA7eD7d4S/IkNsxHOk4BP30S3E443P5G0QfLZDxgbuRUGAl SKA2LAYxqi5rZW7AW/eMfHOYDOfOPGGuEmY9zRjekfMJ/uUNa+6vp1rQUO9tDoPryXVLMl5zG9EJ d5UTPOHXwnnDBQ2VHUMXxb+fy5Ai8lXkLtfaXOzmIQDWYLLvRJeXUjmnLAnpBDkr/p5Iwz+7g+G4 FrvoIBmh5USPyQfwb53gGk1NUVK69RCOYBl87q3nO9UP5CciXi3cuyIU51Os+/seLCyf1JYM5SZl MHWG8p7Y5/TvJHRkiOucGSgbUGVMw0/glpNiv2/6lrUunJnHWceraCafxnyZn3Jz9xpv7L+6FKgh g+fKOMIbpmH/YMCOryhaCZHuYbKZF+ivZw5Nvdac3kl9lE26Jdxt1QA945ihKG+6xB+f4/PY1/ae iFlVObVxL+wU37Fw5kiWkUy13aA4U7Nn95//RuuCpRZQ8/sKqMvx7J/++3eLVA4YCwX0fazpjFMP f2S3RQOrXc3Z1dJZtp5GW5nzUEI6J4xE6LM2FZmqJTjsqxMb4RhhWBVcZv8ACWJQ6X+6wmylMbOC wPl2ZWsSpfapLlevN/rzBQoEC2Isd8jxqecOO8WM2ghtZuVz385XIvk90p2zT57tmt8OY5Agqhjv V71etldkSjmfpVRr1Jm/Ny2+Hae007NbavRGVWys9+HBcsFzljpqU3zSw+T7uRDY3mS6E2sFYD1W yEkcQuEnQoa776qHjkEnkjLZmmbn07vI8T8PZPmVjVybZhTXQArA99FnqU9S04kFvf0pQ+gZ7JZv vLjD/xg+kkYOAPHAo5NhJyrVjSPgc9y9+GqkN1gAJIPuyA9qBCnmI2mYq5uaiZ21x5XV03Ft2AQG W2otzzMo1EJ+0JAvKbuPkxrrkZ9tbnjBD8Ky+I4N+lLxusmcueZkYd3IizuMr/tm4KjFpFjmfE6c xKhFJ4icJeQl+sxQCxTqlKEVbw0TNpxYopYW0qqQZCT7INPq69snJbGC9NRlSvcL9U5xe+Qg0tl3 /fkCnrhWXh7owgSVIBbUNu1y78qa8YARm3zUmymAo4vj1B+PeiPxdv+MZ+M8HTTkK4EjDurRjYYR 4bitbyUStgXo+yuMRU/DDJjT2xEXS65HtQA0Iz4/2rf9YJ5Ve5Jf1c8lk0gVciP7spp3eaIMKa+o 4irrcUr4J82JGlRo6qU+i0ISc7EP14ebgKUZNDWzd568yP9AkcbSl3b2GpgulIx3xJSUBaC3xYZQ o0gOwXcefo63gxwUBAVobQuZFMC+syW1Pe1hNlFdO7g8ovcuI6k9CcI00l5AXT1P1xK7Zg4IxTxt iT6S5fY3IqfVDYggy5hVbeL1ARUAQ672OxbqcXg5ojwZzggu0NK6TLMfNhNyYTMpNd7/CDxnadlM S1U0pMEsxiQw0/WgRGKEvePMEZLd4kLT5xmPTKsxDoKKdJfM8Bd0kVeAwwXUJ/pkp6N7ue/VJ4MP Dbbqu2ZXkGqMM5slulezOpHSeh70/INyZFZDaM3v/1EF50vc4daT2bJI6EmqVCuSG9R5n6hf0nnz R4bwLdaf+XbjdRGkzZKFej30XKXtMbJphcJeYCzXPVOGMh/7N6IzHSDlhVemXotZ5ZaLULxEY67B kfERX+MEoZ+c+WfzGgTNw11i9LmTlYgPO+vdSmqsmagv7ZEVnOZ08z14DdjKGoNS1hxNPGpPKwUz W46ch8VaVKCKBx59/uI/IyyHFC7dd5a7s4GbIHyO0WxpIZpjbgVYc/jGt1iIKH7E7KechezEuWUl 9KG2yCiOoSW5RnBUZdHyMS207AUwavepL8sGgNOVCNZLqlQAaL2F7M1i459tWhsFt4lDzlv4Izjr K44dYS0M4NmPvbqaEbuE6GjMLHfpB2e3PScJHphw20VBydkFjSMnpqZs3uCJnWJtPe3lTdgRjVT0 21NfnILQJ+N1fkULehWljeMPMyLseuCvk8oJJI5+9VuObRrgjRfcjmVCBCajnIlUvXgAZJvyIp+u v+1cLwii0Ya54cJ/am/piXrjKkUe1CH70N5WzzVivgN4oB04bTcklpUQYgMJWSekgU2qcawqQLxU aKj5PVF24GBtaHVQ9GXur5G8ztePwi1pVDaavsIlB3FVF0IHt1wqNvizTBR5A3o9SezI+JeD4ZBb ZIObvRhyI+Qp2vAtbgVdP4EHZt+D3S4HWiZcuGvNTyvGByFtKK7vQzYpDamYfKT/QuqiBQ+s+XJ2 wndxkBKpg3d8MTw9ulnyVK+QdckRWuWgCyB7lVc2JahEGRi31wb2e/5IgYvtb6f31BDBmus+UQVK re9wK4cQDFNFIYCvmCQZuFFoCXZa4PiBodpLzmJ3pgb+R63z60dn7HLfyLU5OSL5intOJs+BelUm XOV7MHOBiaRYxcVlgankerQeusJ4yuTvsKJ2jbrm77weInCz3j4vTqTvmnVKN8Tken/1CAyQ9aPZ +rRE8ceYgYpmKE6MQok6v1WXQPF99Hc87FuEel9cBqlfxq5ieapk64WOO0FG2DWGvReHen3JFrdH zglKmEHldmSr6ytI35luztIt6vnxYFysACQQNht79258XPoM9pTOdtG9fArpO55P6SlA/NAJoW9D 0nEJ36EJJhYDtydsqnc7Eg4fuBhCPsTF8oyHnprpQB9OTKyW9iXj2ewCiKZekFFZnfdK1STd5QAi 64UKnHj+kZvDlKCjji7SiQnOGtDb0qDhitqw+QMKSvdsYMgmJOyLyAa+jrxW6fyutk3T1IPkipQq 8WKO6CV2CXVdotJ7taHE9YnPUw1+ecl8nx+qXADKu4ICtKdSJnunUrwuj6fXyyIQgFwFLfsGm39H 2Lj7ELdwtLPKyyWSt+uL5hcTScFOKU4VQcsfjyTMjLjKaXa0NxC0WYri4gEK13avrnOmxBdHSbWc P4unH0zKJQH4Bk6Q4obEynKHT1MsfMaPPyujpYOA5KzK24mJP9skjN5KEQr7gk1Fl82jJIEuK8TM y6ayKcDbtEKMrj4eDhnAMWjsi31hC5AINILYt7r/RQ6G7GItKUYKCvltDs/7Qp/Dsu0TtOz6gTh3 gO7MBVfm6Fp7QKDcjnyDqMZpAHm7lckjvRi25uz3k11XkpgKGjYbajs9sCXJMDiQ7Kp62wSTIBpf RofNkHwNWlx9TtIocRmjF+/TpNXbkLNK7VeGO4Y8wJPJTVKUHnJa0coaPicliePoq9fu224nMcJi YMj4Ry6wvtiwVxEANkQbaJPadDpOjuZxEQJd7mnHK8nEiqZMtoeboDGu6dDf1rN36lNBnzaQaSEA oBKYKCX56gYNgD7+c47Z0OaHQKxlPN6D3lWllZkUJW0Cn47J2thzZVwM38KryxUfJKL8vAPdzgCP 29+AfAMEYKei4UnmssYM0ORlT0F3vPrr0oNHU/kEhaf50cAIDtjthzpzeaBqXtQnkmh2tq+7nMng 0SL4RSBXpEvpLmvb5LLtDBMT5lrUPTjAUecyV3I5xnWFn/cOLnBYalOIVgyWLqcWqrz1dzKrN3aP dpgtPITGbwsVl0tLDkCNmXPl47Na50YJhgkG19qqKJSp0eWPG32SPZr9Vs6faMWDwBl/YfK7HARv lmBnBUMTcY6ndmD6n7Tyi7cw0bCRjmry+sbYNGQfJ0IHOfoZZs1j2FNA9VbdOxYMZZvOJmyvWkED 1zYSRiJH/Odw0XeY5Hm59OaVwKADzVh85XZaaD10w5lqh2voZ8L4ptgStpP0mAWATVgKlinaKkJY Rdi9LhmuMRkfgiP5pIHXMmXpzFSY/gbw1M3ngccmOwHUK9lW/jgP6Z0V+bnrDXqSCfAYkcgf8ua7 vvObVqM4pdRMHi4TPF/Enxm2PPLE4Nutf5coU01vH8LpQeiY+mn4NCXXJjzTsaywqcRxZbvT+Xl4 DwEFS3CiRLs4VyTgW8S+cp6W0XZgLnl1Q4cDjqv2B1gFeByZ9Yc1E1SKyP18CJ0ZSgCAi6R1IzJY u4qSj3zMsmsPIvYZvwCAfNKFwepYR9ypRq2s1XvzcAn5pUiwZ55rrWF4dJTHpP6hhQHaynmvi6Lt hNo6vYFiqVumtN8cmE8+70MLn56FfdYFg3GQIMixUrQ16SsAo32PKUpCisJB7Ybxp0x3TjyycrqN Er33m+1XtlY4s6DcnlkO24xYH/NsSpJvTxIMvuoPLGdPlXQU0XpqWxTQbA6wR4eaBIaUy8zCTkK9 r2ileL7N4NKt5WTlf5eKGF5adh6/RCmgzgUxLL8B2/QtH0whG9tU7inNzrFnv8zD6HSiSsysGX26 kfvE1DXXhRdiUfkQLbBBnZZW1+MCH17PI0yrntKvI0KI0gK9TGwDHByE2TADVlKBgGX16e0NFJdT +IlZ0hEGuRIJCueb3WKhRA7fY9WHk7K8+j299wZFVZeEDW36QWbvpBjcwH795H41C6rLuW2ogDKk E7AXLss6WnqQ/+U/gOH5qytpQIuVsuMatjSwAYiowm+ncCzSitgpWdn7whE8I99AgI4Kb1IYR+bf x8V5aRvp3QeTE5CDgidNEwLXDcXw8j0zgOycBQMoSCXeYZ5CccVoRRwHuEbKTrsCtyeJdxxnSOpp xV+jepyhWqbJcW3g3F1Aaep5QB4KknrnKPwArq8MO6Cp0Z7cOlcoDSlo414Xi8WFiIr2MVHJWG4f VYy6ZEg81C1jeTDZ4juS/gaweV6BcWDpDRmCnH6bUMWTweXi1Ov9vul0i3p1ZpZHzVtGp6ANn669 1SCzXN/Z56ab5moqO0IAWgiiB9X97n+od4U40XNWo9+/WhOyg7yB3OoaiYQfHDwbr7Uk8MTeDgHs TgKXfJF+kHBp3E2h5V0+p/X/Zes2FsLxl0oZeozVUGX6h2Cg/jTNsRUXa/JQHkX7trbnWuftZhI2 VbNIXpokKsx4NM87H3bYySf9ieYuhcb76HREA65UNbAzzpR0+IeefjaMJY2KXhtXgq3tdN10gwK+ Gst4vrRVWU1DbILZj4R77tf8DITdvJAdeRp9tQ0Dvoy/xmHDJC2eZgWtXzYUBqS5e+QL68dlUNxf ZuKIuUOZ2YAwm9WIP5fTrPEGw7+yHVasukaE7FJVLJHTwwFjFiss42AioxKWYwDLzVsuwEGKxlnD tsdwgLolAF8Aix4jqdCjocnngzT0YYQr3Bbxer6bJDcU4Jhlw8eE5ylcHSil1NRyx6Yu5xEmNtsd PKGPY6ArP6BMx1Xhwli+v0xIO7qiYWtkzdvC4dtMmM2FgDWJVDReCLvZ4VDX3OMGcIueSfbJF1p8 JWqrmyMjsay45mhhQrxQL7c+Anwcf/ACtmL7qQvwRn0WMohVeYZIqZgYrt3cSmjIk12oiAWFHjLk wgDN21I4cdna+/Yb5zuPeYj6axPieex983gvAf/f+FMcE7UK3/VTPRkNej5JCMgcFQm3otlK9Hh6 g0wfFQcnOroF8KfTyi9hL5gla5NlbcC+xG7/26+cYsSizMGzli5lnYRBIjNo7d2Z5kYmiBlGwt+T zwXzJzZAQO/WadaqvvTjf/2u5O79ZkR334wvwlNcIZ/PlrJHeX5V4bqrx1r5YtME5hV0e+ATrSDc wHe8s/wfGCLOqRDzrjashZUoZpm2aODActPBsQ5xEJ6CIKeZF2WPpIiFO5yZRlU8Aze9wA3YOddF X1i+PTFauY5IhDkmbDTmAId3kNUqu0QYwyUy8aYA2I6k2XEKVtnAAwHjgAcVDyX+iKSbxbuLSJVu 9OEK/0SG1pDRtLauF5sKthG+dKWCBnBWZ1AUQ1T56M4qwaUYHp+ltaQW/mYmqMtjcSbLgf4ocQck INkY1fwQJr+Ex6Qc+qyB4ds0cjJpS7HOn9uITOE1DeJUnsI9tXSBVqXYxc8IdI8VFzIJVABmLb3x JnX6rLpSgvfFlJDe3GyY0v+p0161Bu9yOk+MonHlJ+kP8NpolEEFmTX+WCkASGek9/6bKd02rMpc aMiA5lkpXDPwnD1goWxvPAP/SEhNDrnncTKEDeMxkku6otZDQP9ZTQBoGWVoOk0jYvYefZLOccNf AvM4HcK7YdlirggvNC0nCCGei37SmV5lV7jpWWD1VNaskwbRMnEJwrTS6M993lYwf8c2BVSRbelp KbOyNawdDvZxh8cyKEDb65nZv4GOzMkJ/8MqBZcyIhVsGDsMrUsapP62amzCcveyViyVSpuuiZtQ VKlfP/1Dq30FMo9NBtnpB9vQaLGjdmPySbAt/HQd6GMUoGq+1Qtphf7PlZTnab7mWvj/IA/0boMR v+cC2gS1yL4QiUySA3kNFxL6tUa3K2GY393xAWiLwaKpK2Tfd3ZJQaE6wuqzVINQBzjm6ba+ALxo Nia+63lZxkL/0yliA0u4H/vsUsGWtF7aS3LfUqB5NdkfKGR+SsXPf9lE+Dr5w0+fNdJOaRvv4aN5 ZZUch/h+m9184714Fv9F0MHjyy7tBLGDBjC3dIHovhz9QF7r6V2PFNtxf++ePe/EGX3z+b83eDes fe5TcRGas2qi+W7KHd8pOaSW4wD7bp/osIK2yM9K7BSNzfIhjbX9Mk85Pm3Eaz9oqzrpmmyVjpMv AV31SZ49dKo+UnQWtSIqoQ7o79rCj9WE9yOEEbyXe7RI7SDDSvwBAhWZxR9HY0f4z+eAWHB2fOag oCtSCi2fwEjR/xYvrTJrk+W6MWfFh+k2f0WowqiXrc9Ob9EHC0LhhRLxYjc8V707hQfxfmqoMiOd CukTpQZl/CUTPp6bvqmQVVm40ZfSHp3GF9o/1+0Mdv9B7/M/xHaLzrxdcjm8/xlNdaLNUuPyXM5K wHcaiEDp6dkVhtq4T6X1bLCba3Yf/Wqp/vTrT34RS28m/yl4JX3I3nA4J7EYqmUgvGdeQFxsRKss 78NXssXFqwNHhY0Mq12+n8EF3EU4bSM9azyTeCPvR6+m+n0CPtfX9Z46ptgzHWd39Dl1KpK4SCUN 8CawUaAayKJV44j+fvGCEyPZiivo4gVqABEkpcxT7ifm1OrVrDqQVLHDZJOfCdTz6p5FyLvriY+K R8+HoqeBQcz1lOfcs5ZNh3OoZP0EUWNMz+HBUN7TD9PpE7mqLSiH1BT5jsGZLT/R4m1DDUbEyq0E xnBMcUziucu7vZ8V3JPNyHe1Lh2jgvu43nuuNsPXZziexFE2bc1icS/0FAIzcD7rT0NGZg6mVr5q ACZrVGSxDJCIHbVfKIEfwmTbnOec5jHnT4eLNDHsywk/6VfafePqJrQmGCgK+uN81Fw+D2tPc5tU 7ZFqXBI9rDSeb8MUIOTzN5PkBH5n3qbZC/923hv9tclpz6iRyupePiUw4SZoLGc/k4rDFyeYRea5 1pTd7EaCkzyl1bwZhQO+BZkZVh0DSgtLeofEGq/CkO567KM2/5uiAiRTa0syl4BW2YknWm6V3+g+ GuO57NZHYqkZhohuUDUhtaUCXKqch96mwWnAsHGKbQcTJ6+TFXIsaMnK4DczNWGH5M32oKuqodfa toNkZZv55Me6YHwurVUOjRkVbxGITQ1koJsB2QpmUBcaIEt8asd+9HXwdUQrDZMxYIPjgFsLfqYy jMzKd/N5GyFInOrJbzYygAuwd+uZc5501656by1BFJLjWaisiEkrmy82KVwjtdShFcqbKUML7q7F BnTvVCvPslAXqQCiciJCzYRPEuED0k7MKL8WfGRbdMcJ8CKQVhAVZ2NFcYck3Nr6nxtAEJTt9VRA 8eg9Z9jgNiGIm3sleYJXjeQ8vVM93cM1+ZVxH0w0uWYX6GigarO3l+97MbX/OgNWP2XEuvxgQ0Pe mEbgLm0auM9vZsfeZwTsPTrWA5Z9o2n3MxxagNQLZzAvOjIlmSo1riEXztl3OYOkhpYfSnpRZkBP xOAPdw0SM+afkq/iUjY63ZLgrDB3PvJHW212pwPDKcpZzaESUne4aGjnexg3dR7bcm5UIyrsRknm SK+uf2Vbet4OzgwJvnTRzreF4m+KSvHv1xMIsER57+Ebr21e42SpEdBkThAMmCAYeexFnpWqXFpe FKUXymgt+i9SmjnPGqS8w+nmfZrl7tuIri2vR7aBQ739hOOa6KsoaXxV2b58Q+Nl5BNZ1yl//JUw ezDSGk41xoh1rr8qLLlvXVWa4QO3yXm9ABcSjxaLkW/EVUCjJC5TtmVezyxTbhBPLn6Gk73NBJxe 3HrZeJkqTtGs80tUI7azgO+bhohGcomTtq/i1m2D2/66KrW/+CcCWgHxGxdsSDBqeDrUb8A9ambb 7qIM53dyFw1/cf3cJgJviCZlKf0LMPdL8PSDD4bDzqMQz47i01BHjLBrPQUdtmZPDzTemGUZf2Sn mY/nFul0q8T2dzGVOAeLdxs53iEa6cz9y6QwbhFyW3vbY48A+V4deGku9AZEj2Q9qh1tEPoUIzS2 Sl9PfAeidcuamUGMVCmyRXYEALVYzRbsucV97oA0pRtWKEWxWBq9ya+v8kMXRMMGr6KCioZrrDeL eKVwhUozos2L6LeJvErg6cLv18E+MPwJiSh1V5a/Bvghngjcrqp83Fkcxpm3hjSn3tBrPifVYjtE eDb6jvYkqWoHmTy3+eib8OE7+PCWUp+ZKAMPEMtrXpXmsxlFBRKU9Jy0RIRTDbe3PX6FlAdH21xg 8qgJQw7E2C7h0hVl5hM9ZjejB99rgwnjDJkXKO7limmtcbjTtTmIMBMOeKQV+gZN3us7HDdnIOF1 Mm6IVcUSnNB9EGvgtse4FMT1Dug5mSQr8YHErauzQXe2CNszdttSeytaB1DelhbX86XS4Li9rQ4T ltOQdgioqpntLPtLBGK3fo5jdyykNZ3tYrQXgkVi6MCZ+n2lQUwzM/Nx/vBO6a1qQ3iIYw7VEZ2m Jm5BvD33CgFmJeMa2r/FFdjdtVvzZuBwcMB+hE+G1M9hHIQn1WN0Eb1ImFS8PH+IRlxIBl8JoDKD TiYAb9RDMvAs6EfbdkrAN+q3t+useCtiHqb9XCVof5FyajKrRmLwSNiFExrE8bW2YsWOfPFDV7zl +aA4pMBK2A5XZ7EG2ljjo/ym8F1rqcB9Fjq6Re7abtb76Iyuoqt/KgdSnPYpj5h9VoCKfzUKPYws uwpWgOcwi5Cd6cw884QKVJmfyEBAfxcTNaxmHLtmfIreKBXnVr3zAagXW/2L/zoz9udovZs7n5eq zYmKctDJ5pnf0iJwEQzGX8ZsHPQ59MOF5/qsXHN4pZly0YiGQWHNhYfciih1gYhjgDzJakCAQE0C F8CW1RdQoliSGEhjukIgxZgQMOFpxn6X/MVWTKAsi7iX+4HwIYetsCamz4Jvakrm+fn+gXHY4Vqz Rx65Rpgoa8ljl38W5r+P+zIR+dKNnWHxcMQ3sns+NjGpi0h3LIF2J3yT9sZQMdvQhVylW1uK9HhB xfwiBqtfEFTlS36xjNbfNfXnVVE7jwm8Qy3O9s2m8F4hI3nQZckC/s6aWnTnZxVA8lNYfUmzVcUd +Wrcuo6wnW4rSsymMeKdXhfufcEpPgfbiIW7B55sb1i2Tquv6g5jiY866HcNF2Q3FiC2xFBL3eYr QOVD+7K4S3ITKNrPbd4/S7raBOvx5pA/PQRGIlo+y74QMcPXnOtdTfPbz5lvjmmUD9ViewbCFMBE +mN2sbtelawR1d8ZjCL9QLf5iTcAOzOw8yGTVyGGE64rx0jkQjAiEAXwA/u1bNz8KtLMX8eTUkmN sjvzdh0wWe0TnmCp6J8UJ/cfxP1Hy+WYiVD/kfcWDMYDfaHfmk49ufRkxA2spprJ2/SKdfh2KRGk eE0gRustMoAfxvbhpuXOQVwK9cGGBwKyoT56HPTlTJ9d4o6gCDZdf9+NguPsuAwhuklBgpO/X2O7 a4JwNuNDkAwSzGNh6twVs+l2XOcOn140PgPiQAU7UYMbJvTUvmj321Fz5PZVb1mhpD0rvnIWyQi2 dRW0AmK8U5Xib/JBw0WVX/w91hJPKpz3YnVuKobRMgLSN0PXvKt84ZiEyO9U+elNKWKYtxaDgIz0 rHpt4x1/XKO0RuCB59VfRhbPZwMqaWU3IfXNOeCEJ0BP3bh5UlK+kELwXJQyBjXZndN9yDL3de4A zsLWbezvUk9ivjMJ+fWNdcyZCAXcMuwYV/4PhqxDBKJt0V0zg9t+GE9eUZWxql6VmYhNub024G2z AC0mmE0HDWesB26Uaz6KPUV1zRElk3Qug0mzlA4a5Cr8K+hh6Pq6bxMnT6n0CeuG8JsdP0j3pRWx e/VHEnbdIbFgoYXX0dCgUaZzkwWQ2A6t3cIgZEG880rprhq6UtUicjfKTwyGNgxdI39vblBnuBSu K+ECpvPss9M1FBzY5atQgCiw4eJ6TykyEHsyC9REdOkM4d3ZNIEFwc89WCUshVmKSe8xZf2j8kHF 2Mz+jDg/j2xCSfVmY70ImM5j/wT5bU9tAOQ4HooQyCB2HlO0AMHYZV2p9RTjrq6KMPKxMvk2qz2G wDj2euFVoFrLZVwQ7DVj41z4cZz+PT4/4KjjJuooU/SzFv7h1ob72D+Gu1lxlNiI+YiJXGyS/pVT 1sypP85RzdAjoEvnfdBhA7yXsD7S9hSuH9WI/ssiguFvWviqO9X+3IhezHSA7dI+Zh8NN5AISP5U 6Np9wJdNLSo+JlmnSdS76sH7XJXqI4ktl3REGBWJJNjL7/At67KC+bPqtik3etIjk2yV5/rzAisa qphA8kW6vJYVRWHlEe+i/e6clb9eQV4/hq+YlsWuYWYl3P5y+X0bhCpvDqBI7KXgDJvRT72uN7dT YDHPem2w0+QiTQUn27OO6yKws5kfSoWtBs7FFx6DuIaj2n2iZ9dSmlMKc8ymsCK3a4uEJ1jiK7am 8W4yoCIxIM/hdl6iNBlOHAE+AJHmq/H/9ipLBWHdz5ir4OwrIE7yDK3o4E45zE9A0qA+sQT7jN6I D0F9FF+LMq2jrq/I8cU69NRfX7mSgqBjbOecMWtxv7odTPGA18+IfAfoqxYNuZkoiz1gdqE8jIKW jdYKoQ76acKotYjr3UQbI2r8/Tb8q+dfKqwbzscW51gBlfJof/iHuxsIe/bJvbBkeTB3lfi8xvQg tUhg8+k7OFnK2Z6/QB7neIOyNJPSNUB1dF85jqa4pR9ZYqWJX9J8J/vpk0GIAlgGQuD16qm0dx8Q 72HrS2wRkHB8AybRuYMYyS5PvO1fWtNlPI01NgyAl3JkQW8koLaeYgze7W8tg9YX+CgGR726YAKr Amx7kU6UXF35J+nGCpIF+7y4e3IteQHlfSHNaZ7RDNn3awwlyCjS9YVm8tVM5mFHjlZ198lPVXR+ DIgDAL7Op8FN0+mJU/sPpqXGzSC+a6YWVI5uK5CfXHzBpiBA5gYkDDrrhAXpnlUlHWgDZVn4iixS klMyL5zYiG6wge94fLh9wXKpdPTeGQ2zRcSlKjoQ30DC4c7oiACZCYRqgezBrR7jJ7ujDB6dLwIp mWSbvphINbpBKg0G/gfWu61palm8/Da8XM8HY14E58zfeSS9D2UOUEEK8tfNdNM98j5SHMOh+xvC bIkseVqbmBulJEsgthozEKsR8XrOnIKutjepkj2u0Yr7clklidC/l89PtP2yjF8h696D4u3TkaGu H+iIq2skGj55MX05MyKat1PTjkCq4ntkIhHU9iou3ydBTtcbJ/U3WsijlPn8AEArRPxXW4rNYNH8 b1tZWuFkKd//5r3JPQhwC7AzxDOE0yFDfua6z+hw08sBSEkL/88V5UUb7DhOxo5uQfpjMVT8sLDr sBkZUFE2xQgr1pm0YMT4MG+8bZmfKyuTUaRvxRp6ef4Kht9BJC/uhtptc7keVpdJT3YlHZLfT/PK kenvq3xLSo4s8x6utbF/9ELVlumItkMspshgyi/hfqoCPFpQf2EIDdSde88YBF5mmkLY3aQ6wqEA 1AIFpLwDuqE9prvruwBKsmKoGO51660K2riAYAEDrPe8f4c2j5ECa4Tj5Byb/EFltPcSF4fLGlgx y2vRPllqiI38hTbIEdgVyJIf4GFNixOQs2fE+d+6bsE+1rwYOBbdBY4uaU6VjyklqVL1xhejxaSp vM3UIS7SRfo9nX5BeRuCXn48gDu6FJZJJwiCEtSgsUsK2akvZfSGMZU1C4zYtWKDoiKySS0sWQVF f5FG0kziZnhKwJRP2Rb8cwY6TCIOFgzhc1D67SU/fXZ7h6zLocgEX9dX5g9XTonEsREEqieDtsqr P/H3c1+xsWA9BEAw637LLebClJZ7bezzIb6m7CBUfgkWlbKUcVV6veGdzeaxdwPDtmveDn29pcdt qpGBBddnPC19BiGN8a9bTaPevahvo9D95lCtuznRNqrKQX/911jSTkucgVJDZ3h2CzHt0FrACljd dh4CjuumbMMaZQsiACPM38UhQbE3roVAPkxSmKhPsN3VDNGDfTw0BuyNNpGLeLLpWj5cwRM+WpHW DoIkxRMRkZMcuzNeokWbb2haDChIbHt/Sj5dH9+UoUEfN39zaUwVno68MR5pzg7aKv9ZLmiJtb0p jlDvgNaV9wZ6aQdgsOm/DZUke7tgQSNnh1uGysv2hJ1VAF7Io4wcWKlZsJANIQPrC3Bk2HxwyntN 0kt4ybUD+FjkqZ9X3wgB7/Yt+ara0MxJ/XZh35vHKyGQql1Cy6fUlB2hqi06uFyeGxdkLnu4MVdr lX0iW4Evgsq98/vDsSmzJndb0ankJ77d0PR2EDRlIOmlS2Nwn21A9JYdUscLhCyi566z8NWVkPX1 2HIZvpMNEn1/DZZxRjr9Zt93abULg5yzemgFwGQYMCrPyJC8DEBtJjMcu3aH2Fq96uGEppPOnVYl qf60wlyRSg6MSO1gCOmTCfiTIIda2t/Z4S0CdSALzqW15vNIjrQwVcbVGFLT2LsMF/3e6P7dEqKL SZ3ZQImIteDwNWkWyntzXamwSYqL+lMtn52P93xmnnis3G7nParIk1LLTcXyRkROmZzmRIotb3kg WWAmSgYfkOruei5N8gDIRcFSpQks2z063ueB72U8Zv/5tH3RkEeDQI9oakq4j3xDupCvGsa+X7yu 29S2WnuH1iOycoX/bdvzxmyLGcVd6fcCOu/IUu1z2eq3juRHiCq25zZqYfSbZw8Itx9ipNKSfNsD uSjZyzd1RpihQfUWGDLhcR69e6MaCVxazerQMcUEDliXUkDtSEg8eP8RiboMHbGhb59DfjNDei37 bgVPy1yBF+thbvq2uGqmNB9OUvGEdUJ0e3UTPfRkTQXphfZMT38sI1ucw5uPiUs63FLpHEmtvJ5j 0663gXQd8lVYZxJeucdKlyo3IsqDzqWkZDiJnayS75Myar4xMExsevK0fnZUY62yaYBLJH4uOcYR 7FAHJf23RtoxTtpdbTZ8f1lc4qDzl3elzakJxLecHzNgHhReJQuE97syPv9WhK7FkyXs7E+mD91G PY6M0F2Cz6DspqRr01gd3Zh/b4BV8ijNPXD7Iz2GfJx12gRy8lt9B4VtWTgXFRPFF5mIGn9Elmx7 nDVbhFWxTGno1ST/C6026zsmtWHYQ8gpSjKS4KXo7VMtQmxeqf60ifSgsgKFl8ZoHhkXMbt1j7Wo ML+fnnE3isU6UvdMyaVEnoYsLWYszbxVx3z+qM9xTfBHCBycM/bc10+BjQn9CqkD4WxFOvGVmmQe 0Qp4wIry4Qyk06z4ZjAwtpe1Tq51IV16M0V7c74AKIPrmxdzVQ9nGu+FhRNdGjUB+1pRwFLCnPcf XppmdKCV3ynBB0bhVjfDrfGVZV6AldJMQs/Y6f/KyGYlnBcGdkAIwK6LBEdxjJ9hmUhIKbrUDsQ9 DT/WF4mOy6fRUee8c9HvFQ769pMbt/nhcPSbpwEFjT6hcgqgoe3E9amHY94OgBPB7bVaEI93SGTj SWa/V/2kcTpcePc8FrcnQJ0S5MwzRJh0s5TSwppcq8lTAs2TG4SjKJjvFd6lZO9pQ3e2yeUVLe0o n+ElEjmoFeDHH7CRoRJiefuzehLKfR0y3eu+QgO7utNr11A5M/PDaISIPjK3I7jY0cFaizX01oFC fzEKRyDi+1Xs+XcuL8cvDxsdwAJL8V9OqVcUfvfAI5MmMx5WQLTd2kyZvsLWvKthYoZ7SQUzk6tQ NMwdjfYn9fJ6sSpYyvfbJMmIgwMiknLRoeYLFKcz5CQG62vwwpW/jSUKYAXqSkkJVEsOSVpFfk+q mmWmKxb7F8O23IVRwfjzj5M9hsaoP4E5qIt8xjDy0geOn0cDqdXKRU/kacgoOHkvcuChz6/PEVXh xqi82Pu6Q91pCGI9DJDjF5Y9wor4Kv7JBVbOjrvgGhbhUd4xOU46he7Ko21zeg9auEqYAriZNIMt ms9uyW064hAB25Hrh3gMylMtAVzsAs2QGbJI2Qo+QImITk9+9Sr+8JLXHAbCE5za+ByArbg9LOkh 2m4LUmB37EbV8QaWrqBGSBWQJ/JYgXjd0hz3AB6cCa7rD8nB8huCJ4KOe7iAAJ7sBAQ4lFk+r4Xn mPZi1nOvexAgL7+pD9Pl8XwIPt+VBD6auMeJQPYjS/++3AZAKDaDGZNj5IMRgY2+0bbS/50NxqSt P4rBXtO+Z+8aP8fH0LzITZlXdWIhJsheB7CgaqvQMNdPvndWAVSgh4OvzXRCvfaLWqqyHPY1RyOq FHRFPdc5OVdUMQp9GHCb9ctxqlK0F59TnzAG6Ssn0KOnADvU4z3kJnGCjq7gnNIa2Fz3EN79Or/7 Xl2skPk/wz/RRE6OWJguJpOkRMBk70cyfyoYe3KQXzY3SvMwMT0yzECzG3EMy3aq0zsHQBVPfbUJ 7Qw5cW4yPtdd3RYhZ8WgstBUN2DaOENdkb9bmkGDnMcZOEPb+lG+w4cqm5SE9+BEoQjeiUCOLXN9 GwJg7V1FqW8rhooBQWBdf9CxUCL7fy4A1SA6JNKwgRbye2yNqSOAZ+hrKmfmGvlnctYgYj7MNdy5 eYBvsJ3WNBkD7Ghb6yNOw1yteN8+kBRZjr6RF++mb7dOPTfBOZATPxTQHTGNhoKKJ2VerwtmXYkR pOW0GXmysJXAwWkkcVyN2zAudEsPpB0F7aBJPycvVGbzJQ3RxLNPuqoF536sz4qzX+y55CQzbzSJ DSvO2sm+b8Vfp9k/WDI30qbZ4Kg5yotV+fv6X0mVgSJVlVZHdOy9/jb0ZshsZIpSdB35OOpFeEzb TteqIWEjaVBQHG3Tt5wdT5AC5qRuc0FcXHuZg0yYRI7wBwFL8GFu/qp9UlaWnMq9q6QNdqlnp1ef XBdQL52geNF8o9HII5+5jjqggZuEiNS9Imch+ZCrgMPU/cnBMlZ47qEFaQ+YhEiW8Z/X0k2OIqBj HySDg2HJ4iHry6p9txdw7zXlU8d0A+WPEiLjsQQ4N8HAZxIlgyXyLHVKfrTX4BTAOYykvYYKSDI3 TN+V587TJJ6HZhkFhN3w8XOXuAz+KAIVYNtcm/iC3nmw0KS0+85LZRDY96GuMfEusVf3HwA++aTc NnbjQABkS2NO3tULYyFKTtgOnrJmlKR/t6ralCiI7NTKWJAEYUzgcUL+J6TIZZdByXx/K81ZroXL pLcT07sZqF8unWlDCGts6poaxDHKqaOKWXCpu6KMs/RAmgJ2HJOmC3mzvR7tPLCDREq3LIn42Qjr imr/dmDMIrOg94vVxpOUJceiRwWiNJKbmhkVNwJymERQ0NlGLYDRU+FXfSLONmbkqEWb0qsyUK3O UNLI0cy5YcaJJkqZQxDShgBVoieuCtsqp0RdiM4Wv9Y5GMynes2lp4Pb8WZ+hG/oWV0QIhG1Pn89 VraJON7RhA5J9Gr83nlpmQr2a0LxgBGBjyCo0jqNqIimKEQYafgsMlMscb6Uoz4v07i8Gh89T3+u AGiZ5f7SZvgQPnpF6PeeCQXapahkiZEQHf24ErTGGinJxu6AhOvxcoRVzrNMne+UWC8Xtf/N/X0L QqHoOYReUmMsDpw5hvb07hHcxehrXE9mhlm9mI7fEOE+bCoXerGBmri5LYG0gKf4tpiV0GnVKeda S22x+xxtjcCyTzNkWTK8Sp2RcPQi0sPv5jtDHnLprvg4rJAAlJmqMm5dvvmDL9/9v0MIfuinuE0D SxehzwP5CaSG/Eqg8Bzolyqbkymjstfpf1XCpVOirC8IHgtRwdgsQONCi7SiUKVMTiaQ4Dy5FgiT W5gMtNkKJgPKO9cWFKWOUZFlbYbv+lsBQovdYKF6P7Kq5lsl2iUgGjxL2/EQFlCofTFF1F3gRq0O NHoPANyPNBqp4tlE1QnyKXBIyDoJ2Rn6YKZUn0eyHLMyaA4vLmQIKC1haJXz2en/cRg6y64aKl6m wC7jls1rvOTKPrA/1/ZHpR4XZFNEEYmF+nruu1na/Hyz0BCz9jutD6SxrKeEX6Gp3e0xMsObho7d R0pAB2tnez9gXjPgOqrDrmZ/fegUonPkCumX1sVK3llipSN/6kYfpg51EpNvCvoGq86Gdcn8/8Ff D6AVyu3F/jNMeuj7JLu17UcjXAK7ncWtHryjghe8Lq6bKcfvdhW/bCE6NIgKPiszk7QEf25dqj5M zrnbqMSOu0OQou/D3qmNWbcGT36nz4n7BfOauhpAh6N3PwNL+98jPWGa0MgBceA6CrgevvWyhuy/ 37zs2NmUeK7jzBpMBJ5A8dk966iJK6FvVVgPT5Bx2VHtVvs1PC6WF/fr1YcbTmBdyZLROdLJI78S bzRlFMlAu3mvThYdUw249gVsPx9+irx9TexJfqkaMU6G90zDyhUVVlSUFfvS88DTEA2Q5QVvcTIu 2fGxCZubBaiyC1cLNkBlTIyya8fvnG24Qu231l3LOG/CVJKGffzPqgOTjMHG6xKoqdTzehvT36Ez WzJdFXvx76kSmTs9lKrULfjmjYMk+ZKIopu/tROPZF+gDR69x4gAnUV10XmtlqrcoMjnBJAZw5EX E+hq5VO5ptyfe4YgPqWMm+gCgGUwBJiCpxkgKsc5JkVFBmdADax2NXWA+JDsDM4MDkBTJbaxvSOc uaNKAPosVgkaseMd7K0KUYyc70rpq7gB8VgMJcIii/7ykz8Nq9A5sbRB9OJ8xo6HEIgSwLFZIb4+ z751bsjuXGjnlE0X2YbcMsfvb2pvzNF6rHLY2+gavcOY/HIQepb3uP1WjbztRcb2U0j2tGmcPA4N AJgOsg== `protect end_protected
apache-2.0
90cf056ffd9092fd36442f8071f93756
0.951313
1.83081
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/3-ARF/metaheurísticas/arf_femo.vhd
1
2,433
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:34:46) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY arf_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 3); output1, output2: OUT unsigned(0 TO 4)); END arf_femo_entity; ARCHITECTURE arf_femo_description OF arf_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; WHEN "00000010" => register1 := register1 + register2; register2 := input3 * 3; register3 := input4 * 4; WHEN "00000011" => register2 := register3 + register2; register3 := input5 * 5; register4 := input6 * 6; WHEN "00000100" => register3 := register4 + register3; register2 := register2 + 8; register4 := input7 * 9; register1 := register1 + 11; register5 := input8 * 12; WHEN "00000101" => register4 := register4 + register5; register5 := register2 * 14; register6 := register1 * 16; WHEN "00000110" => register1 := register1 * 18; register2 := register2 * 20; register5 := register5 + register6; WHEN "00000111" => register1 := register2 + register1; WHEN "00001000" => register2 := register1 * 22; register6 := register5 * 24; WHEN "00001001" => register2 := register2 + register6; register5 := register5 * 26; register1 := register1 * 28; WHEN "00001010" => register1 := register1 + register5; output1 <= register4 + register2; WHEN "00001011" => output2 <= register3 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END arf_femo_description;
gpl-3.0
e07f470c7b1f087abd681e1f69909d21
0.654336
3.09542
false
false
false
false
marceloboeira/vhdl-examples
004-clock-divider/source.vhd
1
704
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clock_div is Port ( clock_50m : in STD_LOGIC; reset : in STD_LOGIC; led : out STD_LOGIC); end clock_div; architecture Behavioral of clock_div is signal counter : integer range 0 to 25000 := 0; signal led_tmp : STD_LOGIC := '0'; begin process (clock_50m, reset) begin if reset='1' then led <= '0'; -- '0' -> Binary counter <= 0; -- 0 -> Decimal elsif (clock_50m'event and clock_50m='1') then if (counter < 25000) then counter <= counter + 1; else counter <= 0; led_tmp <= not led_tmp; end if; end if; end process; led <= led_tmp; end Behavioral;
mit
9cd213459652f6e7b21bde727d76c074
0.575284
3.28972
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/asap-alap-random/ewf_alap.vhd
1
3,019
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.08:47:35) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_alap_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 3); output1, output2, output3, output4, output5: OUT unsigned(0 TO 4)); END ewf_alap_entity; ARCHITECTURE ewf_alap_description OF ewf_alap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; WHEN "00000010" => register2 := register1 + 3; WHEN "00000011" => register3 := register2 + 5; register4 := input2 + 6; WHEN "00000100" => register3 := register4 + register3; WHEN "00000101" => register5 := register3 * 8; register6 := register3 * 10; WHEN "00000110" => register5 := register4 + register5; register6 := register2 + register6; WHEN "00000111" => register4 := register4 + register5; register2 := register2 + register6; WHEN "00001000" => register4 := register4 * 12; register2 := register2 * 14; WHEN "00001001" => register4 := register4 + 16; register2 := register1 + register2; WHEN "00001010" => register7 := register5 + register4; register8 := register6 + register2; WHEN "00001011" => register7 := register7 + 18; register1 := register1 + register2; register8 := register8 + 20; register9 := register4 + 22; WHEN "00001100" => register10 := register7 * 24; register1 := register1 * 26; register11 := register8 * 28; WHEN "00001101" => register9 := register9 * 30; register3 := register3 + register6; register6 := register10 + 32; register1 := register1 + 34; register10 := register11 + 36; WHEN "00001110" => output1 <= register4 + register9; output2 <= register5 + register3; output3 <= register7 + register6; output4 <= register2 + register1; output5 <= register8 + register10; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_alap_description;
gpl-3.0
7d96ee715813b46573d08851c7236989
0.65949
3.184599
false
false
false
false
marceloboeira/vhdl-examples
008-state-machine-calculator/calculator.vhd
1
3,426
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity Calculator is port (clock : in STD_LOGIC; btn_reset : in STD_LOGIC; btn_inc : in STD_LOGIC; btn_dec : in STD_LOGIC; switch_input : in STD_LOGIC; switch_operation : in STD_LOGIC; switch_done : in STD_LOGIC; display_out : out STD_LOGIC_VECTOR (15 downto 0)); end Calculator; architecture Behavioral of Calculator is type STATE is (BOOT, POLLING, INPUT_DATA, RELEASE_BTN_INC, RELEASE_BTN_DEC, CALCULATE, SHOW_RESULTS); signal current_state : STATE; signal input_a, input_b : INTEGER range 0 to 255; signal result : STD_LOGIC_VECTOR (7 downto 0); signal operation : INTEGER range 0 to 9; begin core : process (clock, btn_reset, btn_inc, btn_dec) begin if clock'event and clock = '1' then if btn_reset = '1' then current_state <= BOOT; end if; case current_state is when BOOT => input_a <= 0; input_b <= 0; operation <= 0; current_state <= POLLING; when POLLING => if switch_done = '1' then current_state <= CALCULATE; elsif btn_inc = '1' then current_state <= RELEASE_BTN_INC; elsif btn_dec = '1' then current_state <= RELEASE_BTN_DEC; else current_state <= POLLING; end if; when RELEASE_BTN_INC => if btn_inc = '0' then if switch_operation = '0' then if switch_input = '0' then input_a <= input_a + 1; else input_b <= input_b + 1; end if; else operation <= operation + 1; end if; current_state <= POLLING; end if; when RELEASE_BTN_DEC => if btn_dec = '0' then if switch_operation = '0' then if switch_input = '0' then input_a <= input_a - 1; else input_b <= input_b - 1; end if; else operation <= operation - 1; end if; current_state <= POLLING; end if; when CALCULATE => case operation is when 1 => result <= conv_std_logic_vector((input_a + input_b), 8); when 2 => result <= conv_std_logic_vector((input_a - input_b), 8); when 3 => result <= conv_std_logic_vector((input_a - input_b), 8); when 4 => result <= conv_std_logic_vector((input_a * input_b), 8); when 5 => result <= conv_std_logic_vector(input_a, 8) and conv_std_logic_vector(input_b, 8); when 6 => result <= conv_std_logic_vector(input_a, 8) or conv_std_logic_vector(input_b, 8); when 7 => result <= conv_std_logic_vector(input_a, 8) xor conv_std_logic_vector(input_b, 8); when 8 => result <= not conv_std_logic_vector(input_a, 8); when 9 => result <= conv_std_logic_vector(0, 8); when others => result <= conv_std_logic_vector(0, 8); end case; current_state <= BOOT; when others => current_state <= BOOT; end case; end if; end process; end Behavioral ;
mit
2a116d88b946372f1eaa2a9d14ea2820
0.502335
3.853768
false
false
false
false
rhexsel/xinu-cMIPS
vhdl/disk.vhd
2
18,008
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- disk(0): ctrl(31)=oper[1=rd, 0=wr], (30)=doInterrupt, -- (11..0)=transferSize in words, aligned, <= 1024 -- disk(1): stat(31)=oper[1rd, 0wr], (30)=doInterrupt, (29)=busy, -- (28)=interrupt pending, (27)=0, -- (26)=errSize [transfer larger than 1024 words], -- (25,24)=file error [00=ok, 01=status, 10=name, 11=mode]. -- (23..0)=last address referenced -- disk(2): src [rd=disk file {0,1,2,3}, wr=memory address] -- disk(3): dst [rd=memory address, wr=disk file {0,1,2,3}] -- disk(4): interr, (1)=setIRQ, (0)=clrIRQ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- simulates a disk controller with DMA transfers, word only transfers -- transfers AT MOST 4Kbytes or 1024 memory cycles -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; entity DISK is port (rst : in std_logic; clk : in std_logic; strobe : in std_logic; -- strobe for file reads/writes sel : in std_logic; -- active in '0' rdy : out std_logic; -- active in '0' wr : in std_logic; -- active in '0' busFree : in std_logic; -- '1' = bus will be free next cycle busReq : out std_logic; -- '1' = bus will be used next cycle busGrant : in std_logic; -- '1' = bus is free in this cycle addr : in reg3; data_inp : in reg32; data_out : out reg32; irq : out std_logic; dma_addr : out reg32; dma_dinp : in reg32; dma_dout : out reg32; dma_wr : out std_logic; -- active in '0' dma_aval : out std_logic; -- active in '0' dma_type : out reg4); constant NUM_BITS : integer := 32; constant START_VALUE : reg32 := (others => '0'); end entity DISK; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- simulation version -- logic too complex for synthesis, -- as there is no hw disk, model is for simulation -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ architecture simulation of DISK is component registerN is generic (NUM_BITS: integer; INIT_VAL: std_logic_vector); port(clk, rst, ld: in std_logic; D: in std_logic_vector; Q: out std_logic_vector); end component registerN; component countNup is generic (NUM_BITS: integer := 16); port(clk, rst, ld, en: in std_logic; D: in std_logic_vector((NUM_BITS - 1) downto 0); Q: out std_logic_vector((NUM_BITS - 1) downto 0); co: out std_logic); end component countNup; component FFDsimple is port(clk, rst, D : in std_logic; Q : out std_logic); end component FFDsimple; constant C_OPER : integer := 31; -- operation 1=rd, 0=wr constant C_OPER_RD : std_logic := '1'; constant C_OPER_WR : std_logic := '0'; constant C_INT : integer := 30; -- interrupt when finished=1 constant S_BUSY : integer := 29; -- controller busy=1 constant I_SET : integer := 1; -- set IRQ constant I_CLR : integer := 0; -- clear IRQ constant DSK_OK : std_logic_vector(1 downto 0) := b"00"; constant DSK_STATUS : std_logic_vector(1 downto 0) := b"01"; constant DSK_NAME : std_logic_vector(1 downto 0) := b"10"; constant DSK_MODE : std_logic_vector(1 downto 0) := b"11"; type int_file is file of integer; file my_file : int_file; type dma_state is (st_init, st_idle, st_src, st_dst, st_check, st_bus, st_xfer, st_int, st_assert, st_wait, st_err); attribute SYN_ENCODING of dma_state : type is "safe"; signal dma_current_st, dma_next_st : dma_state; signal dma_curr_dbg, current_int, ctrl_int, addr_int : integer; signal ld_ctrl, s_ctrl, s_stat, ld_src, s_src, ld_dst, s_dst : std_logic; signal busy, take_bus, ld_curr, rst_curr, en_curr : std_logic; signal ctrl, src, dst, stat, datum : reg32 := (others => '0'); signal current, xfer_sz : reg10; signal last_addr : reg24; signal base_addr, curr_addr, address : reg32; signal s_intw, s_intr, set_irq, clear_irq, s_dat, err_sz : std_logic; signal d_set_interrupt, interrupt, do_interr, ld_last : std_logic; signal done, last_one : boolean; signal err_dsk : reg2 := b"00"; signal clear_hold_done, set_hold_done, d_set_hold_done, hold_done : std_logic; begin -- functional rdy <= ZERO; -- simulation only, never waits s_ctrl <= '1' when sel = '0' and addr = b"000" else '0'; -- R+W s_stat <= '1' when sel = '0' and addr = b"001" else '0'; -- R+W s_src <= '1' when sel = '0' and addr = b"010" else '0'; -- W s_dst <= '1' when sel = '0' and addr = b"011" else '0'; -- W s_intw <= '1' when sel = '0' and addr = b"100" and wr = '0' else '0'; -- W s_intr <= '1' when sel = '0' and addr = b"100" and wr = '1' else '0'; -- R s_dat <= '1' when sel = '0' and addr = b"111" else '0'; -- W, DEBUG ld_ctrl <= '0' when s_ctrl = '1' and wr = '0' else '1'; U_CTRL: registerN generic map (NUM_BITS, START_VALUE) port map (clk, rst, ld_ctrl, data_inp, ctrl); ld_src <= '0' when s_src = '1' and wr = '0' else '1'; U_SRC: registerN generic map (NUM_BITS, START_VALUE) port map (clk, rst, ld_src, data_inp, src); ld_dst <= '0' when s_dst = '1' and wr = '0' else '1'; U_DST: registerN generic map (NUM_BITS, START_VALUE) port map (clk, rst, ld_dst, data_inp, dst); stat <= ctrl(C_OPER) & ctrl(C_INT) & busy & interrupt & '0' & err_sz & err_dsk & last_addr; with addr select data_out <= ctrl when "000", stat when "001", src when "010", dst when "011", x"00000000" when others; -- interrupts, does RD-mod-WR irq <= interrupt; busReq <= take_bus; dma_type <= b"1111"; -- always transfers words dma_wr <= not(ctrl(C_OPER)) or not(take_bus); -- write to RAM dma_aVal <= not(take_bus); base_addr <= dst when ctrl(C_OPER) = C_OPER_RD else src; curr_addr <= x"0000" & b"0000" & current & b"00"; -- word aligned address <= std_logic_vector( signed(base_addr) + signed(curr_addr) ); dma_addr <= address; dma_dout <= datum when ctrl(C_OPER) = C_OPER_RD else (others => 'X'); xfer_sz <= ctrl(9 downto 0) when ctrl_int <= 1024 else (others => '0'); addr_int <= to_integer(unsigned( ctrl(10 downto 0))); err_sz <= YES when addr_int > 1024 else NO; -- check if size > 1024 rst_curr <= not(ld_curr) and rst; U_CURRENT: countNup generic map (10) -- current DMA reference port map (clk, rst_curr, '0', en_curr, xfer_sz, current); last_one <= (current_int = (ctrl_int - 1)); ld_last <= BOOL2SL(not(last_one)); U_LAST_ADDR: registerN generic map (24, x"000000") -- for status port map (clk, rst, ld_last, address(23 downto 0), last_addr); current_int <= to_integer(unsigned(current)); ctrl_int <= to_integer(unsigned(ctrl(9 downto 0))); -- check == 1024 done <= ( (current = (ctrl(9 downto 0))) and (hold_done = NO) ); clear_hold_done <= en_curr; -- first increment, makes current /= 0 set_hold_done <= s_ctrl; -- wait 1 DMA access to check for done d_set_hold_done <= (set_hold_done or hold_done) and not(clear_hold_done); U_HOLD_DONE: FFDsimple port map (clk, rst, d_set_hold_done, hold_done); -- file operations ----------------------------------------------------- U_FILE_CTRL: process(rst, clk, s_ctrl, s_src, s_dst, data_inp, ctrl) variable status : file_open_status := open_ok; variable i_status : integer := 0; begin if rst = '1' then if (s_src = YES) and falling_edge(clk) and (ctrl(C_OPER) = C_OPER_RD) then -- read file case data_inp(1 downto 0) is when b"00" => file_open(status, my_file, "DMA_0.src", read_mode); when b"01" => file_open(status, my_file, "DMA_1.src", read_mode); when b"10" => file_open(status, my_file, "DMA_2.src", read_mode); when b"11" => file_open(status, my_file, "DMA_3.src", read_mode); when others => status := name_error; end case; i_status := file_open_status'pos(status); assert status = open_ok report "fileRDopen["&SLV32HEX(ctrl)&"]."&SLV32HEX(data_inp)&" "& natural'image(i_status); case status is when open_ok => err_dsk <= DSK_OK; when status_error => err_dsk <= DSK_STATUS; when name_error => err_dsk <= DSK_NAME; when mode_error => err_dsk <= DSK_MODE; when others => null; end case; end if; if (s_dst = YES) and falling_edge(clk) and (ctrl(C_OPER) = C_OPER_WR) then case data_inp(1 downto 0) is when b"00" => file_open(status, my_file, "DMA_0.dst", write_mode); when b"01" => file_open(status, my_file, "DMA_1.dst", write_mode); when b"10" => file_open(status, my_file, "DMA_2.dst", write_mode); when b"11" => file_open(status, my_file, "DMA_3.dst", write_mode); when others => status := name_error; end case; i_status := file_open_status'pos(status); assert status = open_ok report "fileWRopen["&SLV32HEX(ctrl)&"]."&SLV32HEX(data_inp)&" "& natural'image(i_status); case status is when open_ok => err_dsk <= DSK_OK; when status_error => err_dsk <= DSK_STATUS; when name_error => err_dsk <= DSK_NAME; when mode_error => err_dsk <= DSK_MODE; when others => null; end case; end if; -- end write file end if; -- reset end process U_FILE_CTRL; ----------------------------------------------- clear_irq <= s_intw and data_inp(I_CLR); set_irq <= ( (ctrl(C_INT) and do_interr) or (s_intw and data_inp(I_SET)) ); d_set_interrupt <= set_irq or (interrupt and not(clear_irq)); U_tx_int: FFDsimple port map (clk, rst, d_set_interrupt, interrupt); -- state register------------------------------------------------------- U_st_reg: process(rst,clk) begin if rst = ZERO then dma_current_st <= st_init; elsif rising_edge(clk) then dma_current_st <= dma_next_st; end if; end process U_st_reg; dma_curr_dbg <= dma_state'pos(dma_current_st); -- debugging only U_st_transitions: process(dma_current_st, strobe, done, s_ctrl, s_src, s_dst, s_stat, busFree, busGrant, current, ctrl, interrupt, dma_dinp, err_sz, err_dsk) variable i_datum : integer; variable i_addr, i_val : reg32; begin case dma_current_st is when st_init => -- 0 dma_next_st <= st_idle; when st_idle => -- 1 if s_ctrl = YES then dma_next_st <= st_src; else dma_next_st <= st_idle; end if; when st_src => -- 2 if s_src = YES then dma_next_st <= st_dst; else dma_next_st <= st_src; end if; when st_dst => -- 3 if s_dst = YES then dma_next_st <= st_check; else dma_next_st <= st_dst; end if; when st_check => -- 4 are there any errors? if err_sz = NO and err_dsk = b"00" then dma_next_st <= st_bus; else dma_next_st <= st_err; -- YES, wait for status to be read end if; when st_bus => -- 5 if busFree = NO then dma_next_st <= st_bus; else dma_next_st <= st_xfer; end if; when st_xfer => -- 6 if not(done) then -- not done i_addr := x"00000" & current & b"00"; if ( rising_edge(strobe) and (busGrant = YES) )then if ctrl(C_OPER) = C_OPER_RD then -- read if not(endfile(my_file)) then read( my_file, i_datum ); datum <= std_logic_vector(to_signed(i_datum, 32)); i_val := std_logic_vector(to_signed(i_datum, 32)); assert TRUE report "DISKrd["&SLV32HEX(i_addr)&"]="&SLV32HEX(i_val); else datum <= (others => 'X'); end if; else -- write = ctrl(C_OPER) = C_OPER_WR write( my_file, to_integer(signed(dma_dinp)) ); assert TRUE report "DISKwr["&SLV32HEX(i_addr)&"]="&SLV32HEX(dma_dinp); end if; end if; if busFree = NO then dma_next_st <= st_bus; else dma_next_st <= st_xfer; end if; else -- done dma_next_st <= st_int; end if; when st_int => -- 7 if ctrl(C_INT) = YES then -- shall we raise an interrupt? dma_next_st <= st_assert; else dma_next_st <= st_idle; end if; file_close(my_file); when st_assert => -- 8 dma_next_st <= st_wait; when st_wait => -- 9 if interrupt = YES then -- wait for IRQ to be cleared dma_next_st <= st_wait; else dma_next_st <= st_idle; end if; when st_err => -- 10 if s_stat = NO then dma_next_st <= st_err; else dma_next_st <= st_idle; end if; when others => -- ?? dma_next_st <= st_idle; end case; end process U_st_transitions; -- ----------------------------------- U_st_outputs: process(dma_current_st, done) begin case dma_current_st is when st_init | st_idle | st_src => busy <= NO; -- free en_curr <= NO; -- do not increment address ld_curr <= NO; -- do not load address take_bus <= NO; -- leave the bus alone do_interr <= NO; when st_dst => busy <= YES; -- busy en_curr <= NO; -- do not increment address ld_curr <= YES; -- load address take_bus <= NO; -- leave the bus alone do_interr <= NO; when st_bus | st_check | st_wait => busy <= YES; -- busy en_curr <= NO; -- do not increment address ld_curr <= NO; -- do not load address take_bus <= NO; -- leave the bus alone do_interr <= NO; when st_xfer => busy <= YES; -- busy en_curr <= YES; -- increment address if not(done) then take_bus <= YES; -- request bus else take_bus <= NO; end if; ld_curr <= NO; -- do not load address do_interr <= NO; when st_int => busy <= NO; -- free en_curr <= NO; -- do not increment address ld_curr <= NO; -- do not load address take_bus <= NO; -- leave the bus alone do_interr <= NO; when st_assert => busy <= NO; -- free en_curr <= NO; -- increment address ld_curr <= NO; -- do not load address take_bus <= NO; -- leave the bus alone do_interr <= YES; -- raise interrupt request when others => busy <= NO; -- free en_curr <= NO; -- do not increment address ld_curr <= NO; -- do not load address take_bus <= NO; -- leave the bus alone do_interr <= NO; end case; end process U_st_outputs; -- ----------------------------------- end architecture simulation; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- synthesis version - compiler will optimize all away (one hopes) -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ architecture fake of DISK is begin rdy <= 'X'; busReq <= NO; irq <= NO; data_out <= (others => 'X'); dma_addr <= (others => 'X'); dma_dout <= (others => 'X'); dma_wr <= 'X'; dma_aval <= 'X'; dma_type <= (others => 'X'); end architecture fake; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
9b8740bf03cf20e3723d4ae2e47bc592
0.488561
3.585109
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/counter_f.vhd
15
13,734
------------------------------------------------------------------------------- -- counter_f - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: counter_f.vhd -- -- Description: Implements a parameterizable N-bit counter_f -- Up/Down Counter -- Count Enable -- Parallel Load -- Synchronous Reset -- The structural implementation has incremental cost -- of one LUT per bit. -- Precedence of operations when simultaneous: -- reset, load, count -- -- A default inferred-RTL implementation is provided and -- is used if the user explicitly specifies C_FAMILY=nofamily -- or ommits C_FAMILY (allowing it to default to nofamily). -- The default implementation is also used -- if needed primitives are not available in FPGAs of the -- type given by C_FAMILY. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- counter_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- Author: FLO & Nitin 06/06/2006 First Version, functional equivalent -- of counter.vhd. -- History: -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.unsigned; use IEEE.numeric_std."+"; use IEEE.numeric_std."-"; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity counter_f is generic( C_NUM_BITS : integer := 9; C_FAMILY : string := "nofamily" ); port( Clk : in std_logic; Rst : in std_logic; Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0); Carry_Out : out std_logic ); end entity counter_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of counter_f is --------------------------------------------------------------------- -- Component declarations --------------------------------------------------------------------- component MUXCY_L is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component MUXCY_L; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; --------------------------------------------------------------------- -- Constant declarations --------------------------------------------------------------------- constant USE_STRUCTURAL_A : boolean := supported(C_FAMILY, (u_MUXCY_L, u_XORCY, u_FDRE)); constant USE_INFERRED : boolean := not USE_STRUCTURAL_A; --------------------------------------------------------------------- -- Begin architecture --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Generate structural code --------------------------------------------------------------------- STRUCTURAL_A_GEN : if USE_STRUCTURAL_A generate signal alu_cy : std_logic_vector(C_NUM_BITS+1 downto 0); signal alu_cy_init : std_logic; signal icount_out : std_logic_vector(C_NUM_BITS downto 0); signal icount_out_x : std_logic_vector(C_NUM_BITS downto 0); signal load_in_x : std_logic_vector(C_NUM_BITS downto 0); signal count_AddSub : std_logic_vector(C_NUM_BITS downto 0); signal count_Result : std_logic_vector(C_NUM_BITS downto 0); signal count_clock_en : std_logic; begin alu_cy_init <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); I_MUXCY_I : component MUXCY_L port map ( DI => '0', CI => '1', S => alu_cy_init, LO => alu_cy(0)); count_clock_en <= Count_Enable or Count_Load; load_in_x <= ('0' & Load_In); -- Mask out carry position to retain legacy self-clear on next enable. icount_out_x <= ('0' & icount_out(C_NUM_BITS-1 downto 0)); ----------------------------------------------------------------- -- Generate counter using MUXCY_L, XORCY and FDRE ----------------------------------------------------------------- I_ADDSUB_GEN : for i in 0 to C_NUM_BITS generate count_AddSub(i) <= load_in_x(i) xor Count_Down when Count_Load ='1' else icount_out_x(i) xor Count_Down ; -- LUT MUXCY_I : component MUXCY_L port map ( DI => Count_Down, CI => alu_cy(i), S => count_AddSub(i), LO => alu_cy(i+1)); XOR_I : component XORCY port map ( LI => count_AddSub(i), CI => alu_cy(i), O => count_Result(i)); FDRE_I: component FDRE port map ( Q => iCount_Out(i), C => Clk, CE => count_clock_en, D => count_Result(i), R => Rst); end generate I_ADDSUB_GEN; Carry_Out <= icount_out(C_NUM_BITS); Count_Out <= icount_out(C_NUM_BITS-1 downto 0); end generate STRUCTURAL_A_GEN; --------------------------------------------------------------------- -- Generate Inferred code --------------------------------------------------------------------- --INFERRED_GEN : if USE_INFERRED generate INFERRED_GEN : if (not USE_STRUCTURAL_A) generate signal icount_out : unsigned(C_NUM_BITS downto 0); signal icount_out_x : unsigned(C_NUM_BITS downto 0); signal load_in_x : unsigned(C_NUM_BITS downto 0); begin load_in_x <= unsigned('0' & Load_In); -- Mask out carry position to retain legacy self-clear on next enable. -- icount_out_x <= ('0' & icount_out(C_NUM_BITS-1 downto 0)); -- Echeck WA icount_out_x <= unsigned('0' & std_logic_vector(icount_out(C_NUM_BITS-1 downto 0))); ----------------------------------------------------------------- -- Process to generate counter with - synchronous reset, load, -- counter enable, count down / up features. ----------------------------------------------------------------- CNTR_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then icount_out <= (others => '0'); elsif Count_Load = '1' then icount_out <= load_in_x; elsif Count_Down = '1' and Count_Enable = '1' then icount_out <= icount_out_x - 1; elsif Count_Enable = '1' then icount_out <= icount_out_x + 1; end if; end if; end process CNTR_PROC; Carry_Out <= icount_out(C_NUM_BITS); Count_Out <= std_logic_vector(icount_out(C_NUM_BITS-1 downto 0)); end generate INFERRED_GEN; end architecture imp; --------------------------------------------------------------- -- End of file counter_f.vhd ---------------------------------------------------------------
apache-2.0
bd0a0f1e79c0ccc6f9be9a55fc5d15a0
0.410368
5.200303
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/fifo_generator_v12_0_pkg.vhd
5
136,312
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Uqfmhhe32U+grK02JBnFtloD9R75vrZwSRuZPqR1VnqBr7XmHZ9tkHJS1sJOLfG8Zd+796XYlEPr esn8WdZgAg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SQ2JFvkiP/6Sc73sJ0iKdnS6VCowgR1u3Z45BvJSh4oM6G9yLO60+MTHZ6334rXMreWy0IuTJVfx YsKGLRIp1v29jn1JqL5X4+K/1XG0/oDdQD8qut3QXA/Sr4fQEfjJUYYMqnTvQt0dKUWt9V0hhst/ 25yj0qy5VOBC8jSzi6s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WJ/I+yHH8FvqqFy1co+ttqZkj2uaQDeZQb5hC+1bA3SuWwRCgvFumZLJdKX2yfrxkBeND8BSdhek yG43nJnIKHgmtCpSTXIqDmD/8cG7eahI2BTK48q7plbNzSW3CZdXSn4RL3CL2JQCZKc+m/is7KXO DSgsBSMWyLrYMnpfcolh2Lm4vV9btRLcaBz+68qYXExE1DCa6DpgDDy4qv0YETqlkq93dQ0ha/Rt H8A3DG32kmMl7yK6s9PMAc3GlAp7xwDBU0GPyJOd19glVdCAAIBSPFIBIQ2HHLdJSYL1Xp7anroL tgXNTs8HJ8Zv9Uh6cWotDFfPYSYyjwyUnbn+hA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p63c4l9Cue9D6FDOAkQMAJUj+Hg/3ruXtR6nWx6J6ktFuaeu2QHd/dww6hkLZbBhIyG0LXCXtPD8 y15+0t2dgRkquT+Kn7umI+RIxYI0YGMDxmxNW2oeJQyHBYUIcGC3Imia84h8pjT/V7z56Kr5XfgL xs5UhHtArBz0OhWEXuc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KUDZm+F3LxExF2Qe9dGMQ9l+lzR7Z9eJz2jl5fXRWxdXkwrIZi+J51Qb9ZqMTVb0RUwoV1+zCLYs XMnqhBhAPnrzL0y+uggoz92NQ2tDaAWGNPBYI+Zh6/HWnieo7Pi5qDrVLLqFq2b3vNyr5775+Kop x/zQq7CngRYoihhAXk5plZioD3eP/bJQRN37016KTYtXod+OZUGLS99HPEEyQITYf0pvagARKeEs kVnvueeuq2ktx6eWYJFRI/hTLJV8QXZZoPUMdS/Zxc8Fi/9yTVI86xCXA+ESM4U3OBGxLhJQLoWl vjV+YjEDbxzMzWDuHdg7cXYygWrc6k76gB+Slg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block v0jjqkX5YBHW1GSd4SbkWQ75fs1avkUdjZMYzmET2csXr7wGkNxlY/CAvt0ToFua6xyeTlywtDI0 F8p5j3umE2mwUDDCONVj3Aeuv6FWn8r/IOnMMeRWfmadS9Rm/Sh3R4OEHAE7U57WwgiHIKPK4cvX BpZdESmiW05dh1IBrBYs3/Qsm3n4sVT7ie8qFDk5cxNK8o/DUzsuiyqJehFyt38RW8389MHQ/XCz uq/8uEm0jA1tq4czQ18sWdjsNpEqf2SqsuKqHYKcBKFhTMGKUYnefg5U1+CK3wYpyrhg5wUsaD96 iUFx1wQXEl84ik3qRzq6hv/TSgziiiyWZ19vA4vAB+YQgQkUDcny0txE2c8106EOeyJ+Z0h7mHoa 9XKL2mqYVUs5iJ6V3GGOL8PCaCpa1DIeuTH0JnXrn4XuefgI14jhqn4NZfSrV4YlqymfPvAXpuw3 QuAwWd+a7XUGTUzlLsIz4Tvj3T1WfGe6VJYYROPN3WCwYfwjniEU1gEcU5MpesQGLXE0fBue8ylE t7JkUHJedskRxH/St8X1oI/ZBC+DT7ADw0GwZHaHCe442kKbaJNZpLtziwqAFz5Fefqu3hBHI7Wz 87Xrd2cvgbHCNKx0PASmNO9mAIqYnDP7QVqB5DO5hH2JjYaxUHclkqPXRXoEygnN7oxzvNa2/ng7 GBpquLb267I2ixn4JWa1i8Vih2MTHL+Wk+8H5/1OhAnNS2+fb/ysVQg0mEDdoKTMEkUD6xq5K7Ji NZZ3H7bd6wzXUXduzMZNlnx6B9AIOGXl8ph32Gzjbs6nhhjxBU0b+JlSvYKj1WzieOjxr0iufPTp 40E3qWeaLpKdS7rA83IRg/qZM8b27zbCVmLZXNNk32uI4Ptq7xyxaLCkZFUxOhz/EmPJFRwXUQRT LRpZ9XyebGE9jB0CFVEwDsrlSW8D2FMrUxLUUmdMhDZzrnpQBTU9xXPQFy+h38cZqAC61GupCKaa MjUh+JlYuVSOgdPieHuparWbVLbGgeQK722szQUTZ8rg5wKABwn0UTX4HilsVf+ySvw8wTIhfRwG +0XFUhAtJky5m+HGevma9DBipvAQ/mlGsrTctkUC1OpMZX5/4Ws1nWyYiwsRUeLHnOtemZT3Fdbw DOzRJIio2wWDQd96ELO82p/t8mjzBMYTT2nE8ZCqqCDm/6yl1G55DYclUZsafGRUqFkqiIOWYD7r zWolrEhAUVcPrpe5I+ZUD8ullGpoI1mhOqxRPECrOasCCl81dhLu7KJbEEpMIPJ6kGaH2MFg4SZ/ V95+XdEKvCzKLvpuoqwM2Xd8LDTl8yAwHZBodRB7wHl2ivSntGDG7IrdbU07K0faFKEuHUq/UOMt mb3ws2ps/bnMH4EWSOloUqiGtzl4cP89/5DsOLnT859LBERkywyVBjIIBmxIpV8MBKCTJKpQCbuo th3K7zMZ7NEcM3iatxsx0yrYGsCjiDRzFtscWqw/V+6VEy+YMjXO+eeFuCEug/kaPRlvzmSp3G7K EQ/MZiU6nrmP8POzhF5icPhUeSn/z9GtEj/Jaqw4s0yx5KHHJZnAg4lC4LoTpvu+L+P4nYlD23oy Ylb46sgwdOFO5jsXKmFP+zaSKmMSNg2ztz0z12+R056qryP0LLeMubDj29+Kaqy/wAhfuPr1mUGu QapJ62DMxS6ofMYIEEBOl5oUh2rp2cih3XLY3yXoQ+84Y6e9mjPZVwO/ChIgHDOdsWIwy9h6FwX1 se7zLsIRvi0wZKs1u4CnMwXj/fBTk2dM55NlHmZJ0uit434cOTHmC4o0i5aJ3HzzNUcnZX184i6R Bx9PThOxzsURZMwrD44WWD2teEJIJ6XK73ny3lRM6RxU5/vjOa4AXaVp+a69VDjcry3NGGRTofO/ VXnw4F4L8fcvBHJ0Wnu5f2kEbmM3N7B0oH2+CTl9OoqEzs0ahfu6xGFkpsDMg8m91LTN9LS1gFPh pUr/Lqq5rR0nOPXCzZkGWdAZEPM1ltM183BaorMMYhsa6vB+/DMUxjTFcB1ExsvP6YrP5DmqqF9L VKES2ui5SzOWa4pfaLDHgBkuEW6mwTYDNqaev/+SzN107jKE2AZCNezq+Ol4jbVCWtN47t1fCEXs +riHZ6I0uqAPOR0GYQ+WSBhfu+BP64lWHDByjaLyeHTt+2q2wHm2dxInOUtmR6cGyzEZR/IjGPox forFsj7Z86ePnMziDfFDLvCLPooYUOapI9W9O9r4gltX/JXWU+f4cqIOBsCQDjqHx/NdksPIocMd iunQy2mKZzb4nCEXAcP7fZvAIIuE34IOWty9xYyxvzmUJ52BUWo0JTrdwYXFfcA7ik3w9GTn91vo QB1WXVmZEge2sklcFm4Ncym0O6j9jQWufjujJUGCeEcVzpi2aeq/BzXuySgc8b+vcyXli0mEm/x/ WtERMzY1S38TMn1j6E2IbR2bIguKIQu9xc3APp8AKpQtt7hoyqCw2cpFS+DdR+g0MRdiaFjbIur3 3YqwTHvtoAkz0ftwvtFqjX3ydO4hsgW8TmhjazxYbYB2jj2ehRbL9ZwWGWfSFZ/iMGL2lpysZGdm 5CSXVOgwXsCqZKS6VK5m4O85XZI0zx4NW0F2Tg53XIX/xF0O4uSzha/U8V9oJhq4jEv4qxs/KZcO MxafCE8A9NaPzX8MKnT12iQIopjMX805JKCk/0ZyYqUih0B6fVSikLGnt7AkDAdsUMhL5+HjJDyg 09wcj0wnM/zBTMlbXMx0UFa4Zq06c2nMkpedL2GEbMGmRb1/G+EZIZTgc12nVr3cHBXYA9NUanLy 3oyOHvhO8ckKTrmH9RblZIZkrc5G98droz6EgI1W7Hy3kZKETTGPwfqAibNpEZyTkMdxl4fTpXaa r50s0aIOO8evLlJ5IgXvct8RHe6qHfHEJzB0CxKwUWd0wIQM+ZyWwrT9zz7Zb+1PDCj61msnRsZl iXW0mTaCo3S7jhmL+7DYLpIir4ZtUiWvgIqcLfCf2mpppeyakKwpFpaKGHX9DCiBtlVBvkmfXMVG YcQcRPt5/6lfN7qzPlgW1bH6DaEUwADR6CY4CoDAZRjHaze4fLI4vmTYIfjsBbp7+7WWHhGFGeHh QplV3e/hmRdUUyNyMSLCEc2+hP00aBgxGOD/tY7YJ8L+MVBxDSbbxhmKhQm9dEwWC+xy3Mudp00U QCMJiQzgtN8b94wV3RVWzYY9RBmMaFTLp4evr92JRq6sTUFQOjyTfjJi/InGqrDJQTfF198I2YNe SXgYczkgebsAzjTnKO+tJinUYt++nS+D+2i9KONrKv00/S/qeKuLjqbISB2RyxmgK501mO8rggqT 15aX+wuC1aOoUYN0kmh4N7oInbLI3iZTBezFR2ojy4A/Y6PJi0YrZtGWtvwoG+B3Yg1roT5Pbleo bgrpFT3/vycTemdsG/SDkc6Ojr/YMkoN8Psgx9SLlvG+WWzSwrBfYkFwltFHRdKGngidP55QAtnW K7p+tlwc6Vmvmosmf0ngme27CMTozu7ue1AEO+woGCo7YUfhuonVL3AMgc2OnyvuOIlZf4/QfWqr 9PX81MLrWGJA1YMpjT2PsOorbXLPPo7PLtnwYOt4jn7RwJjbWPfyY7+Ln0Xuh2vSpOiUrd15t/WS M9NW2ziKyFrECRLa6Qie3IfQXj9QpvRzbJ064AAuFNyrQCl04k0zQcunVeQaXGar71s/Zxw5RVnL FM+1VMnrdSRDyqRV7K3rZakudbkpMIzL/rS9yQkcK8ISIDO7fFuID0J9fgvqliORZ7jq8kM23Qph wEfg+pyA5qqkf7DSR7wqx+b+Gnq84uywN4YG1iB3jN/Who7HYZqRW4K7+5k6thmMThUVR0blOyhs zPSZY7/o2UC5s312JfUt6TW3Pn04NNQTIpQCpoWq3+kuOjuS5ct2UMvrvI3Ze6fIroUo7RZNGJrc pKhZykA2XCv6A6qlfvkKCwN+I1p+tQdm1toOV6ksfVe29UBrWUshVsSpl3du0c8j1onB/XDYgNgh ovYnrSZRBatNljj2pQHjMxqEOz8EsS4a2xKSe3bQfqvGOKxqnssk+vcWcZLkuSWel1bphs82MwpH uRYiJ4Y/64XPHwDp2UpR0VIIGlRdEljuTdg5IU5CjAMJ4kCFbEQ83Kl6xxyxpmK0vMQuGEz6LFaP GfJFd8fRJHyG7j1wzT4b6oMeffKOUGCfwh7PehyTaasPL9KM9RoCDERr5pfKr4+GNW5vcfMXKC4H qqBVDU48iMJ/XbdNJAHVaDVrFlUv/bk50Ec0wJ1sf/2ITb5Vqm+Rej0+SMkTDJsooh0AlNCY9e+6 8DSuQxUyQ81DbtvqREp6AnFJxBW651zShsDphaHQhWu24I1iKoDYVJ501uz+vbWNxo9CIl24kPk/ KbrAsKkir1AJvuGegZ6rkTS9Ey/CXM6C5o7x5RkrQfKOoMdWQl6tSfIk94sFacE3GGE2V5MinzAf xQLwzAnD7CJEWlNC+THQF1jJYkMdzl4hpZWSnFf+rqCtJhMsghTvgCBDxIFYQO8OzWtt9G7/kBQ7 QpCkbRsEkz/+oKRZjLf+I79PFckws4XOSARtv8ZxWc3ssis2uI3Wyucg6epjVeCGU46eJcBrVMKa oSO0m/TQyTyK11vvA6L0CPS6H9vcJCrwCQOIPExG1Cp3GbgHGppE8LZPk2hANCZmjCJLrU59/4lO 66MASBfoa+bqHT8KZC/Rr+S0CGU6w75e5KWbEjHRXjOVYU3ZIu9NM+6q5gb7zHt3sP+XmBJYVgBt Aqc+8sVX+0KQheLVSQyB+uHrUYlGMGwXWtr7Ukr3Hc92Xalrg3DJPfsCat/KM+09+VYxnzwuDlZJ ga10moUiJZCxgfMY0RhR9Wwinget2feUWk1MSODMFbY59mTL2xBb4b0WQFWIByHd/HlBIRKhg9Zl 2CaOEt+Kr1wsk4c/YhkgKZQwzvndvqNQixBhnSb/o3De+f6MxOH5ZnZqcyRd3NcrNa1Zsdd7rhpB x/nuTL+aPpzcxVIke9WMoqFhMgTnNbrSip+tRAjMK5j01XtQdEQtBBW7xLJ0Qb0qTPpUKZSae2yZ kIaLZIzx6KRV+CQlgyqbBZFvtglgFgBx4uoS983zdApYN/3y3eV4IuLo/ASrSl3bVfpuX8fNAN0c D+/IttJhXT5cGoObuzH/lme+1U0AUbC8vu5fhkih6H0xrFrG3DXPa9Rw+xvzt00zDde5dAabRHOF c7/iBgeTNhQ9aj4dsSzAvqqyZZC5/W+mUYCgpaAishpUzRtkfLXO8Zj8zad4oNUNU4ALGbzZpUaL 5LMoXCcknvRYFQOuxs5X/2+tzNe9RopDClURf5c441dy/elVyapyj0n5TpGJ++Pyl+WQN8jHoDZI tceXcBXAyRIabjnpqnAbSgOxs/pmLVxkTgf9EmlINEAJUHzXIS1s7FpPc/LP3mFgqn17RYCE2aZ4 mdUM4iBPgh85vjEKhUfWxEm6LJ55SlgmnW7RXPdX3eWUObVbzkR4g80OU2Sg3DF+xYrXTFvOvN0P HDUbJICtN3U5k6raJNVhM8s0588W0MjlbAW/KyDWnC1L+OgigrTOEMKA8K20ZugpfBX7bB3F8Zkl hqr/BWLoeuy6PiZbi5l2IGiYWfEs3R1QrGakB6+/nOzGzIMhBDuIzoRaq6S10B1bzziRi+ApFdo6 wflOGFoGRhalvRTAYUDThiZWnWwbAb0H9KKVsF9aU2yQzuCbQuyrNgQnFFHpLgHSh01KPTvx3tr0 XJrX8X1dNHfpMFv8blY08FOj+AKsWrMkBywmyCGgKpKsDK/66gpxgfvOvzkxu7+kMkO0MouRRYlE lJw+i20UvjGqTk/tUIcTuI8cNgAy5nsWAztbGV46vRwx82L/tb3jG0jCyGXAAwxl9XJq1vtPzlMg kaT+y7foB+kHcj+l644ex+jIEOfJtXtzrml4Mmd7B+l5lEHn4W/ILuS1+2nsk9O4zxeItXBPeJGn 7cXwby0T/ZFbZl7oAugeam3LRzP8N9KwmmEMkVsux2vSAj6glRRMfuL7tefUoryHfRC4ph34IFm/ yvdmMUt6kBoSdt8JvziIbc8AktqhnhuSaIyowL2bp3Ebnur9EDbpdTlnCV28uLPFyVTkS8MTiaJk N/Q6bT2Nsx4PdrIULKaDOimoLiccpdBmrLbioWhYhyB0A0prIGd24k6L02ItmezTMIu/OkSpiSiQ 28Dkkr5kxHFQ7WEOLLi3GK2J2oh18hJ7LGwE5HpljVTFvPHI+APqxla/YhHSsyLwO+FvYlxWyjWU IaWeatFGN1+v59DsXlCAp49xV68cDmSkWNmYbvmrokB8dxCZKONiIV0Ia2oq8oWca6cCNKJpGTK6 rQgDY1LxF9GvQihJ96dBjIBI9V7Iv8XABJCvrpPU4h1a5PRyJYWpfrNRPm14eJGfZ2mPEbYEl1kr ozi0TCd1Ko6XPh7z2McPykTARK5/Zr0PV/UcuNoGcjw4TOBxnBKKlk3XHCStHtCjBHVjAWuAHaR4 qngI3LucbdAvn3x/nDWAvE9/iClYg9s9m9FXlHnD6HIFPmTbXQnrCRpFJTvHDJHok26ChfKde/8P MPupIE2BNq6IWXn10VTK2wS5TNhbhVwZ+jUbfHMxUc7lk1589ij8pcvjZTBxFqA+63dXcZs78Hpj 7yhJxYTCSbizZ8pdox/TRhp3o/yfhnCHizmq8f/qT8HXikg+vkSy8Mj6qAzcMAKRQOgvYgp0EsZl HbDoD6T/qYTEXVVKTJrRxfViGNteVLAKYD8l6QKu+m1r8DaHKrTKH1zJRF1+br+cLE2Kgp4uPBXD RbVpjCkyUHymdjuQsmxFVzgUAZdeYCBYWTHl8s9c0zvSI14GUbuZQd1vip2E5FNVh2hDxt1oBr00 qJiRCMIa3JBuGvTpDmaX9J1LRKriyXabFBY/rjLuap4igYv6FVGBA2qkTeaWHAqly7810G78HKAF BHNGgqa3xHaW6ZMxKqjNm3UBIwnOOjMJxF9YYIWdbjvU8ZKhB4BIU7BYOkcjdT8UokOSVO1jG2IA y8zT+TN7yToZ5J034wYB3DLQZX4FVfFlNszAT73l1iC2y0rd+XXJrlgiWgnrCz059XZsiKgBrJyv RHzYvCn9COKFBaupsO4y4iXU2x4+yDJRyb/5TqlMNHfqYLcsqba4TckGihwZs+VeQnXFvyjLBJsu Nj+WUjYKFkXa5pmIF5iwaSpoIM8ipfAEBws0LyWk2lzNK/QR/vVXkGlI2IA1khxK/HG+Qon+buBZ nFyvTNYwArz17HpjrYeVHzjBMLauT7OrkqtrbJ3LPQLSZCbTe9niUiH2ylsF0AR4lzGcqbaYkQfu ewTd8KEsC8TEnnTRj/An61TPfFLHMidAnkN++C5VVmBjNZH7+gd5cQC9vlzQhgQ4sSWwiioGsLrK b8KSmIGINJLBn850qFzEDKvD8e7Ngqxd1MsLLXZi+mHNCf8SPbsMD8w7EjMcjj0F3Lh2tj3oxAPC CLJoVdsmyUTTjpvTIHUPpxLU9BDsaU7kXV7pCyqyMDvNg5Pcj5MwsCmyf81A1TGU6Mc9pMymdoVj Bu/zqgDE+R/fcdg7NDFg72xKMIWEPjWsEHg14lZJlpVdamsRsLfcTNG3qmnb4T5xsjoIYNSC811i I9/4298hGxaV6HI8e9Re1k+cjmFCLoHQk0EmWss8ijyorDGCVoAWVmF73ojq9GST7pMlz8P5K8T6 QX5gT2xL4ySiGkeK55IdE36lTmocT5TDu39w0jr5hPWe4EBo+tQ+OjSKInCMv8gXxUi/hk4zrbip ayS0+Fj3nmv/CIKDjDwYLzia5msXAHrtg6UksG4vyJrnurh/GoqHSHd9/fzZIZCi72n3U14nL4EE LB7jOge048gslmsP7KWqXvPpR1kqKKC0y7xwn5fiGYNWa7fVtLypzpb1BqjvO5zC6IherFatQ6Jr gPMs8MTeLeTObkvSqg22DlCNSJOJfmwmL417v11hbbdn16MQUMozjJgBpks0J8EpB1IUVhOi1MFg frZhxx/xmiMyfGe1L6CoPF+3Ka3XJHoUv5dGVWk/cMun60Ip+mPukF3gW9FfK84phu4azRWo5EDY SfJWvgZ7v9W3HzZjZ1RX4O88bItGuvRGETKL1rT6Y3rjtqePKgedpbezSFdftIBl0OCZ2o/gm6wE dcNvAWoGASL0c3Vq2UvrWDeMnVXa+XXQ21e13nXuf7MPvZ9kGhBb52IMY2JbpE1/IEbXC02O4ijJ zlQIdxO46w6LO1Hi8a8CRVow6xE8hUOwkerJXc5eZfWs9NqAdluMr/dUu0PO7Mhsc23+8h7IQCWw lQ1GXsauQXSJgbJVSlRy9uWCZpzsH0yb7r+vMZelgkIZ1jEkOkRkNj967rhMFD8yrgGjP1NmcvbM 6kAH9wG5rYHPkIWWy47Q7UmxWTNXubMU8kntTpl6a/juZYO6PLoTztgLLa+R5K490GqeI/EpBszH saY1+3qYnn/j7vapOwdMZKgk4X78NRaCIqAZoZWS/Ruq9JdkItaUCZTO6oMGrWreKfy+gugKNn91 CS6qeO0soXim6nKgtUHECsjsjjOjtBpn30CW9rLBsJfz4YtW5BKpuT5OZZ1sMBOccDQcag1Er6XR UjShiTZRKponwgVO46yoldd0ZumB1aO9YwvQ6t3piEPSwX7M4dWGMrVlnt+38bBI2XadbUuDNXQX YsAYg1o1jJwNJdcZidw2J4DgWdYugQcFmmQ/AzP3q75yVuwO0uEC4oyRIvBHYHtKa5zDobZpVggb IeDSfea+LYAKYw0u8k9fGUX7P3YUwe7fpZnpzz9xc8DKWT6gnnMFY61G7Aus0/jMz5VlgUDNtG4y KHjbaFBMwg1ybUmdBJUm9eDKuoW4vslRsx3Ofr2po10RyP93rLXPZMnm6+UJs9kGTNk5TnjPrkJw mXRsGj8tKMIjVolbRege/W6Vu//zgSD58rsw23W9cA3WlY18CIomY1RZTLgiGXWWrOl2PB3Csm7v ie5PGph533jgUR34PEmmsiJQjtnJ61VfYt8VN/kQ3IzOUn40YOxD/tbVu4C1QS+bjuk1L3i78kJy 659I7MsA2QM6AY+Vd0B4UB9gdWxdtS614PMQN8qwC1+l1by3kcDYFtbZoPGtOmLVjQH7sjEOD3MI 9R5cUovdH9eVJnQEspljsT273GIjGFAWG2BLBl3N8YGxP0S2b8Gcsz1UZHU2klbW12Dc4qkSHESu GnhasQ2UVoUbdwsHBd0I31Br23exRiNc9GnBoE2G8FHoeiXjqCxRJtWg/tFK2VZbLGVMvK5H8p1+ HqI02+vkUatZW3K2QsE2GOtAy6cbrIWRiyFuaNlMk++H0xxKQ1i21n+jvoZZc2Uk+ZSCV+ppH1j/ +GzZ+39A7Wtcj5H3TTTeX86uNhCLgJK5FtaAkEkwNP983oJQuH09enJZqldp1G5dOl5ygxLtjLV5 FRTRPZNpfErJbXptNlBHbSM9rJvLuhHNRtIk8zAGfzm4r/pA/+twKmwUOmTrtVFjZjtDYqexwIpC TMJM9s9k/Rap5m+cNKE4TjzWTcJawS7a6RFd8yfsbyWTeQXU43cxwASOz3+W7Q221ltQrotQFXOs pjrma3h25xZRGK/NnIGvC9JhhzVleGvhwPQSJ9Ic5Oy91QiYkKgolaBBF7nUClRAD+/b1Ak5prg2 78rsN+uOKRXxyjDG7c9FbXpLFbWxPL11BVmkZHbnk5ZxwfrgH+Lq8K9aqtbQ//o6RtKJ5Xoe7xGr +IxEJHSLy/QwnRYf9o89z+oXjIAxSb8khWqTvmjaARl28u1YtvaDFaQcQM0Szf76QOavILGunWFP cG5zhUCYzCJxnlJI/flLPl3Yh6WCmRTIAKLK5JOA7CfQ2HIBIn2a4iZ4veyU/nexGaI36fqtKVt/ YA1szf34JyuuTo0MBhd6iuXzipzs44AaZfV+9GZDS/+S64Zau8PXaKjKN8BJq09/fB8rqU/y2Mz9 jDkrnT1LlcvFFFT3cWfT+zzurCceVapqqzoPVnfvN9/CKZvYM4xNrTTSBq4C2Cbsu6eet1VNI1LV Ou+b1ZAFXiGUPMuzl+hqFkCvuWsIoRRtbUUO6wRvP3H3DxFW+zRX0L3fuHdGvbIJofeKhKQuYvCH QpWCAJIoulk1TA91A9tI2ZmM+f5xpqw40CC/sdbVKh6apR/5fLmTVZn1OflATz6UtELJF9NN6+jh H76klqLStwTGyZRckvpEup+8Mm+hCofQPfzE8JjxdLoNY9FsZC1Nt9McJRPUweu4ODpIWn/w1wVj vkrVKkJLbQsAI6qXpEZDdh/FScwl84XEu2RFm+WCHm2MjumUEwctCYAbdOqogITlJbGX/HJRrQJ5 SaZQ5pbkKVHmw8lxJrNy9+1ytUGXb/k1wAqHF/ZfrSbSXh2hmDjrY+BJ5W02Vehh/0otHuIv2jD1 bTJ+VCRu7+lDnmf6LKcPnpY/hvd2Wny520nCAvgKdwQ8smtM7yfTSbQ3UFiqlaD/zILAXAQYBxZl Ll1dnlrA7lvTCz4bvNSvi/7CMeEWUHf90ZS8JBS6Sn2oMKakjDijosfOI30G8OpP9F5KKA1wAM0O 2UX2g29TaP+vcrsMUbgEBFWawsVP+d+rAXLEqMJIqlZLB+uwOWNHD0j3ZggdMiL9wTltRhcHzaIB qEJ072sg8yjYeQAaebRJ9PPj6XDJW3mkucpA633JaV557ucMihEZHnSbF+LnxuwZrlo5WllbfGgr WS16u4Mb52vJwzxXvqVRgLJ58qt7hmBzW0xc9kxTFOrT+TDiiNYTNXJEzmLI0nPgvAnVbLs68I95 s71didG61G2iNHvtmTthzXY3ej+mXM6U3631c06pfpPAoORKuu3Nqx9ddQvO/6Gczo/WburBNGP5 zgMPtQFaqvnov8LYbfLzjyh8JJ0g/ZelgYxM+S5B8NhxSk0eJc+RZ1jI6n5ihW3nf+fQ9/hgQ94y 9456Wbh1/qnCCj2drvjvNz/mN5fBvzuB4M1f/3b4+8SFEzgBiGLd6LP+rrezO7Wl/TfV37gCdwTY 7JNNuzfZKU/aZ7Nfjp7exUcuIAgautOpWxy6qgtJzVtdYIQ3lLWPe0YQnEvbAPEtGW/Rkc8eWmbX s/R/mt7BFNb/PGUjq2c6C9jDuhrvFeKa6Amd/Xf4clYp6a7NT/W8HSBGBCtRmdAmi6IPdWm8cIjz SNAFi1V5klt/AdkeTmjet7C2VUJb/Y+eP0rclLVgdBPLduiP6Egcu7PN5+yu6NNr+/0HgPE19TCm 6NW1HnLad3cR9w98djdN7/4nEzewssFlQ15iBGMQDKD83+I4Cntb0gMvmstA/Ov3/iXf4cOI/FtN n4D+lfyZXUp0kd+kHAR53eM82yXKZ4Y3K7UOTvepHO+54YzAaFJJ56u3+yE8cD1cVMHpnHogdkiX Z2ZrS1ttmlrZTEi1AWN+Exa3R4S1ti9nxllG0dEWB733dZnCcAp6r6ldDJwv41e0NE2WKjOKWBiY zWT7ntZNnJZPpOCqyfs06hDgRqCUDjwZvd+R4c0sXPDqSsqDBjV+22rKit/pmiEYaiC2adZQjdOr qBv1FYABwmA5L3hbXLyHpoqnVIR6LqJpvepzlzoSjgdLM+wWBU+RcK2lBVPKwVYISyIwPmlvpwe7 2YywefzEjybe5HI37S/slQj/acuMWz7nHoeRx15WemmX7t3wWj9bcIR9WLCSnNA0zhM2DCJHLKIM ZXedJyynaDz2E+w0uwqVmgTiyqwrBzPz33csqbBv+0CconbR7mszPh1sHFPaoKPxLtyaIux+pznB PRg7OMuX/bBlhlplPIXabGUnWNFs4QQ1IuiGSRR3ULHtpEl3Wu0S9gpQDuDEU0cZ5bf0E6zuU6Ud uStHDz4IIQiepaIV/kPfqlFJ1j00pc+/kEVOMxXazRKCMp8kOwbcMGlFig4opoYtdqD3t206dxb6 +BAJkunK4n99HQvWFr6OjXn1QfJnpb6yAfS3wVfW0DvrRDtnOsEQy9TZhQ11bulEWabl87u0KlsP h0n6p87Fzi1HGhSTQ73J1dXDhWyyD1nKSarXecPKRzUfSWHq5pUz/nqJxfgZHudXo8mgb8jlarZo cV2BNT5uFreguCMpSaMjdaxdld/UZ7tSCi1PyIAG1Cvh4Tv7c//+azi69BIb2gl/AjTht1lC5hXQ QjQh4r+C0Hy3k544tbA+IOAXagXOxhYzwyw0Hduq28beWwK5z+w/PPJ0ATNjjsVoafHZhWMjghmO F94kBDWdpJ4j+RtPQfMJPlLiNVqPjzi6VQ2l6iN8QwLY6MAIhk9wi4su2V4C5hqwBvO2SpggvqQ6 efjol97NLW+25UKeOfV65vFFNWVak1CoUGe6Bxl6kDiVEHh3bDCeawmSXUBfErERwq7cic3CK2jQ yV2YQG3SMXaZJodOmT6ShCMJLSHAW0zVxoArBlayjmSQlSptBQcVJOlcJfFudNu7z/pPE4QG5vz/ AsdFK9nSDfXX5kTPxvkUF2pGH1xngIWqqb2pKfZyg3d8y1Pg/i3c4O2vUbKRMxk5j220b2QMilKk EtWmQFs16PLli56G63E+ktc+8XJYDAdA9heuAsTSHWvNcqDZyv+Lc/kSx2UoQh9d4WvEIsywM/RS ginPfcC23sNPjLxMSzTZWtLVSSDPMgXx0W7SV8ZqFR4YqL8jcDUcy2uiNFUkkds+/67rDcjlzsSb tBzE/MllYBukRNEEuRwPWPl7ZsLZ9fOMlbCDInsUjBcp7eWVXBYdn0F1/1Yo51OdZej2KpGhYjTL dt9WIZ8GfOed4dtgaevdP++vVKj/aTlyBiZjhFMZqcRsEO3uT+5oOqXR3uzuIT3aednRzTvQAy3h CJPNdCKyeccln2yvLBD31KwTU4DOqPNYbrBQ3gbiNPczn1yKVJ45Z2/9+q4UEel5oOr3W9cNuB5U O+t5Ki9CajzEW5YneHoQAXu8RRl2dJQ3L7/zjuDyQaPnJ9Lg5Wa55bkDu9SWvAwpqEfehFjSHBql nfqq4oOxDFvHhf9rK733p486cTgBRxwk3u0Bncj/eD5hfnHm8LKIkUcr6eoRiOoy90JB48fcrZ8M BdkW54qdM8Xm7zfiPfNic7905uWGagYBiL/wEq2MCnAC1AwI9YV52RFa7SULAcaQ/T+f9X9cnwAA qGRNkFetGpQosY1ikhYi4SFo2fp+MhWx6eycZYStgr0s/Dw8JtpRnU28xQMNUSuMQs8c+ijNgpFh OgBKLR+FZR1NFRdz0WtdtJm9icgLZZG0IZdaWrNeki6kGesJ7x6CJ/WgNQG3viI7K1Lq1Sy9GZ8G rcNL8MfDfRAI4gCfPz0LZuu9zmA8XVYGEjDaSv1VVrkRKGJhxunp8DiIOXDhkekpYyThqdU/Uh7S OElkFi5G4alTP0oTWKXIIfEH0itCaqL5dYj7OEZIwQYvkUp9QiiBQw19oe0wVyfI09Z6jIP6ZzDs vRxoxIBM4g1WE/rmW+MslrrGHa5JZ8oJCQfSGKtlCpaBnX95Ii+eUoHKxqDbBA4S79F17PXt2zkH RJ0yci9n7MwLn0iG51tx8IdGOxMzmEdSYkbLpBK6Zr2frdM8eKlBxe5kbhCBSOHEisT0tl5znVLP mpAKQahoYXDglW6pTBo+9Gpab3MoBVepi6Q3KWmHFmVVzNyItwuWF1WhL3UPp3pgEiz6aH6kPhxv +a+JsreKyWziewL5Yic/9uLlqugwYhLaTPv+IQP7ysfmGEuS5D4Mvwb8fuwumsXYqvC3gkjeAqBz YhLYJyXN+5YHXK7XgHmS8NSDrjpCFsyN0xb6sH2h/8fY5gzpknInMQTQnuTTYBb946mEPVamycAH WtZ9oUXuI5XqqB/y2GU7kazOhFchEosNzL/c4hVqfzsTRNphoWi594TZ42LYI2ey+ret+FndjNsR Ogcp48dBYKU3vncV8CwApqE9xXcsRGKKJMHLsmkjnxc+lAiL4zEdR0x6PPsv3tujmgclUtBU+gHJ t9erAl8YN6Zp6GcXCYcDBrpPOV9rn1TpenkPo2qfm2/0LwMS8k52Flp6GAELCEv6px8uzD7CAYK1 NdgMgeJjRKsxG4kbFPOoXp1en6OIJuY03kNsCIE7Jp45B0n5XuT8UeXCQpjY1nrhMWb5yANjJ9f3 tXGeh29oRWPinytkNAc6URm2X6fzEADu7NsKu9ZWjN2T6p31oW8EeAicLnXSf841qENZkjorQAWT umq00NKSC3wgYSdNIaKzV4OqfE4k3fiuNLCNt5LVuO9xAt+3zVueRYrvatFhsx2BLURDp/l9JcEW 3MVPbptD2YSaqk054pSyTj8HeB2kaT8seUBEVtx3aM3eOQKutikEYvvunoWQ7AmZW+PAPheasXIf svmjZvqrOzY8OtMjzTjdetgOmr95v575uW5YneH81QaBV36J008xpnFICZK0Hv5WiCxHkjpy6dL9 7PsZzmE/fLnFMvzQ9EpZ7F5/+u15dkHSvIxv/D3XXqzV2uqKyPD25sVcvf4fSroyjlO2YNz//x37 l1sZFj1QnYZGDUVTVR4g/m8cb08isXK3bgclkTmul5V5sDV/BO5qBfau9yZRFWh0TS0BGv5um4mR 67+yf2qAVnLYdQ3Xtam60JBYgi9T+eSUsW8VX7RegkzdnEC4rZ/eh/NCm0JhagqRoVBOflUBpy1m MsOZTviL0eaWTGytxJv6JdlyVUPKcqmnRqe7J9FyfGm9Jh9qodyH9puRY0px0YrouKZVWyJkNFLh k/6fvsf2DAso+c89xEZT9207KfkSzzQ1QmnJrXYGtIZ7iD+qRoJNd/YmtMnOhufEaBbzvJCk/0re o1+Rx5LuRvtgCIFVrWqv8IboAjgGolKPTw160JMaSaxoKK21CPLuN6XEf8KaYv7t+uzI754JaA75 IDSyNF2NpidIsQjhHVa1gRQn/buJoISKuPHbTxS3HYQ4SGn2+Uu2bEWvn2Jg9V9x0fkh3XCmHU7H Ve2eRxuILE5bU29WbK7vFOxx+zSB131izl5Ar6H6b/D+xSj7/DhjthaJh2+5lstt21gM0kHa94LW 9HaOT8fJBAVH/nhQ20pn1ZUGZ1Z8GOIeVmhA7VgQYBqWzzpu1GmGuqJAVROnspt2h/eJHygerbel l7XjCfZFZUBbowOx2DA3ADzqAQ/ToMgfp72KVxCcJicS+z0KKOfwmQkNnrBA2AaohfFmw3lY/Xwt 7vETA5pqxrlFvZdk2zcjnT0cPNf1JKKenHc7G4oS65e2ZouJt75pHDbjR6SN0HC5R4FlZjlIcTqX xZcac1iwBlEOP4e0IF4ZM5OT2FpAP8btlnpmd6WO3Q3Q7Ggywlh8p6YFcE7S2NM3mHLkAccWBxgQ Q/uRGSJ2SuecOiNBq6UWx92662C/Ao/Mm88HkPhexbzqwUXWzxBBZ4nvAman+UF1JbqgnqCfvCNC zPmC3SacgQ6OeyAxGrv6ltAxyZ+MYYjulqWYcs7l0NDwFZ+KMnndBwOpReXQpA66cxFGZLeF8laK fRCJNcYkkZsIEJA3hyovPfRVY2FO5nhZvIhy87yDu4ECpcE9dt6L/AKPxDi3eiXnXzjcXNnofmjD mEIC03oHuz4uQyKE4JOkJz/lq6nhbj6N0l4vz/5W3DKAXSkEPI9qVLlCAzoap/AFHEnNj/M+FKsW OPtyCaimpu1mu+PM8plVv5MQh+fgxZYyHAqFzUh6Vx3RmDR5vmySsyixrpT48/bqrtbSBMsFBeG9 gb4xm9yB2tfByAU7MMEwfTrTXrsPRg8trXSabG1Mx7qzgLYeEksrovW1eF8QOopk5tGLa89aVZpP BexwLVRMhY7xdE7NpIQtwtHmE8nJaYku/g5z/Mz8SaHbbGpuQf+Yahvbf99bAjXL5EyckX4sCDgv Xi0EhmE0wrnJ3z5GAdSA/4j2ti4sIoufSxLDNEiwiFl6UJIdXu5U/GMrmJdAGbTBJqze7eUA/Cem 55bHuFwTnLzdydJqWO/oXeSPQZLpNGeKflGj9w38R8gdOnqhsY0syQIsXSovLfOjzR0Qonw33Z9u mL0GxuWYQXbceVyedX6EuGxmq2GJYWRvIDB86IPd6ePE9l43AeY8SiMSmncxt6F0qLsTcxV8N6i/ nBvl9Q5VAqSUMaaK5FkGRd9Ew+4ePyrEaNkwIa4BkvgGslFPan+g5IZGFQcF4fQMBS3TL0JH6H2L 3Ga54jeGfgHAllIJsMx/hsV5AUsQdus0k4kurwEU/kc266ZaUt+35kgNbol2NqRiI/NDhUeUMC0r yi8SqtCrSNpEzFIFlW/9FFbdF8sZuiDte4Q0hlqlKsWoi9zdwDX6KxGBOCuOha5Kks/5NwZnB3s1 3dKEoGZEbW9Jq9z4CXW3fwchOeIIWyzWBo/3TtzezDvNflMhaFR+dfN6Nu/a5p3CJEhFdhtcEcUd CIt0ZnwNormgoekfhZzmNWwHe4srrzXKallaqoUedOWC9u3tBCeCx8loP5PW7so1cGQh0biidAD4 /Nuw7SXkriLOrD1wwJ838QUnBDQMotqGUbJvdXKknK1V2+rmBMGEBGw4HVKU+vTAtul/SkC0gug1 8VssROFlmZOZnCQ7xUBf8zQNxHAMqJP7p4X9o1BlnTPQXCvHNUxKpfP+tf4559UvWL0npdGJFIcy lAnowB01rlbwXW8PjA5qDFCLwKY/kwOgxtzTLQcuxx0RTn+zcHlBUllw/J84EDvR7tkqLDBE4jhr oLnjMzZwkF68WaLCSy8GkT7wkw6YJSSBn75Xf4QjXHE5RJOQ1hjdWcF1rkeqgXXGavV+vDCzbsBy D9j5CtFWcFPCMHV9nQVeRYBssqQOc5igxWQw6ykDavbkdxmwDvP23/y0+LWicrOowcLh4y681XIn gTb6s7Ekg2yA4Y3X51zbRCq1vcmsq4sJDARsX1Mtj+Xzli2hMofuq5/ZDcehyKvYRTO1fw1w2FKe zCYH0DG6ZGSW9geiBUnluVahqDVEmuc6m+EDfbKUqrNqlK26OG8DgZqRMfFQX28rmF0GvdiNh1dD 1b0zcPkkRWccmF58sAUqaNnF2JwI8ZtPGVdkcFBlKamp51E/Kb1b4vxJkqg8tQCxYYj8+mT4/Sd5 dZ7C2zNUuQUj9NC3EerL6RwGY10+nhZmLDaL8KBwMobNAEKuqjl4TCZUIAuUdrhvcZa5V0r/lJAa NwojAiJafUZWVaIVEzShA8gL5QDNxrD5MV4XgUe2y7WlKx6UxJdXXTI3mvDXvpU2ZPU2NX3JGRdE CFOvHGQ5yxbkmbDD4/hIuEFITt5v3AGnC3/ZtIb+gBObg5b7JWXKMV/HJNS8ivKrnFrzNxFZhxkf aLpx3sbPNnZpiFpXwhdEH+6qseovG13LyfUmMzRtnx04ZtBaCZYIQwzgTJDDuJhICA4Zix97ljNc 85mRAFwcojrqG6p4xvaT+8tavFna6HF80T7Xi9z0Zug4O2+QjLz9cxF/eSwV8uXTERKqgZgqC4VN hJCvW+4FCFrh3NqRC9g2wdA4M0e5EUjRoDgvFse+bh4KH15bloVwzebQPShH61HaTxwLpSFbaS/g LDKmtZoE/RRzNUiX6/esaBrSbeoZGt4YSROQ9SW+pVssTU/Kl07hVR8W9m4FV3g7n46W5My+0z7t w56nTHknb4kMg6TNZqTCDfkw9xxO406k/R7hfBgUbFrBnLWS//Sw1q5D3ZBq7o0rHYMnzWzgmRgo fOfyCGhZBHrS48KG12sMDUPL6/nYY8kRohLd3+A/PjnMv8UU4iYMWjuQazp9en+i0JwnyE+1lzKP QH7w2pxtwxbdwmZ41eqkxAPPH98oBMC1sftdcaYQjyHuuYy5vIpmjnLwE+607x+Ggxyhn30OrvQG c2ClyRja/K5xi5bwfjcPbYg2EY/G4z7jb8PdBgIhAMLIang/7srjyOeagLY4O/OD5uKrSHiQuHfb VCa3vmGuHMJHA/+hHZeEYcYbacQnlaxGx3vRl6QUOrNLtJCxa2ODUefQVgTxAdhUVBQ/vrkkBot6 DpZ6WtNjdeNEPfjLpf2KHehRoe+fYIw3KJzrfJSRQpA2zp332wI4sy+X50X3c+luE55AAF0jx3gA 6C1xDYXh28Lk/AHzcj+WLKHNz1vmonr2pfKkWLv2D5txXtRDTEO8sB+xuMRnCr8K4Fd91zWpJBz3 Ytrs0UylEK/ZEH+Xcx6RyMulpUNnjfoPBOzkcbuzqb7AEr1NPmnlZ9lsq2OazHLvPBPa1hjdOpbW WbAzNVpITYE0zn7gbj92IQmaSZ9t574j1o0IVFfoD8w3nYXLrA2qvUQob3EV2NGGIru8xGTe272Y UcodFRTEQDHu4Q2CcZcE2WjcqFEmVswwAXDoiG2cBaNBND45BXz89rI0a8Tv3+SGQt5JzdlmVcQi vCrserlABtDFxmpVsUzcCcH5k6euijtODvfDb5BhrFqb/lkJ5MevTrnxnUydPWr2tKo7phs94ovI grzG7anaTSu0I8unjixuIxEaIFLHfOj3xLkJKov5TNzWRtdCT/5rFQiCbQuVUh2Rqfx4gVevSqv6 aoEPjpS8JxV4jUksdCb1AoQAvtFdlubS6bSORgMX9D7tkGjI/7nosnu6a3rEx/lqgtcTc6/AwKza y8ciNIsebxe+Qgu7Ywf6hQ9FIsZ6hF2JrqROfukov6GBgxgXLrRU8IH0YQ1enLjy+wdWIDHwWPl8 x2fmV7vUzQyBnfrI01m2u5pIA8CpTliscRxdqs9oZyonzOZuwGVqhXL6Q3Ke1rKvOwZtx+8vbVFG 7oTrpVuRneX1iy0acjrGpomlBb2bIOIPAh1kOBEEfpHZ/7wBIPhxwgDnDDRIlRjR67pU12DS/CSj ST7YKccZ2g3ezba8x3fEq+wHJ+Trg5WdRBbg6RNJmVybtTnrY1WhvRCK3n+hTiH+BUxiNkFd71dH vdFau5DNkT0Q/kfRIIWBDCE//xuDJijulUR2zp+/nHCfGSxyXYHxxRMVX85bJ7lHQs+bpOflXU9Q E22Mog1KYhW7ph7puwZxEo/DmgR5Mt/PQU9meIaX0YN+QByQnEl+QZZ6Ad/lPaYHEORkgJQXfK+X RAUTxoWZB2jfUy1ywV+dmw/3U2s25Mn7v9b1aoZZIVWJM+Gv+JOmF8N+K5T83PeCPgVszLI3UNYb 2/ihPvyONXEQZs7YA3asXPdMdG/o23n8z82oT2IFrJBCvObuI4H6EmVKNMOmxBk6IVyJkxAlt+bI U9bOgubhJEzEXHkZojAZBv1W9A7L0LVqWdQo1QQ02CyDeaBrFKiFq+y1GwGPT+3OuCx9nn7Tpuqu BzF99Y6Bd+xsekaFc8wPG6/JT3iyNNkCaEoMMTHmI12JCh5T/U3/2Kn4rqSs8vGIXcJkg7jDWu2h yEFaAFxm2iEvFDG1RO9/B1eOnfCo3MyjffaO59iptiOPVOmLXJwTuGi0xFd2bl4C3NmuTkZNgASY JxJ3B0bGTOw7WhCtDlY8Iw6TMcfPpCm8s69vFcq9DEbACmDYQf1DvNiwXRIXTOfWzckaDcK7tdLp RUqRfoPwP/nFYJwu2LJi+XLg2akcHfIqtjE1pu32l9dfPsZfRKnEKhDmJS9NSlVaaIXJTTlE+RJa nwi7wgtGEshdgwHUYpzu1gReTs5VDdtiQhPsTmi1MReJ0KJrhv7CQEpSuKrFYKkzfFwQZugIyTM4 OXyxyYYu0ToYK4WJKRUXsmvuaKBSMOLJqxHIC26fZL3+LiptCrtMOupAiqRRF3kUtmKFCxj0WiE3 PdF4LshMhtI1K/uK1tLkA+zciPWJQNwWHltELNrCUaelz8pvv/irfszKi5lnYFb+0JhdAoGKtdNH vqIgP7PjnxUHr42/D/GoxJESmStesvT9wxD6gjBVdELJSVIxhW6iUssQohrRgZkBnO7ciVddl+QX jrCiWW3NLWithM+OqHCm2YivAmKHXIQFtEmPRO2ci7mXGM6swQlCO1rxKq8DSbe7Mg09Suu8bGtd npVij9d4/N2myhgt7eLX7tzcvsFXgHj0qJz1fBZe5XnTMRqlyRCnH4fK/cWYsDjYwXsj8v2n1JWo 9gOz+eVlYzJdlkYdEoB7n8yWbhmemYg6pgv0B/9uIpibMSEQjpsEDXtNyHITn6gmyb6PsBRcNuhl sGfnR7p4TJfKl9HvN7u+3RKa9JKyXrSxX8EV2uf4gQeWukoC1w6n+W89bTBnZa40O9oknweW5SKW 5WRa4G8ohdYIdHVS9fmG1xOAdYrBnP75G8HppYY1p+M7EZolePNgMB55TS78XXt5uJ+umM8tVLuE uRVkYfQTHQirckIf0ONkRCHdnhqIJVXkdz1b5fMivJbhI4Iy5Inx24X0YDvXdPvizbrcs317t3vA 67NmvRY9S//q1VQLSrAUGIidlm24PA4csLE/IaOg/+KxUCQZPUB9VrRKe8f6BJityo2HU8w9KS0q DbKQilvZGVf0xI9LSB8XMdK4rubTqt2LghDfhhZZ83gaiY7wlKddpcATCxjGn/EJyqnUiQ9+CVJ0 HpmcFniAjaQVPlSu89yZSpjVJY7V8UqABr8FTnqmcirlU3yxBUxm+781gz/0JScRZcJm3AytHMcL CFBe0If3Imopyc/AnlZqaJ/veZEuIJk0rhmGV/iH25iedXRqXWO+LIFNWRBzlcTQkpLMYJMPK9qw Jn7mmcd410KcSx2c+7WyuqNrf4SGbCt3svwnosqwvIKhiBvZqWJHSQKEA9VMiBEovIgeA9bbgJp/ 4hH/K8pHc56KO1/+W89ERTrdLi5jUTF+KRK0En8+kR3e8wcZcokGPCFLno7J/Z53a23u0riQTiXV hOkfOxiV8+XMaiFqTtv17x+qk75sOrG/LK/TSv9ME3tabggE1N+9tiDDhfmEe8V6ZntA9zPNyiX5 nbg/AZ7K0U8Su1EegYhNB0kI0JlFC6qzu/xeKwVFph5LHMQEn+FhS9iaSWs6yFWzjnkZhc09TAva 1Mk2OH3Usa77Wml81LocaiVvBS4I67EWKARTlYvqC7dzq1+1IqAJTvAwoH7yuIP7VHy8vdlKwlHv R6jSnUGfDWQY82Fx4wZyFSM67JE19TuwoYJqyqqL4TkDIdPyby7lm0tdJCHmRVNQncadYoEfIVpm /EUlz7Hex2fOeCfQWh8Uj39BOAAwFDc68+jaKPnj/zR47o8F012gDcs8CDPVKjdhwWFd3Ah+VkxJ ffaPKEq7OkGuV+xBsrbtdgwsuiof8K2tUWhXn45GuRZaYaJmtEiesEE4Lyki0uSGsWHYn/6Pvg7E ORkcwOSS+K8EBXySvEdfu1DJ8bX6xHYUGb+UFl/WNT8wm1Tag8lfl0MDiztULU1UROqSaUFLYg7P C3CmaFoPwwaEGs2q/FzzEVK0R6OwqqURd3L0+aSETGhtO+UqDpSM5its7wQgP9msmSPSIPjRhfEK qkBJjXh5j8raoUjZnT0cmVgf8HNt3gT17v12CXLLI3SVeqv7JRNQePDjpdMWczXI7CkDanl5k9LM w/+5PGYlNESMqItU6KqMDZJ1DYt7w1kcqpj1AyF1PmNix49FQL0IIBts+HJxeiH+8k7QZOejFQZG NQ+2CtePqMgY3Gq0m3e45EMJIJZHNLYQc6JxWMYhXj5g8HiNePqz5kMg05iavGQchauLLPcYswcq 1zjqShd/tTeO3IqBMBcwpC45FpBbL4Ck2CAZDPTIjqN13871zize1gluXuhZBIBJmX2hkv88Q6na DrQjnq1uu2CDJEE3LKCL6GLeBZGF3B3wWcFI37LeqAPsT6iNnNcBx6QQLAUDMWfsuHyZoHIENl60 0PYEnqgimd9lxbIyjXXLDsxXqvbp0G9cQYuoxkZfPUi6X+QZjZSktP3xofXqKD4Kcvxc2rIQLRdG 90lpFfe0yl2sS8jArDSEn+dLIf2koMdTxhzPTq5vyXJVUwOc9Bv/BfHr/W2MQCCBEuTQaFSkxuOc /gq9ZMmkM6PXgTsm705ZnSX9qO0uetCJoa9mXVN4+UccW7lghr/QGMIKcG5z8veHLuAyo5w16bcM BQnZFE32Di5aOi1QktNBTJ3j+1ZPCAsLWb8Ebd3vkd1pcKQuroO+aXqJ4qkKdcOITeFpQT55K7nV /19EQObkr36mrMfLtkQMVVsLZHZEWqF1Oddt2pBh/lClmC+MQVnGvjpRTPcH51ZOMaXGmA84OAKX i0N0E1COpwk37UB95qcCfiEUA5ycf+pDTIQEnHfZGchIqPafW8iZqadl5+++8uyUHX4e7XQfSGwm soYVJt0ggmqVbz0TmBWRW3RVoeMKC/6sN71aRaotw2yjroNWbLx3SCqin1gILMz3VDdRtnInfnyF xlfOeOHcVQ2914Qx4/qVUSwVLCyLVFwaD8d1Me3lYaD8LnHsZxoFRs3dixFjOHC0IcQ29A3s6mJ4 PlJDE4RrCkniNeN4wtvlfl3KaqwvWpBS6YkPkqtt/qZZAFnpUnOd8xoVtCsiZsgOK7NoN5AI70+q 9CrhDiGG+4rwjobdtlJx+fxmSwxbkUgNW2enKeSf4z23wb7i2BjRHu8VRHad0lx4R+IfH3Pn5Gt3 o66vT6dW/486JyW2n0D9oal9s+RIYeI7axP91QLg2GMTQBSgx0Q4zlaWF8DBvjW+061Cg8w+1ZwT JgtPDYAeEMasQHRaxReVHkg0PtBMoc9mm7Jl5RYQLD5SBuk3qR0dq1cfcVZEuu5s5AeY9rIv1n1b Ti0W5eks6H515gLKy2t5hVJcj3xqB39ad3995D3pMl/OUJcOHkq6wHQvI3yKFsWMr/8IDi69vTZU 7IUIoZsilz6qXJEdRpJ+Wd0xHPgnkvi8Na0tRBIWUSCfP8cr7mAUwpkDRKwSL9SS0s4HS5H5Xrrr hKKs138nPohovbhCwRiCJBZ3+5LSiVmi6Lan4hEZy2zvYACwNCnDgi6QlwsdkdAXHqQI2mzXx9HI TxMVb6FVoGAeCIWpzcss6g/QDrkvtaZfIlNC4M1jJaI023UVRR71GkEgSOQtKHgV+JWGQakmPM9F oHTeaJFE6vjo0LNe5507FPT+7khzhDpwm7RjEmvt3YMjrsISJHuyL1Mdhjt9ssGYZIDy+lC8rCs8 FTfOKGAjFfqW5zTl900e6WsPq4cETnHhJ8a1btTb1+/ziFNlz8tlGFRM6y+kl1wVvDmyDASjhR4E 3lxCf5CEuTq2Jo9FptwpLM8Kns6QbgIqrtzY7yE5IGX0xV/Ewa/DZSHp8M7zXIRdNiCS30zYbFEX RvBVbb6chV/3LHq12zfnzxoPsLWxT3hKihRFU42qBDl3NKAnuV+OaylhmkyIEOH8EKUuL3R/5IGE jKmB3p7yoMXR/8wzazIXvK+zOP55djh7b8UK15yYHZfTe7qMgss2f7Kljueym4qxkNuoTyAQciUJ ZB6OfyzAhtTW0LeQ+0Jaohxw1R/XXo4salPkiqjl2bF/zFqM2FpzKkeoh4Bhn6GOkXKAaa7LBkch avTikfIvne1l7R7BdZLzKnOXVChP8oFqFHE22C86rQmY1m9v+b0mGZpUhh+VSz1UoiRUZih++zje eriQjEv3wmBQYcEyNH+et1erXbt0D4FRF+taXKUPQ0L1Pm7kn22VOC7QgztuTtjBjtljmBEeQo8A RVQQxiy79Wb5DbSwgWRIVEBivYz2ZC35DXd0OOUFUt9OjYoRZExRFkuH6p6P0LvndaJAuhJAPGra t36c/t08hgQCQ/fja1T4SpzhPUDYFKlCX25HYEPUkcilNZ8j+iu2ntN91h9V6525cYBl0T9pd9XG Vb/hWf+m1r4lrHj8pI7WiJdvQNktX8xDpBQ5WovEo1CF5H3IJ4HBGfn0TOo6ibSbxrIddsWyGRCn vNABznV38TQbjWSsfhFhRrw2pS2ZLYgyw+0fZLB8XXNwk5YCXmQ8fS26GCi+RGIVEXcLD06Dkb0K Gwt/ynV0p3eV6uBWLM0I5dOXyxGF0XFsRjGE6uRU4RAldoZiBrvzSimE9BSW6zvf3KdzwHtSLwAX SJp90SMbA+IwhLzYWljI97FifsuK+cyOwKbEJtXak/PHv2lWzjqtL9eHQRxBLgJGqhhgLqCo6QHQ m//ky4TXBOFrxnP8LSQQKGk7qg8fvGU0SCqvCOs0LTAoCBT+2Ftcb5LaIxZD3O5dTsq/Ey1D7O9b mKhTDLX/bL2hIHd+47PGtXOb02pNqy6LN+HR/4grhBMJ55d6CTJwovSWgzT/dpDVwFpR7UGyxP/q umj4ek6Gg/AYIxa8LxSo+dHTkPPTzcT0ApbUJi0p42GYWnwhoLq7qDUAM+Pzh/w6xTn2Vz2W5eXe fzmQWczZuOGuk/ehhpaKr+xc1jAPW4HhCa0iIQARidsTE5q42WZDAvOxDYToy+kFt/KbtNp0H7wI 4XhEEKp39ynO7l0vAXxc1Y96fSqjfyDsALX/0d5GG5BVb51arPFTkvhl+K6e4WSSryMauB3xxNQm +2h2mV5pZicCaTssRW7FjISICrelc+Yd/KDUOXRSeDPIy8qOSZeAl6j0edV2cjejUfYZv1eks6cu 2V6zlZR6TkwR3jRGDQ9///d2P+OfW/364Y0OuUBdx0u3/2sEg2tqYkOQ2tb7j8s4w26soHMRWIaK q/Pej6u4wlcg6JliM//URD8X4xwBRu5ZJER8mq1Cc6ExK46iI75HPvh4lyRBrmyUA4fJHqNA/kcq MJD9txMoYFCc6qTpLPyOFWdIssW4zesaY1TQn14aoJtxsVM6qC6ogE3SNBK/NEbu9R89EONvQoFI 9Rc4nnLLYF1gUEEDxz2p3brLlEEJNhIr4b2lt/ZCaprAOXCKlno4MQfcnZuSzZ5JdWu7x+G7Dkbo dlFuK35OdC8aC4fvnXzjdc4uEVboPfGoQhB3s6HBc0xWbrWBykgzOGOUqsB4vpZwNNvP/91WW34B NhTEOgPj4nqag9HPYHhqQOFq6FDXJVDEhxsij6+7SwB3Ea9u9dPrpCYHktJsCp6NSYNBsFHBWROQ MxmlbAwx95cI+IvafGsfJatL4YskkIE76wbY6xqN3szpQeXVoeNLmfzy47cPG+ssIZUD1z8dQaUt for6xbV7QzhoVI4BRi62nI0JYpmBmDBgRixN+4PePvrX+t75JCJUW2JAXT1zqWHeVvxqdX/yw2qW csokaNLFoOpUsxGSRlZrgNBSpG5lYQAWMGlWas+NJ+7mMwY/gcWplD2w6cF8AEP5iFgi6GJxcDpQ 8PQEc57WgKirzKustXFWmfrrLhf94oS6aYv0MK5uKX7kN3gyhyyl5mvJGccuvQ/jd9HmlwyU2jAV 9hXMYQ7wnnV7bhBXL0W3SJajoYSH+kDNRvII9ZiHVfNV1rjehK03moJeAEBwm9+ebYUQcvq/Qebz puMDcpm1XHbTt19fMSWhqMdZPBICVgHoN9LzGEOUX0rVRFS61XBeApihpuOJGLiwmZI3SxwRlvRs APE45a2iyMKI0/c00eJzTM1E9qodMdI5+EmkhsxMoh7PEq/o/9TjRNCP1j0UhgMn7T8A+aGIBtfA MdNRYNvXGbQO/178acZkSVtFzrT1SCHihdk63s/ChKQ0rsYDPVyNQzHnjuH3sv0blNPNyAc2O5+J 6kN1L+jYJ+Rp/WKYKcsn3O8HzKR1wKT80MRILHVhayhW2hCeJ3AI2IPytLrLXE7snbtD4WJkSgCF +McEDPubFMcncQHuPGlAvFSBerhGZwNJ9CaLnUpExS3Ib2hOODVtNF6c52PkAEPfw4xyT5PIFNrY SF9cghDrKdQwq9rERvYU0lWfacXAoeGJqZrCRk+5wd0KTdBmDzUtInc6pdLGbUMy7vGQ21E6s1fd VzYED2IgmvwHrBfT+3KnUualq4eTsJQBE9PNU8nKARcBfs6Mfj/5RLRiqZiIybpEj9x6VLsBVOOT GkRXQ9fP55INrpVHt7UENmqODClKt3dxcrGDOEOwT8KuYVJwSPqYVwnnA63PX7gAgJejMc5+52RM CWHrAgicHIlouOfMjfnvhoRgzIvpJ47k1YXcTUAdJN0qYn20liW6N6SUJVyzqegdL36ei3TWZAaC EzqI9B1JZ9vFsukS0h9eigwcMvfxlUvzuSxq/zomDbbEgE8m8siVtLLQdHgm10xGq05H7zcdzbX1 L3CM3bitdLVTD9djZcdHNvy7hF20OCSmx3xXP34H/XR3WxtkNm0d0peF6MKJng+oTGFjo04vvnrK kg6YBsJ5SWrD1Qra6AWojKISSd+TMHEMMbZRg6oNM4O1jrgYZb2jYDHo8i4VoCPgRWQXWXPHnQMj TM0p4SMjM0Igg/zapZq7wjGZ/gxx80F5B0k4UR6AfeoGfE0rMbnqyabLzNYj6DdKhXeogjhQlnMP JB5HE0oAxdDMLLme72FYI63XuwYs9LTqrKndr3hxw93Dkk+3Llb/MVuyXdGbIyeU+prCc5/iIf5i aVFjLC8tGPpAdTo55Bqnxixbumv5OKxElpATcjNlFk6VdBwbk80hKKqjqDGKjMy+PT6WwhZtcQ3o hsIkOln/jdLcgzSurENoZAb+k8k/sPPpwmFf/RPDD4njCePh6yiHFKvY9sgtWacI1j3XiP7N0RlW egp7dYeuCRUTVZ3mSnU3c+lgN6jKtP7ZMaH+E+DD0kMakC1LfJZ54QY7T/22eymjWKSnxM1+uY4N dEZfzlKgDGcdAhnrZK1m0YYhLLe0YIpgqztOaWYoEkysEhjbh4q5ueAje5r9VdpCnFGJtUHjP+6G vQCkdpv7xHtpM0j2rQrl89zcLzJ8w/dkKij+2URx/F1XvkaZnoeiVo31BZt5IdXusYiKRyG7pMCd YWUHpROTgQrxYzAamzv85HwBf/8HUOvw8e0LWTt6ssd5LGuXP2Wy06/22qOFU6YNc67AX8IXcB4b wc+AOhPElYki50i9fefvFoQb2gyIFopFRP6VbC6WaVdMyKHXz7waLiMNnyKUuAz1VQEZs/IyRAxE 372zk2y8JaojorloRGCVA9RcmhywMMzwWRck907CD1r2RSWUgxhasWvUnVgvr8Zg7HKj/miVMzRM aWQy1EMPGz8k+VzhArLP2E4aQ94GK9hS89vqHm+PtSoR8eoPezp4Edzz12ewToRuBYAP+NrXGP7g XOpPGs+pZbwSFvm94tRCRuqlGe2y+rq1uuWz3HNysNGU4H+Z+tyN3TMgQAxJ+19/+uVEyn9zF+yy HtyOus7M72t1IlMrR80O6fcx/BP3T2sMbPUhD2oGbKAQ65Vf2r4ZDYKwRwgJ2trbsJZMwO/ppY70 enqU5rbhOmTO4XNyTopXN0nfKWsovxs+hJ+TV52p70c7CD6zzlRDn6KdY7Cj4vtjQHmbGgwdLtAt wZR+unzPWnyyJ6J7s9k0wicgJLF47VWuAfLZa7RODdyTR1TSEu3cqEF5CwtNnxXQtUUXNrEh8fDP fALwnVIbCmmWAYzjhEYO2RlFCLvb0fiohfc2ZmQc7Pfo8BbJ2Xu152O2v0sxhfAac2IbRVlIGJF8 TANXG/Zmsfp2WgSJcWffsharzmMIgWlVbfZGWO3+bHHsfkvYIjWVOIwM4JEjY2nsTnp3FqssBgEy EFZkQw8GgZhV8hrrQPtDzdqDH7UyHeX4tOo1yH1Yad3PBiTjhHrKBnDbV69AWjTuoBnXUyt1KInA oBfyDdGbjdRcCgftG/JPM2eLplDmO6akUfmDPUj/9wucFPQZ89OdfuP/L5YR/F3o2x3/c5mBvwAX UDl/AND9uWnCHVzTau+wEEEIFpbkvXnu+PZ5s0GmhCUFhUU1ymH6f/sBVdR12CwdxZIXoENsEKG7 Dvuz9mGrSfqLfKwk4c/82Yv6YJP6ZnLpUbY0l3iurWKcjDpKmxa/t5BadX5xSzWuzr3lkNW6ftBf uzp/8QGDzSeTkDaFI9TSlYy/vabP7gYKL4GqP2kZDhMD8Z25lHdVHej+CNfFPInDZZPEZx2XQbdR nAAjv7rxB/i9N8/r27+Lk1u8Zt8PUSfE25Xlj66R7+qfpqMaGYXvjj18/WPCezRiO9JTlq5ggOai XvYHSa9N4CoYT0TLsIxvng4OsKRfbx3AZFo8R8031MySbGYI73qTRPjz0aliV8wS+vc4iyeM7PyV KrEsSkKZfXEbdd9Rp5iot4VAuYdUznfWHQbSys5+8Oi897n4DMr0gCA1PhgaiRVe5D+m10ktCulM mQYXirn+bt/hkuJDKKVnPGxqKdbF+O4DyJlMw7/didVfMW5Kq1CHoYxNq8T60QS48VvjLkaK13aF pD0pabM6hXTA3VOaaNFIAkDGsmHRMvpfr+ncnWmMtwjC4Cf1QZwJAFc1olWxa4byAX2z4XQdh96d V8jmG0P3XqbDcHqmVoC83+TkVcrHXAXBoM+GcElOmh3Q5P3OfbyAsmDnv9UyZ2zXiuBqWmwWAo+F FcpzmkO9QOG0VtZdYi5AImvQqoMDmryHtmvajqu5aGJiFJhxqD2f6zyGNZf0IaTZMJiadDMcLiBE 5+RNye8Rvk/jMTogAyB/m1D+t9gemrlFvZrqEZo0HUtzWiXrYRYki645OzTM9fE07pd7KxExF/IL cLawTuIPi4F6ANExuRnkoOkc/vStCU4LUX7R46l8Z8A2RdcjIu3fbLRFO60TJaZclRHWG3ruwMI0 PAOB9gv13hVaH91qpb/mZEpEGM0odwtelswzGiploBfXIkCnryw6Hs01iGZONYKtonWZkTLj6G+l 4eEuEL7Ar58USz90BRmLzdbrAYj7jVKGdhY235HueXU/kpOdAMXmvS1SvLBGLdvDB0SygYoJI8nN 3yOupiacvnVPMfGs/L3fmTuzs4Sa3/aPMXq9sizkZfMPHEYsapSSMIWaA4rBsRFlZKytzl6YRQv7 sx61XKH0cyZuAeSSR/F7TSNljf1ljXJDPMueVXV7qwILKeUkx1932LtP3gWMvKxiiCv1PhXPkrld GJasI/rVSgzAFf5nCEj1aL00YrZSkqpwdI/eM24fneXdoav2QTjxOxW8zbaW2LCcx1kkRfIWOpP3 M7yl4KmLWiUtIIIZWSG3nKuNwCKtjMyFxe2hSAZcAeeCXPv4m2FI4Phki70CcIJ0QAfHW+Wq07S/ V0mtiiIv26tEnK878sJ+w8V5jpSd54TKtMDmPp5kjXOD1g4JgzIQwb02zYprDXqUflmksE5wGqNz vxih5Huly44ho5deVw+9pAbB/bhSOYLKq+A3/aT0DKUynEXUKXm2RRuNqXADRKmIuQ36kPkujrWD z/pCO+LczedEFBeKDf5pzZCSzdXH3aawb4jfbLOfash811qqz/xa7x7FpGFRXVQdNjKucmVysTUm mhE1R548OliyNhhHwW6h4C0FxGqiamgnHzSoetWfHG4/Qg0F5hIcDxg2CnVyURryzweV66ebW76y 70afQ57N95z2n4tdJNDJzXnh/7jPv2B8LDV1oAn17fiQPzeN2976/Fz831KAPpq/17lb2WJrBOfd KLkgTr/KFhsySGWZQW9XDK12lXZGAW8Z6vv3OH+CcwQlWf7u2+I+6zvHGDDxSkoSFsDdXmNMjosO LKyPi/H7jR8aKmUk66zuiqdAD09HZUa8188/RO59OZIKq6scai3W8mxmL0F9wS9SfPcSQegFLuBi k3EuMPvxY6fNqUU0l6STv6hJPtkUVM27+0vFESWkDq8gSm5wLsvxcTN5jNZlEyO5fBNWE+vWCBFz IqVVsdTDiU0jJOp0/powgE01Bacn6CRa5gJvBxe2cBB27L2OmlKjdjE8L5od+W2iyShi33LW0CAr wnjuWaJODNJfAomb2nIl1d9SolVJr6k/ezC3ldbHyjgYX1q6zfUFXJLwMrqYT5eUZzhCuNJDm1+d aR6ZcZ+r9Kwzgt/R+0/lmJPOx3vKiF4j0neBWBj265QslY8J+EPmD3nFbvhKkK+2ldjV+M21Xpwg qvjRsMUjV5iJH+ayuQi72OhPU/h+zFSRNvQ8pDjLl3OxjoD/dC0LnI+0L0RCWbNctjJkqXxBkbVL CKs9jXd3Lt2ccPUj0CWvSwN2BWyriJNi5TZ8bX2VG1pPfYk0KwBgKWAWGSdlUKrdnmBABgPEpcSF d82PYDDwP9CT34GxKNIxmbMwrMxHN0Ahrp7o8DkswYMk0fxfXiTH+SirKisfIcqZB3YAEWRQrqZD bwfXiXKQdlFhnh6bVwct3BxnSJr0LQlNUvkFuGX8LQ6u+aWpX5hPFLal/Gxuth4FVxu/pqXJTAYn oOdvzUnUxdgy+X+ikciKgNZ3wR4xQ+AU15H+xqTXs5g5T1NwNoW/Qh1hwfMj4lHWyRvVSv2wrXsL BIHLVXekYlg1UfSVGkG9Gns82zcIWZXnjE7uMzAthkhnkVVbB86vbpFLzXfZ+PHEIXtSUb52C8NM KC0AWeD/xSdkedk+39kyAh3cMMYqm4YbP7ljs+npG3MSMS8jjA0Xbo6JZFQDpTPaJhqB1+y36LJx 2Mc/936L9CeT1Np4OGfuEZGwSSTEsmNSrsBFtnBHEIRsLNTPA3trOIIISSKcJq2P+YBL/+s1Bvp8 1G1WgyYMjJpVF8zZaO5iXMU7lfPsUAvoxn468uHkfKWNNcEJJJpI49xtlLUD5zJ+vDwcVPWUzOg0 Mq5tpLruvKwyi0vHqgtEBYB8joxsuE34JFriu5Wp+qfYCnil0XW9FqWdN2SYvrXUud6pQiOrpt1k HJZ1Hn6n3GfxPBO5PAgeaapJAogbY8oBHIGft71JbRwIYo2JE956tuAGjFuTfjg+6hhyfHZE8tEr 7OcS/hEwbdKvBXzez832DZtcArIM8Zgq4AlX5IdGrb38ogoeZkVP5pNjHNgmyXScG0MBmKNtNlei YXVO+kI+/5U13eArVvvU1ngAP/M5NQadqLG1e8nAI0Gcrg2c56XfADTHPKDPCQ8unStJpQVLHfGL 0ga/cEek4XEDTYVwE3a9AESKR365XqBgUjOz+KFsinLrB6kpkaOi8rOHy2UnbDGENIiws3UEW+R6 GkhbrNw73l/avELPjirc/SEUuvyDoW1GZZZQiNMGXISFH/7wJR/cZteZPZ31bBXoeqdVG7GOkVUe FUdOPkfmtxyAmOnUepQGlW/ip1p11wBkTgRS08bWrh3hgUxBCvD+TyasUu8ufv37DgXg/g3HyA1H NID1sieoC2oOndMvNUMk60Rycuhc1B5e4l3NC4ITYbLYaN6PL64LtcDgQY0fetHc14F82T+ctQrZ U802YcMS9xWnSIwPkq6Be1VQ3q71YOEsYJw0walIgIZTQ3ijf7RqkTmmf04uJUVNq7a2Ij0glhcw 9R4iF5kG4Pczp999VJaWLfzbHIqxSZcf7sLrcSU3rw4ligAsChi/+rSqYKWOBfCRQd0AynVt5Ncu NTDeW34m5ReH6nlVXrBYQo/VOY6gAUTdD5e4jUmLdnY48iVFSIuGPdQIcMRREpwpqR/5hlqrv/Wr 1HxYRrFhEszKn3lwehpHo9IaTFUnSDPcH/HG4WjmCbl2srVrpYiXpRGd1jFiTs1sAMkdbKlayOY/ lVlHBUax0D55yYfzegnDSf92qM4e2enCon+N+oXe3TKYFyyTEwIX/65F7KhxIqJg39XY2ykEEsWB hoeFeps7LxU0vl/1Hgzi1bqGG+vkYKb75ULzo4HVLVEJn2dhI1NLp4YG+lpQzfkJr1pBhi6LLgnr +JLITod9MSH0HYSZGBcLXOTZNu9nA11DCKXdqNhR64ryi0K9Z8GRzb/0CMxxXj1RopvjzZVO7gXe RKKRZ42lLslvK8C7PY1P1itGexYjL/rsAjUlz2Yn0o8r+h9/hzm0SiMNsEpU3DlCOJhs0wXzlfxu 7nP90QmjTGZkmlYZM9qlB/OnFxLOoYsWU5fDsiUQDJ9pIw67Fp8O5yQmU/KJPZrGIW9Jjf1bDd/T qqBcfJY1nmjlGqB/gFzaqIDqrrwVoJcJp7qkFR4FnvIzB4E79CrFpFf6UllMOxhzdi+iUhq7lQiQ xZSzjYHpm6UjvNBFqN1WTURdTdWZuyOku67YYNT8oCQP7WMypTmr0z1l3fnP38ATJLEpmkT5msSe uAZw7tNfclig5C//k72gRI17s0LwHXgZTqCKdolLc2yywMRTkrf0VD3npDQVx3MRhadU4yi0uNGk YQBcTdJ1yyvACydDH8UEKwdqMoJml5Qkdo0rWedDb1kk3iaziHnB+0nB2thXS3gpCQphDOlkiNJJ iGwfAvbjYxKogMKdqKJxio8zeEbhDtga280xKwWdLa88JCLPkEk/TRHj/IhA/jRS+/qd7CsXQMsm cS/im4Iwer5my9HEtNYIztnoYpfu1ZA5ZgXrBKmDY7C0dUBLQJ9jl5x7DbleoFwKqD4ohlfxMpEm TOSjjTDtctUeoy62eYAiGWI3byMGyJHgOVG75juQBfJUdNsga5Znom2EgIIYYefIQsTzKtRqY9KA AfeMZGkW/rSNsH+9eXWaJ9fTX1l9D5cld+AMUEq4lyC6QdO0L82NoIHaqW+VKGeeBbud21+eUBXb DaMU0D9iq63pyex8d3Y03PgDPSxaRptTas60YvXSeAb16maayu+ex2AqPDzGBBaHivVTXZZ0wwmC JKyotZQP9qMUSJXJUgOQ1wZ/9XyrICnZm43ZICLKDJNIhctA+Uz0ofFK9E3KcDtfaxegpIO4ObXc +srDEZYhZft3F+cK3cm5iMMuVhdCXO3Fs2gSg+OUxwdh/evJehInb4rIrMUX7JHos0GKNNLvC5tM ywo86e6nN11kbuRIlXMkLRw4rbvQg+suh1/ntdmqjx+iLLwTsUL1N1vJMwtqqERVmsuPaT0Ndmjr zu4bBNXOoMJxG6PMIYreqO/kttak0D74eapWDUDnNrLEKn1z25q80ixaWbHC1n5lRqM27AgRSSE/ Yx7vEzdwXBg0ASnrY7opLknLNeZz00tEgLJ5P4K5byJX7mN9J+MVCSEwBhinVUjrcifItP0JHknW 11SZLYddfzy4sdU94S3IzGgIdKOKGUS/pK/Ifq3rswFncZGLHdLNhtuBmLzU4bytgTVht5L6SLjN C4WdTcbPXyKvs+xAPau9e4T0UnUSlTZjY+3WXnSDDhZ9hbljiZlyc45TnWeHt+z9ct5QU3EH5RjB CS1Sz6MwtPz+ozaXwpNDb442TgDOB+yOBH8IuBLoK3PtZ7fSHZwBALmH1O1WCW1yrFB35nfcoc8x MCDq1CSDFGd66Lq/DUVEFtuw3KWuRU7njZlP0rF95sZ7nZBc2UlO6ZfRXsUyUQs1CJrpEZnIV5S/ ePGmX7vDsnPMFtFULF0Iom+oNmH7uHWZy7IAEMEs9SjfsIC1mCK/hy0lSe1rmk/cbAhguAnoyC/N NFRJ3CjJaa0x8vz472BWUKRwG4XRy5etyUkQ5fcFD5cJjyrveSS61psLOJo3Ad8voM6oXLAJnMMQ bTqFndprsIFXpSVC7P3HzD6dTFHtm/1vKuXxuaE3JT7yRw20dX6x4PuCivGiDgzM72+IuTwuFEMm urNTXYYX50BHJqVORPUjpHNcyJb+XD5b0qxTg46xUajipz1NwSMxN3A/dJNrrLfNj/1sUjfpfV9J AdYcUEwPsYNRJzcI72hSDs7edhLK/GnRwxdyikd6e8FpWe6I9+RMx07jd8nyTnnAvLB/pNeV4lSP SWTEi8hjrD9p9jWRD3xi1hEQnaby63QcRIoACBmb0l/dR5dGYmSYdV2xjNvVjW9JRb9X5uDev7K3 wMzYKOnnNxynYWPE80dbMwUXAjRAbebIZrLnYzTCWbn5K//dXvi+J/FS/J+OMKhJVrCrPoc5fVOZ LeYD0LA2ji8I6uJgCvVu5VXCZ+UFxZZQ0XXmOXgmEvGV8/BAjW83ld9pagEoeekRNp7XTEyrNjKD 4J1E5y8GybjZ582r5yk6MdPf1mazGl5M/lEjPhkuHvVZOG+ROeT+HmDQwi/mKin/GBcr1cJ+FBhD d9Y/1QXa0+FlVhGf0f3lNMQ/AFTNgraaHCssxESnlezTy8elMwkdSafeOB80sOLGC/o/S+CyLljG ix3BjkOAG2vB4BBz8XEjW9fVS9udDtYnf2j33tvqtpEWgsCaR7u0sJSBix639eqKppAeWee6XIsr IfoDymPpWPqrnMjLVJQj9HMb6TF82OPWudABxRB6fOzVCHjqKD5Zkdza5CQD6xuzqrVy/seRPGmB SbmRkaq7+cB+d3TKgSeONwbuSjzbQBIrhyAuD8e/PbTPvSskJkMkMJO+XT/FZlDPqte03m5i39Nx EVV77uIftvXrw7CXTSBlcWM8Ktk0qj9lrbW10TpGK/R7uni+Nkm9tgku3PcyIrxEqmPdbnBFy2+4 9wi37EMcazRrM7Xh46f2GQs+PkFW0avboCwWRBNTM2bgCzfTZgcqwP6YNQUmOwVd9kx/H6lPX4wV TODGAf8UUuM7lKFyM2z9QojbY0gQCf5E96DIpZKAkrTdT5+zADkIN+Px8zXhmrGDjUglEd4lEKz7 oZq9MmD/75Vd0CjOpbpJlf+J7eF8HzFEYS3vQpYGmdERtX0T4ZGM9uHMf8fpEHrtpu1RA1iSlKNJ fwwtuF5Yqa62fiohmcw3fFsrOkiYeiKSae847b7x6Wg1AwsMNjh4CTy+9dZ9EodQi3D8nvQKduE/ TR0a/z1D+xK5fmDWch6GPAJwHs6pmmtwXRQF8kJaVOpdJTxHOsNFMkpgXPFaufhtnwOrCnUJOJo/ JrlUhJysfhuhrSl3nuebAErq63Q5JExSUPXdY/aWA1UJGqm3fXSk3dq/zaQ9Od2zHDVE2EEMzjWe CUeiTrl/dghzi64I/40H9kpLMDAnUIlejHETFUY38y++NwyMn0qloe1VkOL8ll0sCSDNq+h6GEJS H7/NHnZEVxUhjomFjZIn12t4KLuzuNEt3vTYkX4mPv1kyzUvqhKo8kaFsP8M0jX2f5OJdW9uoGkH F3gJ5v7pr5BiKOIoeM3fSkCZO+9iQMFaEsJKgpbQSsTzrURTQtzY0kUuF31RSGS0MZLy1dqYomq3 vpv9ahZJ0md3qRrlVm9JFUn/C2wmSwETDqT9thAQnk2jcP6uf2fpUp9q3lTE9tdPG/AtIA5SZVYD eBkwJ4fNjOkQCGo1EvJsYCHebe3ByJgqW5dQF41VpVH1ZDZd1plgkZz70jfaQ5tdmR7TXhfe9+z+ PdyL9iT9PEz+isReqhP17xeCOwCKrcChP4fMhEPeT28NGfCHpSfCjeMQnGBnaYlZ2VKx/lAn4RoY LAA26LLTb/23p3dNuPBM4jrl3Wq0xpTac8kpWQQ+Oy2YiA3PkQm4pNtGG9DpCAhqAIT1kxTHsaA+ xr9aeWMpIuxPcfZkb1dsYa4a+Hc+y9QRBb7aUNyxB94wwJsNOzXtd1Hbz/Dj599N0rvdXzpro4/r Lar683pF3c3d3pjQN3CsjInT9ilm+czc+MhPcb2DlPuOuhlOAjg8pbbQU74uHMyX2e9FqC6njmDP /CdwdHKi3tklzFeO3v0kCVJN0lgnwaM8fTIfJRaBTtH6gLJDW2hkZgfXqPPPqP0u5rFeQkYxLAta 4Vw2lCs9rL7qxgyg2p2RpIAP5WLcXRg54VEM9zhi+mUMB7EpNjy+WV6CFMu4NOtYSeftp8yKXfnQ mbN/VM1pGfqMTwAJiBTseocXP3+otCqeDAfbzWsZNOxe35VOTToFp/imq6+gc6D4WE3OClwIy0XN xYTl0JmVhPWrgPxwxfkzf56qQgXy+pUrGzhf8RsSJQDWtdQ18t35trIZSCt6M9YkAMQJ+J25l6OT N90xsSY5f4A5B/uZqEZzx8NcCKmM4OMWuqW4DScDTkP5NUl7zR/wxjceFSSg3wJdH5v1j+ZP32fx GgbSmYr5rcJy43FRC9Wp4yVvXnjD7TfX8xz/lxHNErJRW6QsOmGhlVtST77DmxJ5ZTBzHpIpvYAl Lu7yxAD5MedyNmYWmxU/CK03EJkxdSug/V5hsA4/fxoxukR1OvvRmvWYwr1in0vOKAtoR3tpDs35 EKHyxyncxh0YY7NwWSUKbuhCV/ippzZ1HFVkjyp7VgSo9o3KdLC46yXw3Yalc6IXkYr+b8O68EQf 5iG3SZTMNU/AX1Hf8jvjHKtOYqIlHqhl3WyxAJFp9c87NvNKR/ZoxHcS3zmLqO3TEhu536uxmGub 18SXA043axJLnQUlZcxXFYvD4i605Fc0LHfLUNjapAGwfgu6e9nbm6G8HJCBMyRHNr7hNmVqD2A1 1vTxW34c1IXlffc0ZVDlnuhsJt1iItyBggzME9HrMcwXz3EvZkLuj2KNrG9klGLBBo9Dyjwduxs+ Tr029Zosro/tkE9siFgvm14eNLCXY/DHQqVzgKShgr8Gkbmw+iYCduFrEdOBGk6Ay5Fi6fleJC+8 VhJJVwvQk7ji4wC+VEN2gLUgPgc49eoXYUr5QqTNzym0VxTKb12HKCZh4+vORWXojQnHgtS2aoMS hdbCyYy23ppmLW43QKfPo+v9bjPYwwCrYf4hTvSnSQLoBv1pyDebQinROTEz6vy1YrMIzY69MYIk yfhFJZJa3jfne/5Z6kyZxHBwiAZPidP1ci1gFxDlypNIYWAeVSfWfeceIolze2f/i24raDftCdtk gvQZ5vCp7dh5daWlRByNS0KCWVL6cpHhQ7n9E+Yulfv0P7dws5bnn+cbznRuHZr4WZ+Zos4Ir+Jo nobV4o3MmAvMn6Yi5JShK2/LALT9K0ZiX4kRh3NlI84dII/YETUkMjtn2YrQ9lT0XT9C7WunUwEx fh40PvYsxEK/2NpV8r6ksO5cYjPXPYj/NhnNzvQWsCMs+HjQDib8YJ1rmd6TO/lueeSkrARvSZeb +20RVCKH1Bz7d7umY74XMngV3T1xBfOpC+CA/HHecA3GEoQkmROLt8tM6cQWMfq8ceyWKxlsutnS H1glSTK1eM+1D3QFZshDuyH+yA4vdxd1XZMztqYt634m356FO/Fv7YMm3lerK9+nzZzkuOERQOcK qIkJraKqr0dbghaWzaRnE9Ym37atrZXZrWHb10hqYfA+FSTVYD7BOF7gWtq698CWDczBK/Zqxhyi eaZMyKq/Qu6VB8atgL2+/BQMveamnaB+IcpciD3QGfmGrM0cJ6lK4JNtSlC7JTXPFYcVNmIfsaJW 7a0lHZlqZI3dUyT1lVWKs2Yr0xE7BiVZw4yfLn9ciSDAes8L0w1zr0D6ddWdTUv+SSVcnxTQ7bxI 3/MFSvwfRsxtlL8DhEFSqmiN0pvwY1UwqaZ3TCkUiagWrt8axTT/1HcXwYTYzZAueeaqGyKptbyk CWvB2ILR5j1hRBkolap25wpUjsjbNiXJUZAb6wYRynkdDZAMosH5rY9jJ3oZ9bRGwLc3Gt4sdbrS vRZCZNZRlWH6EpU1V3u4dcpdGzmdZINgc4dSdW/AGi9IKjwWZngXBn66tdRs/pc5caK1Y9PSAqv5 jb8hy2Rv8Tb2zn2p6c+OLmUqtw+iUZ3L6voUvRCNknP7bJ79mnu+FdVvigeereAkE12iTXjHCK6i MZV7nVR51Q0gFkPNNt0kU0dhEtfB1lZa0FKnWayfvWFPvbHDPnUjMScd3O/KrVKDlj3V3eDaLRGw kJ520QeUuhG0OqgR5pXJpiAtmSgReMvy155LfEctVPc3WHSnCvbPyYTZXutyjlyiBLYWPRD+k1M8 IMy+a0dxIRolTlQHo9M8acxc0w33tFwgw+eojQ3el6EYl+tym6cRLLEosWzRtlkpULN1WNOSB6QH bU+18CMPcRd8hceiPxdXowVheOYQx5Oy2oe6pPasNFns/IyyiWlylWg7x7d9CWlvkDA9TTFPO6xX wU21iMMitZsXmLP3r4yxUgBp2gUIuNPlhvQGVg8KK/Lr+Zd0YLcsOLhHlfahR1P9FobZs5UoFdGw OaqG2WfeF7w9rrIpmTe/pGF3LsydKpJUpc0kGEv5DadtuQk4UIFW3XvjFgUX16cwjzB2P//8L32j kvnogm2UFxIkF3SjjmBrtAPtFq5B4CYi5/6Vqqa9kMU+TdbF64elkOaimqXHUJhMcvtZI3rZIQ11 dZ4ej2b7Owu2AmvBRs5dRkqB+VtnRiUwdVhaoNkOuocH1Quy6EkviQf0ykkhunjWAxG45tOwroHK Uq/pf4xaQfDu0zjJlpu3L9vAc5CtyoLzoglHRTugtLLiOh3NCAGTvasNMAJlX5kI58fqpQNt52KH LjSVfKYSkPPJ8YqlFF0l9Mj/wiSDpPFi3ovhnnXGGZS/7/jeFE12bcnampHCbKJdBkJrhb8fCCkk OdjOEualTsiG6kZp24IzAZNgNlKWFglGkZbWOV6JHLKf7EI8yMgNVlOTB1iyJjwsADXsrxy7erti 6aAq/3mhqkbCTAKW1YU4qjFXj5Be1hlXh70KLVdVD3Bm7U29VPxv+tU6SJntg0/XwfxOxC6XgUCs nM7s6Ddvv6HfyqkXmbOGxjc5edhTuWjQHgo6sH/PUHZqtl2aVliSNaLRMvNf1mM/QBXkJ9tI2fmA 68+waHazpqqizSEqhxS4KUpHnq1elcbNC3URwApv5nSUzsp8K7gFIsrIJQqZ1M2Jtt3Sgl2zQ33A puDhd7Lv4YU2noX+VfuJfXhSNjG52ohJvvNLYNQVYaXYBoMICo94+zeKmlzpIuKD/P88mH142ScM ZvxLI6sHt80O2ZKraYL0zJC5AG9Ej8LjAwCx9rDjkE0cdwNG6+WkFREc2HPCXa5UtrQdKHZEvNl4 MR1agMTKLBN+Ok2OctOjYrihL3EwN8vvzBk/5Z4Pda+yXd2puELSd1j8Uho7hIe9BcSlyYu8KiXe vSCD6ilvK33751vHxbvXwhm6PlnQnQXpXYXZ3d6q2rYDsN3sYDUUSQWD05cbHX3qcPob9QKe9mVz vvttQo5TuY63V9pK6KAZs63pwfXGB/wBGdbILzSqJOAkFcS2yZpl9AdEeAA7zU4oHxFN2CkSkOg/ qFbfWWmBJeEX/KJc4CUaFz1oPGO6FVV7LP9O/rG1qCgNdE7dRsrcDCNwcHYjhi7S1pwC5h7aYsMd 639fzC/MoWTMRhtsswXEs2EBRbuWlH0PtqLedybB3l9bPtU9XrDHunZYzW43vufp0zKft1y9pUar xF+OU+161kDAmGFmdvC1QBNvbtlCSffhKWUsurDjoJyGU604G04bPN50aoQXNEdbm3Y5az8/hS6G /86CasqF86zyKhIBw/JUGO0ZTgXqlWicsFCJG5/8UwceI3PGgFbXPA7YrAc55/6yK0wPispDp5Se 193D649MD7AykLEeIG0RFv5jB4jPtSaUYjfbUHcu/oH3BEmHofe6BucTz4QRjfKSIXeBv9QGSxuP eJI4bd1Q4zE/ETGpPv+l7izKKg+4PENX4WZ3rB9fWm6/C1ocLGls7Arx+lF8bVNk1nOfeSPW/bnE UfdrMH6G7DL6C3t1uTl8iImXhCfscqMWl10FEsjSmUt7qwklZCviUQWANpZcMvH6ZrboFYV9JxzF +T7wVSnxcGQHHJrtgaAWC2ciYoq6ablbBMljpKqyEVGCi/yuBONAyn+Ap1avVtQ3sTQv24O48UqY RqJ3+ZCkyt/Xv6peR5FuGg/0KoTRyerkN1R+K4mjgNsuVEUMa1aHUBkpAaZVMyX0/+kjeMdkJV0d mon0a6P9SwRlcPKOzNfV8G2XW9gVhbLLhItaOP6DXAYW7Z6Vvomkchxq3CKCdogTHBnMYbf3B59y GA6ejchgzY6Mu4tLJSGApfuA58HYhNRXs0InArCAO5VSsqXvzFjGa/1P6d/tBpe+uUX8g59dY/Tn bRA1hnmdPfsKxkHldxZ+VYWj9eoABmXZngSPwbE6IPu7dBR2Xrfu4VoLDlpfgrH8uCdsq1BB6aec /bHOBpnrM1anNHBqoTXgaStZEqo1eM6HjajJPCC9iH6+ew3svPODmpovxEnjwTd+2EfYw1j/d+i8 VMZigxg2O3UGwjCFvwyoBif+elItZmHRs6oLg09NxfC+hZiY99pjmRzBZl+kYRsfKrZRaASToWkj 6QUQFm8Hmr2NymzN5U8CuE4LR25lQAbaY8FRie1pskwGOl+Jx4KkaQcitsloCshDkGHXBrXGAagT C0jNTH/R3J5jWYNYP80OXKdhLn1xFC50/xlqd+jZuKqVxY3Ip+leD8o9NQ+QKDZSxdcXnWJ1qpX3 zNrMj5XtpCzCPnqgnq4+ENdDdjeZvhn4ILGl6I99gqaPVLbHdJGUYf+z+hOwA3Ci/DD/SXMpjfdx p3UbP6eGrwqIVOWda24bTD/2QthOGHR631YLLdlCFCrYtIw8ApdsRUmQMCFypCmCIC6XXtCh6rM9 zm2MJlISJybghWM0X5e/mxt2CkAZeFqukug3Qr+9rdsbi4OfWMshXIA/jZB9nP7n+8ORIxo70TSg QFSMdtJRTvw8L4A6oZgEmcyBrn30Y+t4UbmHl7Oe6Ubez3hj4uaq1uf615at6ZqOIPkUfB4rw1Qg lzIkYSTyq5OGCcVDshf31tLnMU2dzbtHwEboyrYohcPRD6KsPqVoR4Rx+kTwKsrcfeLvQFZYVujd gpI8Janh2uVOyDA+/TMVHQ+xirV3NmSzGvmSYj3P/jozFV7eRasE6Z3MKoaC99M1PREl/CMYlhsG dGP5aKhPmCkV57WScW3/SXAvCxbeXbjUWKstzH3ifEl96epXWfZcw+xB5qD7ztPkcpVpxcKWK135 OpfiCAIGKVdft0WTP74qHRFdCRaiZrWbcTWJfo1e5ElMczZ/209BvyiY5GmpP0oczSgmgZg4Xr+z wa2K/eTJ3edK00HmRw/EQC8XNruXMPc3R8f+oBIu5MMCW293QVnNGsKoH4Pb+H8Dx0rlRP9elwwi Z25FsbuN86AvezU1iWGYdcqIVsWnv4+Mf8ts4+iuxij9O0Bw1pfOVEIsV9bmvFlPMmZXqJGbkpbT UbC/4smEoSum1jq2bxvXtawPKo27m0lvq4aGlj0HtJW8EImaiw6FkcYS9mAIyVX3nY+rhBPYM/Rl b+gnuqbML8iUHya8vMci4UuTslP/Yqpi/Lpop6YcF6lRu1yFeZY2s1z0pcQhM7H0S7UYOFcE7CD7 L36kRHS8tVGQMeMKbXHIN3JEtHjsABKBtRYVfmVzC3TG1HqHp/fqbQE2oS6ot0BLZod9VP9CpI1F 3j4IhckT3uMAGX20dPSZF7iZs3CFgiX3RaVEvsVpYVOubMkIouIOw8OTSGtoqNaZhPHrOg+HXH9M CVN7brCehHXaXwXGiNcLc15dVFPlS+UC1UN9CWMTW4vxgfyhv5uNnjwKaYfL26KMndnt6SiqirrB XSFiVbb0G7IgfRI67ZtLv090JKgzkV6PaWyMyRjb9jmdmOurxSPJaarZ27wNyrnotgEB1bFHL2ft 3ZtIQxC/OCskE0H1jUDIkGa/aTmpjSuIbc2nY5EP472raYjDEjJhdM6dUUFx8JxNiqu2PF83+is1 ICfNdZCGXWB6raNFvwm1Sy6qoBb4wPTo0Ytx8olrmYt/etyJXCTLN+8++yO4miHoIVHDEU6zXXUV CHXnkEOcAJLtEeJODxOZsoambKnK/tC6D/DRk+uLdIs46nbIMKj9iRN0U7kEpZqnzQX2iLXnKeJu 8udyw89ADaouSsG8xsu21gnYdDIfsw5xc7UPgKiY6nswhmU6h9z0pPh+Q1V0WkTEX9Z9QKTzYyNd fG3gaOhVtsz8uiJ39RGOIxWCngCyVuVDGy/Mvnqda4K08xGdDgrapAuIbxFQ4ZViohkaWOnUCSej PnuxN00a2p9ievCnDs2b3tYyTMUdh6MfE0u3fQSCT5oxA7o3yFDa+Ze4Oi4ygsY0pP7NV8ezEZ/M ox4Z0mt5OKNKstJ4YytWvSIWZYYEldvgdndd5I64NJ4B7Of1uLqAc4x3pXesteqRog5lImg+5RwE NhbLWr3CYs/yl91gDqwvh+N4c2SEXdmb1Zv+BlnuP8UDQ7dHCyO++bjxCCaenyNe05o3MSy/ZXzJ fS3vowJFA1yFBV83auiRQ4s0gmYkOQ/Pz5Zk/BanTdxPEN3d/A3CtITPmfIuKFZurSaTF6YxA+6X tqG62qOSy+6aQ8A1yKTRCEc9Gm1OmsKx/GLMJzAv8OU2xAglYHIQDC46dIpIAq++vuSTwZbW+pU3 +Blk1KF/BXKkv6al4wUx3iR0J2Tx9vgNYftIDTIo/8sEdj7uMiWt+MapVcTmqxQj+oXWRRNnO7uw DrVA6b8g+TNkG1fEEOIaRL1fEEL1i0lvrldKaPXTabx/ew7jzeVLLSXvLbLJVKLqq3RFl26/pRKw VpglQz8PyfgWQfBV9Xwbd/j7jZn5tvFioqpJjNF+dL1WNh+fzLQF5NJV9YTQTvIsT0ExuWogf69k hRrfYHyiVya7aFH4FYBZJiG50KB7flZXbA3N9red1JeXjCw1BiS6vRG4IVSNeGLkhwyMrQuLpqkj D2qbNWdnI0bFC6Vv2dNu6lOpG6Y/kt7ZnA+g0rMrLR+GRDp3l1MmfddhTMmTEstIvRRon5/MUkqT vSjrq00ThLCu/u7dUBQN0pt8dAueIQZrzLzrqu7HFZWrniiKK7Pk9mHECoA+F1Qr0XSlmbMtfvqn Km84Ro+11YZE+6r8epZTmvNDlErQCgi/2aNEA5JimsqsLRoedKXane1edKnafLgeIsneeCZKq3ck xD/0OkP3LlgfaEtTxcq18ToAlv99QlV20XwYutUR2qU4xniX1KewjuCLH4BNrevratOSZtOwrIKp kriVR7ODE0X19qfhmoDU4Smbt8kWQk1K8SUObU48PT9ffNLeB2l/VUWVXH22llYuOr96NmgNLM6q FtoTZXaLQmgI71mV67qd3LlFtQCrAmMvtNK5XfI92tSjPePbvtJmpuvc6Qr8VuLZ/eCWqOGUhMWe bhNbx3GyY7DqGbtntWjPTs1GOB4LvF+KQZmn67wZoNQ5AvCqe7r+KTopAcg4kv3q9l1YmfkNVq7L dlV7OZVdcQichVG/vzD6WxuInXwrWEpgm9KMBS85/ZooMg735uCSUB5cIeAQdmhKFFMKvYbHPAm/ Oc5OQzEVToqYq+aiiHoz0nwRy9sijoOGOKEW2SG+FqxTR88O+5M9ohBfMDj2SSe1QfUIqOCG+SL1 NyLn5/RIRToUhZLOGuK88cycJxUpxUBEy++I1SL27fICAPIkn/lRiptrvy3DQc1lPKS9dxsIWy8i auwG6yDFcRNpwL3RDmLCXsSDeuKv1tmDmX3TZQRCAWyto/uHYAFi+43uxKwZoCIVIFcSzktuWZW5 1tjIfo4yxb0akGh1isY6XiwXnAOznjg17dgMvR1mBzE7/mEALAtgeMJxqMndAnKC5SkNKr91poDf GjiPphqn+Zp/2uB+lAjp7HV3uxLU2WtsN93/sLBDgvaF+llTy/8iY5cZtm8ByIVxGHXPv3WNr+s6 KdT4hU3goS0b3QG1l7PAn/M2oXcZniWCMeJm50LyuwAPGyd1Uknvt8AIJE67vJdc5O2u7exHHMuM HVxnwhy3KTHxcs1DQVuj8fgyf81drZavUzPy+9ByOXg57vVM3l2oAy82TV78k/qrhUJv6i3EyN5o Zu8oxRaTLFUPAvLrD6YBETI8SA1JmBzgO1LLB9WxXucxxyp6TwuUpiC3BT4t8Vtuyp9hSsuQ75pS hJPFWMAUNTp8P2xY1U6gaCdlJUDDz3lX3WnFAv4OE5sLECx3qnzocXSnSSgmr7wxfO7bfZum2XK8 9pRFWVe2CRJRK930II+x5EidHFbFGRhx8UMdrz1XsDMbHzciaTaGJSUEnVX+XDezhYRwxChD5sXZ dBLiV1cKDCBkbvCyHFmI/lQ30qqbe3v5WJn6Rfn8MrqO71hfyfxx1WjYfZEdRPU6ELHt9iZ0fFXs /TAwugFn58e7L2OLPTXAVTzonTIY2A43dSuRcz3MRACJAvdxk4duDxTrA8dqaXCeVPvsqpjX2xlg d3xktoMPhkKrni6IFjEisEYhEawZESYa8KcaEU4V8GLGQ73L8cEYO+IVKL/deIyZCxKBmGlvExN8 E/Hef1y5wDzFgfv4OzOary6YATPRvgJRy6/kxmqHtxQ0SnnbeQgfuYjenvohkIvatmpubGWfLcU2 GedaYxRLLij6Lsa3v9QCM/SaLQlx4O9tvGfkOnZHL8ahQjbfXIJLY9FWF0tdQAzPSf3OU0K+4T14 Kr7r38YfC3k8bAXtnvBwbUBDgkY7QYQS37fMsDo4jV9l+/n49J9tjnCIOvr5SV+5+g5xLd/rHWxF pm7FCEC1KoQID0FoLMBf/F2bJyC4Qq2DUkgvGC4IVSWLp+WAODYvmFVjLQ1l3swvaeU2201OLe/s MUXlr2BuI4fJLYarGbHzN41Uh1tfl5KC2OVVHE90hPFi9dXv4VRwmmEebYZuzAiwCS9htVYU3Tp2 Fy4v3VUY+2RHGS+i5GCN+AZEUlSButBChx+VZYIqiKYqGkBvnNqrN1T4HU9CoCq0luUZatA81Db9 mSWbJE1o63OXGpUxE0HZPKyRfAOZowPUwwrYotEYmeYPHWlrqWyp5TtOEdFd4LwBTqCmoE4oebb3 NFUgDD7Daom6QOZBheSsKYmk3nuxkmsC+wPBgUhMrfccroYhStSGSP9Cn3cXXDcp/0witnhojW2G SeCnxX2aa+1PjRRGcswSPea9CFNTEdAZWT2RZFHpa83U+CjkuvIk1UQmxqngSEAWqC9tlgzSGaqB MkRXv1aNECXAv1n8MxpqQ1EJ4FJ7xNwM2Bg23ooVr2oQYiimJE5OBOyx9V5x65zUEYTxNNVCrjbf +iwjHcP19sFfDkYlF+YoeYmKwTn1YqXTrZfu3AI8A0FH3n1PNASKqWnFouLZ+427o1NknZHrRbOg n89/CX0kSICrCa9hfrg+zMI+j7ka4lRKpkBeAmVp2h7D3swfwoABwJkTtoLq8XltmAE2Ac2Xs1+w xhYvOEYBs0wSs3DBWn7OqATr3EQXL+fQD9j2SqX9TE5dKgY2ml018wcvvBa6cRwEmsyHUSzoAlDB hBzTjgXiEiyk75JK/P9MAk18lEA2+gG31miwWEjjCsGKbGJAl+z8343lXa4SyNQTr9Ote9w5tzyu oi+d4v+UN8L6e0+I/YjuKaQadRwUtJfWrRCWVjlEdevOjyOD+cJlORFzQpYn8vTUv2J18rgkX16f RYaoYE5dKeE5TB3wgoid+JOPMlkryyOoJycNUXSm8bvop+cAjefsCZVEolL+i93UQV5ADsKjT1mu NRVEp8vNn9i6Uu14ll5ENY89m3/FS2IaKkdjXJ3PONhJCbQ06MxkH6pCJ2VhINp4p4Qum+lJ+Uzq nMnu188WACeQxD8/eSH7h4b3UzPpvFYXXoxCdGGL9RcegE0dA9QQOLydeJffEEVuHmZucXdSkQ31 2u+zLXVhRhDV8wdxkdAqRwuiQxAowarQn/MzCt4lbvr0xEeEtKHJ650tSCFQI7C8m1MEjkc4/U3a 6OwshKPQl6coPl+6HngxJe+WD5Wvvs8oFunu7daYf/ziTaDs6bG1yDJJ7ra5GRyDYcFDUOGdqAvk pGXWmdVcTaHbzCiqitDx0PXhMpnoyd4gqSNj+oyQ2aqbjCDkNBq+PN/CIxrsbPES488cicwJoGP0 YV0SKWY6XT1IofFyjkOOFWydPOXCuJ8XgUmfDlbq9lpNPY+QQt8zDRQuDWEsaQMRDrunmNohK74y ATVQ08Vg0kfumCOz5v7C7PybDHIhD3JX5OIiipy79juP0++MwRNsCl712ZSCvgZ+tgRdPNEEsUWe Uc0s/8Yrw5hP75mK9ITOmxhrrnviC6hjgmQptQxHVgl8PWAMFZPTdB6N9lrWVgsqWmOw2Hs6b8YX M1lMvTM6ryPgP9l2V1Mn+zHv0Vo1YPkig2yie8r1FiN/5lhG826Jb7ttCqXcbotU8RX7XUD0M40N 8RVD+/sl0756wTD3PcVbOyW2ypwyxvQOWYcmOPLiuoBZxPGbvd/KHMvPEH20wXYCbC4Hg9Gi6Tha U1RsxP61grL6w4jH9qSsldiQhSCELN3DPYsoZ+DQVKqTpQD+Ne53O9a1FzGA4pBvgpG1cZ030JDn /n0yW4GOVJPfHEtiIT1qDpU2NsODzxS5Jz5u7BHAJN4o898r5ErC8saaD3IPddAvigETbICazFMw PJdnLGDosVtA6/vQgQu4HOiR0tBQRz+2bdNwLlzLo4Ouet0ZDQSAOOmIeRc7Vui+pyooka/0Y0+n hpuxsj+Ec1SYmTXPtLSqagBfAE2D6sFma/2hUCU5MAgq6hcmutrnn7Gu8KBUCbDsrJoLE6UAq4nm yfyyYh0BA0g9WdLzYa2pgEpoQd9ebcJF5BAH+U9guxy4RoYAz90VuefkIK8IkWe9Z3RU7+FqmQZ0 Vv15F9cSQO5wu0fKiIRTQuekzy+VNjYHkpoHZ31X80geSNMkiYSsxe6ODBJxQ456ZVcz+S2j9Zbs UfIVGMqMYYAUIUigqWJU8+lv9ASfEjjEldOnTEsmn2aPRNilQY5O6afu0I7G9Aqm9FCRA5YqEBfO Hr/PoSS0XtBfVJTNgNDEEmoQcijOEkYy5kcwCAeOLAul9gsUpHsRkIePBLPWNxNFOJ0H237vhego WaIf+xWH82FvC1Z0LomPSgt2wcfpEu3AXNBh92ctp/I0Ul81GSU72tyuWOPqll1nTxk9thTU4R7H JiZxSEFdxrwhu2EMRj9mkvIKZ9CKebfAj5utlSQttUUf5acuB7dqDtF0K3twZDGlYVX18b0oJ6zc YbEogANekMYKJyAxxQqTrePNgRzkvDUMPs6LU4MaiuFC2mKbFr48kj+BXQ3NpDkk8ZRVafITrWwg iZ4WnwF/63HfUsthryDIKbcdphWBFEuIHTjl04svq/16bZ1H0GlQGM/17rIy7ijxy95Tl0T+u7ub zlL7ivKUW2weX1yfH0HUtuucrRPfrtrQCWang5Ah62J69i8viJ5u9g6FdDU+DzXjCLobD3V9YEWf nbgxaHg0PYcSp7/JwquW8ur/m8jUYBkiPLDmW8zXq36ddSat+yMZHcp+V328+gcsk53dzdKnE0mR LoJl/XoXmIltvusLRfWgP5mH7H3Tlt1rwY05VBfWp1EHfu4Qt/K1fi+EWday3dVnR4sQKUg9rQ1g fR43lWCIA2qeRZy+18Ozvjs+qIVQElQzeP+8fZ7r8lbla4z8AJkRZcR6XqH8hZ9GmXema0LB6fro 9X7PC4KWxC9LDEob4ZvmPIrLrYhawbZLI0f6f6fGKU4mS0+DJUhnKCqde4kO8+iH7Tyh9ges9LFW L+2o2z/OMdOYnmum7CyCuWFs3oo/FM6Z5EewzADXl3CAsXZETfWQzOh64v2HZxkvHclJx/SCA8ap E4yJj0TO18g7YAFDfDGjCRQofHuSVX0Ae9raSC3PEz0Mh6hT720icQt8qldOZtfLYIu1KzKB4zM2 1tfxFW2sbYh7jF8g32pag21cZNfyBeKs/V35llXaoeVdt4tqlVnDU2p4SHHokrhWZhSUvSBs0ep1 i6TEHwF56CjDYK9i6yVPG+KdIylRSSJMAwFg+KwPrV66OjfYNC+TmhYr5qIWZ/LqByQ3ypQY0GLn arS4lShKpe1mZ7KGLLboU3Ev2WKkQRg3G2XCdxvCqFYd0RAJ6x4u8O5jNUFOatg7kx2l0bngdtXm dwB6zcT1GdVwrYS26gzIVrSadCWYMTbQTMXsobnmRtV5/fyz5QP4VkgjsmqMp0StTK68ruLVtgMv XL0xbKKSlfpBfqFbLhRZkTN6BwEcEzdiCa4tuL1AF7+q6rDHfj9DmeQun1yePrdkCPGY/okp2q9x 7B9io/ImrnE/+A8mrT1eFSfSSYPXm/Jzsw9f4ZLHnuslzchsTrg/xV7h2XvCvPMYgB+usGxnlPVy HBK/Ety5S5J6hPKykYC6i6bRBKK+JN2D2Awmv3vAW/AYi0L7ZWWfTdliAJ+zFZ1mcldl91wVp50G CRSQrgf+ry3ej2S7siJVUrCkQMeg3FC4J0UqNWGgwW+Nh7afFnO7RCnrR8qTtpA1yn2ZyT9AGKGJ OuAeJLwqGkiK5AsLkSzOMGx/wsjTmfFLxHOacCQDta5gLQS4FTEie5vf0Ul8KvK7v8N1UrEKGEip xDqLUtwvTlitQO+1kKWDplpc5VulUTGoDHYsrXbbZbBxDWFFVjT1u0uUEZBzQRLx97u2a+wo4HsL XGqX3bOfmGBeK0IROpfogWqE1PwB5qU5tMEaEkdGp7LqxbmF/kKfB0cvlNyEzH1L0L9qLkolyfh6 mHEv65jpQMh6h1gEslLSApyxboxIQn5gwfutuZYhQAViMHjQxmWxecEU0gpRjR3lmF1s+Zs1ncQE kdg0bnJv9xzg2wRxbbnv4Gdn2gfR6N/Le0ja/It7b2TB9ofoHVjxdz/wvY+EiQtv8B5ezuF5FM2r oR8ShDBtwptuCjuXh4ChaEi/VpaHGGDKGc6f3ckFAZb/XXVmni+y529WVXlzs66bZv2crzAms0ZH q5KTMtwWR3NuSemCCHt91SCBgrQhxdtTGuEB8Sd8j7hYXf5X/XH4frF5GW1lHNDJY3lBUpxqmkiv E80t1xhP9oIa5BqCwx8TladzaW0aY7iiWHmuwx2ADgH0/jxV9ka7ZSkJYnQ6nn569BOcKIve8DLu 31+tFsW6dCLvaOXAw9O7WAWQObZSbgBRnKPkZwiUlKEw0jvbZDZCFHYo7ejET84IGb4jMTCSS4Tl BQ0KFiabIIcdjSVr9CMQlEInu4H1wPEu4YOECHplr98A35pwrqUEtdDuWmp8cdbBqB1Ov26nQOGM kkXmc7hVIpdZMMUSsZAYVuNbWoeytcSHMQYzyrrjWD0RDyhkzcmgqLQgBnUzMQehlR5m+ZvvjTr2 cl5K22/3WdxltP42yIAvNN6/gctMxniBC+Ui8TnVf9Eg+kEOaoG7891TDAPYcy6Dcwas2vk/TgBk 486CzhrbmsFmZqHNein15gXhebn4NjnDLCoO7I1Re19hKtr8KfNXYQ5hSUHliDQ8P3ZYOY9k+9mD 4PcdthNKgQtyYhy0nXB0NsbpZLFY3FbtOlTNOBnF7utZIS58dUrFrF6Wy2RqnG65UVUw9ti/TaZF ouqMusisByqoaWMl0BC6YLRz40YNi3t/D8CMhCBuWB9NuGp5RKyHZIRHjWeOOupP2ETP9j4eHGgm Ohr3L0rtqoc0dqPuFwi3vEaz/fy/S/6KVZgR71C7cPZmOrTfdh9VtV2ptQdDDuYsWmyZQ3XOCyJb ARCuTwA5tdI+CZ7zTrE9PmmSd7Eigx53gWdUK8xhbOoASE5xAWtEJ1T+60eFkUpeh0rX1FV1orVN iW4D8SYhMnUN6RUkz7alEPmQIlqNuURg799BKMtzzs3C454YIjOl0kBDidXCbtoMsj/XfI9FZi5/ oj7GElEmtUTG3enACmr+cChK71OI3xS3XjJ5TzF1EYmWKMSuxLfeH9fdya5n5ABKSHj7bILhdN/Y L9Ge74zi1QH6YwgPM6Y+OkDd58Q6lV8iz1SJ3DvGbdMR8h1RR7DasafyblXuDhURNUEJV4H3M+vT SSwT7TBTuaqecwtd91l5E5p8DAzXI2r2E62JCc0g+dveuXZ2XIbv95pPF5BRBBsUdh1eJAb8QRyg mqZTrc78dboMfwz0L4Q2ZkEDq4FOcC1jORRuu3Ca3cb2pn6rk1W9EHgfc/QuPhXsdkzbRNV9AgzL za9gtU4Q6iGcxDg3rCidHimQYhR5YF90iszf3Ygyd2ffLcatMmvdEnOSomNHYdIKNw7zZt6Epjol uCEWKNVM3duG1ILODQcHP+sjQFNwyzD8imON5M8qKLBOk+6BCs/rr2p4p2iWvzgZIYrnO1G+VHr6 dAo+hVlSdXIVh1Es55RZ8ZlvSJl+oqREYzFRynnWXz2P0/vyjoPP/WJT4ninN72/stmCCROB7xnZ oPg66r2lCNsudXXjAVNAvtfd94fe0b6lDyEOUWuoLbMfGcnnBaLro2MU3E6eItYzzTydDTo47YR8 VLAVV78inxYHhdBaZ73F/zSs3v8gGDWKD8C5ANclq+42dfnoBKG7izowP730kkQthRcUpcpXkhnL Uuj2fZfa55WBGCgfF/Xy3eCich0maAt/qXZDpMX96r4EYJSpzXzjGJF7zrys9lTuIl45yAekA/ur l7HJ28Cp3vlKpdawaQDGLu5IPRKmLL/i1iTf+XfMin9jcIpu//Xg5KRavedBfxhxeOHqPP7k2C9Q Uu48qkvTFk0wheLsGvXpHCxuE/Ub1vYeKGo53Shnpq8Y0nIiWVns96jFUJHGrmnnJm6RmFP57t4Q oNGUtZYNoUOOlfZE51yncCQqkf07jXWu9pvy/hzSmec7/biVUxD6yvw7gLV3EGY2t+0Otpq6NObz QZE88bR6F0omzjxJV5xnQVYowB5JpA60cA4X0rUpgUPeh1RF8rAvmdlD5mbjKRO4IGLNJ3sOgxcF fSS61vlmi8kPLxAZVgEGFm5TUkC8O0iN3nD6GDhuKTLPdG0aATJi/Pq2nFVTwZrTgH4yk6F4ymOC NrFU+WiWz9nnM8MxUiD5OruAuvnn9sLStGiN+wEUifnEb3dtK5t5CAvsjyktcsZthIgafeNCPtdy NAWjL6l7ZjjkdD9EnRLjX4VdUPvHWlqRPjwMNmaK49AR3Jdoax4MFvKS8LElPQ4e/lONCIPkOSJb 3xEHkXmzkgp2GaOVhyjLSpLoVpSXolWhH4Z1diWV7KlPtgre2fczD9CxbKDyE6O+Ligs6XTktPcn T3VJT1i9CSdllnuRYny+NO7gNxYQPllo2uRMVlWB/5EMGEyKvR7vkaRKsJlKpEu+vuQr82KX/h8f CRA2091skx9ZNyAGUBDoaGcPVXx21IxyGb5bx7EqldsqtehZd2sHqnQuqQbpCutqPfJ4jL/J+r/R dgKq12nB4M/ZCLShroOWP66NNui8+sZNJrjO9vW3SF+BOeHAyoFMSBpRAWWqoWvtP6EKEsx+7Cun SCxrmgIOQGmC1buWIdyQGVxRLQCopCr3V3YDmwXhQuy/0/rmXxFVOfAMHIXZ0vLA7XS21ScV16j3 pqRGWhUM/hjEmkSsdAiI6nOSVVoh9BXPoXVf22QQWuF1Jf3qpmYDwAF4sSCEylJEEClVoeS3tH60 m2IHYk+s1+VaZlBiXyOelv4o8cHdPnTE+odmKvfchxvvG9LAcVJMgwG6s9BcOLta/i0X3X3cuNlB q9w/72sOF3CcC711GFlpKHRx3o1Nl8ac4CAeI1gYOmRy/3kdxiZeS7gbo01ifU3GvjmmqxiuCaer +iMK4dxdOAbUS60RDOG/L4uzrFiRmqGnckLGJZmaahmgh0J4shz0EMGhWXAwGpmn0fHPUoG/ACP9 +kkqC0N8UmUXIRWMM0zPIpF5EDGhLhxLLIK8elYaT7BFXS3YTFy9s8sZ6myulELfJEmuEA/CYUoq sXfWw2c76V9bYSQnBCcAV4cWeMIMY9/Nn8rwXv0SwFLtzh17VTXYsdx3warLYfJ03vcSHvijzTVe Gi5AYBcFpqBQXhwJrMIe1cQSA2JsMVrL4VfCguEciSEvP0PVDTmfb580Cu8ZERM+JTC0L5feEtTB kCrg5MdivoY78uaSpMigNi/DoMqbd663toT6ywwCquAfzfd9OnUHqKWTlOdtZfJJqz2gWcL6kNds IBr7unnbZ5lZNDwE9I4vnJKrSh9/R+vx4xC4DOCj/TYUJYDQ26I4yRh1iKP2oUNSnq7I+E0LFb0d RWOnOCWLEWM76PFTQqj4bjOsk8mDP9VWjIGEdSH6I2v3g5hPPws9gXGXRVI4u0bJfIm2CNJk7a0G iqCpKxVhLMwh4p395d+WFmfEv+ev7bbWOeCgCqO57C/2HThJctRB9RvgvACqVpX7oVfGk6yz7waz uPQueoXKPhQ5auAPLBxrr40KA3skX347z4cwfNDNAm/uH+HD2fneOI8iHPUQpXk4q28i+XKNot7s TLxkWID0HqcUUYwtjJm13dPl1Po66LEwhJgJQfMiIxdsIjCGtXb/Svyz8XGBglSpVyja7OOjDOws GTYScfAyqRQZ+ff9GNw4RqodkUQzReV3mxBY6HIg6Z4ME51E5GRfdYHaWJBT21xJ4Ce2kVTGWQFf 8x/aeePG65KS5EPDhtxppfkQkk4yCvUEhfDRd+3T8Qx1i/DugI8KCNS+0ohMKUeLjHnZiQYhpb2B wZCfaDag7JY7/D6qy5qjRtLPRdj/LyrVg/LAZ7oktPZ+W3nyuZKcLIdTvmiWYXdWgB4hgL6UrkXa WNekl8fwIhIEcJe7hQNvUXxL+adaA9rovNJSXEjauptioAdw9nSz+j2V2CRCdO+K0Bl1Koav6F2D mXJFjzc5NN3F5fMlhBHJx5SxaliUxIkZZP0x1gKdu2xZLKsiP5K5Unx48SBIN0DddnXEM6de2p0K CtpjL4Xv6likhGprXGmKplZiGChogMRSJhSu60ol6mcaSps8y45dv+vZuU/rRsuT94Hv2uzgCgP7 rMqNtjhi9+lFSDWx3yuA4kaKTbgfqxxrQBIvrUYyuOIs399Fvq9qquNMx3V784oE+bB9wkgxmg/t tgJql7ReYDEjoGecFb8Fn/0NGoVZ+mDgmCFNzFJ0UKhkrtg2gX3MlhinlKP9P3o8CbtpGqpVq00H sLvgMsMrkLkxqAh1ocPGK2HTYjb5+/fiwbyG95Nkae+WIZEaxl/+IlxHg5bJ9vBVe92GCL83cM1r 3iuyo0YnRBITfCSmoBzc6Y4n8PC1k9W2aLYkkiIzLDvMWpmDd0XTE1AGx9ZqTIwzBa1Jdop+zPay gaTs+y505yKGukIrachwiy6MGC+zMCbqIXsCERm5jxRxyUOOlqqcWisfmKgU3pc2noCYHeSAfjW7 gUyd3esJlXHY52IV8Ow3VOOW2xDNNVSfE4TGcGpyJpvMloB//w5h/GdB/taoBlvwEZZ7sOXMkEYZ piMdN44+3mVnF8BYIEeKnXMPdioGP4fzHPkqDjWsLQQl1+EMYoTFWI4HYESrdX/uSruF+qApMthb LZLuBne0ScxsEm4pa/dI+Qvm/1g8lLergHzHLOCdLMYBJSg82fIkHfzl/I2KUvpojFG2d+KVP7SY XD7bvUz0JiIJbv4SS49Mk9+rqLEVlwDqKapeFeBupje5lpKPqc0VC+pneuFzaG/XnwqhXwTNFzub m7U/ceCP3uXlAVikoqadG1tmejijA/JLDf2mbXzdapxl4ctbRHJQdnpYK2TwUzsSeO1MpCiA6DPL beaji96CdT+kVMETQ0AuXo9NB4Q0WeiBVYbzyIEnYKSyz++cAuUiKlBJdUFgC0riZoVnw0RGmT+J bvoMHPzwzE6e6ASatZNqhvl/GzYHt1xNTIPrTo3CVjz2uWX+B2lFf8fyfWQF5vyUivUGYnQZgZAJ aVNNnUBLd+eXLWGpx/NisCAHJRVk3TUQ3KF7iSZB0yok2oy2kMfnh8kvjt/aOJxnpF6eeWkn00TE 42OPLQA5rd99AtjlY5K/oL62NJTF6xw2/MlOuOBw1CmIniLfK5zsuCJdSKKi394k5kZ6PWKbCNaE cedXhb2f6jxt7oXJJD25+GBTqkFesZUlOADor2Bd8QrdVl8bgHYbKfB+/C6wpA/N3ThFGpUvZd/v I4q4WEqJLlaIUjTuY0vjqy0Ui1Qa3Ji5kVwNtFN+1QL59K/DedL8qCkkPym5znlpt4JQaJYTpfV1 tPbzGBuDh42ZVSFUbu8aIyhuHSV2BiLN79Ei7rdbC3iFtal5MxASWEIW/tIGoeZjBZSjSzIkMTl2 04rmmQ5hRLEaK8YSHFg04btxJa/yU323rkGrhJ3vWQ0vKUdjQmf/3nE4bVm2TVxEklFlQYOGFJoW OmW2vF5yW36Jrlt7p4/dG6pWSvnLxkPsKDNzb7vgK9WxpT+1xQJF7GS6dSe/sftSluF6Xn+IXzKV oeBW894sAXG3YI1eLSfecww2Ft44CQASECK1ZP52D/EIXSq8IyAmT5j3gw6dXh9IHc6br8de/iT9 um3b6HmJ60YTngTquWKunrPz5/qUX+AaET8I8E/lTH+lrGqfzSreWSyyX/biaCNdB7y9h+i3qCj6 sRqTUFRImyvf4u6r3q8+A5yULh40nMI0p7xLv75fjmwtbDPsltgfW9lc2vU7CnECQGxONO9KbMgI DR2FYzh4MzI+whk82IujURUTW/6RAUsq+jtAuDbiG3IfkxmUfXbSXs6E2s52clOHZV8RDyrfws7x oJn1RmSS7eGUM39e06UzETinFuZ8nJr5q0bzhvIEN2SZWgZhCnPh8gxR6wwfiLkOrLATGlA9U+1G pSV8/TZkHHTp9fxE48r6hyYm+PwZwqo1ewsL//HBniv0entYQKpNcgJ6Erd0QKNaIi9vb6yzOoHq S9EcMKwyDZTn7fP/Om5LlZbuAUCH5w4b0OBeEVULcKBNH9RMH7cPDREPJQmllSaPO0GKkSYD0f/e +ZknokK6hvAm+2tj07RjAUQQX5pn1i9SAwMyZT0CpCL0eELG6Nex5fcpcLSOUGqTkWHqp8aAOgxq QNFT80js9jmc08BcmM6ht0BWLBaGacoHGA1yFNxwbo7roh7j//jB90lOJXkJFIz2ejXntovLx6pm R+RlNEzjOPCdCR0w7Uux3yBCsfZwlwsnr/hzqwE0NF00xybZ8yiau9XbC8IJud5FZUxyhEHfaeBL q2i7hpyRUSzrbQGUuV9/7S1mLUa7NQ2/a2JJKmOr4F7NVgSjqDY+NBUfd80HLmsNKuuUmnf39SSv Yz1gCpFrPfOSV/6Q4GQOxDxK/nnbsKSkt+ouXkfumOJVZgh1iW/pDwNZCbikEuKPduVOh2F8s11P dk1ktVitl7YPFtcbRUyjyKJFZLy1Gl/EqqKiJl5GodHiu9sN8zYvpI+ft4K/AlDW0uzuKU1hs0Zt S30pv6UTlJgUU8dklFD1ksG8BKIpm8kPUfmY7EGK+WshrBdHlsSS1A7edKe3/Yt7cwOca85ye/B9 gddA/QREb6qtkzMBnQCRbDZ2AcTpMz7Gui1gEOigHkpJ6VxAKAl0/7ES2HWtMqDLUCF8G0CQxPLd BCXKXU34swJNiOfSV3v8g7HOKa4+3TIEBtssvJ1WCLQwNGPmyDG/37ebJM8+p9MXnanzfE3ikBYx vPvCllCCHcfKQoUgPHIKGNmyUc1duE0CCGRAk22wDpRBW5/rAH8m080UOSgfEyThFGYaVfD1ZMg3 nzpZAnvnLSIK6zRuVh7zZEG+lp31VDZdiwMrsqbFAdKLhqaeEgtZN0mfZtyo4JQDLHzv9rV6m4T5 Td7i1VPRaKoTGZ2vGgavFa8kqeHRNgz6VplY/isuMdHN2T12WrSwqX2gN5VmX4Lqo1MX7J/wA2ti inkUnlghuzdZ1C1PnIJO+Z1JePuQq7Tizmmo3rA9cPpoXRz506EjnAPZ6lthL6TDOmf21BY1zZIH jpduXe4P8o8dg853EwJ/nXfLx9wWbfFb1vdxTG9arlh9I41WOkimJq8bHKxsboX+0+kWWrUnNvjs rbdtiy/SWhYLvGehU+zP8rkeAtsARz9k2VpXJU4b/oUnSFzHvDevnEj23I1Qp743hUcwb8N2V21z C/l8Ukd+1tU4X6Z8p7HX9KovXKvHSPf3HvTjW08bBQfvvYoDM4XqYxDBau/aEn0vnA8QAz5BGj+4 FBW2AqytDuLCFT4m1GK5yQ/D73CTLkfMeEmxvuSAcuirNi/7ge0nWZ8DEFSZyRgwc3/ZB7TyMcdl VjZq8dCTdpx5WbIeogclhAW7VAOdy6PQRMi1lL29dgQFpDd6zzi9P38ph3kvsOferT5bGx91SeKE iOsqzLtZume3nzyffPtNDxX+jgdwgSK5lhhQKJNnfdWFHFVVl2ZIiDDOPYgV3BsPWASCA1QGOMak ka2M0+JA/NwqxD8wd+M5fvEUHTKsI3ggVO8NWrW9QsQPihhpiAPTAkdOgc6nhSDg5wWsMQSONJuP 3o057eXFr1PlGCtBPdqrSzoNQlQzSGzlcB5+Wjbbt5XPS6eXCuNT7pK8hQiLnLr66jf9hqvW7s2O 8awdKiIk3xG+9sfDt1SQNZ4Jk2vygmm1wFGRREim9mLWhSBuqYiQtSMa79CoRGGaAOXtCCmMClQ7 CkQfNNSbkwNCyRuC6z3Tr4Y/zOuAQsdmrN8N/wz2rrVMQDzHIuYuLRrUkTOj9zbjV/MhxeDXCHFr 0fqAREHy0Z7csBobf8FBLEQ4vV7oCsU5/z5Vy9PlVX1pu0mu09rpj5TwF45p4gLydgmOmsYisQq+ 6FE2yuisMnRIM2x9Di5R24UQghW8f0ZSUc3/XaT06JKhVeivZ5UdX/zfDXkvALNlGR/Go0gyFwcM UXM23vLm2YhwVj6Fj7uqNpScA9N596AFhP2PbjN5YGgHZGLU7RjwQ3gzdgKqulBu2mri+8tKvP68 zmu1Yq2iNeApwQOJHg8NMmYZkYqS8bXc0RFGG8Y1Y+EPO3YhR/QLnftLFCWOTdBs8ZRUIqlrncej MmmBiAeKf7Oe9eCqPDY6EN0LCw+LBBOTQXVKgFHU9fnOKYz+0rO54bGyQZmDURX+10OVDUotR8nN 5gftwHAaBKP0ceHWDbmVveE/29/JcvZNFGKDh9nCGTu4u6sV8f5Iu0rY4RK59mLXOOdgv65lqdUr W6mF9KmMMxA6hhmDMhlBNINBiZL8//VPqggfc0dfsOlUG9fKELvKH9aoskXTPUlVSPC0zell8wBw cc9KVQb3ZKfDFpSRxQuvaH/psgkGSmLIOPcQIWbmXM07Kq/XSJGBixRzwjaOgg6WIWiwg5lxzWIK Y3mXFr9viabOOuU2TneMy/AqOEEnTAI8VruyC6B6k6HgoEfm5ZJBGQkxDUn60ulBP+m/hY98AD/B ZfXzQXNEeqL+1CP1CSX41yCoJ6g4YVIK6QWuIfGQeDOCcbzdtvuVdp7NQEbuofjAzyjqNLwHQjHg M8zf0JyPKAk8tjkqKdMhPSAZepYfsVfx9Aqaq6uloVx1hWADTDxrCspzBF2sWPAzzKVxcr0vPDPD J6htJxNFa4/AEFW4jhxf7KvTGdFU5B8m28ienYxUp9AvEUQMq3fqSwwRlCSSazX7wsCHgJ0e412l Xh5AwNepZ32msSXBkKhyy1SAJT7G3Japlzdkh+1ZTkIx0OV/7e79wVqeHAUFTWXgYhPqFgAN9EKp Cl94EQ76+6hSphV5izYhPHZXV6aKUoEdcpk7j0j24yy2S3/63F/HF9+xohSgSqwjeJOJJjYRCW21 oVCmEJtwc2DBQH/AbD6cgXUf29UYZH/y1c66Hws5XXMKHMbvgbGgF+WOFU0BjsrsakyXpBI3bKwC J71vJlL0Quu4p9xhzQFiMm6GVeZnQycKsXSeISHBIE2lZc8D0SNR/drKM119yLikAVh8BtB+NMbQ KglT7snqOJ2gitCsx7YAxPtSDb7dOog710LB0KuXimJ9M84CQDGGIOjoBMO5TZfFmshNwaUCIklw pG3+/ePUFxlq20k3iFlZd5CHmH/LBQVDSW4zKSG2JUqNMvzvgnIED2v0vEbOCCjHjT0QD7cFQ8mo ymE1Grh3s4b06yPV1hTZV7cF0dzpfE5rFugJih0M9Hyzz+J3ppLCBEENT8A+HnV7ewWa3Z90FLQ6 c7vkP/+Ly8aBk0QmTjmJYU4AdnWSEmNUzDdeK2Tl451O9hJzy5GsFtcr0ZGbBYSWyOEOCqN1WsBk TnOMNRJVU9HXkmlDiSVaH064qUPU/HgShI6vbhVH5dPEBxoEy/uKxyscsXNjfC7FqhK2yKQLFW3/ e/xmWANl266Y8x8frqNfxdECkgX8c1SPHjMvkBAJZNxfGEEDE3g9NIHkPNPba/2QynCEo/CJgaB/ g7LcrPUf52PSRecgnkQm12T6d33zL24zD3bOlInL/0U/lVcYKjl5vF39cChKvUabrz8pMaKnx3ot e1AbhPnMvgL6XcNjNNmVLWwGoT8BjdNGOW+9Jx+dXWai/BeoNe7OPc9ul8mxSR+nIardR/eIekXx TZHL5xs8LdDmCS7kzDMaG3M9kvAe/JW3J7uO+yMQQg07lopRtL2AEU7t1tpeJvu3pWIv1wXp7iiy xFius2gF+FTcjsH2PJMTWYu9YgLb4sSUWIx/pEveiWHk7Zmk63KhmvUJgxsXcyvR9oox7NI03+3J xoQxlNJ2g4+NA8dTm30vOI2a/SLi7/FwqTm0UPzOKAP/qHKxlL//s2UES07s9awWE+UBu15evUDl FqHbge+SExKbCInbdbqlKKGZ9TCRPsKO9wPqn2+47Sib7k6Omr2UuVK6S8BgdgzHFJ7BNeO1oUmd En8tr6ff8smmcZMmAVPZV0/iDQMn+vPVUjz3RKFhAqMqKIbylmzHAGBX3caujjzU0xyszryWIHi2 jZF3LXdGaLF/ZetBhkd+RwkXTEQYQzN2Z7YkzQOrrVMY33Tf5j6h9Tjy/VLxLxvInStcGmOMuMGU eYVKap1DVHqDf7dQIK2twjuRgTnjzcGQa3LE8UD/zpI5k7StMS+RQdHPpJhCYH0mTfex+RAsaLFn U8lLnzOlfLY1RAGfF1SYzr2JQ0s8J6bamkLEqY4D0zbPmXRt1YPpy/S5YU//9xWt9Lj8pGPAYL0u 3r8zlc/SgBuEQ1J8e/zc/Quy0lvV84KLHgB77lHnt8Z+W16080vmcw2RKnmgLMw7TsykNAkzq0U3 DeMpPZOqxLGzy8vVUsElI2sLpujoWDB9SmWhBjFZ8iFJ+7jsFfzSNeyEKj31QemXU8unUUKkw0E/ U5dRmSbTXQscxGBEFQpwOSsjilV9XntVzf6S/1lPF5hY5I/xat0RpxjckWm4Bi/IZnlDVwNl8dSf 4p9ho7benUrtdsJztH3udo8fJY+J8cEW4lStkHcxyr5i7vpslwliJT0W7GUHaFww07yO8wDx51F8 Kno6k6xCmS8WYiNx8v9mSMHsPkzfZ4+NDAe+tnXuNhz1UT+xDkSJa3fGZGDV2A8QNW3d1F9qY20x Fy4NatFNZYFbYlfCzY5HDqZ+zZgABmbhQS5sraOx9Tf2ixzF7ZJNb5BsZuMF8h6/5eaGfz0fUfxj cec+MKUVee950uWfcIWdf12kxFOnyjGULMyOqfykSMDa8E1NLUBfVRL17j4FJerO8sMxYiDMb9pa Wit1JnVt5B+8WSH7Bab1kZ3fsPtTJTYMHixtTspLb18VcMjuBNvr7W/wNJM5GWOo/CO3C+hzzppr 3lOHiaAz98oyS1s4JBSl3veWssU5dM0Do6LKUvEPEGJcLmJKVNhlKUwzxt2DRNdW6Hqj4xQbAqkf B3LgJ/tXbFT5VZNP0AWGP+52a9ZlUasbwFcqNNLYyfsjWHarv0m0aa7j1mXyOJkot1m1WXrZnFA/ dCSw3/QBh8/D2O0WPWxc494oMm8FY42nHQ/OOgDwU0Wt6EzUmemFEtIPNhSIZ2op7Ipl/L9C0knJ +LOxLCNXwRnvb0ClktnN6Ycnsy5Pgc3JuaUTpSuBk8uEgC7YI5owU3uWBwLxbua1S0f5auKCcKZO o5RHTzrHqGkYqk0XqC/VFx53RX2OPK1aA3XivKb4nPHGFZKmQjIVlEWrM8ccWgrFllSfRdipItg1 VQiPOvbU4pLfT9rH1v+1ukz6AxGHnFZr23ErRaULr3v8AownpNFKJKEPPNkefwovHVundiZgvOn0 CcLas020jJeGK6AF1dUL419ZLRuEcxddwsVxw8jPwSW5bh3WRVdy8OW9yfHdM59QR7olKYuOLYm1 pWKtmVjynCCGO0JkvoFvLhXcgO/XroQhbi93LZv5NV+t2GMG1iDFvvNLgM6CPtmADW2kgCkg6iif JoC2phaS5tC6h/I8xmQJn5TK20QKReemCJjgZIfpVo67ba8UW/SzC6vEqib9vA7GWOrwZiqX3czO bK5R0v0ZgT61c4NL7Rlu8zGG5gzuCCQJFLFEu1KheSNLs4WeeJFRK5ITgPq9icaooVIRuEZ47zuX KtJM6egEVl/RS47CqQrWmKVfZx/JszL6aIYqtLsydq/MuawZ5uikfUqrPQ8Zlw+CmiGTLdb1y7dg a8SrOhdlTYTJgJ14Jpe53ZyOX1oXWXHLQFDGsq/mAwnAbvUqRQhAcb14BziEiBw5PYIiOPR0xEwz L1LPcsshWZBt7e0U/pPwDkt+ao2tdI2h7D2wAInwrhq37R6HXcCTycmikfTN9PNS9pxLMe5tIgN+ 0pZiu9Zgu4K+xzxfWhlphhZNtaP9XJ99+k5paHX6x3dxlQ6t2cuhL0QHdq1wXnKeWVo8pBNA9Q8u F955XJtJAARnnsCnIqEr+mOABZmxa3YJ5wdRHYyn8M4I3JymeF5WfuY1O11vCpRWEx1QY3nxlNHF Tvz2gVy303MTt64nBOod2BnBc3OpricS3m1Oc03sFSwIJH0Wo9wFb7p5L2yhjQwUDWhrLk25QY8M SDZTTVMRnJ5zbGlSQ77zp5Abyl6cyYfpO0JW/Dv1d8x2nAyB8etyuTNm1/x3PoPCSkZMJWG0gqbQ 566PSYLtDJbVV49UVbis/2aGsTaYsOsp0ysOmkoCtGtKqBdTGdFwUr4YACNcgjZ4a7ddjPf3jUb1 O8RF09Lfl/5b//YUx6JlQ9nPKo0HsTsKhvGF4qFMdqDQjJV6I/YgNviKnkNyPCJnJ7l5jP0t3VMm /apn48U0WJrUJ2d5AypRwdZYiORMiXEfT6wEyJey2eb5hQ42DgpHp9fzQb8k+4MaVlCOkWDE4FHz VPrLkSiify1xDD1Kheml3v16M7+XAbC/leEdJ1O7CiCdtn7t9ajKEBUweuZv1ge+fVy+FwuUj/l5 sl+LWljLppDO1cAtK1ZS4Ok2oWkRnwg4dusk86zeJrHSPXRbCwJCO3f9gGBSg4Xiop1WV1QV3f88 YnfWN7mmJxOlHaYoGgtivO5bQW/pWwKdXbNPLqU/ID5EKYqG1UBU9mmcpFGwn7YM1oueirXyes/p q2DIPgLa2aiujOgTl/MfQMhB1u5K7UT1aluR9GsAZUnfS/V144a6rvUMJ/e2+gOQDbkwyFNbrkGY +ZGhEpe0+4IU9JW54UM7y5VblEhLezAcgvuyE2BJVRh4QrUBqumjRe7EUx5X9qDsayE2OMX7JY7P +ez7KudVeCknn+ajBFKUs0ENeMjOfKfiZ5CXTNPDs9QjYbdGny1qBtSeol0pKMX0xafS8pFzTw78 ZgDuQKn1wNmx3WME1jCNHm5knkALmcE4GoJxQj41exkdzDbT1Fsjxps27XQ77sNQlnKJGUsnT/4i iW+481tYC3/fonb0EBs7dLgnZyQra4Zin4vRQkgplY4Pia+OU0YU8MdgILl/+ya7HERbXGwV8iQj lnS9hlo52I/UKHx59ppveq1LlTlpYKllmrPBkVXYU0vRbOFZJN01YDFc/MXYPd4jZDeX1cbYMchG wpWweNqLy17uS/csK3gjhVPPNxXjax80I/h+hkevLesAVTxoNGV6qHW8Xjl9IPq8I4doOEhBxEG5 e+D8RPv9zopxs3aUd8goDn8pRsM68cuv3qmSh4UcauL7F9NoqD6mfkmYqB4cvqnpqNuQ3eeNf8Nr yhOTo0z4pYiYNkUnVZ22XxqyjkK7eyvMjL3flc4lHrlYeh4RcH46AlTgG6ibJgYF/kE58ys8i39f igHS0u7CZAUlA/kGqDkZWyLUw2iJIi+VGAqeC0iQB5a3kWiHIHu42vSKRuQSTtqKB8Qzv/h2c55R fe8UFIKK9erJ+pZKMIkjkobwmkAfsg3nv3GfCahorzXZdSSFcBen8Gv3wHLy+AAXMnFXxX/mWQdy v+ncsVekwfvhMScw9shAYdA48Ep+AhAq3fDZ4FfS5C1a/Tv041C8WGvS4MTrIQwape0uFKKz+QrO p0pI6DuYglYYobgg+gPPIvNeMPoU7BsVDH0xlLy8VMS+gea+pAPFHZudiXrqRHHjLigftKc0Edd+ WBFdkZSCuAVUaW80Q0j6EeGc36tGZ0D7o0SPKQd0QuZIBbth4iq1e0dv7cn9fJzuBIUIsG9lZ6qG 0e54fymz1+MhAHcxj9d4nes0CObWI9sz+AOQkUcXQH/TOT7aa+hEgWJn9nDRWZIWDH35CxRuzCd/ hC1B1jpvHM6lvZeYJSP3GHr/XkUwXoZrbM2ROyXEhOk7sYYmruCOKdDl/eg6mWxffelB+ftJzFSh hVlji6rDMdVFx+Hp6HuO4p+7GEroASSGpIGGm7MTRN4ISKAPBVfNgwqdE7Yptga/m6+1gd+X8ntq O23GhXvx7f2Rn7rE62GuA2oA3qyiQfot2uKYkbDq+qmZSy9Ey7si4vMTQkHhbDJxXFy9VJp+6b9f vgBo2V79yM0pe8soEdIq4qMbL8uMN6wOUkKOry0bf7UxFtCGoAhi4ZrDapMhzMFOToDXC3TNmx0o zFyXkVE6F4acU1l10cV+oPVBnrHOZ1itX6XoPL0+r7YgI8guW8gQE5RGxx2KOl3gfipI20VnEjZe sQzYSWLZqcLk0yHEn3f7Rv8IGvMX1VwTMy8f6TJntiLmKpdcrs8q5aWANBZlEXbUbazi5nwtZwXt 6/HFy039/26Qz0dnx1UjAuEQmfn10dMFZMcYMTsqHbVYBPl+GtxwwFm8l/tf4IpEZ2DHkUi5Boee WWh7jC38KYkW2FROa1uPpXfyeKK0dHwLeTQByPda4GU64ylj883VvyMYny3hC/hT+Ue5zMm80Zlh sgufeXcekUJD1mFaTXUiLjbKJc5cky6axjbzpMF4F3V7aJ7RQESYAJCLLviEn7x4WL7dHyNul9Bq tz22LFk8kzdC8CIWgUkfZZjmDMl9tHTCXqZrowloyjA50XBNZT9RajkYCpRSor6CbxSEnZ8D2hjJ rp6nWCg+eQUHmo97/iNN+HXv6mCS0XuJSh1BdnRXM81mQT2XxXMS6VHAwVVzZxmi+XPzfti0dJRg Edk2eNU0Xnk4wl8QnegWhf+biH5svy66fpLCdjjV4/j9sqzqDO66+uW9zq6nx98P0vkRwB9KNWA0 3MagK7caPy0n82VYVcmIQv2Mx50qLwg1ryNsaLTuAAgQcuDMngOCIERJW33fMox3ZPklSxP91h8v GyC2h/2p+CgHyNH9Nur2dmEwDzZmqMcQDF1RxzkiG9V7/NV1+24kXAV68gS/VT/53EhOHmTPDemD aIMas6we08slK4OQey8YpqciyxIiLn0kRokKmqxOQulqgjCm9OdYAJ+h/IqM1H7rF7posIuRD5Eq 2ivMZSTghzCdirhoMh3ZvWcub6fgEnl0GzYDr+KzKajHWiJK6zpJ0QiPXLMyIMYt5qKfr4OoqJeH ZWTCDA+X+IDUy5Zg4Opz7e1rn1lXVyM7mPI29LddTz/OAKYldakML0HM3Ry31pQSdBu7aPvOmUNk 6KmFVieB0YtBc731NQoQDvZWx4S+HMx4ywZG4oAUUz0rxh6u+OC19LHYjPVXz2TkDis/DGKY1ChD fgA3AYBC7BDtyugyEWOIigBCF5iL7bQk+D4y9f24iW3QMwNhntuKB312dt0/aAK79lR9kJ7B+mcV x/3OcJ5vxtdwSD6ZFTix6ZYOcSfNMJoaTdYchOJmjHGxmP3gXryKorhaqZsI7q8KRu4CvXJe7Dvm WG70uEjdHETJ8Ah3asFedriKgrxoA+L0RiRXEZpMiw/pbeq8pacYBP6dTWkpMlKrWVfxoZ1cscJt uoC5cR0XixWuBVhM2OVYdS88Akg/VfHSVX+b0O2UFIRhg91QOIc77/IEPuGk5LmV8UjMZ+hOOkbE 0H8RcxKiN8S1UKAx7j8takHH5oxb7QpUlgUBNQTh19/mk9mYZwLdOVVjSzNU3fD7YQo4096inxYO RqxVzDTWFO3Zvb4+0qQPxYwRwllTFJG5RKfZDqQh79Lqcas76LL0xvLYMPaL+zym71Jx3B17xvbZ YbZdcqKtO8+VOPcrVosDQ+t7OdHJ3cKkRNfDFdNDWQ/WsEn21Ff/xaTOlL61/EAvasN0gCNzBQPE ZIyOxsFJD6jA4Akb1V+DDlmN8zSUrLHnzQiwhpO7fhv0wJ6q1m7zkOiAPdgj8OfwKzlZ0GAQdN4Q /+Vr+dq2+DMhTQX/bp77JX0WRzqDlp83jV/T8Y3BlfKbdRjIrYzpMcgqmDtggmwMcaQ/fi+8oHuF qyrVPx0WMtB9ZDJzKBjla++HgEaBtMuevG2wqpYPLnsMGcT4L9zJlpuWOk6SW6ifLflcc/ump/tZ tJIVnkYAP2eOAgAtThkh7wV0NdZYMYtSOPtwlAhBeR7dlTMwlHo8UUvHnJbumoHWOlKAGypJ98Vu E1GQpaUWEqI1ZM0hiQRcUFb0k+W2eUf5W9GQw8PxaLAHGPTIpndYAsAH6ojnQQx5G5os546RVFGP uUCXVp+dr2BB20CTUypc9XdBWo9wpX4jD/3Pz2/IgQbmO2gx75ccm1Y+QqmzbG86YF27Ki7YjpJM NygzSLQl3xQnAFJ2Xq2SQj3lPHkKduT0H4AGpqKUAWNTzIKd154a4zQGD6+I5sW/WPy2L31Rpotg /szZ64+9S6x+BIM22Y1Vg4/MLymQ5q9F7C0HNFz9EvHPx4FZ4SrkZ+wMK9vDt+/yu2BFKz+w99g1 fadPrVElrNcsO+s0NsLVMFOTBft58Hva5NxwG+DudTFYF3dv8YAXIySar8xNqUIn1nuh7vPNIi+s uFib8hSWnLZZHA0bHvxsSrGl3x+rYf7ZexWqgLBOVxtXPvTmoXctjyS95iOYlEIDrzPZi5gDq5Tk VwV7eB1aERmkvSFolE3ee54Sq8ATMZVYvkoSEqFgaGJw10SXt4nFZMkaerKnK9yqqHiU5q/vqbYk BIem4sZrHQrm31D4rSnH053gUZyDhZmU2ZgLz07BJ6B1ostL3UmWvsbEeTJ0BKaEQWliz3pAu4sR HmsxQvJrURIw7GSc+GDmTlS3qP4+CSbc2B/yUXhpgRDu417Ip9FiKkMj6/YgcRWv/ZsuJekn95sC YW/B1R9lZNKSfyocL8rRtVWRI3awnRhBzNpNCSttDm6E1GXwqfVKW4G+Sbgzq5DBLHwZ2+0k3ZKb vINH/9QIKLGDnfGFs5zusS7HcfMPFDuscKvoS8aY7rhUL5qe+6K1+bB4AYEJsNXyUOV/WdvcrZst vGylD7c1iXk4FCSeM3vpEcifU2RWzVANBkkMr4b4MM6xFEpe0PXembZGm+Li0gM02cB32om8yFkb fqJMThpqpfmv5hDLi7NfxykTZhdbsUbl2Vt5aXeUoR9ehDNKSNBLgjy5E8bsadoP+kgfFGlltFPT TuyQrWeJ+pOHBhvo0c4hkS6AvejwWuykW1x205h9mFYUIOoqLrLF/AVjUhoJtAGdsoepYKKp1QqG Z82lgzZ//npumv3PpcBWYMzuYIlJq2RxLpl3/aP+TXAPAwMqrTyGZt4waYcYuFPBVr9ikimhbKjQ Urq8bNL7tEQcLDB/Wtx0CDQt+JJDEQQ8Ia1fNOno+Qev7aclqb7TN4HQ0t79tkQ/Jg8fcV1CLtNr GoquxbI+hnDxT9A+la8bdB+k++nV42yg6OUn+R6/mjBw+Wf5d0i7dnOVeKmxvn28ammjtajVA7pb uaUc2F10cbfwPJ8Sc+nW4M3ai/hnkDy8z61P0HTC+bgcDkVfV3geAQ+hyw2qdVHMnXF7PHPvWc43 8fXs9z8Sag048boVRXVrwPskcR3/out2jpSAVAUT2DUtTXLFbcuqb2pPOZH1AG4+QRNMTJDR66G5 ChFkIhaa6EoTX9SqDibGHwTi0NNQpbk/KF4O2ZZ2vinreBnPizmtl1APID2StRftJQL0VGRQP+Ce KoXHyNYX8yz3W9mBDVBx3ibvrn+ZO0Ve5KZ6k6pOwywujHRNzcwpQfMLU/b0VxMI6McHVctb3WIt x0WF2ikNvwCeBvExgEgs195wPzfHjVj7gFzbJD6bWuMieMX1LJaBErwyUgdCo8RQa+qh/Ek07B82 tuZZKaMzlD8lZ+S/JjOKW6dn/u/ZUXSTJRIk7XoBB7i7vcatOFIpU+rnRsx3FxcsLVd9qtezjceA kEW7jjAV8nm3hkkn6LZ/H35lck36NLdW2cnxibNCFZnzIsMaL0cA3YrKQCb/HKn5Y1/nvqnSjvUS PnHuL4D9rkZaHerpLZvfSY4x4kqfX4no+a7+ZTl9QO+z9IwqIXEeyjimrBeeMI7djrRo6k2EKmqv 1GkTZ+NVPX2tAwr7q5n4ruN4aPdBcEw3Qi4JBifmvGyQ3+wxkJSSYP0ohTq3fkRATdYtNbj7gChy D1RIt3WiBk2YsULItHNYjWUP/UVwmsWNZ3LezpapQcz6AsjtbIqz6zpwjIrCmDKFNKpns/xSAJ1X E1pCm89h49mS/Kj4tRNthQjbd+ImevVJ9b0fsbK9N7cNE0Kh/YnjhfYMV9hAlYH7z9lDbioP1KW4 Ps4VZW/9lKnSNczO2yUj2Z1naiQ5uhXDUUvbtlCfEuEkxkgXkuv1U5F2iRjQb3MSdJgWYKNXhN/8 9WEXYTQgZY3vAr0eGilJIezNPjmCwT7J9rg7DjHVUpyR8Vy2n4uc5v2N48++hp9XBqvIGl05BNXF H3c7xBBDbkia5e4jLRUvctLOh122BxzrS6rgP2hPcquTPtgP4eymaK28EwkBektuvoB8RTW/Est0 scCFTP5k40nKiQXq3ZsFegLIsEcRIFFovQ6Q/TqGUC97GC5Ez+xxfOuEPYWFdhZS9hKKLbYOsUDu 1FPDApedupli6M/A5gQIw3HTJlluW121hzkWauegxszRRRI6w2CLzManW+8A5ytXIQsD4THYUAsF +Lz0Dr4VamdPPz8lSLzno1z6IYCD4r2KWKBUDJ+OQCm/uX8AW1i+V3ECngnAGdhpUwiL06zsM7FN flRul6/zRuUWho0fpIT6qeU2dbBfLBPTD+oSN2Xu+WRCWDdfrfY34v/bY7/dqvHHRitrnqlnaloo tavap/JIGlfLLe60jskng+PSERtO9gRfxcjy4wSTFAgiOjFdEM6rkzOtQMV+ZGy/J4BX/OE/iTBZ ZfeLw8xrzQMjfX6Ga+zRKgNutzZ1j4oOJrrQXxA6EsUx3Exa/KtcEKCEw/TsKi5CQ2WPupgRSgkU MXJ5E3METU9UYbHJ0ysyc6yO/nNdl/1eTVwPFYXbPVHeNloE1tLY3y64tPL558rbpFxt3pX6XQ7j VBdK/cTTpmxcdSUq6EaSdq4aXAPlYe5ZLU1jEGjViOWj9hLV2HG6zUVOTl0u/MbOpvSTmyJcWpkZ 2lWSp9zGm1wtc0YOWHVzTBoZWr80rlDDLoKdfPbGW3llyzw3r7ax+GqQMkVeOIijKPyOY8w2E8xK +J4b0IDwB37LH+Jv5puNMl1C+rLwA8hlHrBPCdXloAsjGle23xOx/lGC9YvSMXxjNUNm6jLLRxFg NOoUiEGq3ES4zc/sMbZw7nFZf3c+yrxoegWWwS+ypxNro1QCryA37UneKfsrDQ/LF8+EAvWFoDgm IXu1p4m998jIJ8jPGaVV7IkpobTg3vOF2Noyv3cH/4UogXLgx+ZPbBhwz9K2PJPNi3AY1EOeYt3w /CkONvoAnsp0dM6TJV4/osTf+ij4Wo9ictoUi/CVHUHJfN/aKsDj1wqny+p4/mI6CHRl8RVUlYDu UMDvIe5WklPJkgUI8jhhdJWCZhUpCJBTjXgflf2IylrpnL4oo41gWV1wmXr9nWT7h2gmi5QfNZwM KlLBW6o3X9V7dvaX21U0wKLVHqEA4vo0CtDaUM4evR+PpEFXnFyHRN5iRMyi2WBDRuDV9cXxDP9e fh0U3j5eUZ13UseL1roiDM7ky4yGbKsB6YobxuYggSSALMBYZH9n0qglAh/j2Ej9t1lS7Gbuo3mx DlMVbpWK/31S8dSekap14B12paJO5gHaUV5W5O/zHQcWl6jvv4ZB27fCJwMs5pI4TyMwXRTpm76c kOAmhIVriJPMtPkt+wNtpKOx1W9D/hWWq3HKamE0GwHf9QBBiPFKhp9fLDv185lTPRk7XW7JrLL1 iBo93QTrJzAv7LNp3DQIG/zDRlpryXTHVyJC2c2dgEhw8P4bJP1ah/hZnJNJvzRQR0sLaxwX/I1Q cpFUpbrPc74zhZnzENxfKDNs+b19z6P/A3+wn6xx0V721X+thEjL5CnS8bPVHAuxwHR18DKOdSb6 Kx80DDDFK9lahUbMsQpEP8trJb5Xyeqgugv/PJvZxROFYiJuTmB0W5pbZ+Ro/bSn9d7sTw0oagd9 XdNFE0ipwVFaYxh3XONw8xkVkSWBPBeUnCxdD1AMBVMmh77sLPjnWoH3KV04hiTkfTtWQqEhLNfm PIO1S4l4VwOK7dNfF8b8nwyP1DQJQGchQ8SVuPRfixSQFBG7VmvRbdtfeD/Ka4WCkSmI9QEaKFRh ZFkdeOfiIoMyKWypJG0viQNSWtKflRaSMEb3+Sti/DtpSoKIY5mw0PCohR5VgrfoA1smvGB5siRR QnKrG0XdVC9Jpof5SWYTS6qAtzNigKH5/wjfkVVyHgdiRyVMIbNqfZDpKxUnKvM4XLtNd3w4Hq8V 5gg2xCRQp9IUMpeXDqAfnWjFnY0gtU3t4y7A/Ner2dty1k01GPTjxRdpCmXg4RkvxJI0Dya2xNxh HMFeIlc7VWwZIJFDukb07Fgc+ZdBD3Jj0AC/Kc5FTPlfSDU7hjMO+qbDoAQIn7VABcpUI4dyXlr1 K+gQ2knkGuKP+PrK78dyFNH0aAGHfpTkd1IdPxpcKpo8Velx9XoBsmFWoS51quLh+ehNr+b0RXjB v82lBMuv0FUHWXIs5UoPEL/+LFHGq1Z2dISg1XmMSb0onkb9ma+GZVJQ5tmTCej/TNKvuNKfuqro gwnKZe0O8yXkWEGGnCVdXW4F/+UyKPxTStxT85UW81/8CqSOnErnW5+oN0WR3oswRNBPYQBg4MFw vPs4ZzFNJl7od4uDAF51XUpQYKkH9jtNkb7a1SxIN0giCN1OT752k1U0aTijwCc5vpPWI8u1GPcx 72KnDVv++Khho1Lozit7oJQ4Rc5dk8kp9tizdGFrL0kCAh1tDroFd83psi7jtlF8+g5JGpOkXqBq 5KmPB0OFGqM0++ZXcwAAGN2Buj+4dNp9IZpTIZaMHCwTciflvCdPyXnkMdt9NRW7YYUbYhba41q3 u3qoko6B3bUCpk75pueLn9mu37GhdDFMgNzuus+0PgmJu6GIXQro5XMTT5rl3F8GgRQsb31dOD2K KKfj4QVZbmD9kQC0jDhHg/VJiO8WPwdAPLoOpXHV1Ow6THKGN+0ksy/EDYYf6BVmVXzYWLOGm3IT risnL1h7bbRXmHjaG5Ys33LLY2n/fPcEljYdcyzuSKoSTK9eMYRutB6hfQWTCqq4Qf6QnGcbn7Wi L+NRML1s/7vcQQrk+y9m10DzFRr2CJrv80+fR9pxFu3Vv53oTc6AdgDA55uLYz0qBCQO1i4H9mQl k7sl4KwnpGHWeT9/TbcgvzMqKy+6tNX5DZVNXI6F1uQQ2FUZAv6vTsNjHFp5npHAjWt1wzXyMQrG 91PEKlUWrX8HO3jewqzY9o7kVXl50TKZYEkJKBxKvz3wDM4u20LJiMjRkMFXcZh+8QdT8Ii/hQre AzsAIEiPVFkU4Nee4kVBBXUY1bNLXR3gGXAajUmgr6+Z4SoeWXarEFRc3fXDtLxT18/N9lij/U+q Lr/beXmud8xFjbY6O1K8vG/QC02eHuR7bpKqeLz/6k94mdViJF89PEqJ0i6b31M3KziJwyhFmKfG r06FDoC3k1RL9AqbFk/E5Ymp4u4XmC8xkJzbrih4jYETnstXMmcPMkxU20LSNUVGNZwygRbFX63l 5l23BNz3icvX2BJjDxuBzA+9U84FD6/mxhY9rdxE4G+SSZW6m54bNFCPs01ispBLLlsvCzTEOhWe IFTfF/8T7SwIssiuevAShC1WYvJ8e71OsOPdjYGlq+shYWxIBsX9aT015eP9yW+8F3URC7WwKc6S f+NOZWhjxBemepMBURuhIjfiEvE/lsEOD/iArmIEI96WTaUy8RqhrmEX9mf48yaf+xe4Owa8mVDl nrxLTA4eozlckhlCjmIvRgOIhWQxHNthEjTWdv8wwWs5IKEIqVPhPSojAe4YosbhcreNiRH6/2cz oXs8uwCcXalq2H+RFBePo58DjU/KKmckHiUXKGPzVul9UnsXS9Iq5FpgEqaqOW2sFgpETU+aNqjd ezEmzCGmbijYlGmgwQhz7mr9ZU1NhyEgUi1Gw8UAvDC5Vnuk/cO05yErbHVwlBlRNA8/vS4TIcEl xHI8bjMCoyI8AEQ+AQ6xdhxBSq82D+PILeX+vG1hyS8PqEBf+1mDa2/fPRmtUvlh9eWU/3F77iir V2vjm4PPLiYjeqffyPcF9ogqgP9RGgpANw1Wmb2m8W2ZmW4ocqK/+kQmino+QgrDhmuFawclFJPW F8qmfs9nbIetXkYGvFRG/UVzfSGM1+y9dsmZOqR/L8YmlFmRGoNxOyJooB617buJkW/rUMR8bnMR OrEuM3BgFW5IVtqwKqOvt17AcpTcMUFiPkOynJuAKNiGtP4hqkz5NqCLwUxbXi5sB1D4kHLkk7gg UmTGA7ZSvg2mNG955ELmgXYFc9w4PUL4fduBuBTiS2tYNKVqJBAhQrnw7HICr+uiAV/u9o5O7TGP N19ToLv8wN53khHYWTxH2joLaeWkIo1K6MGLAJ5CzGQPd3wb5Qz78kND2Kxk6NYf6SnvLX1An35e bCxAr87SmaLffe+bpdG8H6mizQCa2dNwzaQ1Mt/kedJwpGKdszSlgQjyiNpq4nIjTeaODq+tYN4S SyR0NHFdZ5/PNmYS5zfD+BIQYmWffF7TELgdLv8/skzvFizIDx3CAqDx1rONUJK0PEHVeso+xETc 5BAdkwM/d9wTRFwlH4jfq/mNomrbPdbMzigWAc5qjgz19VsgShDqbDjdOeLIYYZCj3bqFtq6uLve adQQxXp6kXC/JG7bXGqG+OSQoW67Y3ew5JRQD8HCA1KmFrp+oqleNsoRr0stc0Vo9YOHMYqeiGJ2 MlrlUEPvgnw31K56S4ynMMI9Dd8QF+Qy1KtRdPK+ZHZR3ZKkAYLtsebwbHlo8A6rA2EQSttpngxd dZhB5cG5nslTzrP0LPng1LQdNfHRW+bTb+KbWXAjzjdMYMfz0dJmjnHkC+72qcPhtmYVNyO5XAE7 NQcPudEdSdu1ynlEwM4ua2vLK4fmlI0MdhPcEyP33JVaXcEsn+JyKKrYZ9y1OVUI0jfPCMnLiuGX nWSPS8+OTaSS5PWbMryiQYhtrMP618PU/gS23KZwRdCya7zqRuvvEhcIaZ1y1uSGKFVMUjzbXdlE 57gFbEvruUh282y7c7//0LKgbAEX4qjPJvWsH+sOGmho+TiuhPDvFvAkt4zSfv3JxjIghP6ypFSD 2y70njn+qgrobRy57JXv2bmnaJyD4GGAWZsku3qYQWuXFwejN84kiNKydpO2/+e3cduzoLxvRiNb wJ6z/sDCZ7rZ20rTD5f/rm4qj06xybQrFyuQgjnxzosgpEY/RSPeU86v4aLlz+lmVuDY8g0ZPLgd FOGWxWwm2j502ugMDmT27+6RjZeUH+5pWY53mNg5YVbE5dACzPk8u8OFZBttEf+s3EE/KsaYjIdd aEI3AJ8PqA/7p4KvQfkR5zfuCGTq/B6eLiIQ6nD93XFYy5uZclwimATSFm+0k6YBp5i41PS+J6Y5 R75TGbw+JrP1zPm7bt45C9Z8H3oxwZgCF/QAHYO8FD0AT2e8vYSe59KY0pqqD2l9/qkC2aXzdum5 ywo4N0iY5b0oSVyvjVWJYqEYB2qYiWhrzu5tIaaY3Edgo8UoXdJjnNBr744DkKC3CtC5SAVqwWxP OsE5BqWyhoBDgqB+9CpBuU1weeuTitmG7NwfrDZS6+zVuf3LcHZNRflzMlYSj0ffur13maxXRmpC BFQV6ZdFCui3R2IvPA6ZSpQol2zPTsXeAfTvb1oNR0s0vt9rmRtw/0GRPUpmvNnCe4FH1uSI0Myj bE5IeRgQKwNmRRE4Apk9Yjub6wgx1Sy/QkhP5RnBCeQbQaR1P6o9DRaye9veWGXrjPH5Y7GHURdv Y0pzONN8fvrfVcNBG1FD9AHdyMimVOYAdSSIRIovOjgLiWiBkzG5uJ9OMfdpTylQytjea6MTcDPF PUGYdjYnZrQ1aoKjScwM51P39NGmQdPvt0hsGXkdRnzE6zkwkqPVdlBpsdIXVG8sONXVivZyc5hq 3PHX8sbfHsFr6UHCAb34l+sBqoB6zoL345CcNf5zZHljFf30KN2bPQXLK7yUIaNXoNR5wvNTVpok oRElM+0lqTxtbE8kV3nqWydCU4x0rWDDFLNkJighYepscS2X6sU/mPKjGrv0gz7LXfu072HYF/U2 CVfnOdk+8XKlbjAAu3nB8IUHUdJ5LnO+av/vqmM2m4QFYbm0t8eze9zy6PtPcm9JxAC/+qC1lDWa cqKUl4/DqIyummBTCNS1wEXYbeIPqTzUVP/9K9wDp+A2JDEg2os90qTXwswgOBeNTHx1DqdWKtX5 Hze9stjI5gGKdKfKSW8L3yB5vtstJy/T1dWMI9anZgJPuDpRXlLBXXJ2SW793TpRpCetOugOq+qW hk1SK1vi6ZzScifpFYcUfqBfWXQLGakDEcxYnXzgsggeKI7rPwnIuBLrTBIdKtufb2+WtBjyJlH5 QmfkpDHwfXhxr0qFjHIAT4Dy/DwT6hrmsEwnUCNf48YystfZW+LK3h4GDlU7nipItxgnczMDOl1s ymzL6hBLwfr9GhqWyylrwtOwh0zq6haBi3mNbKHIJW6hMb5/H0GUHGz8LDqCSV/BLJh/2Fz612Cb WSQSWEF4mHtNhylJEZ4dDWhKgfOOodNSrmV+Y8EnVDKddKTZP44o5abT1XCtA/lHS3FBuNIlX72M D6YAol5pEuqjRtO9C3+oTl8Q1USm5ro19Q7brqUuuTmAv0YDBH+mSj+We5Rq/W2kUsstFea7mYOA qPmvx/qLAWKyQqm67nE4TWlrg/6+5E1KK2sEqsyQunRRQs+UJhJYhaGgqvSTgYgYE7xWo776GQp7 5IXmfQcTDK0KZdQpQF0KaQtTTxr6AQNuzPPUuSjTnRPweuCc/ztgpEq0xYuCf6qAKU50osYsUAV1 YK7eZbPeNDYl4InwSQ0Zr2wJveBVJ34K/JtGO+ru3z+XRTkG8cYFLipRkMys+GgUsGP9/0hrletL KIo5+z/838fqQNv1PZtRVVchZctk7hsiQ1nnlbBJ7v0W9c55ktrguNz7y7/mS8moq1XZbv+pD/qO dPWyiKP5dkXLXKBSlC0Cxh0iLRXCXJEF5D6Ek7wl2tZZzzHqwwVRnEDH3KXW7+VzoEWLXfbEtk2F hvSqCbtV9K80TNbYTAiS5Nz+TLaiB4J8YB7ZaxuxSNWBVzkEyGC1qn4EpLG0ZeYshupt/OSyZQkR gvCIlQHaa9HOTpe/vA2jK9CPlIhpyaYMbKnUsa5RXNDYSYZ3toxNYeSLVudwKkV9gziasFUHjOss SeoQu+BDeDMCW+fl33do/ybW50nn2yg7H6Nu16G5UyRWlPoUig5KOpUxTQ5ZkbkfjDZ2SSwMfRgU eOAGR7UplqGqeSen80M0RJvSfRs1Syg+iFI9FquMT95fwmpv3wk18VB2XxC1VlD+A4BjT3I8g1rP l39NCUMtYFbs9GRoC65QaCtqRgvWtF2SzL5SDjhwmgikdCFn2FRWv+jJ9JCZXs1ENwqa09ikWZsI aiX2sM+jFSWB4o668+9cWdn4CEfk1DB1TnULEjLYPfkT8SvA3k8NcB7oJZFGehmlc6CrHEDxq38Q SbQBH5hGmZOfSPBVkTGCgfRfTEWg0F5iOy4trg8s8spWP8mUs5hm3bzkwpBbhNUdnDvQSNhbpkw5 DoAvRKfcp8OXfAh8I9tp2SnFzg9JzynguhnjkbNh+HvRRtQsnbuiIR4LEQqv0PQxqXgLUMJDeXue vb+ovihPCgXQKw4r1CLKUfPWf60m1EC6lOKAV3Ae1RYi7Awu01Cv3CHFUPVJwo+gPGAc2rhDA6Am bKtKGNOQLntjrCa4ICAdG8iuDUtj9dPa4zin5pa7CGmZQr6mPG+LbzQMiqCxCRJfB/U8IXMXqxTg JxRt76GKKJ2bdpLLhddj1m1Il07fT78oLHGMQi0BpKrxUr3s7w8IJXKjnVj4/VKEnksNdKEgTJoV GhiX3jbLxiwsdLoDmmhV77RvAndw68xNnW25L1lG2LiCPbxUiZyzrADkBarLtmK5IZ4/YR2rjAeG 4gum0GpcmCTjVIASasvDO/wjavkFbHr2boKSxLJcd7DBy8FH1czZESV/glS924VuGxVjMZ0VrVrK e/yEub94fulUQH9fYfvlRW/C2MmLRDjQ1C3qXI9doWUMN1IgefcsAGH6AUEIf276bkgtKHYwjTGl knqp6NPnyZC6xzwMx6TmG1Jb3MkivFC+YfLHYafOE60FaGnXdetvnXq8L/I6X62YobTgLZ4UA68a i6GyJ5ty+t2FdnxGTufRM7bva4tLq4njDdE8bNwRQKN6AQuTuZW8979zL+V2yDhFqYdJgiYSqBB2 fGWoIeHgXLShcyBrZxyndBBgSLs751IIh02S4S8jfTCKIk71NDhzxcAcXXKgBDAZJFH1586k0Q6q PKrjPdfX+hGUlICzf8IapGoEZ3m3DM+0AOiNU3Kmoe6wT7laGOuOdbdBDUmlOXLh/67LYIZWWqtR kD3ugisnF/jBvrVJNOFoufKEAXeQAUG0omUx8v+0f3274nEbepbTQjT5stgDyP5BMym5knojGM4U X8E5PlvJAiMFMoZHTIO7j9ffNRXQd78mHb6LA9ECyx9Uz9zF6+g4wGe8KAYhf9BPdC9R+Vvu4A1r OGvyGZc64mpnypi0/NxSiqzW+yDO5E5uD9xVnbUZZ0AUYOehILN0UMZ6M5J5uowyJ89gJdg2c5iV 51UtDPjkxk4qO1vkM5Qh7fmcMdJHMrosx5T4tq3AAuV8La/PEg0p5nNxkvhuLDPUzHMPt/toNouE WIG+XSE4q0ggNS2TuwnJIAZx6NCcczH38rJTs+jSA1fx1x4fHEQOQ8RZJmI71FKNmqgfwoahGGNI YSPdSS+nFXgNx1Fek9AVc3GqML4wma8IM7gk+wPuv6IIseqdRkOibkAJ0SJPVRrW7rEZwysk8fpv JMs3njcox5N2NY/PAGCpLElMSobiUWd4XCDRbIn6q1h1iNjdXLElyWj9mNj0xMZBVT6abJTO/Gqo m8vPymbU1SnrTAAv7sPyWsrPKI69idcXtrhZw8eIqiNicVodTlc9s2kwSExJungQlDGj3YgXLPCl OWGSMM1wUZMpMaU+htNTN9r3IVUqyZ1ri3es8icGPiNE0EsR/xireDN9XSKgcmC6paHQsVFFtuRt gY6rQJrbQaMlqSOoPbJH8s3A28VKudZMISu3AUEs9E/5Out7biUem7pHEoJdccENpMgVJ/GJhISG CRYBM4Z47TUuGNh1C9Fxj7iHDNTEu6DgAlcvZ+wmSDrBDFK4C4eSeqMLFJ74pP3rVT5FV+WvHvN0 Gz/4HnXbM5IkOuFHaWeu307W20AtozXghsnpPGKN7eRUlEm1eOdRp43y3+F52KT63TSimL9t5p3D /P4fa92C/4Aq575+sHah3MPSBZlelX4CrsMngf7xQapKaS/Yq6vyu7uPBnfDK4KTFu0u5r4JeVJt ncqWWxG4iZ/sCD4UiNOfNwR+8lMcnTQ1UMlIMjhBT+/RXjb1wfHBDsnvrhkTpUxiJjKOO3mzniZZ xdYMU0PW6FqJ+EMlh1LkgfIFv+lQd1xRgAzH9xYMQyMX1NX69XRJqcIk9GJIMMngkwwAts4iEDeE xzMXKFcGilBXm04eRnZafIAzR7Sa8sGvilXYJnkxk4BlQF72gUM91OJBwkxt4XHCnVRtSQr8ZW8M OEXP2bHJdmcwupvFZXm0FfAvWCjRjhpYHgIDXtMG1D8eM8g/5/nNO6amAdwqXpvc+HFIVvtCFylF L2bZ8gIbVyzrKKifaRZQdCkWPOIovoAB33iRtrY1szRDGldAIi/py4j/exs1mWS6khwqmtKlWmz8 0ZG8L+VJVZM0Nq8jev7TiSYuqCBMFWKRS/hS/K9u8KVuz2FBGyfySiho8+2PgiOuCpY928ZiHqjY Szi3zUtmxPWrTnV8xnO93Cr0DxhewH+OTSAwKI9GFYqtmNodYLfxAgNPBnzyLhtnIhX6in2TuZk1 MOBOLDIIQ8bc3Ojmery35wFVX82GTy1pYYPCyhU3lctprTh9LzD4iCWyfhghNuhuWzPhChajqPTb +pEu4hWivjoEHaJWSUPVNbySXiuVukpfHZJPqy5oRpaTLQfKUZOjh3KwiAHTolJLa5vtXXJH3HTi 0SdOAInUMXKiAufoqDhbgam49OXk5iLc8HR/sexGTp2BIgrodQ6dMAO8PRWyb6Yw+HdOtecYOq7t Q91eO6C0mfOR9QA55A+tAhnu9ik/CoCtF+w+eOqdDqWOGZxmKDPbx5qZOtXJZOzrPOHryCLwCiEH XRld64QQoyDMKg5k3i9tnFwbiqIX4sMkabErujjwnJssf1rWf/2pwHzHIEAfOQ6pLrGOXTWR6i7e Ed+feRLsCM/NZ7d5wcBqsiyA8UDfdFdIKoZ2u+kowjB1e2mDzG9aKgs2QrjTwPlxPub7Ggyd2Dmw QKX1EaxAW7K+/Q1wC5VdiChtRszYWQ0b4M+oUPxISIH9N3XF/5+vMrYkYymDZG4xLEcONqM3RYmw IcVZlNyOu0ba3SeKizx++lt0m25MnvtSRa0Dp1tRSN44Uk8AZV16HCZ2Ncx86i6AzTUjkpFuiUgH 80XtGkZM211MYoT/fyojraxFU6mVu/k7szCFkDKIyEzBydqasE4TsiCdpgWfLZ/6MNs+eR+LkYLd WfTaVQF7t0a2hOJCJ9xA2HmSHfRlNj5MCZ7cZ6vp4eyHOvURFMB7rrIPi7GS9aKVjHNqypdy5vo4 gFVCNEzkVOftuj6tPI4C38DVzWwOJ3h7V8wKqj61Tx2NtCXPCdlS39tRC/ZDHxXHQWA7ifp5HIVE rKXDFn7AmQGNpCmciRadutmAenibB+6Z8ynlk/X51gp+Wi9b4F85OZDcF421d28RlLOv9x5WatI+ zPgmrB3R8PF3TeTSiUVltvXoqnVgR2oOVP7J3vVJF4tGnpY3NsLlO/CHdyYlLpRKcXskceD62NMj eBiHOCgF4EGMG+9nmZF4xzFBR9cP24GarbdRYDmJWaJd3QqTXg0AlFcBczTt1+X2atsrUQQe608p Zbsn9Uq5urOfuh2bD44b2WA7REue+Yq665GIZCjcC/ybwBHQ9qzJCYOi9EjyBu7hIrlZn/lZ+sED QGV+0gClA6gULOKr5OdBAkipLwgUPpZfiUUhAGcpfE5rQOPK6IqG2p1VAn7RuZSy7Jk5Fe92DsED DIjYuaywGtejkeCW+DFm/3nOTkyU1RSZe4+94+u3t6e4FxmKTvwUkfiRb0svOgsD+cfSN88eLpZc nL7BWAGkNNr96uX948SFcFxsN6faCbtibV+sfCw1ddQ6svafh/++3Z9TU/FxWmsS7FbUmXPJhb5t bctvGC/KEAgpcLvnK++jowVMpV2zA5O3h89ozxdhIokw/AV2LUQMq8EshpbV13S20U9moBxfDPxZ oOqvh9xJlQjDVk0K1ht/Em6KIMlpVtjmURvfcaTpH9x0VysmXK2fhlQ+7nv9lYPos/QUXMzdO4oW LPqwDTjvQERg46i5NY2/SBLA7gsX3PUr9bwLz1iCGmmBSGXkWCtQqOSNdJbY+jU+GRlkUk93E01K kc0aDea+41PhumIjb8CfOArHTY5yADJjY8UdvG6uZCvCVhKNfOxJ/e7CzTIlvqf24ArxWwOlCJ8r HPg6sf36cqA/SsCM6Re+LbPc+oDuJjWRvYYk3ztswapX1AvBne2ghRyOfkuh9H8vdF+h4Iq+LcJK +aYnv/zvqPL3Lh9fWtm6o+kKSFBXHGH3bl5wcWOzzGSkCQqOPE/R0ApmBRoJO6iUL1+Mqp2Vtc71 8yvEyGcTXz3Mf2acQsRgQFriG11LBtFAJy7xb+XALW0JZfJyza6i/z7kYuUrz+jCYM9XsP2kO6z+ vh611HmUHxHCktXuv72ZpiZaeoTWdN4BB96RHk/4dB9aSr9gPIxLGFpossL5Xo/yj76yuYHrq6rg U8IFJeOF9Tji35nJFEoQ+4mYXdmLG0hCbAtg7ksGP10H7ePtBJWgBkqCHsHoFfgVasIZlCQB5+03 H75Md6WVqo+oYEt/Rqb6dgV+pWwM6obM5l7lPpr+8RCidVOXJq+p/BUGSh635cgWAKhG8V2LCzN+ eYwb8QSLyEwrPXLjKZjCsbqK3nYE+a15LovAAWZdjKxyP1AGb6NQ0n/ZZp7WyIFLwlqpHMZ8jkgf TcN4ybvMJv/CgHML2MTBdEAzCd7L4495GF/3Be7j3pp1ex68f03CT45c4s7Lt4WlY/J4MAAHz69h LhocJp6D4i48RsUeGCtfL8NlV8Lve7si1C+tJtZpOv9e1j1o6dbIGPhJR3ujoj3Tw+eHaA//GKv3 Gbeio5BuAa5LUs7cUGqrLVK8oq+nv0QmZMz+kpVWj534+N/JOSXJ9zGhCO990QNqtB18Ht6falLm NiKPgSeOFysJq6U4Ott+oI3QciFViNUj9igVSZoc9nQHB7ApebuQOcJYJs8E82YwepZ1TB0GEAGf qv8HldLXLT8I99CJ9qprY6NUfl5t1TUyoLi9gzR2gNi+Ou4RoCeoWF01lVH623T8iS5NmEBjXrmN 3q7QAJWIN+5aa73L7gtnYqzu9uaTAw19UPK4gzO7MKTf7Q6fOzDTKud+807XK98dxCaFkp9ufzCC NRlatEeg1IwQk+GDSQw/ajdJtOjMe3qBVWcXhkslGILUVHr2C+9SwJn5/mBJ3nBL9hBEnUGNf05Q qREu9RZlX8H6zRx43GU50ZoEOpaibXygwxkYfy7tDMAa4x3NNrGAqGuZQCk6gEAWvQlkc0TqgU3F 5KA0JUdPpny7CCte8u/Ch1U8rstE1YmldE244tpkTrFYHbxQlV7LvtgZqZRsBzIr3BvnDXsZHtOc kBEZdYik1J9gnv7HGRigpdDln2Y5H05gAtDuljJMJJLy3sz0fWrQZWNZRBIfSVtVZbtqoLFnS1+P H3DsnoZnsebFjtwrFJKu5sqGnQ7bJIOqchMCOyskG7oHCXGLVs7Q6Y8U9PznrObgVHN8pfWUoBec 0YwidoE0dmCg+2o+3Ck8+dS485muEIQpeMtsJje7he+NGG8GO/7Cm7BgIXpVCmuS3IdGIVG8FcCA k6INDv6Cs5k6HLSphwF4Rt1bpXRQptnL9UxkmCqnjVqqb8Xf3+5nptLwphqinUnQ86IGKjN7XRoF ucSK+n+tPJxGX7gIQEYQrEy6toLm2XzRK9SkFuZVZx9wCXA1qkFUh4keeSpOvmkEY9G3VKsV+huu Ot73GP8pkhmJ/qBQxBbyRUqmueTmRreXF3YROfp2QUEY5AXw0wydU43lC26hyJ67e/4GC784+tNJ 1vFPVNbGHH9+B8uYSoyrYP+5M72EP655olUrVNLErJSEBiDdWQPjAT+XBSR3ZGMAPg5pStu779PV agffptqueTHuE5bX22ZVuKRbTAm8grN/5taV5QBD22k+oYoM0Yh4eW8+yJd1JxBJc4JudRJxcRV0 AaMcH0Zt7BjmkGh5aRzgIhhmu1SEWqT9Z7b6PCq3/mY2HBjwZE3dIt2xySUyyiplUYw0z84wSh+7 2Yp0KfG2nhomy5S9tz8k+1iMa0muNvwPnH6+p4mksGRpwY3Xrdip7FQ1PwfTwdnykEEwr7oxsuQ2 cRshcPML7JNlqtSmMa8R4b8dYGOlIT/b47tP8+7X8J8V31xU3eZgAMAQPazmUdGmXIqKNHJqKsom u8MJ1jrNxCMjdfMIfAoF2uVDBUwgRPE+HtVSdM7preMcf3Pply/OLcd1Rb8OOjsXHYJ6V+Bpzc8Z PrgX+ctJzzXgRhsneYHlZS1kvcNcTddz1hy0SI3TReVecsc47iN4YHy4/NeXHmsUNzcBaBpEKJng R21aLJ0Jyys34iVA5zZQ8Bds8EFFEBqA8eBXVDeCsEpSl/FO4NOChCr4fDhQBI29AftuyGCoXB9c wIQUZvyPXEp8f321o8toUaO7wLl8lLngiUFrtsAxXEW3Jcdzt2LXtNPWsLr9izX5iRbsgO1Nwl5W BJ7cwnSkjItDfgliNdYZMUWrKJPJsMA6TB0SDaXbkSciMAB3ofzppsg5oegI729N6y5B9z2yyrG6 chv+R8At5jjoGvvN/7cXyaweJkz1CNNM1KJ4RrWSmsKBWejUduKJFmOlZqOw05bNs6BBw6gyLwrA zc2gVYp2sGyi01YZWHbYCemTqZ3qlC+qQmNglV3UYUpewNQeErukvZcdWBOQVGTPjCOdentMkeWu f9FlFjelwnFk3rb4Y++aq5xbUtJNNufedDspGIoVdpcGCK9FOYZu1O184I0A+f8nOmFv4qjAh246 R7WqQwivPUF1gtVxq+CdVa1C67ZywPcXftjLDgd+UeoIaMLTVDDL07tgI4BcF3bH5Gr61bASL5dj ibRO0A4zYdRIM1gbPL1AaZxJT7I9BOIrlFolgEhKaL1j/icKy3RQAlymXQKwv2zHV6Pkm+5sQJSG jqUwGLES1lXSf0p0G4oQXe4je4fME1f3+egaXHmPB0zd2Y/+jxxynqvbwIsbpmCWFynxN1g4XBtm 94FoHG6Xv4jWIecokOuYdnzVZt4zG328UnsJU5aJEEMzGI/gIQkJkDlieZX00X3pUr1rznoJwRfL fDNWKj4//rHIWUQnTJBkrRsHOMh1wHDOquyNArlpaVaRqT38zSCtzGvi5r5lZ0nLXpeqaJxDbqkO yDSoWnjR//RUF+fLa6q/e76ujCdsPYIo6wHIdLmexG44iRmOI1WwM0IF54ggWu5NXv/6p0cxpuCh +ZIa98Ggc8uj/LCvftRZy+nLeY4OPUGCmjVoWgBwlxRLtGgnVpG/JK6/8lErKCoa7mifkF89QrrI aJMFu0dJ4IGCqYJPnz2mCeExtlD9VcPkw6yQCDUIV3YOY80muAeihbFU2Pb9uyKbULnkm71OEKul NHDZuw3QhkObb2a5xgFYSbtVFhLKDDrOmuUIK4cp/yhMtfYVl7vHKbG2adCtTD/lcB5jJ4j7d2mH WOUWFMsnBOMz8QEQzQHcLJ2wFEKkotKfP4cIvT3RJJ/aZ066emTLJjmRh/QaI7FpmzW2yhWFejqM GJ61OdL/3Mgyg1cDPJuGL8GFP5XFH9S0mPx4QkJypw7LkuWJCfCwK0QodC4NmgsrR9NoR6eMRaQp 79bLmg9f/Pvi21Fp+RSaC0HrCLYnOKoITpCYahb8YvfeMkLnd44W1MvRqA9Mucy/ZFRa5lBprNQc r44wWKqbn6/qch/kDzrw//1NZ6eYUFlUj0zPRYWkQS7U0vGl17pgAQzdosL5dbDtjvU7NxIP9Qxd A/34evLiOr3MH3d0PlqEnipsmL8pOvCmvNuc2uynugYPNEhyxmDIjBbnO3AWGwFdrZY++23nEwGb 0mR8Ub8qHIjfJan898XB1LNImxsn6rSin/W5tS9R2cApaUlDUqHq1acwPuqYnlz38a84X1W6zztt q726WkYm2voMYsPFyGwZcFbJT5FSyX3qRII8m8seXKqmBQTuxEiY8iSjdbF1DG/7nwj+33nOM37G 5+iJqZBrvr85kWlXpPiWXWAmQMvaFuhr420rLFdlaBM51agiH8PDStOB5aJWJbfdQ5PkCDEOj2uO S79IQ84+LJxs9c9L2AG+b5FUUEI+nOq2jH3yrGq/arBMW+e7wuZFM4NUF9CGMKVj2Iovu0iW4/eQ M3VZogTToMi7KkHyrvyWn6O2Q9wF9o655u1hy5iI+gphWi6P5z8iHsszZjxrnOg5lNzty9+KeXZZ fwPafw6keGwrD+7057M2rcVBFzwJ2Eh5BFE7z7LI8tRWUwIAbf1qm6sAL7T0uLRQ9pcRCKNDY6pP dWZj7zjRZi8xdAlMd7OYpBQXGOv6yAZgCtxnxaBCDlGroK4KWTx0GFjTtVExOLaM/ZYYjrD09x1p 9t6vfadF5SVgUFdC+r9eu6Mrh71umHUXSsYrgJTWdoEk+0miOrdrAosX9oHx3jomIjHyvNjPYOkL VzfG7I4ia0QzViaOH8f+dMotu6y+6PTHC5du+Q5hEoveiyN/A+Y0UNuYNvYxFF5R+eFvjQcsLv4D NxCHs2W1g9o1Pj0ErvWdbZhEuKpR4tl1wMSedYpGbOWDBgbUBfFd9r91FBXvENiJ8Chvj5usiCQT CkkvKUcHQOEm5MxPSvQxE2eaZhciL6Qwk4HRJNFHff7xwA8Exm3RcatoXc6pX4WkLlOuScHSLF8z ttIOioUvD+Xg5kOOX681m71oApof20u/EXYP+htc8xiXhVDu2NNU3Xbg/nqi5mPXM51j8pHzAOsK JiJd6l0RN4h2i4V21wJe8B18qiCXlFxHTcYqt+nDpgVMgXSFI8zGsuzP/+N2NDHNdsxFr1X5OtVy 6qZJfmHROuvTFLnz/L2imuH0j/gJ51CDSa3R7CxjwwWyR0d8BQYn8WWSbpWw7ziG88yDKP0EImQ+ eufh3t2FZQTUcTVwxQYFHH20knepacD/tWmzUwSn7O6OJdOE/VR6JzCB3CtSvgGsDoKC8lD697wT UHehaUxJhojl6dop0cqhdbIyw1+MJK3dEIycNuiYt4LJ8+pZrDipSe1UX9chFV1NvuqPBf2diVhX sL20s0Ks0KkMoIAGQWu5W3Gokgzq9mqe0QpJdDVDhAgJLvrGNAT8fpBTZpv7ZHrkc3CvPPDrff8t m7kPGZ5rCkm3vNYd2nYfnUO26PRkxPMdbcBsO3QkgGS+iyhRlsElVArLaiRnDC6qf/2Sexa5IczB o9RecyUJdiOQxKIguBIKntnfNcPJKwiKLfP7YxXW3Vj1AIeqz/OoU7Yx/ul7ffuNnDCG5jMslLRZ 4RAXrgPB7L8j9h2ucH0gF0PGCjiIGf7XmYN4W39SFWFHRkJ6zogGNg0jVgJcOa5r+lpV0RVwqQ2z GiKn/KsXES8vG7EjSSubd+PnHB/N8GrfrsIJ7VqeK9HoPfFW+sADjrr28pYLjGeiv2+EBRZTDqXD fL0pLsSkmocjuHikaqhPcvThaSMkVPMPJVoiDpIMWOiiMnotpIIEBFeD4Rjj09gDahU0XvaV3epo 9IbUhdSA8NAoFjwXQXsvBcDHW814lAS8rWuK8TEu7TloITAXUFMnzkI57eBxX75iuOWvShvPiuDO 6SIRBb4VEUA7I9OvIlv38C+++KO588W5KbLF5Ly3b0ETq3oAAbnl1WCYAJ3ZME4Jirhu5bIiqfot CBOu1tTxECQz5EtFhaP0Xgy8+npqNJI9MX3J4cEaMxx9EPsLIcRcMkFRmRX7uph23ANjPBDd2Xm5 ztktz9HYxZZ2w0jm7RfXfqjIfWs8j+VNEGcPlnK5b0xPhXesEbDAkP5rNSSKdgjpovLOfIBX7V1Y C4VKciO1bVcrXbRojnV82rc17kq78sejN9v9Vs2cT/LVWZPEcgB3UCfOUX1w96sRlvXYfvaVPnoI vISycS37qQf0NTvgOgUWU1mdsnhSc75MhpWg95ri1fcOuzBr6i5LcaeD8zhDyOUxU8rmLBbUzSso RidAXP3XHu4/xkz/4hc9RHfwhyFEipHPPIl0zb9QIJQOC+k9hgRXMN4tvnukDSb2h8R313YzH9an i5hBX0rpBNxWhaNZtUJLfrlxwhoX7uhLJXJmcAt+0dvy7PShKgAPO9MhQ0jCnQCAuqQKQ3Cx+iQm STPdfpwQvx+WJOkDQ6MnGaXW3FLuUsMXq7D1IyL1YikvPA09Clij0RhJCjqsdSJSBTUJIQgCZqBb lB/j9E5Smw4Ju4fTVVyIK9B+mg805gLU0vBgPE/vY9Qlu9TKxVuO1VYAlOpm67/ja+AT0G+Or3LD wP9bG3ScP4pboFnj/vAE7ZYNqwoytnbTYegJOq1ykZ7eMxBRrcsFMJcKZTaRtH5ih/aRglLtPpTV lm8d5QpHZR4se7nvODlbqUDSN8cYD8FHn0wDWT0aNiKLqyCohiSLpF2DdwnCb2htg+vgUlCLbTvC 0tsw8sH0e1WCOVTCs4XNFVgazXxp/RJMrLs9CxicCTT0/QDNJQ2mGVY9dJ0XqoRqAPZMUMasyl36 LFIUmfpV6J07t95JvYPKZBgohUOd650YKjnmkxvSnEe0iGtlWMSsIN4/CtQulkDRHQlJqsiFUqF1 QgYe42RhQ0pGgwNEP3sLyYFgBKqc217G+TmTwacqNC7+xW7VyE85JibKpWvt6tzNrfh9Aqvl4eq3 70/9szp/bSERLgoHBwa4vExzE31NBV+abASOHgzmwdlfnnwb7NXmnTNjgxmLgrSZoYeu5HZF1hdI ZyoraHiGBnnW0It921sbEkb1d1Zj5uB6VRpiBWslb7TZkClSaF5xnLhsE5KP3tOsm0zCAEPrbBaz kZloNqD3aMd/6vuomRHeg8KAtWiq0JmqWyd+jX4WPviwhK8uIcmps/TQORVADIu5d4Zg6CXnmgAJ /l/3odpRU2V6fDvkWyeW/V0giYnl1S71DyWfGZEkJ5lozo4CwrVvXJb9KCq3dWsXNusSzm6KHo4y 625pMxyE7015TYxX8/s7yyj7wcdzCAgzBZ6WdH8Wc0WAbL11eZTfH2KeqDSIyiHaVwpME0EkOPjx mm4OSTGxrMcYiomrtArMrz6Sb8rDVLAfRbe529OfC7uXBz8JWdmuxFwETwlrCJww/ySGMi78JvIX eLwGNESd1fF/Km/Sgtb6vaG80AalNH0A+dv0lbxO2WLBJz3P+oiklpwiUaIIwyPDzqM/ytJJqxHx GnygdNYkLSZJo/OozV4GnoWaR9ISmIzRHW75oFqi7IEgwH06S0k7SFnPAQEtuy4yxV34tnsU4gFp m/Ss8TC8K4mWt1CBN+RqTs+Bm5DRt7BueRlEPzpurXaicn1od9Fhfb6GlggCMd6PoeqJOQO7Nkbh p3Ac52pWxscgdYoVAfIhu4z2Kn1Lyk9J0dyrSJtQlqtH6Wh2eenpVVRP5Z1fJNP5yfpu9XRqD8T0 UlduccAEc/y1lazSRROuDOt2E0mlvDkNOa39MsIXwxRhvG/dVHB8n7BLBvfOgdDGhGJXMb0x2Au4 Cth1mjK6LfYVh1eaXSxOWAPRVlqn4+gCAdabUw9H/iQULveqNAUcOrkgwTy9X2j/TFXX1RyAFOJ4 PastP+G6vO+paYYa2VfVgi0NVsJdumx1SC0lajhsqHPpVqkZo4f0GThUqdbAVLtnZoZrfy5Udrxf iAHaUTvc5xzmi3T2ULmUacoh6Qu35rN/S+RmsuO+MAMsZo6OHt5y2/4+LvhoKMiRXxkCoVP2pb5C Nis8NlSf92Hk7MjlA/Ghpz5MvqsamRWu2B+yQUnWnsi9zzjDMqza4ZZ24IlZv4jIZKML0MHr7khS t+qh2DSDE8sPqceguqpN32fEI2TVAnErm7kZNNuW8XTd+mx3BsAYPrBkz8XkdSBQKg53LY4AXg+x i2f7wGjSZteh/jQUVMPjPXOwgY/4T8PWlwye+ChLSZd38r0URwcUNvYyY8HyU9N/v9hl6hOzZwqg WZuS58UHQN9yLPhm52HhoPJUJrlR1wGMWoJ3rmZC66cl8PncDSOQQjRf/Jame+lNA0F/sgiKx62z zm37wEUl+3qYc8iM5Cxh093vsHqZfXNtkCXStZZSUzAR/VqnOYTkNmWltWx0P/D/iltoQIpvluc3 0R4TjtrSHaN5YXdikpgLWqn8wFRIVEufmZnSvqvGr+dMf1a9vg3BF9UUQuiKL/HJxj6JWKsWS7CL s6I3O7SS29a9I64+oKZwdwedyNZaNMzn4b4d978547S9DzzUxLCvoSwVeGAMo73Ubvowd675W48T eHNKcXbA4o5zWg8BqSqMKOcwuZzp3DVA0Fq6HipT8iewDJGnXwRTlEXhOCUgm5IcZ3+pqM0jA7u3 tFoO9FWyfdvfLaFsmmnoGablpUEpT8Jwwi3ag41J86nCJ0OSb7YTMC594AY/YH5cvRU01LHTZRYg opaP7h983RaL3sSdoxl/VO/JFZkY21r9gc4IsvxZP1X0y+JUbrpcmAkvQvKPShqcgWzZP96wJvwM u6n0MEjG3tzZ70bMIG8nFxH23xsc8iWDkxcYQma68wnyGiwX0QS//WPPXjZkRCIvpOS5lE+538ta mX6/srWdI97t4gMAj6z+alWP/LCtp/wNAsCNt3DAYZpCjHJeqXdVG2P0WmiuAnyJ94MNnFBamK4W Inz0dWNKOkYvVZdeZaDGiVgIu0/xIji2sBQGDULl2+5y4j38fE6tE9o80i+4Mi7EHRvO9IyAl6L9 4vX84fmofXIa8NDzNWsw7Awea/jrK6UKuOrPKbT8VSYdGnDJGcTMRiBaZg5ZZfZhwqPL7G+X1eE0 0SjCIsE7+pzOIeFl3n8PbppPo8NTqBmnZ+l5RJH0vZ0FviJOOe2wTqU/mWAGgoy5W3xe4lR6L8H7 uZBEaj+er6Oe8PrGe3zK4Ug3DS+3ODWwBU4V4RUxLT5sgfNZ+uZM+F2RoYd9VlRQEcqnVDukfSl/ ZmkmmKhvySVq5pBYg60TbWDbYkg9CO4th4P8gm+UDLj/yc6rnet/vfrgGgAPBbkiYBBX9hPK2dPG 7a8zqzRfjR2tHhiCW2wmz4dgnlUa8rFXrOP3CxU563U0LkF2iv3ULo+8SMyfNzu/PX6hUw+bRjQi YbCFHF0M7UQT5x6azzgzmNcJE4r/BkLo7uztZ4xHEVjaF2R8ofx/PBHQY14Y1VkVMzplMnkWUeed dj+iFQnTlyiD3LUFh1t6inrW3seQvFwsWivIWbnrlP5snQJg5EQ5bZ3NJzR6l7pxGZbBWOjQSWVH Uyu3QqR37+gE1MUOhuYmiScUBqsGf5rAgqEOpsj0D3QePfAM1JHYiyM2F8qdpT+IPWImTPZtanYo ZtPBgTkDxUT2zP4EeoJ0Rp3fk3Mz3ZPf8dzynNLYv43yTQD6nuoAcNiJ450QONPiIpS9bsBRNZxc /TzQO5mjfVVt5Zo3chRrxSRAyOucZaocM2gP1Se3ZlrM9PRwdnUoT6d3+qkSarooUwTjgvhyAYfq lJdXTow5mYLGCwceGVPYZ5Y5RTPhXZxmixUmrMjiTC+eQ/EToMRfq0qGSX2Qc8BqPyukhRIoFS7C SOtsnHXFGU/nCvXNqcCLq9n7z5VKDcQKUh2FmAPood7XD7FNqmqOeisEeRHE7Br9l3XnLmnMa/Nl QxpDbRZ7NlCZ7U6UsQyZIppzwgGr+HQSQSHRmrBMx286p/ZM/CmqUumkkqhPrHrn1l/X9OH5eTpd bgF4x7+FyajI4mlvVJCCMJhCNPoOdLsF96M1QPXgYIit99OOzu7FvVpY57JJp7nfZ5i7gsbHC+BS b3Lkh2vNKHvwjhxnMlr7M9ETrU64IZ8b8aMNZDXsz9sa+TKCQ99486qMxgBfv2/7YduGCYzVhA6q ngrNz0k2nlzXJMb7hCJa5ImTyv6yIPcq4nc7YgK3ja7cvmqcsRHBp+uJRHavWZk5E2lRmv23Pfg7 LuiMGo5xhG9P/gf5LZZIZ6z81g6a1di72BsHZk7dOJ3Xgt8lpVTed6+6rn+WHFfl6DrlJg8uuEBh gRNHwNLvLjvvXSq03LcwNVZmDllcErNqnbDeGXbDVmMfgMRO17pk2xDk5BJklO46fW2cv9fDrSOx tjxv6RCIkTAF18d/Edw8S2mWfl9/Rmtnsk90HcJUlTp3EK1sGwTmyjITLPI0F5ezLQVglbhXXx2x jxqv9hb2DcdWQQwESSN9U55iUJeW/5m8A6bH74UultrqJojxgwhTsSBI86faUb6dKBa9dvb3FTg5 28MEZ+BSdM1fRs5mINPvRzANsvWeCqFVche2u1DPHMKJPFRYNuUJve35RLx0EcRh8xWOLmxmsoEe ZYJcKdT0ERwPDBLCgGQcIPlGf203AuH2cj8wlvVVKQnZS2FXvAzhpXszAi9/dUe0joaOx2XVYtOJ IhVLArJLCo61CpfDWhFe6o4OJ9MuwtrEmOVfsNmeS+64JQsVgQsk70jrvwevVopZhXRK/CDAJUNE BRb6ElBTqJZ0p0HYJP7a4WQSwZYFH3m72Z/owxDejZuo7OYCPjuFmuF48AsvT5qljPLkdZA+3WGq 56bsCGYg/oI/u6eoT9M2ez7NEbU2Pq859RbklZCoswFG/FLNZbAyX4rpXbUdzflmhNG8NYrQMOET 0WKhcQwO9VFIF2xMdYg9HVjbVTmvcL4mORvkFrNQHOIb6cY9VjeRCHngmis3kht+g/iHcDfszFSG XrBmAWWfbwWcX02k3dQbhTDozTO49X6u1Hpz0yS/GcSHptvb0e6a72LSNmINycXF+1tTP170/aRx uq/ucL8s9V6oGHeZ+EyGmr0P2eyJvLCACWsZ4XcUeI6IF/tWqwPK08bwHgecpypBkmV1y8wj4moE RTmaMkQI//x6rgWKgaRL2wF2DvNYNKrXFebJJY28VuyNUE95A54HuuXhZQuoIaKlhiAS3pbDfTre mV64Ztd7pPtdB3rymu2wACyBXxo77Dg+bEkFPNTZNZ6EC20O4vvAQAM9HUxYf9Je3andl1ODE1Kl 4Oet4MjevaHkLl1Nwy9yH3sDAB6lLZcvOPc6it1tv6dDQ6RiOpZgsrr1P9LYA12GiWQ8Lql2akD9 uwgb71SX+TVNe7+FbtnXhwtIVVfmogO2uWwBxB+fOPS15FoAgNoXq7+azrdFawEoyvsBTsduSPTR PgvPNyjXG5m6Lo5iVz6mapag2QOn6UGVXBO6LwMyo9912kH4+NaLtxzuWzNtQXyw4nZaKgw7sJoB eM4xoBt7GukFmZTgXHHA/ilBmbEi1nW6iIA5z2WML2k/I9ux0V3nOpPZdx0mwiVu0nTz4Vfvf4Hy p/L08Kqk9VSFrYHpV0d6HmrP8TmwGPjtAaOKtJCYwZjUwzD0YakCyVHApHKCXdXMi7X0dmSpHTDj kLB31ll4a+eWlUwZCDjtasxiywAA+4+t+iZSEGlDUeTVCSIL9s/6+9Ebbg7eyXCZ600I0eZnXgON EE5vQDxhSrynCqA32suuD38idvrmq2YjAypkdcsFiW7DpzRtf//KDYbdA+tDSySgLOa6BfZXGPUu p1OhYOHF9sln3KGD3e7pbuyj47fjqu8lwqREW/a2J1zoXB5QRYCoHu8R2IwkodJ4zlc97RlyiW7+ HKjxibOh9YMAllIE2p6CbR4GCVV2pYcoJQQpkzBm1ee5Tv1b2VA03b9UyAk5RlhXzksfGOQcWQP6 3xWsduQt5oTyyfDB+NsJGDW8/tm6tF5qFpzEDi+ke9tTjS5C1oVL+bCfU1kIdEAtv5sMGHxzg6da MMIzqKhLg05HqcDHFMmsew+u3xu87//uky1zZbO/iHjJL5jJOs1sf6G2DKLen5oDall+4xWxs4Af zupfZ4chHLtDv0ciy2nJ8c5cAUr+h3tDf4+ofoQO0yWd64nZSfuIvwkBtq0DL1Lua6/tUg1D9VEM 9uQUxhF16Z+5j2i4xYLDQE69RUUtZwsiwqZvkUskFYcOrotY3S782i1ST1ZFgC5/+6Vl4UbY+yLX 4pzgSJfsX2hF6/Gt4/hh5xsTu8CzVB4AqY05oD8TIgt759G9yXRFW5m6i4TFSEqv/2pfS3Gl1f+T VNtkdymRnNTf4LhUfnAPp+xLrxBYC6qhV94iFi6i5IOsPB4YiwJuX/DujZecxzdsSo/ebIH5RMW9 xXX7O9o9UYFNBEELCHPeqpvTF4M1X9Kurs0wYgqArYhzIOr4ChZ2cgCwNvgLnL3Hl/1nJh80Sjt3 zeldaOthjDW+ZzAdcoYCrSXiT9/9NqtvBSCTQWfr3JZwW9u6lQRH3vsHjjBbRAeQyu05CQ8Bx4+g eMVNT3P+CjvEWA4b+rSkFXetf4dv/5lp3LC6z+e1LnTPgOnaFHCbnbrHCozitjHz9et2NR1OV8UA S5UbmNv3lqLLr4pR43wgAIh4srkSInglgJMXrplHdFN4TQ07Ep3FeIpPzt/6YIqNc4TAXqzSW4pE mkTRxnmGKphyz2yJ3pxUED1INvAs91wxhps2pn4xeANGHl8Wc8jsuLeAahPJxaor03Dd7H6RdPR5 z/zWv11SwuLZdyHEjAC69+1N4lReSOXTqyTMKAx4tpzovYA/1C7PUlP9VL9VUmOudXaCrDP6MHUV d6NvdIKkkvJxNEUHzeFDK8w0Hjapz4OCbmMEWvmQEVBa8jPzJJSjY3ebDxm1eHXPczUy2z21P9rG kVzCHEPIaJt16RnIICaQSjNrtmHzQ4rnp+k16kq84ULZrn+yMC1fppEjm78noQ8AQXUozq2Wb9HT n06ipq5Pjd62meHFenqlfkaERaHE1ZPdqX2CF34ShyELJ+gsUSd0n76BulrBMtqJf7DqcF0ya0S7 d5tLF66aesaRY9oxB285ukt9KkTXAF6LIoZvtA/qnhmkF7CRkuIYO5jEfSlj4DZN+n5mODs5BPLF d0Xh1Ekrsk+CVIEniC9NB5WrFvDMVA4DJrcuG/6vFjp4bHzRo1wAfC/DILLj0BX03QoBPLDxsvr5 Syaj8Rff2eICDsqe6xKQzJOS5yTWTWuyJ8lukEbepqyOxGYd7lYadl54UMTPkAHAn8ge+y2zQKN2 U+wnwqUMZwSKkjjVuYpVZ09Hx0ASTY3Yuh9dPRsJCeNUzbux292CrAGxG5XQDkTgEB5wS35haIkb s4B8hD1107ea8NUPhPpFCDMjAWSHZGH9rBFUZSDJoz9QhB/30aoT/AjueyWuV6a4rYd1N7MqzjSH i0uhegWxFM/iLMoLiISY9ur/GlIP6CvXCwP8XDlaY6CzV+4KJch4eInnm+KN5Ygimqes9bT9y2L9 JV87kz4XjXQZ0uf81JantjeaSIB22qTFvGT4e37m6+uk9dRlmHonPpFhQu8ThuLxjSMqdBpPDYvg yltRYMAZW8lhz+dAwy8Q61/I2j9BRgHUpux/MnlKHzQknfI7R9o1E+w5JU9oHHjq4M6BNwm4khYq jlg5lSZ+b8njiFAk73RTw/9WPs++CbnhFqyNNEhuyVgImB1VW8JfqBrFDhSBEdl/fbSAE3qz406T /pEet8yDXZJO1+7OzgxgETPjph/36ehbRFpSn03c5Bg2ea17TKZkV1kC3SZcLK+MeupbjSkqihA/ /WWSGLoISKjVZm2j/lxEG9RJH1PHWJPCCsCU7mN47kz5Nk461n//+hWo0KO8vt3rsI8pGubfgasQ SJMj+eltRVY9OgJwZLAcHglK50Ej5GtUHK8HrmRWOxEPa0NzNORx/iUdebu0EAwdTSCH+GbMsqSi AIBg0HqmTDwBdZQcMG19Vqj06RYpy+NgGXJLnEJ1fHmS6mzXuspPJce/ExJJEWMTG33DhhOz0GzQ sjaLaSz1dtGmhDUbw0xJ8DxuoZKnd0ING5JjYbfBW3raIjf9ljsiQTX5lCU2ziVUwz63m1+UaAGi ZorN8T4zBwknUU5qckiUdrFw9dbLkwhCNxgxnuREnvjpco4uF6tHbTaFAolzHY4NNSNI5tTnU9Oj HKciQHSRrVlY0j4psD1BngLMd4g11zKfuPINRGm8zgPyFVNoka7hYBSD/fqEBQYg0uq3DBS+EqIa hzhb3838wmHyqLaZedz4B/AHbCDoy56Nx/CkCqw0QwM6HMlpXYOTySyflcKG/zPSZVfTRLb1uvDf XdVJArl/V/91ZuIp1zGkXCU81Cxxw6pt64p0X1TcQzd6BZgGh27dW/kBxlBgLUjMhDwYZ6MgTRgt n5Mq81OxHgcJWD2kzmOIbjLK2U3lgNEQr4CxxvokYaCP/9QN+yU4IQOWU0VsiTpoGAUbpqx5fgw1 mmxJYjMTHNcrdB8YrHT4h2kBs2Aq2SGvM5MmzPh6t/OWNKI9mIvY6XGB//z/UbpJ3nNi6jNSDrws NJOjtlvepcN2YR6D1VeRgTrbGAIUr3xS8L5CeuiYzebm5kYJEqu38tNEQg9RXUX6oDfFj85auWA1 WXu914jKlOHCJPyu3ppZBpGp6yvdVT8pnfx6zXNdZF/wkwi4lo1eyPTsAqvw9VGzGf6h8LyZ/5xZ iDQyBmwORdAwYgxdMbgKqKXtSBowWsykmlGq9LaQx8wV9UiP6fOS4EpRJEp10IiCXYevt35296xu 8Phbw7gvxxmgGrWfx2EVWYj7l6W4epKdq1apoiBBZbdNJc85FEHSSdvD7xU9dkAvEjlCfJwFp9U7 QeGDyB1I7U5G0Xi+P1a13zxaUlQ7VU0bDvIFIznC+56aOzXfKXwDw1McjRMZkjOEWxoAmTR9MpWO T9ChCSbU5TawjR77lOj9g0kQjCA3nHJXIR7iFv7FKI3FFdseQeDsuuVSnmruKXSmGrLljar6n/Hs utavr6H54HwupvuFH6Ecn3J4Ec9Nn4iq1sMejj/7o3oY8Cc4Gg2BHbGcE9CYEhDc5h5kIMsL5K+f WoqD1EWG5GtugeZ40k826soSRWpsBuNlkmWK6soXT6upngVdyQpbIaAUCKEC2L5W04zQZX51vULb 3bcL27GjSYQWaBijBb0dodrblBkfB149QKYMhnVYwDvnhv2f4IHZJlADsUUtfISrh8F//rjvyD92 d3CPveQ/w2Iz/7NGUugQ1PchbTOrhOfl0S0SDY1RV4eG/f+Z9glxoJ+MtCZGXpcY23sYbjVXop/z huXYu31DdzeD17d+UWJmP8n0tCFQzGSd2vwhe5agwukQrz5yuyK4w0uQyTfJUYc+MlkUbxDXMXzR PdMHUWwR8sNlRnfAuKuyN6cBXV0toWRC0OQY7RqF9NMi5xYM6cjRGraQ6Tv0reQUI+22IFjBy617 JqlMe33Z/Ww9lf2wVOM+m5kgQ8ecln9TqwpCA2VvQbWlWfOhL0PhVX/Z07un0SZxfYUiHq2/aJkZ s+l7tJWkAUzYRWNWwlO+cD5l4AaoBiO5hsGhAsX7vSh6/DeqzSOR2moDEiqXjRp2wix14h9AzZv+ /wBpTfMee2l8oy8HlUA1avbJ6lZO6YP77+GEO/wYFKEAGfd/hL2l/TaLRFwMdsbZj51aMIryFCVu fMc8z0FF1oCkhYTiQpN1c+FzRLDWBRCxbS02os4aaUAlFQZALfOshmFxuN+nvnpmJ+N7Ac2eBt8G rpkkCnsCtdZOoG1k7vg9PJVdw+8Nt71xcaPAZx8QX2AyCdKpAlaB3AxgdX0LYffKq3lEjqyKPYYZ yWIu5oXqmWa2O6hYX+Ab9voOsfwzOZiveIUPTV/50gXRonWZ0B4sJ8qEK1VZ4om4B5IHCwNTkmFc orOGFbNp3dmZ2oHYDjS3oA/ZTylwUbwf6Zxcq/YNHtE8dUq8XNKR0CHJJ/4C/+2W1/jYaMq/lskg egTeFeF1nwbCbea23zapxq08s81I0Bjp+fBzr4MaATYy/S4BCpQVTZ8zfMtGFAdD3aVXgKkY/azB 6+YLwzrWWyFFWhjfz6npe/0DRFbjdYlLSgvNUq9aimHD+47UWYpwS17xOg6GfCprRbywuC0u9m7/ Esqc5jtnaHi/a5Dj6j5Q7dIPq4x/9ctpHWfYvlfMinjppC4tDD3m9oNCUUVKHIjDCT3GNEQZuHGM SPPt4y+o4cqlEH45lRLgaYLKXQ5/3KXUqoRyUoLxKzNJKfKj7H6KYpdRKeykah2gj9xbRi0UgNoF nw8Jm0tI9cITyyJQ4UiUJN7CwX4V3DNHGWsF3M1QlszF2yJnxsl2JNyG/lrt2D56vXLZnbA1y2Mm Q4linf5KvL2dXsjX7GPr84+Ma7DQBXDEj4gbElJzFBuA7lGPlndw2YJHe5RDXYfy373Tgkl57Vul pivht5xoleKxFAvBIDmUcBEIQw4aKLjMdWgDUuKkMD0ea1yZoj7F7/R7VGBqP82EH4TIlKTJJ6Uf ge9tVHssPZl3+FDIb+wkqs2GkC4CEG2ZQ//Eq+r/p35HhphJ+I7Dx8LwYgSYHwtcty77hnG55Zu3 zXubavlvbMkZd4YA+Tb0CIaQ2Kg8b4RXITqWGctr67ObqXTCKN+lNk8CNFdR5Y8M3I9z/OKqFrOu WRiEtOH1fLFQNnxOdfyPwIlNmtKwjpkkcQnTC6DKVyG9Ykg0tk4VrBhNWRNThkTsl7bTVcwBvQo/ HdTUTtEarymcCJ2QxqBDMslOV/fMqo3ki1dpsbtklycfpuHhUHrMMihmhrs+wOPuQ4xY4KNW1oOm nC29yYCrBhjUZrqRDv8Ay2PoCnFIn6e0UauopHxc6FKIk5DZG/gvcBRHy8uoHy0TUEy5zI4AEzXS RrwhdNJo3jKThT+mantlsAnPNHPWD03586a8gDVtqcacocBSnUH+nPNjukMHtyD6D82ouJxQ/or4 rU5hIflennSz1VCwNBnIoyUTiRoKrD7CW2wGfCsCcGdJNkVO/LdtA0dYOZiu1OtLZR523+DpDqH/ 5Q5gdNruCoKkmumOOnNidUquzNJ5xSuF6/6efFX9pD1WN+Sm0FTVDkGQD47gyQ44hqnN9ge1Dn/f s+9TisBmG2gglCKpuSFfamL8wTTTF4f0Wfc9dr65lgwTT6MJQb7g6al6+iuN6JtcEONzOc+XsLel 8gMpBqFdchocp2sxBfiYa6huUiG55mtlEtlQDH1Cn4eB5QuVCTSAikGAKTlP6AUWU2czYfjxEuE7 2qRafpdgmwa0wb9+M7G2MI1BBs6vyGp8ahl4sRYsH4DiXnst/KevytbmIdldCLwgxORa3qlHu00A th/fS/lXoKSYCpVM5ZBOsv7jguQt1OXfIjuVE3JYSOxRH/wc27qBqrvJdVf5f6kphjiVSuNk90n5 WCQwtV7ItJ0Avu0qEBl+ZzP9VVjQg0TOcxZkKvTwPMzMXcqEYSnN5K90SjYZLB5LZCaZNgk8wqy7 ZpWkQoh/Kl4vUkruoEnesWV1LR1hObETY/hn9AfyOU8L2MtBB8qQsgODxdMOA96bKUQVDtovOATo UiT6+mN82Wocm8O06Qao9Dju6wCB+XhTgCOcn+R+/nZzVbeEUjKQupuQ6DhJbGxv84Pi1A2iRDhX daLwax1EY6MoKQMWIT1OyXtwqHSMhsAQuZ1i+YXMYcgg24wDrJP+/kHwSVTXOCG0aUKCp4CDoSL0 Rk8ZptNw9k1xMTSG4wJLwTvww3fSMva59aLlSEGlFR0y7kFgUH1kusVU1SzwRbM14xslgYMs8Jgs 4Du2pfVjVEfBxlLGZBVG04BRxxC7uDsXIAbqTMK9fZ3PKgNyTXjaSND27GmcnzkU5COtCJP00uXL mzie0N8jVR4u9PUbWb74FORv8+j139CDeao7DWbjDNzVB+FSd43r0+wvN3pWXgJfaFeERPtYhzmB Jzs48gQ5eKQbjidGdY6qRotqG1ciLHT/gUaYWj2FlmGm2DK1nRe5NoDfP9ldOk4cRZ/nScJ/LVne beB2HTJ6N//f9pPEr7UffE6fCAm3PeTikyT0Gx+QtPebDnihffP/cmNeA91Q2Ca0Y/ZMrweV2IPO 32h2CIVfhsPttYw8gk97qZzVYz2qX8jwNPZndlmwKNUMAY2XXAGObKXM6UQCLLKsLzsHj/p5SRVF ltnD1bbP03sHC9qEP0ZI4baPm9JBQ0KIEaPqs7HAPtn1LN02W4fLN+iIcg+wUMogftC1XObnmSDg QGTbVgkOaWawqwfkJA2k+X+xQwNf4EMZb5eICW9dgVkT8zFxA3tcVexhzgWeiAakzRzCsb8A0rRg m9JLUQdjVJFgU7vL4gKdkGSHVESKtKzrR8AUzgrtj05hwJpKKf7xGbo+RfXD018asTepdU/U97M/ Vg3kr+5A55DR+5wN2drQDAvmxLMmKfriTArHmaWPK3iG47nc3qPSsyGh2h9bGt15nj3aVbi+WgRU x1JWLY6InWl9JJa1I7AlrudFn8AJ1tz0jDG+2CwtvQCYJVkKvJjT+S3Gh8lYQleI4HJMhSOYsoTk h1bXhUFxTyaWyiLs9q6+ikEpH3CXMl/D8388jVEEw4sN0GVc+EA2DtgCBjWtRMQ+M/iIWN8Gr5gA bYeFlFI1tXOSRh6AKnWOA6V4gART1UGKT0KW/XNEB8+ledOp9jvBkAmxP/tkOzQWZ2qCyAr89vxg o8/fTddGdgA1NoRgLpRUCPuMjNM01NRH+nS3t2DUjdQ8M1YKUeItEL0oW1yQpm9RaLYACWnR32No SLSiP2V4sSwy3wbQ/Fj6i0Fq11D0GltGkKU0fSm968RIh3NZxhYkamLe3wb99ZcWLUvTUHC6aWbC 92Kh/BkqW0nMiMkw7Sw3m7633l++VHOp09RXRow9kAKZI1DwThFUcTHp/+gM2713HONIPm6yHnZW i0V/YJvZA15hSzi3NUxEV5iI5jq4bzAiqansY0wI5+Z1AYZRB1u7aoSl/QGv8FmIul3pANnxyNvl F72/u9BX7GMKVBO0YULEL4Bcyf2DqgkjcQCBiBrycogUa8nxBuk2SYUMHh6J6JRFZ+sG95i7UPzE Y7kVd2gDASVrp0/zDkfOcF1x4dvg7ne8q0GiPhxAxW/77my6WODK3NN74RKnXuSZL0AMB+S6PESz pBYfg5p78oMl+KZ0o6koIKzUQYa8sok3qjb5afO06lLe90R/JS7lMA+J76BLNWigo34g70MA3ydo LfC5bme9IRhQMTSiLvzo6EeerkXGnmSP30KsUBNrLVvX4axWXWdp6jj2wLC4SY5VzpLMMgpRBfsY JQlzW5yshKmSiCz1mvJWqf2X+rErgrxkAtV7RynKMQaFvVtA5uxlJEz9l0F+0Ti09bg4hy7b55vt cMKFTLGQIFbdH3Lvdg4OOihfeEFXBWx3nAzEYstnCyN5DuiUryKi3NF1BMD5yUlCGHuFtf87UlsH TbGjZeK3T7Q4JktTAp4Ji6vHagecf/OnM31EZyppbvrUBTAo5rGBEhmnUQWUVDe77Aif4B7l9zhV qMz2fQ/i+H7Ek1UlQSiAdTqlnmQmw09p6nAUZyo4TTmGkh7tpQuTmKzlFPgYAsPpqz5FO7Y/x8it Rhj9iPTqk12h2XQWLFWo1/BNWkMVa2UK9UPiG6D6A8eY8ipXs0HNtm3txuBRwoESkPujkneJmjsJ rUdAXhyfvdD44z+MUpZV2IDoybz5rNlUZWDrKh4npXGOSAii7Lm3TGngacvabCBRVTNugNm9r5vB 5tUC97v5h411jjxI9ArWAtJZXnmM283/rlA0LWQtbUkttSw7z1Vgl4DbAAvb1H/O/Ctg9JMFkywk Fe3V850ijGPBd+Z8D/hcWpDzqsS+A1ic1pL2k0/fPSsS+W1nYNagf4PEKwrl0G/acXtOpSFrEaUv V72q2WNDqxZyt17DwKiwoBVTfsIK9xhZg7F5i+jtGBbc3QfyMhBZI8zCZ4ui34PBvxH81Q4z/hqr Lyrpm++EhRHgAX1ZlsDPsi3djf93tAVdPkb6mbpGGAjsPT0rIRZ8LvD3LkbqicyYy6kIP/d6DOGA IzyHFwTy6WoKJgxCo1B5pqKPCMCm5bggHrInWoSiZqs4qHe0qyF5BPA60XvS7iOn3+cNA0boG1H5 yM1PhCTidTMELq1iv+U8UuPSAvuzvtngm8BR7jD4NSOpj8ouE8stgem8G37Cb0GkzdayrY7nqSua GeMXbtP9tZzxdWz6A4mZQDz4ZRdIgow1MRfEfegJUsumYP9fU5C11YnVujXUy9wujuuMWaea3G/W JVwwLIgn/tpCK4ZeznG8gL+yI/O0+FRS+UtYo4CNZjiec3lhp+Ua4QU+rE6UbzqMO74pWmASUJHt SN+YDgRA+gsdeLRQeeXu7GdZcDqM0ls92jiTHlcTtYdue14CwZIadSGyb/EHIYiqyozJrKeRNeNZ 0oKLE0X4meVfWkgmvtNJZCCis6JriauO9WgAJ8TSaE5qTViXrqNcufJ+Su4SSXDB9QNDqxv7UaW5 7KyhkeYx3PHn9+aVwrWUbyBMa6E/QrnQt0gJSYFMZL0WcBhxqtTFHKaP/1X57nt6uJKC3JO80PL3 beWFlgEqNORQKKT6NwKZrvkueVLilt1sLbfzS5g5k3PDaA7KQ6jQhFiFujhTrAv36fJrL2BPuxnU Wec/7l8xxBbs1Y9BX9D6pPxB7/L3+79+yuKWrPIbYX34ReSqFEB0S9Ux+Rjld8AS5iw40S8W5crG O+zXnX8iLHO69BW+SzkkIBTAkfd+n1+f2gReZWbpoGowS2aE+Q7EK+HAJOf2ao5KDuMU5qVDeDiE R2XJNt4au6AUe9XHEO00V6/7XWedmqqMvKGp+IRCx+zkgeUKXaVpEN2M5LmqcQDpeRhVKSX4Z2Vz oolXSS5BQ+r+kwzwLkxkFKYVXnf/QPBHA6eMLd0mr+DayZn0AT6FhIM9nCEer4KUVi4j6Wq01P11 4OquBrP/Gm280tIML4Wggdr6TqwOgGT5ivxy7edQgNDfuZlv255JpAyRXyCGK2dt4NhiizCOsMoJ GUVuHjzVml7YywPjcpVD//dWi4rp+dNY4GkO+waLaM+K/50jUJR1UzxIhK+zf/4qCJcXtR5/5Q42 8QOEDcYfyVzH3ESGYk9LplGRkTQxs8CCu0InMnfuvhZCVqKXZYG2oNrTajaS7j/F8+MZCT90Nv+Q 2Iw2V8VDnhddst/oh+H/xTfD9rm3B44QZGi/7K76pD+McNsPkT8n4iKCX1eGYYlTEuR/tmKTFHLu XFHPYXFjkowomsuOPInSEM7/SklFpu0VdYFp8DW/siuhoXBNyUn7VsbKMrTbUerNcTu2c6qnnW/8 jvydsBktQVM2xIc/HmZ/Nk9Kxvi9vCGLkA/70niBGAuNn7WZ3OHHn4PcYQV0be98IONp/zMZFmvW gESJ5HsTBk8JOZXjAfb2Cb5/fBEOK3YnjHBz1exITwglbccltwKWyLOsrfxcxEz2OwYVfi8yBuIb /0a/n49GpKDmQPPQE9Ljjg0t68orIKixeKzfNyg0a1TFlk7uluCtb5sltKBuIeOfgAeBLBbQMknK 3co5nb7oQwc+uyzOOISkgctyG64pdqxFKQDtYEYPaLDhsFDjjaPN4+qkvebbjELB8zkiCGHiJKf+ YbG/s9w0Gsc8YxoDnXrrUTpto2u90J71Mt9Msn6vIB8vLh/q8US1uI69tsqlRqyznV6RrAY/uApL UJhTq/me4ssOmYywnF7IQhDIoEH4CnjmEpt1DaIxEUKT1wYwPSXvG91kfR0oa/xrQwU0QUK4dF4K +mWZDruqo1zVm1kRFzq+hlq6bzR/b/rAlDIn6nHv1vpa3i7gOwQb0QDRhDdQ+NRk70czAyvyugQf U8BpRHeu/pk6S9q7deKXbdD9+32VQl90gW8QEthPIomr7uzFM/w/cWbyvI/hsnYglGhdpzy8y7f6 B5A97fHtgMMzCktxVzAGI6ZBsVzzJtW2SiE+4plVipdrZ26IQ1d4nEWeBkVlCu4h7h9U7q8Cq6+S lwnCk65oPVlVTU3A/+nqvQHJBCQo2VP6FjqT7AIymB5Dw6MUtrPeCObqhnLDOUFaVZYbuQbtdSIt jyDTeju4jbDYmDTmwn9AvksXTyvM4knlNG1jXOgTjXv/VqLgXS5pdsUZp4pjcTcefM9/JcyjY81l L7Iecb8nvb2rOYf7BG/k/Sr2RIVHzEUNzutmN9YJt84S6b/JU7BpoRyhaZHp47IKPBy3t5RAuMZe lUKFDMGiyMxqckAh3/ZTHNqbrML6Uy2ULZepytvSPbPVUVGZPl5XJSC4p/OosDod4gI0B/LpfrXE 5Y9TYK67wsk5a2mSgbn4QbSSN5KXARL5yTGIBaJME3bptUVnYVciGIE4CW/1/JpU2mkA340swvLi 1fB/dLxVga6RpNhq8aYlWA8V3hloYrDX7dKbavo2uQMzcftuSAejw7FdOPsNkWIrHIoWCeVlEHxa p0hZvR/HPGcYE5dJU5Hk+41dd74yzxSp5Xcr+HWSTiaEnhs7NrtAb5K0OEvFbEqNLJ7Wiz2ADVuD BJHhW71DPDbXKnebPQoW7rs65LQYk+rVCNQKRxlLd1UqPdriua2JgsS87GQ96X7A/Y5Fz7G5ERMF J//HmHy23hI18AjiONyRJqvTigZl2H3qc9S+pN2+fg0WBmPrbBHD4WejUDfI0905V+Vey0+FK5+f gXYMAiNcVVliR/SwuG2rOig43s9RUzrq1W3q0nFf5q/r5o+JhGW9wmIy4EkzwIEvnsHLnojS5VR8 o9N6HalsTtGAyyDM2n8CdfvESV4r0YpWJ/s1e6zJiaPZ5demyV109UHXd9vmDYjRik9+aqwuNkkp F6IiGuOwovg6W+eQb9A87mZFN5soLcrx91MTd7HVAjo85B6xsBQI2I0FccrZeTordQRJmlffiMWf Fi6BaIy2w906rPmrU1VSCs7nPOFPi8IvbYQLZsQBCQP1XaH5ooC75/m6csf4wnN5PSqCsG7nXM7Q lXTVf6yqi3oVqCjbrlol0tGOVkrBtlQkAH/2V8Bq9Not3eHd9YfFKGJCnwnj5eyEcp+8x3iLMoH8 pfl8zCCbNUOrcPuuee6Ah8L9y60Nk0JGsj60teplN2UBb3V733DA1quotfiUKyxQGKzLKZolYPRK xxK70C5uAxSNVBeRcZOywQpowNAKRQ8Ry5budk1XTSW5m0XBTM8tmEWirSOyqOJJ8agqCI793wk2 ddymK9z71aJu1VI1FcvE2mobzDzheqoDRCoeAx/qKDpfzotfzXJAXWwh2S5XI/+YVuxo17WXSbiC 9oFTb7yM2cVf1MwL+5amJ0bkVwiiXF+5evOuzuis6QUJrEGXB7wT/lZTSGF3JC13MDOlqcO31xCS d+MJep4yaqnggIcVLgQRbjJbeJ1F52UwkLa2lFQRKkx8It9CIupxv43l/ThEdIFCKEcsiw8pAHah qO5GYnJzoUHwem4dwAsot5zJUr7Uy8v7jUEaGBUnJaJP9LPh9fgm11Aj0yU69qzxnhFh620TeLST 8y/DWRRj+ogH29VnTn0Wrk5CigXpF615qmIcoKIArumwts4UfhAUIXXWhHZfJO7Lzwo5Xkxu52g9 j13si8rHZegSL6XssrVGNizq71oyF0tUAMsNlyyMdy7Qx1ADIzXlHMZe/mEnh78QRw5r1W5Vuv+M edvRzXJ+rCFk055kyCoeZw50nYNuN8VE4ZA1CXYefB8HCQLuTp7Af+GdfSPqjXF+6ylAmLxopzFG XWMv58KXcJ3E2TQJhjbR3QNAOBx74ucuRTITrwNAqRwhGQt8/Nykq1fn5KiTHMlU4eDqcMH2hXT+ s2a/DIV5vMyxngWK+jWZs7H10sw71u3HAvkBzFyunYP/S5oD8VTHqXAtgir+/JdL0j+VTTnCad0o Ns4mLm1vrhuVYODpwLHUcAnROtICRUG6nGe1ksYrgWmvlYbV6IbH4O+9a6VcURBbOggS1qKq7vhT EIOzuynrsfxvcOITZOmFZ74xWpEOdIAd8k9dulosVlF0UrzVP2sLp0W/DHIlBgaju67a/f+a/OtT Avs1mUfv+B7ZTistefmQ8mNkvlRHx1k+X8PtoHMWzBJf8pNBuVpEX388tI0Mr/uFqKz/tZPMkUAn GtKulFd77cY1SCfji0DKbUV6sXCHYQVHsPugf26oVI+WvUHFIwoFqRWhASQoVDY0yGEEyjiP0LON CVR3ieAPwYRWUbL1mAxqUt5OCS9RAUDaAfeKfQNhjehEWlHPDtWUdoDPGto6HjkISpZQ0lRzozjI wkWU4kvb6Nfm2x0xJGpjYMETJyzm9rgAeA7e6m9/mxDC+5NwVqNIqOwqbcXc3+KDs5StuSzI08LQ Pv8/KH1GFi2c5QfM9HODoMbUiZ07yl1X/dX8NcUsigzGN/eSc90C3s5D2rbGhaLKAGM3ojwhKCdY IpH2waToZ7kOXxJrOhoxJHpOava+OnH3f+kB0aEVvOlUNSntLiqbrHr4LjsV5Aa4y/90jVLGr6zM +YfvscUsNrSO7fPzNRcTFyByPtTwUtZ16crlLG5n2m2oK0kpwNTkhhp81rPsaGtMusTI8ydhV+j+ m+go8NLdrc7FKYm6vpIaq+u6Ci68KnYRjDzqOmkSJnDOk3Tt9J683QHl66BM98l88Thmo74S8pOC 3N/FsEF+XPNVd0tv4DiVMSgc1sHe+oMT2FDtOEaxfBA5xTDwx67dBunX5ETcxSZUeDYlx5HxeQ7F tzA8QZINa97f5NMA+xwCy1+HPyJuK1mbsSzajXFMRpCPSDG15T5bQTYiixNwqQahutOjomD33u0S rnt9pU7CM1jRSygzord6tEc2jaZA2c4MuZV+0hcXsaZGUgN0m/y31a+47P+gSu9Qw536AHsnJhUG AEqHAgwpVFXfJwFHeOgVUfgwbcFTV6Pd2fYoVG5pwyoqGq13h7PvNZOYZF8r8syQHMewuH/Ns7oz xPQW8c8Y5bwdNC4fmEZ6MhUdFJkd0JCjw+VLyHoD7jAXzTSWbpzDAXk6gT4UC1/ivsJ2Im5ogiC3 +aehvWGbsuIeNmU5LfmsOsqAHPAfDOxlDa/Yq9jw7Vx78886MX6BzfTJLzrznrG0vcNNxT1EhB7S e5M/Qsd93KKbli5QGSnTXrAiPglEqn1d4tR2c9+A6qzulYNGvJFdJ7ZL2xEu1lW4kNl4M0GSHkf0 pBPixtyzGEI93GU9+vwQS7/+McxZgPYgUn3fWTd3brDxIIOfjEICCQ6qN/0g7L7SGjSq9QS2DQeH 3aRF6yIkgrQZWMeHajJocKgCKritSo5PCcTNl5yNkTjDdB99gNTfvewj3dBBSN5n7HgZ6RDVSfUj gIX1PtPGa7sZoJEI2g6t9FtC23RXwGnM3Oo95jJ+g9s7SkB7EZUscAa7qkQSdQ/oNCO9GZgvgd5l 9lSvgxK1NCqK1xN4jn4fm+pu4ACHNH3jWJ4QUPqIfnlOx+rclpygLcUhtyH9OgTq4ChmFBJaOBsb 85i4wME1bhbZaxBcN5dAOmRfnJ88bsInBIpKJHotl9OXowL7EqSiSFtosWY2f9FvwJC/OgNI0McG Zue8ViMVYYL+PUkp/pW6eoxnmqxp15J10z4CpO8VMoB8O0YelmKAU/kGtA0zXTEAq/vGRFRawAEz ynydWt3l3CzdKp89L4YmLwUzlBksGFd0Kqt+1a+Aoro/KBZPPq+ChkU/FApPATjl+YL6FUkbJxbs FG9oWe7+oJtR/O6fRX7U1zmUamw/CNedlReUamJibVfeoFRZtIetgDeb2zZn7OGPh2GwjDN2Xrnp oN+aRzWIGTuc9B3Vys7uagfHx51zJQvBH9IacMgJ//cEINoWyZ/njdCSReAlA3tFyOLRDvjdOrou 7flFhkHZZLb2UxpkpuoIwuJUAaRZwK5dEB5Pmx8m7GG73Xv18hrRMeBFLocb+Hd5ixIAGCG+3MhX QjjGBTnrvm/7B23gVDChi+utvzDmc3qiLiX+9ZvV47lrjPNuYUyz81hXfVfYzRy17KqzDvbr/Rbp EtFdU0t5D+mSduhQAAOarC2KU6nH1JgNwrBeIdoHgks40Sto4S97QPUntjAvvtGKRV0BxEJKvv80 CmuwyYb1ZjDSFuRbvPRyJu3QPi2apup54SKAKG416zfHwzwXgwtBRR1yZBh2U4kktU+8N3Wf7vOm COEn60KSTfmS6/Ue6SPVPmxf0dyURDdU4YCyLhXqsjvfuy1YlKLullS8uPIDBAppBZ85RY/nx4A8 F/Wok9tFqjEDyqbAB0hpV3nynb5M2qRKlMqSsTkTR29Bs7cF1Nmfy+MngLKUxkfS4hjFLKvbNc8E Fv7n8YEdA5c4W2vWd8mJPFw84zQ69rmpfWlo4DfGRO+GhNyWBJ7MEN1GcJsBpEC73OOe+zMUfEVb X+mnImIjIrfRzCxDr+Js2U13nafZZopoG7PxIidalCdvsQJ7B3V4sbbdYDIU8vlSUO3Mby3GRZFA xLqXR0kcHehkOFsFCK60qXXanzWbE17BTwDy+e2IlGgHIEs8j+6uU2QrXMceUo8hxPkgGxHt8I5u Qebl5ZbedI3oTTSc+Ue1i9hLc97DTQVo/lF0nz0WY5TVrDnQs8EDHFgmbvZvZyC6KwG2bnD6kG79 FRAUI0rBHnauvNuJkyDBsvGm4Jioag9Bz+XsnbBGnTq7/vAglu/l/R+O1kQcsiknMZLT0X4fnQmi ptxP/1M4+ehltVOtH1Ki/A4OPcUa2P3eJmnfTNqjkgRqR0UR4MXd1TMC+ozCYUFlvGRyLlKFi1SJ WASr54R/p/OpzP5UfHvV7xr0xcIFrI01C69WvkFk5Pz85hED9OJNY9MOK2XzNVCRxAOetf2hqKRu 15fQ50aFDsCLwamDEoMSbcrC4xytYSZB+PoIswf/ZZL32Q8x71MwVhmhiU3f7a9DYEkTl0Jo2MP/ PpNETene7coM7N3UYlVzNFoC3lFr7o5oUZZNTBTOx3s+kFTgWwGiSRs68xPeJemUngIeJMsZkuZA 5njAGZLwj5UVz9njxF/mzDGGOG5CInG+6hQtQ7yCz4yxcF13xxL9XGJ2JV5m3aeDpLWp63BzBIPp Vm8IOKfzFu2fiQt97RIe3gZXYUuLo5JqpvFFE3jTpJN1WRgi6C4Es/oeWscXaMd8UA/G0GkX29bC Kq67XOWCSv/bxQUmZSYDtr9gZm8iAo2p/jD6126J3LY+xP8ehw6f/OGP3fcuANDYfK8bfXzD8G+7 e4szF17zrPM+GIrZam62MruUNfrR0zHpc76BGrk1xbJTbObE+S14F9avReLe4ZTKHkHgmPkV+QIn HWsddwWKRrGBNl0pqijIcydaRoRhm3G9jt9rYYTwW4PohEAUiWdbUMOQNkF6b3wnhZ/IvJpqFaSp jcUuMp2gB5csLNZibJJlhCK/0u4lJNh22UhFHdfJeMwHpogM3PHFmiFEUiJo7DeqGU3eNKb0WLHL FkLTJNPKUsHsspt/2KxzfnlTPKVvXRLRInGVHUlXBU4zMCPULx+FYNgml8i2srZwyOeSpwC7M8CD buSlnsOpR/PfCnP5nMJ87Taia2shOPM0QZ8Fm7smsNSJIoGqLgyd3eMRxE4XIJx0O47sDOAQMj9P hQWeLK7qXofhc0e79F3Hj6OYkA5TjBaeLEcYAYT2Oz4XQE7/xAzHKaYn7C0cf79doMxZwdtOJzhP W0zjflG2D4Dkv4H+YymhS31hM2iJzO93+WMVsUI7beR6WW/ypjGkdK8f/aAgnz/p5qGISWtafYCb 4CUaXz7R1C9mUNh74GDJimpQe5FGN7nqUw6W/shuWtj4fXH6A+xt1qtbl88T8wsDKRqw9nhUu8DA dWODovANIEnHvemSkeuHuk9ah8LTlJ3ZcbarWH755N8wlj9+Hvr5ShtPoGZ5d+hMrFPa83iCROjY ET4Vj+dMt5lVZNqV4hi/Z3/ZTDJ0UdBh1Ce5BphMqdNql7DBenX57+cqWmIyMFCLmIUua87ATR71 5Mue8R9poPBYbfAb5ErnVYlsya1TPtx0O2tzsiu48D13OIgd/bzjMfBphmEb2+h24BNfwsmIS5L8 TDtlveIG57G0jc2KH29I+3DAvCtDr/fz2ry4vp1DeHOfeHGeFh8C6qQlVopuBFKRedl6LdGjtbdR WeKHG/kk4yOWX/dzCkgmee5fksmkcH0/kvyY7aDYbJYnpj0iwecpYlnawTRjvkQ3ekCNPvEn3XOa +Lfy320H7jxG0rhOfcPp0CKIIcu4W3QZ76FJa9QseRbusUNAoNJvM/Xcs/FVE2qCA0iraabL6rro TjjsLxW/wj5w35CB3ceQ3IsXvkjlIxb6foFdkRkAbvdqAvuGfmKZc0GgJbCusUtmfGNxQHHBR27W r8kgXuxn4qSmlWNul060nl2WuNKwVII09C9G2ekQa2B4c7yJaCq6tT7r5KrlScxZiTkkg002UTdH FuIRftOyZFM4EjYWBxRlNgQWZS8PGAfpE6VC3jeWrrdJUnO7bXFDHi8n2G5pSzUkP5S5/I3WjKvO c95FUa2q7oIcfN1H0YtIdyjBkJDOv6zxDwL8FiTrBNn3bIY+/TwDLO9u3TGFPhtuzCCnS5Cdy5ux XWbdDqBpi64YVpFBnti63slOLhyj36Qku0dduii5lw6gg5kaPOEKdFVIew1HVY25I9zFEqWj7hXL nkxnZG6Nab/8el5C2D6FyHDcvDWzzQOzdl0Em4FZOGMas3z0NI8eZcSf1XHEoXEMRUljrNyPcC+A 16U70Zf543ZzLqTSLALrySumNNOHLnnG8elIaaTvMlUy/wOfufDgu6M4yjRAI7U0VkymMVNSsozw YrAOL2ECM5IgOosSNbNnkLDuGOFjcXpkMlIl5ce+gRu2slMqK9ToCWOt+DKJT0nWDLa2Jwmnr/rz PlNVkF9N3/FefDKSe1bTN6Lb5AxByUU8pCjbbEXqdVs2sfsVlKCcN0CkjMzxOZ8lkOlUMfzNlTtq elZK3kNxdPBor1R3Fehpt8lwCzsVLCP1arjGYIIjtnanwuiVbmVhhl329QAGS8RWDOGtyQTIJtY4 ITBRC8SiV04kCkaiSrhk8hIv97Gr/NgIEEfLtNgxEFE8ZWiyB7S8NM+nToUUvSfbb8+8kw4lUMox 4yTNkVU7dBYzTLt7CrpZOo1xOd+ZspS8+k9A748UDM0YCqCTcta6Jn4gVTyhqkCidnkjyDxo+EKD x53HWIvf7LxOGNEeBPaYTozxT5sxrEPRx7Y9U/olgz0dLUASvu9NAnIIAGxgUXB6YordZMtLnwQJ zcKM039g1oE6+Bs56His3jWgwyGao4loH+81vEK1x4KJvfjhs8OE/CZYzHLvyxE4tbLu1mwdSSGn t6ZTw7dGPsHHmlTumUwk2oGx+ZYticlDHq6YSozYvDrNpqNGInvBZqAIQtxHGyeJ5N9NOkJBCGfs R9AjzXDLtxn1w7zJwktQFMUYBrcp9AAI4k7809DNjCUb9cnGFW+inDL5hpzllIgrNkLkEq2CWTFl ejsxBC/0RzWvKiLTdSlgkWg5teraA3fhIJiI9H9MZ0FdRbmgkfNN00Y5KAIvu9GY2TBarBbKBgSj FnaYsK39e9tSm/fC5vFilP+Kp+BlERE0QJF++4Akj9Eq6pcUnI7stKm9k2SAsFkMVTKbdinqmJEg TiDnUQCmQp3Nr9FLWGDXby/OwDLU2fwn2tc8AEjfctqb8vhr3o1BpIOf6/ySC3I7tvFLYCKXOG6H UFEIai1XX/QI8wAsfbj4jIenyz5cx56wousrUW3ACFo+G6//WCPc+14TESURb7aHQnpW/jmwLUVQ ZCKTyTOUV02sicfzQjgvDmC6CJBKdWnLerRnYQ6/Xk+oSSo9KdiJsfzZgM0v8fI7aatBnbPobw27 GGhQ8bqVBxopUQsDNIhGNNvS1HLAB5oR6WdeMWleHZT2LROsT6lNQks9uuMB3flwOfdxr7z/9rhJ 0ppxGZr4sqAOHb02eM+PuCLE3CtyOo8hWoKJLMHxIytdyWIpDepJprAiSaYeiX9dRA4tGViy6lFJ +hxvFSpym8vasXlE2cAqoYGS6ZlE5ZzKI4AU1/PYxt+Ict+RyCcUqnZlw4aTAJDE8NNgkAUJIR8S KkE/aqraa2FvCBtNfVV1oSK52xPDz0HEZrjJkK80dPMqW/LHVaiCYAXgUyRjNU4JU/39174R+mHM Im8VAywW6jUqou+xgVBbZ/qeNSAkQ0g4vpdQlKtg6U3AlBUZjByEwMPj70L3VwxZCtNgyyoBI3YP F2S5/e2EKnAJVU3DcUiRezJl82A2W8Hte7p/Qe2ajOxbPH+PnYGIlI7qta6EaxiUkJYOPjtLIve1 FVoJSYp8sI+ewYGSY7YjgDZjDzxsBn/XZjk0oxnDtLeAKTcgxe8wizzX0nL2RjJBMq0UHS/b2oO1 i/IyASQm+F11s0jKL/CNMDJqsV9dbhjGGaZKXH9tQjChv9EsSQf9tHq8zdBt8XSrZC3c0AeXPRu6 1rIinKK453xU4uRYHbwdgmSPGHnjlv/7pxDGaFm6V11DvCy13sajw6es6zenL1SuCNELlrK567H+ 60anSL5i4ICKOY0ogmw48CG7oKxzHo41+sake8ZMlMSQwqhOkXuciBzOzdmvKlWHlOhFQstXXoB1 +sx8+w+AALjsk4X4OqxB8VYga3XSnBJ6QCs11GG5EmwroLzZxi1NrmqkRpF/BGVaMdqBYHPqgkss tvmZnsOCuDYGF5jppJy7++DuOEX4UBCscNQ3GAH9b8B1UNYq3zGMYwnM6pQv1kFQyDBWMi+EfVL1 0DircXKlNSWQsDCYyGnZhrRzmFJe4/B0w4YJvVq/deTCBpGskz11lO+Et2Jz2HdNennzzbOoXnDS f/cWXgyJ6DEQkk9IGMAbCbZxgJC3FC6ZNRqhDn/NmSycofyzxSdc/2Jbkh9J9j4Prcq6/San6p4f blQfhxiKirpL+dzwIGLBlyY+0GrmgEDysotfKckOtxkvnM5O5gC+np+nIj2BKGK9DZQoKYs8AxMF CvAFEk3g7CKa7YXwCw30i748UzHX3b9XGhAYakVmlshwi1rbfGkvq3JR7aV/ToLVCYXi7VpUpAAw aQk33wwaueK+UEa2+pyvB+hhwG5l9gCWgnOliMaZp1hjnJ7FAMq5yu/beWeXKNGj3yooKWnpjZqZ WyQFGPWEYqvX6s9PnqsxuC70g3xTXDengZCIk/n1EBYv2JphgB4oeKM/1U+G1yA6ycuLzouNumXy jr2MErZbOioigbfQkEkpKapJvX35iANaku8f390Db1Y4+BuNNRkSWRSTAjbnuxqnT81yup6kn1bm SIlDTKeKA2/K+a3UXNyux0IMIYxIqSRh47XglgDXRn9CQIMoBnitLsrytmOc8+/EUlR/3CS6D7fH zSSlcGLGMIGHgQJeqxd/jAdAl7Z3zSPrvaWxeQUl8qdNxrFJKvMkja6/xapw576pPl02AJbRdLCR Ru92Brsr5ME2zEnPnz4QdXiKBhQ3PzJb/Pgx/r0v91gsjE/eW06sSY5rueLASa8qvFMOeKf+iHhE FOpXt8KQAnh+VYeE8it6L5s8yve/YWbuME1vyvwPGeauMi2NPb5xmelX+ca22mOZGZYq7pOZbZ9Z pPU7ShZcC+50YeQ6U+puq8mAIr1xx7fq3tBmvNzn8SJHuS0KKTFr6LHxLmk1bQ2NCNFuoyD/UXel i1FOTFbfwNEdHAOCnzEZZCMdX7L5OdNxrFnoPPbbKhV9uuIJkB+AFGlA6Th8AKtic5f3B6DjwD61 ZVGsTPoHxDYlluTlN7J5YlCqJSxK3boBs38bGEbVzwmFGZsX7ej76YWkOa7wCZWL5UU29m02X0P1 nhCZQ0MIhIz+LkV4Ebn9CknBME9O17NcKSBzfb2ptAIHWKsCfQFbGDix9Tuj0v0SJyCxQspjtUTX HCDAgKpbjb89yLVgEJ0XtfbNTZP6w0o9S5SF3RAv50PwjFP5LZbZt2AB/AQr/RJ0tYv7ms0jNPgp +FWASsxdBVtKwKuVfggkhbldz0FEAWpFDSiAqVFtIjMBRQZEvRhREensp4fSX89R1jBvjHlXQ8HM DhfbmEYPZzRZwWpyC/zwe78gZCZue5oENvTqVFiHUMbDmWgScbyhANGTsFQxcqmsabLIRBbQPD1b +4rbq+NTF+KrAr+iKyudqy3ZolB03c9woSoiRaYxW/sF2Ja6lEfPIafDxs9Idy9mn9Fch34HuWc/ rjsqUPW1opQ/aRGML0H9ASL/RpFTYyZa8C425AqOJiXfJPljds2McHb3bU0nwr0fs4QqsEPn6G0V vdGLbpU4DLOuq/ixOo0vf+cWlgrYFzNDSIml0cOOdpoO0lMTJz1pVlFZia3EN6550vk5K/708nwl 8sFWpy7exzqtMkFt+W3zgT1LGVAlkvPt+hcXkT6gW+JHXKsgim/6z9rqip9aynIGl6qIeeqBTShD KFvAuCUH9H0/XlVqn8TVHdPjUI2y2E87cg8T2EM+6Rewv+UaKSGx1n7GZHFLWN6C/AF3Z/k6Q7M6 q6/PJsWHjC15Te5mzvAoXRXlWn9bJdnYX3dRLkGprwnXi+ZBJV+SDFESR1K+GvSBrwHRf/UQQL8F cTA90jmXBwuVxbML3nBOI5WqBy8n0mA6faXsw5R+AOLLp6+qOEO5zzCQefi/Ro2buhd3HMxhviOv TIHTs6y+6hM3eNdcXbvdQigyFacU02kNpcz/4HDN2Sfyy9Pms4H0KDT2khxgDrYo2WSA3ROYjXaP pG3me9TqBQshZj76rbjXzBm8zbrVgRMgIam6AsISw9wq+F+VhrEwDtKg3tV4TAL1OxZLYhLqwNN4 5suYCClbF2UpQaxnpukW7+NfIo+FIAVsff2EnfynO9lH9C4x5vkk5UonKlm5a/RbDAdJTitugsag QUt7zlh6Pt9U6XIQC33tawtm+H2YrcIGC1BELsZlcW+NUseiiVJ3MhX3w/AbWXhVmhBkqxLiR3ud gBael8SjPX2OyKJ0jPmRDsYIMJDcs/z3OQ5vtf3cjpcGQvWxQMEK94XpBOQUyfeP6gKRWc7Gwa61 qexmcp+eljHHQBcGIpPHui8TyhGJroz7vN080bIRk6nfq0ILb53GNdpBNYEdHWpAg+VJiCNocok8 BhFLZYqZWfDLCwGDlfpNE9PG8kApotRGBtBH+JCrYpj11QrUBIdWjwLpN2yc9aNEe6TCF6nlNGzE UYQKS8q5OHSDW6726vDXS7kFv86DuKow95YPzgqOoyB0nqSUULI4K0SNXswYEstZG45SH6Oxn6qu dLRuqG4Fidsr4lSKbz1Uh3Ak41/y7yg6T555nC4TQHTfiSeAsVAnkSIqbs9zQ3/TBpej13Slknum 5oY0RTmmO28/LNa3/yApKtmP0dYwvdjngomu6lWQK4qM9zYsfuftwMDfHasVWbQuBU3Z0Leh3EDc 2rsRVH9ivNOI8p0IOPIzQAnUuisWSqT1C7XnmNXLzKptZCzU/QS2qSYHfWuGJOEmUc9EstR15mgj 9INBxgWUQ7EFPudBp47TQJZTx29yQD1hlVGsZxgNyt5a8PcT82xnIJbJKcXPInt9doWhobxPz1wu pcto0A1pIc8uEqk57VrzC/ff3zK5TLyt55iMxJgFJmE5WX/T1UsFsXKCeFqr2H2hFe4AeyCE56QW 8mVHdOnD0X4VUDB4UE4AKF3+QGpHFEBwBWtkAPmweqONBA3DADabspPJ8lEO2E9VSObRq1KtJe6+ 3yw/1IuYjyfS7h/L6Tu70mMphYjqQGaM6h791AvCn3d7yc6IfkHzOrzbrOw+9ctMcJn++D/hwEu4 0UtR8zH6XsTDp30k843alrDjilHTXKey3KL8zoZGivzamF6eUrnEUeyz/mI/PWGJr+4qnEsfh/Ek NBvmjk70KwrllkpFvkNOsZRm3/0HCJfJy5jHmQSrJ6IZHFS4lmQ+a52u4Pj9VIeu1ydMUsXK+G0f i7PaKT3W9In/A9ilatd3Xh6P+mtu4c3MGZUuyOk0NzpzPx7V4FolDFTgxUT47is4sGoC9dPfTN/e b4+du3Ey6ecRALnv8PoENUTqKOW/iNLt3Gpe2VK+PRKz2Mf3Mn0pCJRfg4H5EE8sBKhBZFuN8Cf0 AdaJ8k2sg3+kMBSW0kWUypia/i0ebH7xKOjbdMK7msv5M/cFh9sQRH7otxlLsr0f5B/x9PBn9niN aZP8OP1taHbCuVHodEEi+MBUcH4rXLHSwRyOLvMxivdkNx8OBM6PaOMx9OzsB41ZQyg2izLIqwoF fFWOjNzq/PnzeaxcvA1S8jaV0vOV1m3grlhvNz9N/PO5zbcM6cY1NMyv2mjYbm6XrIy8PCKDJzlj 8oKXt26vI3lj5yK8+iI4Bu77s1hbcm66MyP4oLtAUN+ZPI49NiBsNkDvIMIDy13pdW6o05zDR2iD RFqW18z6XAky1y0BWvRU7NIjT4UoiViPbHl2j+t3IeS7pBfPRFWDQbofNN1jqcEazLWzgAprmcBz CIwetuxwZlOTAUXJ97jixPuExuxqPh78d8vmXVCP3U19QXqEFvcU17ygBRC1ukxS1tKCmCI4kMAX 0P5oVltAugDF+sBrSGIJfIKgoiT3ILsi/R8ro7/uTP3sY+YpxtqUNvelDbKMqqmklo3B7DSajCP+ ZwwpBxvHVzxxvzaHphGMrGm+QwzNwyG5jxP0xBTTgoDCJ5joYMgj9if34KDWzczJr8N1NTgR7zYZ r+Q0pwUfG+qucIL3yxBGsE+fVIpmOx6gOrk7O65LBlj6s40opsURglWpv4OXtIMoAX+KqHJ9nRQg SN/h7+ipzE8d1SlllifgeD1YeczMmxiPTJqzv3DR3k1MtssNWnFUT7ZonHjNBfBjRBOK4o873f2y mZVhFSZvYnDbcn/vQSfsu6fNIwJ1mTLN00VWT94a7gDi5twJlxwMln7rXkMgxR788YhPLFFtXLHX /qAORBd34aH8fR2zNeBypeqTn6Xm9HTQEFfuMuLBM9KJSaWO0EakE84LdlLcJJm3n7ce+kuFKu5o uteK8Qrzg5YDI0z0b9e6wbuL8bnrbcthiISaX/OOMam2kV40pNZX9rkszJus9XcoeVSgzHFjnCSi GLWogyoxfyp7vLiN28Rw86zaDL4J4HZp1Y/6jyZ8M9b+hhwwUUW48kSdhbQhPZaABGGMgTtXZyBc lykUCt3DUiIhJP+T8JBkoPjXpCduB4sLxBTiMb5C2IVeUZutkE4f5aAgEvqGnx1IdCdQ/+9Wv0vE /isSgR3l4g3edFh4v4dTV9XdtRC/WS3I+sRdt1eGfxiwADjVtJrMJBX5A27AlARB8JGn3U6U/B1t Ps0XjbwndAf18tkSh99VxvNZhplciGMlI/XmCybNggLDZpBzBqA81E4juRrp9TtYAe3uasTzwLC4 iElJx2mwCIx0MZAej/iF4O9+KmYNss/ScYGrkWWFHofhyMwFwdm78wY+msXGdjdZxz4qXWe/s1HT Q7ixoOhtqRRwgGJC70C7yUha+bwcg70PeNrF5vCosf0+0l+yZePkMkj4ti2XPjKkBzWC/1uv8dNM k8KJhrYifT5+JFQ+xCT3RDtqB7S+/6x4K8hGmcG61ERQcEpNDyBk1EIs7VrDYwwpC1Y3Wc6/eXug pN1SjcvGD+kqkt8UytpEm3fXEkvodoIYhdyTPhznNN63j9frt547qv7Lqw2iOCjF20WeK2xzuY46 A5Sw2i2wlNlJlY+jST9Fg2fPYFsqdaIcstBmvaLSg/wpFzpGMYHjGlMo3qATvmndMujHb9fdnsKW XqEHujtiwiBAV+4vbvVnEw2GaGFZyEXClByCLk6PA4H0XQzjt2KaYRAFBMVXZkoU+Lu2fV7dW634 PdcWqIkub5nTZXjXDIbuAvDrz0NpnMISD4ujmcsaIdm//FePJl+N0kSzYIk0704i5SZPbUF1ifCT BM7DsE5KGALTuHs+obWbKkilUadBfvXeAasB4Anb0SdNbngS9N4wg8fisuWovFuozWIkHJxeB7zL KHDkye2ntIl1QaadjIEhSSf7+okSI/0WHVYZJ6SvDZHaSft8a79eV9ljh264jZIdvRw8gtzGzMja LHFbgLIt6XId3+kQzybNUmytfjnLq5yzj6n2CEYSpJj8qd0XZIIZQ6MzYa3uLXl8TvW94MjDW3VA bmBCtVO3Z142CyuIv4LcDb29mqDFGmQNqXpUC7IuzmXkNyBuNdF+RHExyYRNrSHVB1Ahe2NmMgEU LyPPrxd8yGTcSburDyPJFleHhK7+fwvL3EDXWsfkZp1/R9nZAmifcJCYRQMM2vlxfrQVZaxSc50L T5uQpKugTvOBNU4LJtizoDUXhLrgxVn5K1+NpXGh6J2Mp7Fb5NG/rKHcHUUg3PSv0YRB+o5mpIli 7THRnQB7TfSBdaubPlM1ORXKkmNUGRpStssQ8+QMMEkWuQbFHc6DOmaDpQmWMIYBBn1dA9TT00bF ou1i+UcqQoW2NUBqZ/VHBDllpXY9GU+VwzRPTWTRxkz4FpvZy/nLst11ErX5p3So8A4dPekgGohW GCLUo5MjS6Y1B1NA795CLqhaUeiX72y2VHkYkfJTa9C8g793UFZPqVhxJRBh2Ogg6YlszRMcsRa2 s4DjrOW4/OoY2Npx89JNW0Y+DuXdLJIWNyWqC+kW4FN6HxhO1Q3LwxE8qYE2811FTf+G4CQYQl6L 1QxXJU7eyYLbKNxG7Y96Mi/hamfnSXnj//rpviUmtt+7mavB/puDJWF3NCz9cSeqY48MqWrDPOMf s5nzXFEXk8JZDFl/WqYsg2wO832C0Hmx52iwm6/2oMvmP4k731eF4iKm72ERo04PoTmvJTjCREsj 5JD06SwCI7cTBjoYhxu+yMXNHanFgVw8e57moVwdy4daXKGxmup9RNwim6RfUrAlx+G6Um6Oqb0g GYYMFnL5P/ZR4wmJhWUgVEd35qw4hsuPvFlm+f86LWMBVPPAzTvs1uOo8gso1yKj/9H6lYthbpHP UKVi7PkCqzjI1gaacejoWKn+ELEINUlBLzmMMpFUfqeqEhHEE3UCVgB5BwOflTmZWaKjb8Q5y8sm FbJ2HRqYCYNtqOkcrxW/7ZKTFSiqVM2TbPaoVtAkZZ6AejfM03+uRKW/OrWNyOC0RbYQTDjJzYQr vb6a8n0ljG7ZATV2eMxEzeuk/EqC9UvDvvX8Thji/xkY6W7Umjsp2krVHIbFvg0YJ/R0XDwawXTo F2oI1xchvgRCAQwzbsCwTsvI+QEi5osI1z5PK7j+0Oq//wWtgS+LAYl7dD4Q65UDclsWitDofcRP wWLuxh+rBQKvEdVp6yg76jRr2kq+oJEzr4Ng76ex5kf4wJ0HCtQSWlwitvNZBR1nIf0tcu4vQl0C vAo0AG9/Bf9/v6Lu2c9E9xiAChNVLo7M0KiP+5+1ttmXgemrYjMjbugGMK9hv6EN50lFgXQ225ye eGRB9r+jtuNpZNmYDW1Om71e4telv209npqnX3LXM9Gb0fYcVsZfrdVW8Otf24ePjhthNA5METoZ 6+hUoNNrrnmuio5/hLFAYVi4bSTgYz8BmbLmz9htql3zXC7HKsN7QZOL3oF16oJUV1gZpyqsh4B9 y/2wOjtnYKcN2seRpqmMBtNGexL6kQkoC+9uAnGLAFRiR/yVF4+mK8z1Exf3++hRciWdL2Ia3JGr XbImpT1MgaPDWYMPCSUbJtjK4d+J/ccPERUI+KklDjzbLXas8qH6ZzAC2UfOFat+w+rKY3gTfCWN rB5kDGlMknan4IqlfrvtoJTwEmt8CR2818ANo12LYNANgHZ9c7MJRw0ecuEifCxD/rhGXA7JXK1/ pROeFgvgR6FDUQ86elBSNdvwk/xEM3aToKTXGEOqLugezg62iRGmWSg2dzFO1NMJTgwxqZ+WEquf cEpfQyPHKlQMqWg77EAh7d+RyEEfCsiAmeov4w8Q8RJ5Kbh76J0pZIykESuHxTfjopDqOsme+v0c wbz1C/AF+Gol6mIRPugUd11mW19HtRpB1rAsrkxvkGug5Xh4SHVPI5V9o3J082PqUhNh8AyUeLMY wlWPzyz0A+MKhMrf/4GjYWltZt8rKo1YpveEKwPK3o+sviiwfvckE2gjrc1I7qRx1L2lNBIos1tr brjCsh5FQzmX1a5UlJdl/IOW0oHm43+z/BkC2HDzbjT2sPbaZFx6Tk+iuwNMLHMIu4bkiVL+po12 1Sf4HijyYiSRkLcTlEqg9ArMVwpTt/Mwgnhgad7uYC334h2Wgn4/EaRkG3ORr/sQqC+GZVrNiW+Z wN1MqKXf+XzB0qdQnZ/yx8nQbYdv5bwnwiGa+7oCHM5VXE09VLZ+5E/kveV5/pfXnGDv+bPrO97X J82U2n0ZZIXlzKDXMeFytyMrT5RSVDWwfh+vEvl5LXHljk8b1RSJRjqrk21Wg8LkQWxSCVf0jHaK 0TGs0g4D/ICA8FnBve1hXMzJeUxXvxvCz0DttwNMZF3sWV7A2Jt7BdSylfjOZ5gATptuIEmrx4uy 2ZCZvHCiBoIOKztBZxmHleuPmhkwOijgqXQWbWgKcJy4F/k62VtxUu9JM2XkIXPF4nttibbVQ5OV DMj3tPW9E3Ojx9GHsW/3ze6IP2OmqMc980c6TPco+7GS3CVZVazEYKgcMhMXXeguLU4hISpqX7py VDTrfpXlsGz1jD+dfxXxRDH/IBvyfpbI7k3K0MxvlBKOZW949P3tP6mIi8CoMmn6LF/gtmGrEMAA bTN9CaYCDn7CATWZ7+yZfLQVlakee/RquOIm5Zpq+SwsqPYZVkx0KIck7lUar+dqiu2u1Pqnwe58 S57/pE4Gdt3+vpu4GVN1QGvzsiJHB0h0cc73Quhvk32UUGUcIsB3ntB6fuwwt6UX7t2/G7DevwsF Jzp3PknkkNShu0jFbh5MThmJPtz027rVqxApRy359lm8ZJDWKdhSUxD5qmPZbL1lsttKwZ+CnMzy 1WBCBVqjEwcyq9QrbQjo69gVtH+1/Ii8E+C/6Qfxv7QfJ2NgvfU4OhmI+HNxZgmFFfRAW/JCThq5 EHuPJ2SwnkaEDRaXDYnC6eTKnM1rXETpWrXXI1Qd4/uTfGAslrda+0izpfjCsfw/rBMlccTWlgwh HAvSWqNWpzkTX8MrH9TOLe+zVKix6Fc5insnt61tOfBWlackwy17I7/XQMChM82XKhdOwQiy2+iX PwA222TAH5wd2EJbMfjhUcMfZZg7vFTGBOEQ0pajD2xlJcaOFTYgwmjdDuw3F0tGaa2gb1XLymzy 6CIOL8W73GIAj/qS/S2HFpP/mTgjoSCh7VHa3TQS1vpHHEz4ICyNAR04gUdR9g6x1kfYRhURl+OL aXwUOnUw6N1PIcq5AbAhSPMRXSPhze51xAzmuGRXdxyoi8TURXGKXcg3lYveENib4Lw9R4HtDCDV I0T/VPbPoeN6B6Xo1+Qdmbs+vjOI6hUqOH5kxcCehN/pf+6Si1YmxiGvfYe2QXhk/ILZk8UkUW+E ajON+SgQ8XLWuOWL06MbWUcbfceNiEXm3rRkqXWxA6ntbU8V/aCgniYD8w1/QdIdBAOVIHrhIVq9 ncJgb2dPfK9kJWAuRuOQIWz9t0xen6XG/p5ldEv/ZA/W454mfsG5Xk7eHi53HrDqC1ZefbEAp8Su 8o9Qe+EDEVVWAGG5iEpePaybZ9XEA1AnHA4lNAKLjQDK0xw68Ive2iVzfD9NnWAiZzfNjjiLC3/W KdbHZ6KCsk+LULQ8LrvrMirS2miMRRrPicoJMRUBvDhyFE8R6yjMJDoAqkJ4YUz9OOIxJQAu8s0e o8cygCakl1cHB6hFrZWejvo+licniCeJA2rF/LIReqSol8txHg4Q9NkrPZk+Y4tVC7OZGhR8x+G2 qRHAITZc0EAgnGqvRpsH0HqJWUDCb+UeU+Sxb8A5l4PqjtVAEyvQBypEkTS1HTgT04dQCx8yibMe FW2WV850Pk4w6tcM24XlVNZivPTfV+hTG+/Q9xPy3MuQU748j7DCUtx6n7ABVN3pFvUQ1PHZgnM6 25NQfUEFaN0Nxjbzpz2sjEojhBFURtIURtBUY8HG5iUfhXerY0hQDb45B4bM8oboumXUvAE0g+O1 AVaZDV3aLL1Zcwr1RDSOtezz7H6NmMq0EydsIhO4QoGTI+uztU1tYEuDFQXeWN5CBnkL63j4cgsG Ta9xLtEyJ37St7IYBfzHLU2AiMhLTZYhqll4j2ahluyViJvwpDlr1LMOAE9jPbqyqbLn5vVjW0Lb ucIucmDZxY2bod5D9TXRKmxHIZyeZ7nqvrP6Z5Jhw0H/3v9N3L7c6neWXLV59XeJUP25gfcElfOu 5kzT4tjT/4jHFd5CTyYdA/OL+XQJiMUmS1L2KC80KqLhkiOH9ZesYwn2S5z9JXYuAhZmRQcP7eGV e5XtfWh+Dr9JDYpSLijO+osEqf/IOCP/xVb/y9YVtOKRnLTltsP9U5uXtzytfoHSN/C4VUbWtrSd HFdU8k6MohjY8L5zMHlexeGbEetR/va1wmNQ7WSjI2dh2xdrTXvWDTim2eRdhdUBkZ60mqBFIMqv Myd4qx5YT7TMtgdw9gWc8luIViWFUbCIIbVkwLaV3fm7Dfx+1hXlOcbhphKeQgr1RbC/Xk/bzUC/ nGhC7zQh0+fLCO4P6ebAyzjSe4A3T67JsIp3HUZlIuFdC2gtlu0rfAYmrqyKEPBKmIiY5zrV58cJ 3Cpbpn0Bk2xEv6TDM/K+L9PnV/JKQwjIvO2c1O2oQ+R+vyU7CUPeTAJwj4HHIxM7AXNkmDSsLsY5 iE6V0XWMKpYzcdrmN2o5+jJ9fxHto7p25/7+4zcGJ7W2da9H4a4u5qb0nbF2kYaBax2OQbJm9PTc ipYgMETPNHzjigbH/4oiUgH11wcB2tASmS3fiCv7m7MStBuRbiMFcisugAQlrwWa1Vsolewaetp6 f8GhlRg4I4rsHfrgFVsVdYV+h+05y7IxijK4X3WGVOV2Zi8MYlU+KShXM+kd6/mwj99zOjiUbeio 1EtlbGrCtYhLp6Cel6rh9FvWddWsAZF1TksXBFDgKawR5T7rJcbWPhBhRYiguhzPwlHd+gx4eN5m rOsct3xAyvNcNKOVgiic6TVI2Vmd+gJonAOn2ORfQdFTUZd2alvKgj9+NyPbBKAGzkSPFaFJJ03M Yv6KSUSVJf2BXUEY51oY6/19k7rUHURujQtGl/GrW7JUdOZ6Dp+lItrDhSwKQ+3eXH7p6JqxamKJ HIk7furqbV6aUB8IP0EZ+PQZQiyUgUhOr66C5Y4RuYJAs/zPCWNS7AojYdjx047MKZge+FZHHxgE wqDrDzVkM5Ox8hYxXmREahYuxIJn/W68uPl48VlZNbZAGy89bTU2aFAV3z8EtqfITVIFP0F2MFwi hOz406nVtF6AL1f3vkpbu6/axmxDwPwStsM61WBDnRCBv+IIvvDi84yn7rZgZpjJKm4dDbMdCB/x hFAS6K7CgjUhHDuXsftld2JsvuZKZKFAgXlOYm7kLFJC1iUA5Gk7+sjwjaebN3osZZl/qXdgQgj/ Ud7S71T6PRyQfI5V6BZbTT+nSt68cL0twdFlJWBJhH+22f0YSnUN9qf9Fm4vheGNMJfwjIezVrR9 Limihg0uE8RUMh38F2Og3932nczqrZ9HaDXD4GN5NenKhDslbBYQD3fen4aBNP46B+uQplK0xjZA AzDIafzbsjPiKJTiUDFs5+5MnBDCMuCO7mBMJB38pilxP85Zah17efcpTADjCRlfzCbdv7ctY6c8 habCdmYvt4kASp76Aw/GiS4uSzb2UKQN+WCiQbuQrm42NBuP9wzwffzf6w44kUn6fvYDLFRoSK8i W2eLl6+1vgthLcYz8um/vm50LDU+ZV2xZ1ipSrgy3zRD2QNeD4Ssok4myTlXQDw5ljB3czyuk0as nb5Q/bSf27z6O+qLPRfj1QM7kwJCysGWOerha4+Vc0swufm9MOBNbRD12dH2RTDzLKu1nAWnMKpT OtMSLKrucA7mOg0pPMYqpjbjFLO6Q04Aw8EjCNYncBPY5jXApPaxjbKLkoboqR3/syHhFAZ4Wsol HYhAdPY2dizxfZuzP/PS2BBP02b0VYFwJCEJ5Bsnw2dUCcX0u+/i53kDf7pNGjTZfAmYo3dpG/HP Lp2MzpHjBbx4Yo0XU3JauzOj6puVhQ3v6ppqM4/tmnKhQosLXPL3ZFwhdjqlBbSlONDliinmK7pM fBTLncnaMhDdwxIhTBinPPckHj0WZ3G/hoFOoUmoKL7/twIeSt+71oatziLlcdvotTKSK+smlxDP 17c0bR6LoYaXu+k/TQd0L4rc+dmJDT7MDFzc2w0A/DotEjraWjmSo3QDGt1GxPqx1BL11MVpaPep RMdRaTWkgegxac96HBhdBR2ssSCliMnGaeVq8dPmHqWVAyvB3nRwpaQxhbvRkepmV3oUo3kyoNw/ 8ci6WxTJZBUnlJ2zxSYUtIiF8QOx+YXru3PTANUKl8YSp4RI+7sfdMmFgsamRGtmNdSpmTga3BGG Ym3BHmASQNCPomm+LnSuEJgKGaMpMI8PfyLKDtdmMwJE+ng9rRZ0VdliFhmWxcr9AQBieCa2bap7 Izy2QXR+00G58rPotRxgpvtM0HIWVOB/ZzC2gxFm4pwvOEveDUEKk7/T+DBLkQpHYMdMfHG2il/4 TM1y/jSzVKWBbJ+bNMOJ2cH62kL481lj/OoRco2zx+KHmcwSj8DxDL8LMfnRfZV+uXAOPRBzCDJ9 Za2S+VyJ/cDClrvhRBkxKw3a57+9LrI9KvUZViusv9ZCdHzexdTrLg4g9U22DKafHbIZ3FIg7f72 kpZmSj+bR3qABwblqirTbLKRYoshXmQQ+YonTns6GJRbacI6rYd/1FNWZWzKG/PBlJsNy5EL2Gek OjQ3wCPRoLKXgSbBMv4ZhRUT1DKFz17trf69JUSXSzYzh1p4SiE6UmERn/nBcmAa/eZ5aSRJw9I6 Xll5LI+7z/uglBJt+/ThySbGRkUZcdceDgLkhwhnFEk1lep/3CD3MBSCqVbY6TOU/Gml2/DQTd/4 CfnlQpUvN8OxJtm5dzsvico+ltQLxNWCvA72/ZKt0/sVKhvqDnTCOboRseUfidZLf7pQfOnuz/eH KF3e0ZQlAByiP0QAywc0vIZSSglUs14bfyq2ED/EGwcrZM6pdS3OTeFpSLXXnL5m69xkU9leA9FU eq+ZoVDZdO+l4il5ylT0hfmTsb4w3V4c8p9yfZB8PwuCqw2vNfC+1ODv5wQU1AKT2MyaAnTm378M Emr1V9QsP7HsoCHNF/3J9h2cl4uUgYLcrkhPp6JVndaUrOUBTkZ3KgEcjaN8VCxBb2iYRspWpWPN Gej3NxmVfNrAK3p0+GtDgI0oqejKzcQdNEPcgIeM0smu2+gtAbxVT7zDGOX+WpY6T4CQS8sf+x+N bQH4u8E7v10CWPo2slIWlLGGzhfJbLkWpqrk5tLv28S3KzgRjAAErDCobYCSO6uJ51Py6p2nM1HK HYGFTi+NCgSYl8RrrOuudcmH/Zb/Z6lYx6H8qimYSb5TGaryHOQ5VdewJ2eao42H7pdagteiANMY ic/AvPxtITKt2WxViJH34TTE4FdTV7DkSZzEtCPSWJn0C9Ol1/5EgRUu5UFtXfQ4tr3r02d3QLwt vjep59xd2RmTlUvOX0ZaOaqGsBktCS17BhctB3UmFD3u5gR2tt996tocBzjKpMCDETZi1UHQsMOT kBaCrjyf+y2ymwxUIfs51WngY9OEF8E0NKABv9UqRKL9XMpcnM58WMpapduynGzhOgs/TjVxrg/U 1X6EdNil8mk3O+ER6ICeEMS6WOnxdo3IbYGm5szifFB9JbfYmu70dWBGJVOkXbWPk5c46pVRVb8Q IO1x47uyV7jAZt0APRrtgRdyxafNRboDn3PAw4qGqTL7RWrtSaDpMxkpgxb8yQLdFDa90BUL4C0I AzJq2woA+IJ8qURm+yMmQ19UDJ67gRfnkHH1UTonlb2ZxdbBv4Q9sxUsaCg6+yeXuMvUvQY0RcrE qIiCJSozWipVcuUjLvskCwlpUvDpgVleqVyUZPFL0S7lhYpbY8zt4x2CC27D9n4DdgybWcCAzq/d jrab68axLSN8iknxEwSvYy4DK7/UEu7I5BhyIqZyzT+ab5xG40ys684VJqn3gJ9Op4HkNTFy7ckZ Y4OtjA6mugBwpmdNkPNsYOapz4Q2JoBcrb3SaOpVVCKG4tJTgUBc4C2BT0x5cIDTTaQrjeVuHq5c g1ws/w91rFv/Ted81IvgFVoiepY7gRs2XoCavhtg1MZyU9tPaTMDAH6lQDvt8fbAZ0YDVMff7kpY erFm8Xqu07NxIbNCqA+6gGNJB1vj/AONW/7gjB3bGWJGbpaTskL6f1Foz8oynSdm+WsgIXDNBrTm XS4YP8QT3OKzO/wFGYhAyTpXB+F1tjj5oF/bEPn8pNo5BT48Po7yMfhCvyQKByfKFoB8pWp8RjRH OpW1n9kkIOZ7kdHMJ+YQyTvS5D89GJpiGoRp4zuR9BTE2b3Q9QNkbpW6tQ8xs/xq3PqDIyQ1NRXB /pTSmG/IyqMHhNSez/QmIiq043CocT63nx2svGOa9MIsQxquVYl4WrwHr4l68sF2RLVnxfDugSSa UXiMXQnxeqgpMUrMOM9+bz3bjQL7Bkb7VgPe51RIzZuYj6jOmCz6UWMKjYek6NH4LOKtBiFtiL9Q dqkPjj8wnYvNvR7ijGrOuZpMqDQiEpfdkS2BshfvW/YmrTNJdA8DwygJxAwQ2LYW5uuQBN1nhbM5 4+qLD1TsjOal7TKgyFacEl12PDJbL7PAp8n6d+w8FlglhkKIspdenhoqlV8v2T9L8FVQT3KeuzPk aIckAe90Q3RCN32MghacwBL50XKOc4gFCOjLVVcg/JNnzVyErMj6GrWeod4uoBPmRzS4Ml6dQdxP 1IMUZlPYXzTCxN61GDjzRjylr0USzIWVmWIgFthsSuqTxT83HhW2r8bYJKdRqU4Dj29jjHyb9i02 5usL1T70+jRMNUbRWW7SU1ptGKiEP5e00emVz/pma7BxkDhhOwN0qIZu8OJkIUhsoAe0TvuCgdmg puv092b8ohYEzW64VuJvw2L6hVk72OSCC6IbCKRTqxAD/Y0ffzY9zuJjwj/k3fImwoKByh4nE/Ld lxUks7YnC51sIKBKZ5oT6PnZx1DzdRI4D0YZPb7udc7Uul8CXlHDIkyVcVIqNVIhU+e5TeVZV5Et litS8egEVSGBQ47vqvsd08ylWKPBksgogNEdxj3usx5F6g9kPExosUVCM8OoTHdjr+dFQ2fcnHhL TR+9i6/cWrcVwzWwWwYKJBJRA5cr/vmYbZ4D8Y+vyg8zLNMm8juMVn+1O7YeC0jVjl4SP6OXRnoG SCS1Tuh5bUCZkOhNmll6ai16UlXLnrinLmrz3bTJGlX1kuwyhTfchkVWtkTod6jlQ5VLYMx79KK3 i8eXw6VSwbcH7aLb84txCT5LA0eNI2UOSEBVlhOyC15KEYzgbWQFkjeEGwwgr9hjdH8QheRLMg34 ZTGkwXw0iPZoCQ/8sm0wcJmaqsZu0fo35k88Rl6EeBF8ZhjbLoyLDSp6k18WK0jDY26sz7Rh5FnX Va4FnrvZmgr7MiByTuQcSi//XonkpEfLPEUa6S0zISFMTJzSe9RiSzXPDN3QB3+n5A5+03klV9Jn HeUbBlcxP5aUc7Nd+1AOExPfpJIcRscza/yEzMuqVle+DmisNvon38sORyPfMU4ocwC+cqEet7sh etBiLxAhYiBYaOawG8pIc45NHUze86w8Wub359Zw+LnAfoBT9Ux1P7VDukh2OXeb0CT3b1/EtqhK 4G74d9XBxC8YSjhjFR1FHv0kCtU5btLbgkZA+yUK9+nrVkdnldcq0rjVwp1rPxrQ7b1g6qKBFl/i eTdQGuM8onIzvW1t64lW0jmo1HZR6b+t/w0Ckasq54rrn5vHQfi46D29v2srSm9mcV0i7lW5YSHd DHUEX9qAgNpYmQ7xQO+iDF6YfK/qnjDHEklj6psWDvbkWlrC95sKIdYBto3fm5sBNB3CnrqDSG2o RhfkprkAohyYHKwi8r/u6yxDoIi2VerJdYFoESEmL8tYxWwcQAnf38x2tzQRP6HLnCt+C4S+j0LC F0TIh9bHew6ZEKZQrVnQQgVG5pSHdxj/3eZFTh5E8sR3JSo2NNxDunODy2IV3f+/ow8lv+fnuHeD mXSRoyfUVyY9wj/xKrhPG7SzfAxvZtIZ8A+4L0yZRBUukmGnrPto3Kc8nPrRaC9itT1dP7q9QyRu sRFShX2hytthA7vF0IOaA69KukU0cIRG3EtmNB/WGM19gymFsaPkLI1Riv79ePjsbrseE6WmSAwl FvP4bNCxvzqCxK0ZUseiCaE6Oe2UQ4zDfvPkyxrGWqXIBqLWD5CxYlG3K4lQyClfPJKOQLm2elfx jdxIqGhFoUWag4izfG19B8GNnVmSH0tMIWDfY2d5jDDLWjoQJQsslreIt8aoFZ3cHNvz+NxFJPFA llRdjyENsk4AGRkI3q0VPQNcnJv0dN1WDqyix0MyCz/aB8FPoKPPMnT2OI/FLJC+6SaY/jxDBhG/ wbRIxQ2lho8Se1g0J8EUwOL7Q2UpSHUHKMTVrWAeBBh4yjkFBVXOgBLpqOAvtRGjVGwA1lVbrAD+ pUQfFwhKmJ/4mBVggKS45BTr1snvOPpjcW9FeqbXz0LZcOfkPUnPeTQ1Me+I81xmAxO9B+krz4uC 4l4uUo4eJpC51t/NKeMOKbB53FEbNJuwR3YEKOjtzWjEnFF80ujw4Ny/wN+8dsHNxPMlCM7pWoY7 5ffe1R11JARK6EnAlMZVIUy2DSE6uhSIYmRuaipGs2SzZ6C8RVY11x7JQahL4dqdFPXsXzF9fI4c kRRoGEG9/jbh1Y3D8fIT94DBpqFSj5EV7bQgrt6swSbmrRCdvHhlnVxACgJULEm25P2Sb5QWF33V aitp5aifum93dtLfuLH9iNX0kIQppegC4u3Q1K/gSM7r02lmc2oilMY5qLeFsx677HFtnx/wp9np 58UckvSdmiqLYM+ct3K1/vNiGa7xUet5kjFHEoACWpl91gLvo8CmheX0hKHRF9fZs3k3jyzHBhUG D7DGLNeORZ4NZ77EVCnJgOnzfJRNEfrJp+djPX1fA6LwzEr/Ty9DYOi/7OrWBoQpSpSXOj6pz2fy w/0waeTL6I7KoYTuwAxtVe1N9RzVFEXIdVJoBdmMoghKYm1aAUqGsBMfKrQtFqa88XZFlti37Qi4 7qwjT2zV8nRfHJZzeOuasq/Dk8nUel/Sl74Q+GXvDt1lfv7tYF8UcND31G4U/4WcoZFwEgydu5Wl cShdXye49LRb+hXGA8kvU/qtdmDcI0XhlV3eMPpZCFpB4H0qHUkRnn99+EWllB8qEhf7LuqyysXC 54J66Vw3A1U+sTKZsz7S4ED1/H0qmPn0Lry0oaWVU7UVzIMiRnS/GQ4gEZ1Z5f71V0PpnWMyPSGS N8FY6MiWjXFOUoqf0InkRfcPOQSZc1rSVw4f3VMkdZjQq+5lng6P/TWfkA4nMwzimwzwkrTKtIuP 5MUzsR+HlJDQdJNO8YqLPclBCz5rmhJ1tPv/EdgHaHvpJLHCJdO07tbLnIRaPi6wIGKqZZgkgaIf 9bUIN48WjCdUjzRDx2S4xtFFnesmArCXI5wlQoK6OgAXTHdA+jkMmbNLdWJKSvFbkFOWas/3AgHK hKZ98Ce1fRDWEn4WrCx2NBVUhpNKXdtjqAnttdmQ4aWyfnnnSEeNj600nA41tC7VFEnV11ZMXM5C sehV9AhIGjAzqfX1el4gCiS9dlUq5WueEbKiY3Te6YPvHCso1Ms5qKStss9FfYIdjnVcTgPYxDOm DNODGILofXT8bIHht/gDkQRr34Y7oZiVHi3jAw42vTrOmeWP9c5Gf5y2Mk+6ndcPRX8jY0DC+pOM z1gdSaVTSeVnZT7z9DUKUn6g2+zE6vilFPWR7gV54a6LnCgO01Kxs2CC7BkuqFDzb0Q6cc5jkhNk f6rtV2n1dIHwcxFDW6Kp86StroT7cIuvNoYrOr7O2L9N/CpDUSsDEbrJc0Hew9YyCfsGVZplnPo5 SyAbGiRsv/AdXiodtfeQyaaCnage0YYoAYg3SnMjfuD3BKz7URW3HBKn9lB8Iy72Vt2xirsx2qkE 1oDJS+bd7+5UH1gqccvzuU71R/H8XVpAhQvdBxWHeFXVDbvr2+C1g83TfUq8fVCaaxbzqjMla/2W YS33xR+R0THyt4bWtoW6E+7LQgiGV6LsOd2XgQyK9YVylK7KpU+5VYFo/1IwH4RmmRG6D8BAumnZ 0dWovbiOup9EIXqWaenKDfVldtKeltrdqRAntI8B/Qr7DgLPJS7a0/VX2cKyh26GwFkU4afH8W6v 7Sj2dneh2MGVRNRfW9PbDyXotSyNYbxxo980fO2zX2qlYe0qQN03xSFR1mK5emlZ2ahPU3hpjE7f OVhcpzcd9LUYJ+l1o/RjDrzpOnvtCs5Lsejfe/U53ax8AW6JaLCKeXTuscdUKQ7oO7zazTf58isp Hw4oNgOu9TVNX30CurTNloyBx0sN9G7tuerMf/+/aRhDxdpXMFvrBodNFZr+SvnOYPsmXqwOYrSU UyMZSkAzO/KGYD1tr3YsurOv/8/b8sYjV9iU5nMqkF5vwNaU70xZ9gMk4WIp1EC+CZSNIirg3bkh IOZMfgAg56Y0w1d2gWiAErJKFUknKxS+VkTTlAzAcNzAyXmvBCv0RR6YO8f8S/EoSCX1xpRXGGOy Ttsn3YUQRKORHvn6is8mBjDjiB86ha+Vr03B6ut19CXdYZBXamFLYIPeOiCvTeItnvdRLb+49Qgf kebWeZS+vAW4MCFB4XLO9mmcmI18ZCLpgyGI5pUdGu/Lif+9ra58NOX+XZmZ2HOQGV+C5RlSt9M3 ab+hfASByBDbh58hoSc0fsqZtEEn6XpTi1g0cSfREqYkMzpphA2OSQ+y5Ug68DH2eFxS8DIqTDf8 ArQ7PMojuugMqfwmxuAzpRU2x7cNwyWFsBmECXEyVIHjC5IMHUCrVM0pOPVAg84+9CdTXRZBeKes UviiI+q3gnM+6kvxbUiLNDpYM0JgdW7R3F5xc2VrWBUtLXzHhTzSscJ0qEfYTU9MVnJSLfEcn2eB KqxSfpCsYKdX4SfR3RWXxzi1jxbK1bPnnJstlb6KZjVCOnuJj3LiPqlxfCueBnFVTsuLX0kyzwR1 ia1mYqAzi9HWHPRhyTf8WzUwHoY/uA5c6dZd1/iXWSm3juiYAQAljzcVXbmQkJJpVrCdBz08KhZH FTv5oqinYd+iDP4XkV6eFu7Tg1v0EmKmzmdyYZjME7VeFtxr9irtY14SYvawu6OM5psofNIUQ/9N np6ABfWzCUm8b6pch6QR9PrlXv96wvmhYWVOWsAIBVe+N09+WRLzksE1qz+Zq1UrOzowytp8x+TS Y1Jc/zGc2mM0rSRdSQfke6fqVESSuClGlxM2YgZLidHOnVTst5a9J4Fz1MLQIt0D8QQ/TANqJL3h my28/CBfXqu/idMu/rqlxk6Mf6SfSDcm1JEemXjxhR1V2Rb563L3fRWspQpIjgmxoYGEH7zBP/DS gPb1y1iD5yKO1frvvi8j9sWdr3I1yQCwob3o38R+7exmJncEIqSfJWMun23dCGYqPtXLC2V0s38U 8sBDIGQNzMa9zwQGpY5NGtUfKGdYU1EpZd8eDxWhL43kltbBoLWEVQWZwy3eepNbPkZCFtHwueAg x7ha3EAOLGQ9kAdUhbO1lSkdmogYFm6ZnhWcAwWADghdHFPkejwVXurEo9LA3Z9jrB9VfVey4GVX osdtDPFEQ5ZYqhD2Ylsb2HfeKuWepKRen+axknmSkztV8PZVKzT+hf/wz+3o70p1l8d4p30qSEvc hzBgfPj/jLl1J8omLrCHDeC3Rg+lX1922VXItBZs/Tvah3NpShctT90OEl3+653aSPrfpGqRkjMw zpxc0m6KytlhzV6OERiZMdT1LhcDKAzDn+TyMVBCXtIQyxMN6bD0nCSryNTHirnfpA5z2D7nLQZI ysA51mKAYLSFiLgGF22AZl17MwI8TIfdV6aFVIMyftaiJ0n8S4pgIFtsAQR+/X9VGlZZMlFgBkVx 4oS5f6I+jgA/xVkgEOy3kuOaDTJ9YO0MhizVkuCl+zelliOJ2C/qY8z4MHcPrzdqnUmXOUovsaXv 59bZzsm2VMR/z6Pl50bonB8oDQBszmn5pqS802GA4EwvZN5ErSLnN0xPuvFmjkRR5i4XFPMn5DjM GMXHYf+5gor0pNQuEj/2FhADO3Bm22y/osq269R6W8uxhhO55gTnDQvfS+y1HuHDlA2tG0KiZwde c8WNRCl+d7/vtcstmtYJSr4Aqu0BSE8cCjMXZdATSo8ZW79c+vsqiNg62VeAoQnX4gHFxRR9lFfL sFqWqnPg8dpav26TUg/nmFMEl7ndcu77z/OFgk+WKCUv3GEeqkGyiTnmlMo/nmT+asMZJutGKO5t 1UhG4scqwrrMAzGv7/Hw1Frp2DluhniVfmjIDE1KTF0BXkoIHVy8rA59gGB0x4aCHIHhNXlSPLz7 uNDoXkDlb1pPGrECwLrU0+UBulgNca7VVgVzp/jVIH6uvxYehX3O8SpMZ1aqUHgVKDwqNnO06edK MAQLJwSBU6LAxRdZMwAbeH6Sm8i9HASDTpiX/ju37STaXtE14m72SBP2oHwNYVd8KHQMtuemwaw/ 2weUqGB0wZjevQP3CI0tpndi3UN3FBIvkxrYYV1Dn6HKcw1lMvpBI03AY7RFpJleBE+Y/MNy2mWX BV/ZSdLw1wYZEAlDdTSjFTdMtN7WjtLvdKPfOxYhDf90zvYb3F2SrJ0evtoEjti3bw3SgpftO2xv fkoRoNY6rDaa/nKms8sb8iuN/cp0YsMT7qX2B49MrZGfg74miQ+MCHx/RL0Xfo2I36spkbyk/CyX H7/MESOEBG+F0xnpGbjryPJFQA0aICBTk5MHVc0vWeLf8dGSiNIDQqtd8lgQw1EaHXfEl+pyGCV3 iNSP46nM6jDJQHfX4Wk1fBlzH1HkFMVd3xd0dxp/+Syfv5fnmR7wgnPtIuWUC7cxhnCqYWTxG020 /B3gzVkMGm2zxlf4xfuO6IxpQvOr+9e510PAU4sUTaUBqeiO/qqa4HaS3L7bLkQJplVv4cT+D/wu r7ZyWMNDRICnaoZICCgW8mha7wdPNwFQMQDcvpCgIbdUTQJbz9Bc/5tOHJMaNKUSgS1KraRTDPEc 33SCLeQuQogwAQbIruXDjsGOP8c9aMc9KbPN+AwD4dR8H8iXwu1FnsjhvHfjereGO+MLx1x9/PfA IRMvBR9jQBOCwtXxTgYuKwSpHcmtGasiNr+foc/Mj+fYEpB1yAp0OkMxuGSPMGtPLcwVegrFwvem viQ7hyaW1ov2zHuGBd9dW7hH/LPmBd4iozbznkNkbms9vJBbRrbWuT5LwvUUwhaXHPcQNR4agAGy QJbKGyqQrrboWw6P60LgFFAaN9+tGDvfqhGfbBPN9ZIjLr3dEeZ7F6Aamxxw8jrKUDAUVPjnHE6t VXuajHE1Plhccfe30bOpSYFK9AtvAuZNDbRUMhHK2XHXCaOXsnKUf0BJVz721CwXVd5EaYHn717f 1eWaQWgb4kCQBwot0Lo1jjX4QXLwVuVC/IUmxQZYA5B9TgM7+oIOqdkGERrevm8II6KzqZt3XCPH rUae+GbQInXpjndyT/F4Q/ReW8bhCAyDAiuLHCFqFVHB2wAVd+EZT7L6dqkmM7zPGGMSzQKfYd2L Mk+vQw0MUZKMjK+W0myF9ALqV4du3skQtO3+LGfa1wo6QP2z9B6mhzmIo8CXRZQRo+QNsvmRg6hc 5pFlo7/1BmtuQZGVfoWrhLKjg1RuI4rSvYqKe7Clk+ndnhWg2V1o6dUwJqxlnHx1w5KFWeFAbht9 McfBMzUG1zzdfbrIaMrIfjsiN9VbQ0aNUpbAA9k3Qd0nzCj5GKCM/2Ju1Qun4cgyBNNqXh3j6U/k t23SQxFZUTOVHXuXfG67cvgs6cxzT/LzlJCLo6aRTmwPZbj8qjbfCwwrePSolCt6+s41TmhmM/Rr d0axwpSbt1prwAUABQfWfz/2KI6PVyzFJzplb/r5WjruTFJ/8Vdt55QEU3K6sW2WWVjVYPYmdOO+ L27fRq0QDpxCxjJKc+omUx7vU+4jlexMQFqic3if4JqnNQLrYYddESgPfwellWeb6BmMvWYOXXpJ JE8XcBwtjjMVZwpdy1KEyqO5A/w6r7REb6sWq1pp7ZZ4jKLKcEFTBy9MaAG4+2rY1UpD/oGxetqr MwlhsFC/T9qGNxJcPwiACSgLwJ169ZYhxEzradzBzbPeZrX/NnZv9HcuFR7NOW821i+P/DABwpys StZ03dNNEcXfQ+EODKijekJhAMAaDEcLxHmKiIbjSUS+RzMzmoT1sQnYQoOwlKqiXJkdahk4xTzh 2I1EPzJbC86xUcVMwUYKoTjhyRVMk/ipARA/W8/3QToeoKP7S65wD3Usx3PLBq9X6v8Rz3evfZHd 9GxO1mSDx9G0u5jw0tTRb2jFCjb611B1VyM6Tzm+SXuRKsmtHQWm6wc4qiJYR23F5jyP3b4NwY9e DZOOuwuOsr9VHTy5oahYcCWMH8r0Fjt+EOG61/tRTN5ielUho/InzpMLwkGFCezMN2TvM0TDd+nh qiK/NLbSxQDSGtW4eQ/YtJQMq31Ope+h809ORxgtOy4vhQlXSsl9S4ExAwNaEPW9vZ9a6hXproZ+ yh9SoCxRfDoztugLT4z1A803fDrk3se7jKFMIWP9gOD4iCYi464AbnlVYMQbQAZk1ewMJK7g0BL6 EPpdYfcQa9tL3Grnn+wnIAZbz+W+K9Y7FIIz+YlMoYHvMlw1myihzAOJQe3jxkONLQ7dH9b407do KHgQH17Lr3e3Ug/BXwwqqlLQNQXazMX9o9w54PShOgd2jOto+6GpI0Y742PNyc19FIdHeZy5pcQn XJYmYoJ/hYkelLdHG6xwDGHnwohiGVghVzfrzxqf1PWBNeRFcaacfZSaiE7miNDXI3QJ/7vQeo8y hKcPw4wuix+Il2brhIJ6jmPoPOKRzJ7+GBnClWX6m8ISp4DbUmqv2f3QltBYv9dAYGrvZd31qHqU mQ263zhJybCwcf4iDfARE8N/de00BWG9edJQv58PcqXlQOWPK0QYAG4z8pJm70aeQLeOW1+U36Af irUuFKyEE/kfncSQZNoslp5WjMW+KdDVl1684h3adZlU9sYVvWkZcmBtKZuNqm5bHuJC1lGVgLyI 1CdmMey5d5J33bPTrMyY4cLrhtbHHqVeXQk40ljmPgVLb3N/kfxTfeSfjNCK+BViD5wqIllVT0XV 6u942cTOaMbJH4Umt9zCgrYex1La7oBLisO1Wy5HMPz0l+lFUurrcATGNC2I8JrNmqHH4UwhU3yE wbv8JLV4PbXiRPXr79fs+qrWlfHElZotJyPpDJGBCUntW7eNWwBQaUH6x0SQlL73WRqAigB99gCX hv/eluO9MrxF8mvGl3i6gUV1rpOZBqD1YMI0nRW9eQtJz8ag/N7hniqLR+cXnw5BJAJ9o9GnMupK O9FblTo/8LQhiOMALUKkGZtevmP/hNCissHXOObO6AhnZAT3kiu8VNtS4DcE1+fvZXzx1mMoxBXb eRzG1i6Mgm87Inn9iHYeoqdfusCBjCTTIibLhBfrkLNnpA3d7MxtRUV4RE+SSIbvHfBEifp/nZSM qRhKKJ5WuU1lmkHvV/XZ/b4vS4KI8pqv/KkyeUITsoQC0rrDiid8UfiRvj46HL2+qwELSoQhtNTS CiW1pXsSdmtPGqP8wznORnsw6UnMKYd3ud4aXibrpLQ8/GVibVptL0YtfmR7QnsN5wYKlBQs0RjE SzuTOWnTijcg+khudptyp4KBn7lwntoKTzuvCtX+7uzEXqhi3dOBGga+C2AX9HYCU4Oc8T0L3R7z 2+dV8r3fqBw4o2JwsV6G363a3rZMr9JuKmHzil72EkhXTfu25d/T0Sj4jbg/G2GnPAVQXV7nJvr5 piRK4CyGjLYptlBGOpTnUQ6k2X12CE6jtbs+ZvwpjNgtIuclk2EZjMiHS6Se `protect end_protected
apache-2.0
98bfb15a4f5764bcc59df274bacf5104
0.953526
1.811142
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/3-ARF/metaheurísticas/arf_femo.vhd
1
2,603
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:34:46) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY arf_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END arf_femo_entity; ARCHITECTURE arf_femo_description OF arf_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; WHEN "00000010" => register1 := register1 + register2; register2 := input3 * 3; register3 := input4 * 4; WHEN "00000011" => register2 := register3 + register2; register3 := input5 * 5; register4 := input6 * 6; WHEN "00000100" => register3 := register4 + register3; register2 := register2 + 8; register4 := input7 * 9; register1 := register1 + 11; register5 := input8 * 12; WHEN "00000101" => register4 := register4 + register5; register5 := register2 * 14; register6 := register1 * 16; WHEN "00000110" => register1 := register1 * 18; register2 := register2 * 20; register5 := register5 + register6; WHEN "00000111" => register1 := register2 + register1; WHEN "00001000" => register2 := register1 * 22; register6 := register5 * 24; WHEN "00001001" => register2 := register2 + register6; register5 := register5 * 26; register1 := register1 * 28; WHEN "00001010" => register1 := register1 + register5; output1 <= register4 + register2; WHEN "00001011" => output2 <= register3 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END arf_femo_description;
gpl-3.0
e799888f6e211e6d46da35f33bb4bead
0.676911
3.311705
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/8-JPEG-SD/asap-alap-random/jpegsd_alap.vhd
1
3,907
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:39:39) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY jpegsd_alap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END jpegsd_alap_entity; ARCHITECTURE jpegsd_alap_description OF jpegsd_alap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; WHEN "00000010" => register1 := ((NOT register1) + 1) XOR register1; register2 := ((NOT input2) + 1) XOR input2; register3 := input3 + 5; register4 := ((NOT input4) + 1) XOR input4; WHEN "00000011" => register1 := register2 + register1; register2 := input5 + 7; register3 := ((NOT register3) + 1) XOR register3; WHEN "00000100" => register1 := register1 + register4; register4 := input6 + 10; register2 := ((NOT register2) + 1) XOR register2; WHEN "00000101" => register1 := register1 + register3; register3 := input7 + 13; register5 := input8 + 14; register6 := input9 + 15; register4 := ((NOT register4) + 1) XOR register4; WHEN "00000110" => register1 := register1 + register2; register2 := input10 + 18; register3 := ((NOT register3) + 1) XOR register3; register5 := ((NOT register5) + 1) XOR register5; register7 := input11 + 23; register6 := ((NOT register6) + 1) XOR register6; WHEN "00000111" => register1 := register1 + register4; register4 := input12 + 26; register8 := input13 + 27; register2 := ((NOT register2) + 1) XOR register2; register3 := register5 + register3; register5 := ((NOT register7) + 1) XOR register7; WHEN "00001000" => register1 := register1 + register6; register4 := ((NOT register4) + 1) XOR register4; register6 := ((NOT input14) + 1) XOR input14; register7 := ((NOT register8) + 1) XOR register8; register2 := register3 + register2; WHEN "00001001" => register1 := register1 + register5; register3 := input15 + 37; register5 := ((NOT input16) + 1) XOR input16; register4 := register6 + register4; register2 := register2 + register7; WHEN "00001010" => register6 := register1 + 40; register3 := ((NOT register3) + 1) XOR register3; register4 := register4 + register5; WHEN "00001011" => register2 := register1 + register6 + register2; register3 := register4 + register3; WHEN "00001100" => register1 := register1 * register6 * register2; register2 := register3 * 44; WHEN "00001101" => register1 := register2 + register1; WHEN "00001110" => register1 := register3 + register1; WHEN "00001111" => register1 := register1 srl 46; output1 <= input17 + 47; output2 <= input18 + 48; WHEN "00010000" => output3 <= register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END jpegsd_alap_description;
gpl-3.0
ce115e92378843d0673eb37b38ee319d
0.651907
3.148268
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v2_0/82c7a66d/hdl/src/vhdl/address_decoder.vhd
7
22,380
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: address_decoder.vhd -- Version: v2.0 -- Description: Address decoder utilizing unconstrained arrays for Base -- Address specification and ce number. ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 08/09/2010 -- -- - updated the core with optimziation. Closed CR 574507 -- - combined the CE generation logic to further optimize the code. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.pselect_f; use proc_common_v4_0.ipif_pkg.all; use proc_common_v4_0.family_support.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_BUS_AWIDTH -- Address bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- Bus_clk -- Clock -- Bus_rst -- Reset -- Address_In_Erly -- Adddress in -- Address_Valid_Erly -- Address is valid -- Bus_RNW -- Read or write registered -- Bus_RNW_Erly -- Read or Write -- CS_CE_ld_enable -- chip select and chip enable registered -- Clear_CS_CE_Reg -- Clear_CS_CE_Reg clear -- RW_CE_ld_enable -- Read or Write Chip Enable -- CS_for_gaps -- CS generation for the gaps between address ranges -- CS_Out -- Chip select -- RdCE_Out -- Read Chip enable -- WrCE_Out -- Write chip enable ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Declaration ------------------------------------------------------------------------------- entity address_decoder is generic ( C_BUS_AWIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(0 to 31) := X"000001FF"; C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := ( X"0000_0000_1000_0000", -- IP user0 base address X"0000_0000_1000_01FF", -- IP user0 high address X"0000_0000_1000_0200", -- IP user1 base address X"0000_0000_1000_02FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 8, -- User0 CE Number 1 -- User1 CE Number ); C_FAMILY : string := "virtex6" ); port ( Bus_clk : in std_logic; Bus_rst : in std_logic; -- PLB Interface signals Address_In_Erly : in std_logic_vector(0 to C_BUS_AWIDTH-1); Address_Valid_Erly : in std_logic; Bus_RNW : in std_logic; Bus_RNW_Erly : in std_logic; -- Registering control signals CS_CE_ld_enable : in std_logic; Clear_CS_CE_Reg : in std_logic; RW_CE_ld_enable : in std_logic; CS_for_gaps : out std_logic; -- Decode output signals CS_Out : out std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); RdCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1); WrCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) ); end entity address_decoder; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of address_decoder is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- -- local type declarations ---------------------------------------------------- type decode_bit_array_type is Array(natural range 0 to ( (C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of integer; type short_addr_array_type is Array(natural range 0 to C_ARD_ADDR_RANGE_ARRAY'LENGTH-1) of std_logic_vector(0 to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- This function converts a 64 bit address range array to a AWIDTH bit -- address range array. ------------------------------------------------------------------------------- function slv64_2_slv_awidth(slv64_addr_array : SLV64_ARRAY_TYPE; awidth : integer) return short_addr_array_type is variable temp_addr : std_logic_vector(0 to 63); variable slv_array : short_addr_array_type; begin for array_index in 0 to slv64_addr_array'length-1 loop temp_addr := slv64_addr_array(array_index); slv_array(array_index) := temp_addr((64-awidth) to 63); end loop; return(slv_array); end function slv64_2_slv_awidth; ------------------------------------------------------------------------------- --Function Addr_bits --function to convert an address range (base address and an upper address) --into the number of upper address bits needed for decoding a device --select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1)) return integer is variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1); begin addr_nor := x xor y; for i in 0 to C_BUS_AWIDTH-1 loop if addr_nor(i)='1' then return i; end if; end loop; --coverage off return(C_BUS_AWIDTH); --coverage on end function Addr_Bits; ------------------------------------------------------------------------------- --Function Get_Addr_Bits --function calculates the array which has the decode bits for the each address --range. ------------------------------------------------------------------------------- function Get_Addr_Bits (baseaddrs : short_addr_array_type) return decode_bit_array_type is variable num_bits : decode_bit_array_type; begin for i in 0 to ((baseaddrs'length)/2)-1 loop num_bits(i) := Addr_Bits (baseaddrs(i*2), baseaddrs(i*2+1)); end loop; return(num_bits); end function Get_Addr_Bits; ------------------------------------------------------------------------------- -- NEEDED_ADDR_BITS -- -- Function Description: -- This function calculates the number of address bits required -- to support the CE generation logic. This is determined by -- multiplying the number of CEs for an address space by the -- data width of the address space (in bytes). Each address -- space entry is processed and the biggest of the spaces is -- used to set the number of address bits required to be latched -- and used for CE decoding. A minimum value of 1 is returned by -- this function. -- ------------------------------------------------------------------------------- function needed_addr_bits (ce_array : INTEGER_ARRAY_TYPE) return integer is constant NUM_CE_ENTRIES : integer := CE_ARRAY'length; variable biggest : integer := 2; variable req_ce_addr_size : integer := 0; variable num_addr_bits : integer := 0; begin for i in 0 to NUM_CE_ENTRIES-1 loop req_ce_addr_size := ce_array(i) * 4; if (req_ce_addr_size > biggest) Then biggest := req_ce_addr_size; end if; end loop; num_addr_bits := clog2(biggest); return(num_addr_bits); end function NEEDED_ADDR_BITS; ----------------------------------------------------------------------------- -- Function calc_high_address -- -- This function is used to calculate the high address of the each address -- range ----------------------------------------------------------------------------- function calc_high_address (high_address : short_addr_array_type; index : integer) return std_logic_vector is variable calc_high_addr : std_logic_vector(0 to C_BUS_AWIDTH-1); begin If (index = (C_ARD_ADDR_RANGE_ARRAY'length/2-1)) Then calc_high_addr := C_S_AXI_MIN_SIZE(32-C_BUS_AWIDTH to 31); else calc_high_addr := high_address(index*2+2); end if; return(calc_high_addr); end function calc_high_address; ---------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant ARD_ADDR_RANGE_ARRAY : short_addr_array_type := slv64_2_slv_awidth(C_ARD_ADDR_RANGE_ARRAY, C_BUS_AWIDTH); constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2; constant DECODE_BITS : decode_bit_array_type := Get_Addr_Bits(ARD_ADDR_RANGE_ARRAY); constant NUM_CE_SIGNALS : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY); constant NUM_S_H_ADDR_BITS : integer := needed_addr_bits(C_ARD_NUM_CE_ARRAY); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal pselect_hit_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal cs_out_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal ce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal rdce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal ce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); -- signal cs_ce_clr : std_logic; signal addr_out_s_h : std_logic_vector(0 to NUM_S_H_ADDR_BITS-1); signal Bus_RNW_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP -- Register clears cs_ce_clr <= not Bus_rst or Clear_CS_CE_Reg; addr_out_s_h <= Address_In_Erly(C_BUS_AWIDTH-NUM_S_H_ADDR_BITS to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- MEM_DECODE_GEN: Universal Address Decode Block ------------------------------------------------------------------------------- MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate --------------- constant CE_INDEX_START : integer := calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index); constant CE_ADDR_SIZE : Integer range 0 to 15 := clog2(C_ARD_NUM_CE_ARRAY(bar_index)); constant OFFSET : integer := 2; constant BASE_ADDR_x : std_logic_vector(0 to C_BUS_AWIDTH-1) := ARD_ADDR_RANGE_ARRAY(bar_index*2+1); constant HIGH_ADDR_X : std_logic_vector(0 to C_BUS_AWIDTH-1) := calc_high_address(ARD_ADDR_RANGE_ARRAY,bar_index); --constant DECODE_BITS_0 : integer:= DECODE_BITS(0); --------- begin --------- -- GEN_FOR_MULTI_CS: Below logic generates the CS for decoded address -- ----------------- GEN_FOR_MULTI_CS : if C_ARD_ADDR_RANGE_ARRAY'length > 2 generate -- Instantiate the basic Base Address Decoders MEM_SELECT_I: entity proc_common_v4_0.pselect_f generic map ( C_AB => DECODE_BITS(bar_index), C_AW => C_BUS_AWIDTH, C_BAR => ARD_ADDR_RANGE_ARRAY(bar_index*2), C_FAMILY => C_FAMILY ) port map ( A => Address_In_Erly, -- [in] AValid => Address_Valid_Erly, -- [in] CS => pselect_hit_i(bar_index) -- [out] ); end generate GEN_FOR_MULTI_CS; -- GEN_FOR_ONE_CS: below logic decodes the CS for single address range -- --------------- GEN_FOR_ONE_CS : if C_ARD_ADDR_RANGE_ARRAY'length = 2 generate pselect_hit_i(bar_index) <= Address_Valid_Erly; end generate GEN_FOR_ONE_CS; -- Instantate backend registers for the Chip Selects BKEND_CS_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(Bus_Rst='0' or Clear_CS_CE_Reg = '1')then cs_out_i(bar_index) <= '0'; elsif(CS_CE_ld_enable='1')then cs_out_i(bar_index) <= pselect_hit_i(bar_index); end if; end if; end process BKEND_CS_REG; ------------------------------------------------------------------------- -- PER_CE_GEN: Now expand the individual CEs for each base address. ------------------------------------------------------------------------- PER_CE_GEN: for j in 0 to C_ARD_NUM_CE_ARRAY(bar_index) - 1 generate ----------- begin ----------- ---------------------------------------------------------------------- -- CE decoders for multiple CE's ---------------------------------------------------------------------- MULTIPLE_CES_THIS_CS_GEN : if CE_ADDR_SIZE > 0 generate constant BAR : std_logic_vector(0 to CE_ADDR_SIZE-1) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin CE_I : entity proc_common_v4_0.pselect_f generic map ( C_AB => CE_ADDR_SIZE , C_AW => CE_ADDR_SIZE , C_BAR => BAR , C_FAMILY => C_FAMILY ) port map ( A => addr_out_s_h (NUM_S_H_ADDR_BITS-OFFSET-CE_ADDR_SIZE to NUM_S_H_ADDR_BITS - OFFSET - 1) , AValid => pselect_hit_i(bar_index) , CS => ce_expnd_i(CE_INDEX_START+j) ); end generate MULTIPLE_CES_THIS_CS_GEN; -------------------------------------- ---------------------------------------------------------------------- -- SINGLE_CE_THIS_CS_GEN: CE decoders for single CE ---------------------------------------------------------------------- SINGLE_CE_THIS_CS_GEN : if CE_ADDR_SIZE = 0 generate ce_expnd_i(CE_INDEX_START+j) <= pselect_hit_i(bar_index); end generate; ------------- end generate PER_CE_GEN; ------------------------ end generate MEM_DECODE_GEN; -- RNW_REG_P: Register the incoming RNW signal at the time of registering the -- address. This is need to generate the CE's separately. RNW_REG_P:process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(RW_CE_ld_enable='1')then Bus_RNW_reg <= Bus_RNW_Erly; end if; end if; end process RNW_REG_P; --------------------------------------------------------------------------- -- GEN_BKEND_CE_REGISTERS -- This ForGen implements the backend registering for -- the CE, RdCE, and WrCE output buses. --------------------------------------------------------------------------- GEN_BKEND_CE_REGISTERS : for ce_index in 0 to NUM_CE_SIGNALS-1 generate signal rdce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); ------ begin ------ BKEND_RDCE_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(cs_ce_clr='1')then ce_out_i(ce_index) <= '0'; elsif(RW_CE_ld_enable='1')then ce_out_i(ce_index) <= ce_expnd_i(ce_index); end if; end if; end process BKEND_RDCE_REG; rdce_out_i(ce_index) <= ce_out_i(ce_index) and Bus_RNW_reg; wrce_out_i(ce_index) <= ce_out_i(ce_index) and not Bus_RNW_reg; ------------------------------- end generate GEN_BKEND_CE_REGISTERS; ------------------------------------------------------------------------------- CS_for_gaps <= '0'; -- Removed the GAP adecoder logic --------------------------------- CS_Out <= cs_out_i ; RdCE_Out <= rdce_out_i ; WrCE_Out <= wrce_out_i ; end architecture IMP;
apache-2.0
2ed350694abf450f968a5e8d5d4f2a79
0.465818
4.507553
false
false
false
false
marceloboeira/vhdl-examples
006-ULA/lib/mux.vhd
1
668
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity MUX_PROCESS is Port (A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); C : in STD_LOGIC_VECTOR (7 downto 0); D : in STD_LOGIC_VECTOR (7 downto 0); S : in STD_LOGIC_VECTOR (1 downto 0); O : out STD_LOGIC_VECTOR (7 downto 0)); end MUX_PROCESS; architecture Behavioral of MUX_PROCESS is begin process (A, B, C, D, S) begin case S is when "00" => O <= A; when "01" => O <= B; when "10" => O <= C; when "11" => O <= D; when others=> O <= "00000000"; end case; end process; end Behavioral;
mit
b10285dd40543de65fa559dfb96b6958
0.546407
3.13615
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/5-EWF/metaheurísticas/ewf_spea2.vhd
1
3,121
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:31:40) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_spea2_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 30); output1, output2, output3, output4, output5: OUT unsigned(0 TO 31)); END ewf_spea2_entity; ARCHITECTURE ewf_spea2_description OF ewf_spea2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register1 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register2 + register4; WHEN "00000101" => register5 := register4 * 8; WHEN "00000110" => register5 := register3 + register5; WHEN "00000111" => register3 := register3 + register5; register6 := register4 * 10; WHEN "00001000" => register3 := register3 * 12; register6 := register2 + register6; register4 := register4 + register5; WHEN "00001001" => output1 <= register6 + register4; register2 := register2 + register6; WHEN "00001010" => register2 := register2 * 15; register3 := register1 + register3; WHEN "00001011" => register1 := register1 + register3; WHEN "00001100" => register1 := register1 * 17; WHEN "00001101" => register1 := register1 + 19; register4 := register5 + register3; WHEN "00001110" => output2 <= register3 + register1; register1 := register4 + 22; WHEN "00001111" => register3 := register1 * 24; WHEN "00010000" => register3 := register3 + 26; WHEN "00010001" => output3 <= register1 + register3; register1 := register2 + 29; WHEN "00010010" => register2 := register1 + 31; WHEN "00010011" => register2 := register2 * 33; WHEN "00010100" => output4 <= register1 + register2; register1 := register6 + register1; WHEN "00010101" => register1 := register1 + 36; WHEN "00010110" => register2 := register1 * 38; WHEN "00010111" => register2 := register2 + 40; WHEN "00011000" => output5 <= register1 + register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_spea2_description;
gpl-3.0
84ce986840fca6b46f80e8d2a959b4ca
0.665812
3.327292
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/28e93d3e/hdl/src/vhdl/intc_core.vhd
1
135,910
------------------------------------------------------------------- -- (c) Copyright 1984 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: intc_core.vhd -- Version: v3.1 -- Description: Interrupt controller without a bus interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_intc.vhd (wrapper for top level) -- -- axi_lite_ipif.vhd -- -- intc_core.vhd -- ------------------------------------------------------------------------------- -- Author: PB -- History: -- PB 07/29/09 -- ^^^^^^^ -- - Initial release of v1.00.a -- PB 03/26/10 -- -- - updated based on the xps_intc_v2_01_a -- ~~~~~~ -- - Initial release of v2.00.a -- - Updated by pkaruna -- ^^^^^^^ -- SK 10/10/12 -- -- 1. Added cascade mode support in v1.03.a version of the core -- 2. Updated major version of the core -- ~~~~~~ -- ~~~~~~ -- SK 12/16/12 -- v3.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ -- ^^^^^^^ -- SA 03/25/13 -- -- 1. Added software interrupt support in v3.1 version of the core -- ~~~~~~ -- SA 09/05/13 -- -- 1. Added support for nested interrupts using ILR register in v4.1 -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.log2; use ieee.math_real.ceil; use ieee.std_logic_misc.all; library axi_intc_v4_1; use axi_intc_v4_1.all; library proc_common_v4_0; use proc_common_v4_0.all; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.ipif_pkg.all; ------------------------------------------------------------------------------- -- Definition of Generics: -- -- Intc Parameters -- C_DWIDTH -- Data bus width -- C_NUM_INTR_INPUTS -- Number of interrupt inputs -- C_NUM_SW_INTR -- Number of software interrupts -- C_KIND_OF_INTR -- Kind of interrupt (0-Level/1-Edge) -- C_KIND_OF_EDGE -- Kind of edge (0-falling/1-rising) -- C_KIND_OF_LVL -- Kind of level (0-low/1-high) -- C_ASYNC_INTR -- Interrupt is asynchronous (0-sync/1-async) -- C_NUM_SYNC_FF -- Number of synchronization flip-flops for async interrupts -- C_HAS_IPR -- Set to 1 if has Interrupt Pending Register -- C_HAS_SIE -- Set to 1 if has Set Interrupt Enable Bits -- Register -- C_HAS_CIE -- Set to 1 if has Clear Interrupt Enable Bits -- Register -- C_HAS_IVR -- Set to 1 if has Interrupt Vector Register -- C_HAS_ILR -- Set to 1 if has Interrupt Level Register for nested interupt support -- C_IRQ_IS_LEVEL -- If set to 0 generates edge interrupt -- -- If set to 1 generates level interrupt -- C_IRQ_ACTIVE -- Defines the edge for output interrupt if -- -- C_IRQ_IS_LEVEL=0 (0-FALLING/1-RISING) -- -- Defines the level for output interrupt if -- -- C_IRQ_IS_LEVEL=1 (0-LOW/1-HIGH) -- C_IVR_RESET_VALUE -- Reset value for the vectroed interrupt registers in RAM -- C_DISABLE_SYNCHRONIZERS -- If the processor clock and axi clock are of same -- value then user can decide to disable this -- C_MB_CLK_NOT_CONNECTED -- If the processor clock is not connected or used in design -- C_HAS_FAST -- If user wants to choose the fast interrupt mode of the core -- -- then it is needed to have this paraemter set. Default is Standard Mode interrupt -- C_ENABLE_ASYNC -- This parameter is used only for Vivado standalone mode of the core, not used in RTL -- C_EN_CASCADE_MODE -- If no. of interrupts goes beyond 32, then this parameter need to set -- C_CASCADE_MASTER -- If cascade mode is set, then this parameter should be set to the first instance -- -- of the core which is connected to the processor ------------------------------------------------------------------------------- -- Definition of Ports: -- Clocks and reset -- Clk -- Clock -- Rst -- Reset -- Intc Interface Signals -- Intr -- Input Interruput request -- Reg_addr -- Address bus -- Bus2ip_rdce -- Read -- Bus2ip_wrce -- Write -- Wr_data -- Write data bus -- Rd_data -- Read data bus -- Irq -- Output Interruput request -- Processor_clk -- in put same as processor clock -- Processor_rst -- in put same as processor reset -- Processor_ack -- input Connected to processor ACK -- Interrupt_address -- output Connected to processor interrupt address pins -- Interrupt_address_in-- Input this is coming from lower level module in case -- -- the cascade mode is set and all AXI INTC instances are marked -- -- as C_HAS_FAST = 1 -- Processor_ack_out -- Output this is going to lower level module in case -- -- the cascade mode is set and all AXI INTC instances are marked -- -- as C_HAS_FAST = 1 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------ -- Entity ------------------------------------------------------------------------------ entity intc_core is generic ( C_FAMILY : string := "virtex6"; C_DWIDTH : integer := 32; C_NUM_INTR_INPUTS : integer range 1 to 32 := 2; C_NUM_SW_INTR : integer range 0 to 31 := 0; C_KIND_OF_INTR : std_logic_vector(31 downto 0) := "11111111111111111111111111111111"; C_KIND_OF_EDGE : std_logic_vector(31 downto 0) := "11111111111111111111111111111111"; C_KIND_OF_LVL : std_logic_vector(31 downto 0) := "11111111111111111111111111111111"; C_ASYNC_INTR : std_logic_vector(31 downto 0) := "11111111111111111111111111111111"; C_NUM_SYNC_FF : integer range 0 to 7 := 2; C_HAS_IPR : integer range 0 to 1 := 1; C_HAS_SIE : integer range 0 to 1 := 1; C_HAS_CIE : integer range 0 to 1 := 1; C_HAS_IVR : integer range 0 to 1 := 1; C_HAS_ILR : integer range 0 to 1 := 0; C_IRQ_IS_LEVEL : integer range 0 to 1 := 1; C_IRQ_ACTIVE : std_logic := '1'; C_DISABLE_SYNCHRONIZERS : integer range 0 to 1 := 0; C_MB_CLK_NOT_CONNECTED : integer range 0 to 1 := 0; C_HAS_FAST : integer range 0 to 1 := 0; C_IVAR_RESET_VALUE : std_logic_vector(31 downto 0) := "00000000000000000000000000010000"; C_EN_CASCADE_MODE : integer range 0 to 1 := 0; -- default no cascade mode, if set enable cascade mode C_CASCADE_MASTER : integer range 0 to 1 := 0 -- default slave, if set become cascade master and connects ports to Processor ); port ( -- Inputs Clk : in std_logic; --- AXI Clock Rst_n : in std_logic; --- active low AXI Reset Intr : in std_logic_vector(C_NUM_INTR_INPUTS - 1 downto 0); Reg_addr : in std_logic_vector(6 downto 0); Bus2ip_rdce : in std_logic_vector(0 to 16); Bus2ip_wrce : in std_logic_vector(0 to 16); Wr_data : in std_logic_vector(C_DWIDTH - 1 downto 0); -- Outputs Rd_data : out std_logic_vector(C_DWIDTH - 1 downto 0); Processor_clk : in std_logic; --- MB Clk, clock from MicroBlaze processor_rst : in std_logic; --- active high MB rst, reset from MicroBlaze Irq : out std_logic; Processor_ack : in std_logic_vector(1 downto 0); --- added for fast interrupt mode Interrupt_address : out std_logic_vector(31 downto 0); --- added for fast interrupt mode -- Interrupt_address_in : in std_logic_vector(31 downto 0); Processor_ack_out : out std_logic_vector(1 downto 0) -- ); ------------------------------------------------------------------------------- -- Attributes ------------------------------------------------------------------------------- attribute buffer_type: string; attribute buffer_type of Intr: signal is "none"; end intc_core; ------------------------------------------------------------------------------ -- Architecture ------------------------------------------------------------------------------ architecture imp of intc_core is -- Component Declarations -- ====================== constant C_NUM_INTR : integer := C_NUM_INTR_INPUTS + C_NUM_SW_INTR; constant RESET_ACTIVE : std_logic := '0'; CONSTANT INDEX_BIT : INTEGER := INTEGER(CEIL(LOG2(REAL(C_NUM_INTR+1)))); constant MICROBLAZE_FIXED_ADDRESS : std_logic_vector := X"00000010"; CONSTANT IVR_ALL_ONES : std_logic_vector(INDEX_BIT-1 downto 0) := (others => '1'); --- *** --- Decision is pending for logic used - mail sent to Bsb on 3rd Oct, 2012 CONSTANT C_USE_METHOD : integer := 1; --- *** --- -- Signal declaration -- ================== signal processor_rst_n : std_logic; signal ack_b01 : std_logic; signal first_ack : std_logic; signal first_ack_active : std_logic; signal second_ack : std_logic; signal first_ack_sync : std_logic; signal second_ack_sync : std_logic; signal second_ack_sync_d1 : std_logic; signal second_ack_sync_d2 : std_logic; signal second_ack_sync_d3 : std_logic; signal second_ack_sync_mb_clk : std_logic; signal Irq_i : std_logic; signal ivr_data_in : std_logic_vector(INDEX_BIT - 1 downto 0); signal wr_data_int : std_logic_vector(C_NUM_INTR - 1 downto 0); signal mer_int : std_logic_vector(1 downto 0); signal mer : std_logic_vector(C_DWIDTH - 1 downto 0); signal sie : std_logic_vector(C_NUM_INTR - 1 downto 0); signal cie : std_logic_vector(C_NUM_INTR - 1 downto 0); signal iar : std_logic_vector(C_NUM_INTR - 1 downto 0); signal ier : std_logic_vector(C_NUM_INTR - 1 downto 0); signal isr_en : std_logic; signal hw_intr : std_logic_vector(C_NUM_INTR_INPUTS - 1 downto 0); signal isr_data_in : std_logic_vector(C_NUM_INTR_INPUTS - 1 downto 0); signal isr : std_logic_vector(C_NUM_INTR - 1 downto 0); signal ivr : std_logic_vector(INDEX_BIT - 1 downto 0); signal ivr_out : std_logic_vector(C_DWIDTH - 1 downto 0); signal ilr : std_logic_vector(INDEX_BIT downto 0); signal ilr_out : std_logic_vector(C_DWIDTH - 1 downto 0); signal imr : std_logic_vector(C_NUM_INTR - 1 downto 0); signal imr_out : std_logic_vector(C_DWIDTH - 1 downto 0); signal ipr : std_logic_vector(C_DWIDTH - 1 downto 0); signal irq_gen_i : std_logic; signal irq_gen : std_logic; signal irq_gen_sync : std_logic; signal read : std_logic; signal ier_out : std_logic_vector(C_DWIDTH - 1 downto 0); signal isr_out : std_logic_vector(C_DWIDTH - 1 downto 0); signal ack_or_i : std_logic; signal ack_or : std_logic; signal ack_or_sync : std_logic; signal read_ivar : std_logic; signal write_ivar : std_logic; signal isr_or : std_logic; signal ivar_index_mb_clk : std_logic_vector(INDEX_BIT-1 downto 0); signal ivar_index_axi_clk : std_logic_vector(INDEX_BIT-1 downto 0); signal in_idle : std_logic; signal in_idle_axi_clk : std_logic; signal idle_and_irq : std_logic; signal idle_and_irq_d1 : std_logic; signal ivar_index_sample_en_i : std_logic; signal ivar_index_sample_en : std_logic; signal ivar_index_sample_en_mb_clk : std_logic; signal irq_dis_sample_mb_clk : std_logic; signal ivar_rd_addr_mb_clk : std_logic_vector(4 downto 0); signal mer_0_sync : std_logic; --signal bus2ip_rdce_fast : std_logic_vector(0 to 31); --signal bus2ip_wrce_fast : std_logic_vector(0 to 31); signal bus2ip_rdce_fast : std_logic; signal bus2ip_wrce_fast : std_logic; signal ivar_rd_data_axi_clk : std_logic_vector(C_DWIDTH - 1 downto 0); signal ivar_rd_data_mb_clk : std_logic_vector(C_DWIDTH - 1 downto 0); signal isr_ored_30_0_bits : std_logic; signal Interrupt_address_in_reg_int : std_logic_vector(31 downto 0); signal intr_31_deassert_info : std_logic; signal intr_31_deasserted_d1 : std_logic; signal intr_31_deasserted : std_logic; -- -------------------------------------------------------------------------------------- -- -- Function to find logic OR of 32 bit width vector -- -------------------------------------------------------------------------------------- -- Function OR32_VEC2STDLOGIC (vec_in : std_logic_vector) return std_logic is -- variable or_out : std_logic := '0'; -- begin -- for i in 0 to 31 loop -- or_out := vec_in(i) or or_out; -- end loop; -- return or_out; -- end function Or32_vec2stdlogic; -- -------------------------------------------------------------------------------------- FUNCTION calc_ivar_ram_addr_bits ( constant C_NUM_INTR : integer) RETURN integer is begin if (C_NUM_INTR > 16) then RETURN 5; else RETURN 4; end if; end FUNCTION calc_ivar_ram_addr_bits; ------------------------------------- FUNCTION calc_ivar_ram_depth ( constant C_NUM_INTR : integer) RETURN integer is begin if (C_NUM_INTR > 16) then RETURN 32; else RETURN 16; end if; end FUNCTION calc_ivar_ram_depth; --------------------------------- CONSTANT IVAR_MEM_ADDR_LINES : INTEGER := calc_ivar_ram_addr_bits (C_NUM_INTR); CONSTANT IVAR_MEM_DEPTH : INTEGER := calc_ivar_ram_depth (C_NUM_INTR); -------------------------------------------------------------------------------------- -- Function to convert std_logic to std_logic_vector -------------------------------------------------------------------------------------- Function scalar_to_vector (scalar_in : std_logic) return std_logic_vector is variable vec_out : std_logic_vector(0 downto 0) := "0"; begin vec_out(0) := scalar_in; return vec_out; end function scalar_to_vector; -------------------------------------------------------------------------------------- -- Function to convert std_logic_vector to std_logic -------------------------------------------------------------------------------------- Function vector_to_scalar (vec_in : std_logic_vector) return std_logic is variable scalar_out : std_logic := '0'; begin scalar_out := vec_in(0); return scalar_out; end function vector_to_scalar; -- Begin of architecture begin ----- -- active low reset processor_rst_n <= not Processor_rst; read <= bus2ip_rdce(0) or -- for ISR bus2ip_rdce(1) or -- for IPR bus2ip_rdce(2) or -- for IER bus2ip_rdce(6) or -- for IVR bus2ip_rdce(7) or -- for MER bus2ip_rdce(8) or -- for IMR bus2ip_rdce(9); -- for ILR -------------------------------------------------------------------------- -- GENERATING ALL REGISTERS -------------------------------------------------------------------------- wr_data_int <= Wr_data(C_NUM_INTR - 1 downto 0); ------------------------------------------------------------------------- -- GENERATING IVAR READ ENABLES ------------------------------------------------------------------------- bus2ip_rdce_fast <= bus2ip_rdce(16); bus2ip_wrce_fast <= bus2ip_wrce(16); write_ivar <= bus2ip_wrce_fast; read_ivar <= bus2ip_rdce_fast; -------------------------------------------------------------------------- -- Process for generating ACK enable and type and syncing them to ACLK -------------------------------------------------------------------------- ACK_EN_SYNC_ON_MB_CLK_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 0)) generate -------------------------- NO_CASCADE_MASTER_MODE : if (C_EN_CASCADE_MODE = 0) and (C_CASCADE_MASTER = 0) generate ----- begin ----- -- dont bypass the processor ack to output Processor_ack_out <= (others => '0'); ----------------------------------------- Processor_ack_EN_REG_P: process (Processor_ack) is ----- begin ----- ack_b01 <= (not Processor_ack(1)) and Processor_ack(0); -- ack = b01 end process Processor_ack_EN_REG_P; ----------------------------------------- first_ack_active_REG_P: process (Processor_clk) is ----- begin ----- if (Processor_clk'event and Processor_clk = '1') then if (processor_rst_n = RESET_ACTIVE) then first_ack_active <= '0'; else if (ack_b01 = '1') then first_ack_active <= '1'; elsif (Processor_ack(1) = '1') then first_ack_active <= '0'; else first_ack_active <= first_ack_active; end if; end if; end if; end process first_ack_active_REG_P; ----------------------------------------- first_second_ack_REG_P: process (Processor_clk) is ----- begin ----- if (Processor_clk'event and Processor_clk = '1') then if (processor_rst_n = RESET_ACTIVE) then first_ack <= '0'; second_ack <= '0'; else first_ack <= ack_b01; second_ack <= first_ack_active and Processor_ack(1); end if; end if; end process first_second_ack_REG_P; ----------------------------------------- ACK_EN_SYNC_EN_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate --Synchronize first_ack to AXI clock domain Processor_first_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Processor_clk, RESET_1_n => processor_rst_n, DATA_IN => first_ack, CLK_2 => Clk, RESET_2_n => Rst_n, SYNC_DATA_OUT => first_ack_sync ); -------------------------------------------- --Synchronize second_ack to AXI clock domain Processor_second_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Processor_clk, RESET_1_n => processor_rst_n, DATA_IN => second_ack, CLK_2 => Clk, RESET_2_n => Rst_n, SYNC_DATA_OUT => second_ack_sync ); end generate ACK_EN_SYNC_EN_GEN; ----------------------------------------- ACK_EN_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate first_ack_sync <= first_ack; second_ack_sync <= second_ack; end generate ACK_EN_SYNC_DISABLE_GEN; ----------------------------------------- second_ack_d2_reg_p: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then second_ack_sync_d1 <= '0'; second_ack_sync_d2 <= '0'; second_ack_sync_d3 <= '0'; else second_ack_sync_d1 <= second_ack_sync; second_ack_sync_d2 <= second_ack_sync_d1; second_ack_sync_d3 <= second_ack_sync_d2; end if; end if; end process second_ack_d2_reg_p; ----------------------------------------- SECOND_ACK_SYNC_EN_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate --Synchronize Second_ack_sync_d2 back to processor clock domain Second_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Clk, RESET_1_n => Rst_n, DATA_IN => second_ack_sync_d2, CLK_2 => Processor_clk, RESET_2_n => processor_rst_n, SYNC_DATA_OUT => second_ack_sync_mb_clk ); end generate SECOND_ACK_SYNC_EN_GEN; ----------------------------------------- SECOND_ACK_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate second_ack_sync_mb_clk <= second_ack_sync_d2; --second_ack_sync_mb_clk <= second_ack_sync; end generate SECOND_ACK_SYNC_DISABLE_GEN; ----------------------------------------- end generate NO_CASCADE_MASTER_MODE; ----------------------------- CASCADE_MASTER_MODE_10 : if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 0) generate ------------------------ ----- begin ----- -------------------------------------------------- Processor_ack_out <= (Processor_ack(1) and (not isr_ored_30_0_bits)) & -- to avoide any delay the processor is (Processor_ack(0) and (not isr_ored_30_0_bits)) ; -- simply passed to below modules ack_b01 <= (not Processor_ack(1)) and Processor_ack(0); -- ack = b01 -------------------------------------------------- first_ack_active_REG_P: process (Processor_clk) is ----- begin ----- if (Processor_clk'event and Processor_clk = '1') then if (processor_rst_n = RESET_ACTIVE) then first_ack_active <= '0'; else if (ack_b01 = '1')then first_ack_active <= '1'; elsif((Processor_ack(1) = '1') ) then first_ack_active <= '0'; else first_ack_active <= first_ack_active; end if; end if; end if; end process first_ack_active_REG_P; --------------------------- first_second_ack_REG_P: process (Processor_clk) is ----- begin ----- if (Processor_clk'event and Processor_clk = '1') then if (processor_rst_n = RESET_ACTIVE) then first_ack <= '0'; second_ack <= '0'; else first_ack <= ack_b01; second_ack <= first_ack_active and Processor_ack(1); end if; end if; end process first_second_ack_REG_P; ----------------------------------- ACK_EN_SYNC_EN_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate --Synchronize first_ack to AXI clock domain Processor_first_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Processor_clk, RESET_1_n => processor_rst_n, DATA_IN => first_ack, CLK_2 => Clk, RESET_2_n => Rst_n, SYNC_DATA_OUT => first_ack_sync ); -------------------------------------------- --Synchronize second_ack to AXI clock domain Processor_second_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Processor_clk, RESET_1_n => processor_rst_n, DATA_IN => second_ack, CLK_2 => Clk, RESET_2_n => Rst_n, SYNC_DATA_OUT => second_ack_sync ); -------------------------------------------- end generate ACK_EN_SYNC_EN_GEN; -------------------------------------------- ACK_EN_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate first_ack_sync <= first_ack; second_ack_sync <= second_ack; end generate ACK_EN_SYNC_DISABLE_GEN; -------------------------------------------- second_ack_d2_reg_p: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then second_ack_sync_d1 <= '0'; second_ack_sync_d2 <= '0'; second_ack_sync_d3 <= '0'; else second_ack_sync_d1 <= second_ack_sync; second_ack_sync_d2 <= second_ack_sync_d1; second_ack_sync_d3 <= second_ack_sync_d2; end if; end if; end process second_ack_d2_reg_p; -------------------------------------------- SECOND_ACK_SYNC_EN_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate --Synchronize Second_ack_sync_d2 back to processor clock domain Second_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Clk, RESET_1_n => Rst_n, DATA_IN => second_ack_sync_d2, CLK_2 => Processor_clk, RESET_2_n => processor_rst_n, SYNC_DATA_OUT => second_ack_sync_mb_clk ); end generate SECOND_ACK_SYNC_EN_GEN; -------------------------------------------- SECOND_ACK_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate ----- begin ----- second_ack_sync_mb_clk <= second_ack_sync_d2; --second_ack_sync_mb_clk <= second_ack_sync; end generate SECOND_ACK_SYNC_DISABLE_GEN; -------------------------------------------- end generate CASCADE_MASTER_MODE_10; ----------------------------- CASCADE_MASTER_MODE_11 : if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 1) generate ------------------------ ----- begin ----- -------------------------------------------------- Processor_ack_out <= (Processor_ack(1) and (not isr_ored_30_0_bits)) & (Processor_ack(0) and (not isr_ored_30_0_bits)) ; ack_b01 <= (not Processor_ack(1)) and Processor_ack(0); -- ack = b01 -------------------------------------------------- first_ack_active_REG_P: process (Processor_clk) is ----- begin ----- if (Processor_clk'event and Processor_clk = '1') then if (processor_rst_n = RESET_ACTIVE) then first_ack_active <= '0'; else if (ack_b01 = '1')then first_ack_active <= '1'; elsif((Processor_ack(1) = '1') ) then first_ack_active <= '0'; else first_ack_active <= first_ack_active; end if; end if; end if; end process first_ack_active_REG_P; --------------------------- first_second_ack_REG_P: process (Processor_clk) is ----- begin ----- if (Processor_clk'event and Processor_clk = '1') then if (processor_rst_n = RESET_ACTIVE) then first_ack <= '0'; second_ack <= '0'; else first_ack <= ack_b01; second_ack <= first_ack_active and Processor_ack(1); end if; end if; end process first_second_ack_REG_P; ----------------------------------- ACK_EN_SYNC_EN_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate --Synchronize first_ack to AXI clock domain Processor_first_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Processor_clk, RESET_1_n => processor_rst_n, DATA_IN => first_ack, CLK_2 => Clk, RESET_2_n => Rst_n, SYNC_DATA_OUT => first_ack_sync ); --Synchronize second_ack to AXI clock domain Processor_second_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Processor_clk, RESET_1_n => processor_rst_n, DATA_IN => second_ack, CLK_2 => Clk, RESET_2_n => Rst_n, SYNC_DATA_OUT => second_ack_sync ); end generate ACK_EN_SYNC_EN_GEN; ------------------------------------ ACK_EN_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate ----- begin ----- first_ack_sync <= first_ack; second_ack_sync <= second_ack; end generate ACK_EN_SYNC_DISABLE_GEN; ------------------------------------ second_ack_d2_reg_p: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then second_ack_sync_d1 <= '0'; second_ack_sync_d2 <= '0'; second_ack_sync_d3 <= '0'; else second_ack_sync_d1 <= second_ack_sync; second_ack_sync_d2 <= second_ack_sync_d1; second_ack_sync_d3 <= second_ack_sync_d2; end if; end if; end process second_ack_d2_reg_p; ------------------------------------ SECOND_ACK_SYNC_EN_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate --Synchronize Second_ack_sync_d2 back to processor clock domain Second_ack_EN_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Clk, RESET_1_n => Rst_n, DATA_IN => second_ack_sync_d2, CLK_2 => Processor_clk, RESET_2_n => processor_rst_n, SYNC_DATA_OUT => second_ack_sync_mb_clk ); end generate SECOND_ACK_SYNC_EN_GEN; ------------------------------------ SECOND_ACK_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate second_ack_sync_mb_clk <= second_ack_sync_d2; --second_ack_sync_mb_clk <= second_ack_sync; end generate SECOND_ACK_SYNC_DISABLE_GEN; ------------------------------------ end generate CASCADE_MASTER_MODE_11; ----------------------------- end generate ACK_EN_SYNC_ON_MB_CLK_GEN; -------------------------------------------------------------------------- -- Process for generating ACK enable and type and syncing them to ACLK -------------------------------------------------------------------------- ACK_EN_SYNC_ON_AXI_CLK_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 1)) generate NO_CASCADE_MASTER : if (C_EN_CASCADE_MODE = 0) and (C_CASCADE_MASTER = 0) generate ----- begin ----- -- dont bypass the processor ack to output Processor_ack_out <= (others => '0'); ----------------- Processor_ack_EN_REG_P: process (Processor_ack) is ----- begin ----- ack_b01 <= (not Processor_ack(1)) and Processor_ack(0); -- ack = b01 end process Processor_ack_EN_REG_P; ----------------------------------- first_ack_active_REG_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then first_ack_active <= '0'; else if (ack_b01 = '1') then first_ack_active <= '1'; elsif (Processor_ack(1) = '1') then first_ack_active <= '0'; else first_ack_active <= first_ack_active; end if; end if; end if; end process first_ack_active_REG_P; ----------------------------------- first_second_ack_REG_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then first_ack <= '0'; second_ack <= '0'; else first_ack <= ack_b01; second_ack <= first_ack_active and Processor_ack(1); end if; end if; end process first_second_ack_REG_P; ----------------------------------- first_ack_sync <= first_ack; second_ack_sync <= second_ack; ----------------------------------- second_ack_d2_reg_p: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then second_ack_sync_d1 <= '0'; second_ack_sync_d2 <= '0'; second_ack_sync_d3 <= '0'; else second_ack_sync_d1 <= second_ack_sync; second_ack_sync_d2 <= second_ack_sync_d1; second_ack_sync_d3 <= second_ack_sync_d2; end if; end if; end process second_ack_d2_reg_p; ----------------------------------- second_ack_sync_mb_clk <= second_ack_sync_d2; end generate NO_CASCADE_MASTER; ------------------------------- CASCADE_MASTER_MODE_10 : if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 0) generate ------------------------ ----- begin ----- -------------------------------------------------- Processor_ack_out <= (Processor_ack(1) and (not isr_ored_30_0_bits)) & (Processor_ack(0) and (not isr_ored_30_0_bits)) ; ack_b01 <= (not Processor_ack(1)) and Processor_ack(0); -- ack = b01 -------------------------------------------------- first_ack_active_REG_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then first_ack_active <= '0'; else if (ack_b01 = '1') then first_ack_active <= '1'; elsif((Processor_ack(1) = '1') )then first_ack_active <= '0'; else first_ack_active <= first_ack_active; end if; end if; end if; end process first_ack_active_REG_P; ----------------------------------- first_second_ack_REG_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then first_ack <= '0'; second_ack <= '0'; else first_ack <= ack_b01; second_ack <= first_ack_active and Processor_ack(1); end if; end if; end process first_second_ack_REG_P; ----------------------------------- first_ack_sync <= first_ack; second_ack_sync <= second_ack; ----------------------------------- second_ack_d2_reg_p: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then second_ack_sync_d1 <= '0'; second_ack_sync_d2 <= '0'; second_ack_sync_d3 <= '0'; else second_ack_sync_d1 <= second_ack_sync; second_ack_sync_d2 <= second_ack_sync_d1; second_ack_sync_d3 <= second_ack_sync_d2; end if; end if; end process second_ack_d2_reg_p; ----------------------------------- second_ack_sync_mb_clk <= second_ack_sync_d2; end generate CASCADE_MASTER_MODE_10; ------------------------------- CASCADE_MASTER_MODE_11 : if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 1) generate ----- begin ----- -------------------------------------------------- Processor_ack_out <= (Processor_ack(1) and (not isr_ored_30_0_bits)) & (Processor_ack(0) and (not isr_ored_30_0_bits)) ; ack_b01 <= (not Processor_ack(1)) and Processor_ack(0); -- ack = b01 -------------------------------------------------- first_ack_active_REG_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then first_ack_active <= '0'; else if (ack_b01 = '1') then first_ack_active <= '1'; elsif((Processor_ack(1) = '1')-- and --(isr(31) = '0') and --(ier(31) = '0') -- and -- (isr_ored_30_0_bits = '1') )then first_ack_active <= '0'; else first_ack_active <= first_ack_active; end if; end if; end if; end process first_ack_active_REG_P; first_second_ack_REG_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then first_ack <= '0'; second_ack <= '0'; else first_ack <= ack_b01; second_ack <= first_ack_active and Processor_ack(1); end if; end if; end process first_second_ack_REG_P; ----------------------------------- first_ack_sync <= first_ack; second_ack_sync <= second_ack; ----------------------------------- second_ack_d2_reg_p: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then second_ack_sync_d1 <= '0'; second_ack_sync_d2 <= '0'; second_ack_sync_d3 <= '0'; else second_ack_sync_d1 <= second_ack_sync; second_ack_sync_d2 <= second_ack_sync_d1; second_ack_sync_d3 <= second_ack_sync_d2; end if; end if; end process second_ack_d2_reg_p; ----------------------------------- second_ack_sync_mb_clk <= second_ack_sync_d2; --second_ack_sync_mb_clk <= second_ack_sync; ----------------------------------- end generate CASCADE_MASTER_MODE_11; ------------------------------- ---------------------------------------- end generate ACK_EN_SYNC_ON_AXI_CLK_GEN; SECOND_ACK_FAST_0_GEN: if (C_HAS_FAST = 0) generate ----- begin ----- second_ack_sync_mb_clk <= ack_or_sync; end generate SECOND_ACK_FAST_0_GEN; -------------------------------------------------------------------------- -- Process MER_ME_P for MER ME bit generation -------------------------------------------------------------------------- MER_ME_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then mer_int(0) <= '0'; elsif (bus2ip_wrce(7) = '1') then mer_int(0) <= Wr_data(0); end if; end if; end process MER_ME_P; -------------------------------------------------------------------------- -- Process MER_HIE_P for generating MER HIE bit -------------------------------------------------------------------------- MER_HIE_P: process (Clk)is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then mer_int(1) <= '0'; elsif ((bus2ip_wrce(7) = '1') and (mer_int(1) = '0')) then mer_int(1) <= Wr_data(1); end if; end if; end process MER_HIE_P; ----------------------------------- mer(1 downto 0) <= mer_int; mer(C_DWIDTH - 1 downto 2) <= (others => '0'); ----------------------------------- ---------------------------------------------------------------------- -- Generate SIE if (C_HAS_SIE = 1) ---------------------------------------------------------------------- SIE_GEN: if (C_HAS_SIE = 1) generate ----- begin ----- SIE_BIT_GEN : for i in 0 to (C_NUM_INTR - 1) generate -------------------------------------------------------------- -- Process SIE_P for generating SIE register -------------------------------------------------------------- SIE_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if ((Rst_n = RESET_ACTIVE) or (sie(i) = '1')) then sie(i) <= '0'; elsif (bus2ip_wrce(4) = '1') then sie(i) <= wr_data_int(i); end if; end if; end process SIE_P; end generate SIE_BIT_GEN; end generate SIE_GEN; ---------------------------------------------------------------------- -- Assign sie_out ALL ZEROS if (C_HAS_SIE = 0) ---------------------------------------------------------------------- SIE_NO_GEN: if (C_HAS_SIE = 0) generate ----- begin ----- sie <= (others => '0'); end generate SIE_NO_GEN; ---------------------------------------------------------------------- -- Generate CIE if (C_HAS_CIE = 1) ---------------------------------------------------------------------- CIE_GEN: if (C_HAS_CIE = 1) generate ----- begin ----- CIE_BIT_GEN : for i in 0 to (C_NUM_INTR - 1) generate ------------------------------------------------------------------ -- Process CIE_P for generating CIE register ------------------------------------------------------------------ CIE_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if ((Rst_n = RESET_ACTIVE) or (cie(i) = '1')) then cie(i) <= '0'; elsif (bus2ip_wrce(5) = '1') then cie(i) <= wr_data_int(i); end if; end if; end process CIE_P; end generate CIE_BIT_GEN; end generate CIE_GEN; ---------------------------------------------------------------------- -- Assign cie_out ALL ZEROS if (C_HAS_CIE = 0) ---------------------------------------------------------------------- CIE_NO_GEN: if (C_HAS_CIE = 0) generate cie <= (others => '0'); end generate CIE_NO_GEN; -- Generating write enable & data input for ISR isr_en <= mer(1) or bus2ip_wrce(0); isr_data_in <= hw_intr when mer(1) = '1' else Wr_data(C_NUM_INTR_INPUTS - 1 downto 0); -------------------------------------------------------------------------- -- Generate Registers of width equal C_NUM_INTR -------------------------------------------------------------------------- REG_GEN : for i in 0 to (C_NUM_INTR - 1) generate ----- begin ----- --IAR_NORMAL_MODE_GEN: if ((C_HAS_FAST = 0) or (C_MB_CLK_NOT_CONNECTED = 1)) generate IAR_NORMAL_MODE_GEN: if (C_HAS_FAST = 0) generate ----- begin ----- ---------------------------------------------------------------------- -- Process FAST_IAR_BIT_P for generating IAR register ---------------------------------------------------------------------- IAR_NORMAL_BIT_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) or (iar(i) = '1') then iar(i) <= '0'; elsif ((bus2ip_wrce(3) = '1')) then iar(i) <= wr_data_int(i); else iar(i) <= '0'; end if; end if; end process IAR_NORMAL_BIT_P; ----------------------------------- end generate IAR_NORMAL_MODE_GEN; --------------------------------- IAR_FAST_MODE_GEN: if (C_HAS_FAST = 1) generate ----- begin ----- ---------------------------------------------------------------------- -- Process FAST_IAR_BIT_P for generating IAR register ---------------------------------------------------------------------- IAR_FAST_BIT_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) or (iar(i) = '1') then iar(i) <= '0'; elsif ((bus2ip_wrce(3) = '1') and (imr(i) = '0')) then iar(i) <= wr_data_int(i); elsif (imr(i) = '1') then if (((C_KIND_OF_INTR(i) = '1') and (first_ack_sync = '1')) or ((C_KIND_OF_INTR(i) = '0') and (second_ack_sync = '1'))) then if (i = TO_INTEGER(unsigned(ivar_index_axi_clk))) then -- -- clearing IAR based on Processor_ack in FAST_INTERRUPT mode iar(i) <= '1'; else iar(i) <= iar(i); end if; else iar(i) <= iar(i); end if; else iar(i) <= iar(i); end if; end if; end process IAR_FAST_BIT_P; ----------------------------------- end generate IAR_FAST_MODE_GEN; ------------------------------- ---------------------------------------------------------------------- -- Process IER_BIT_P for generating IER register ---------------------------------------------------------------------- IER_BIT_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if ((Rst_n = RESET_ACTIVE) or (cie(i) = '1')) then ier(i) <= '0'; elsif (sie(i) = '1') then ier(i) <= '1'; elsif (bus2ip_wrce(2) = '1') then ier(i) <= wr_data_int(i); end if; end if; end process IER_BIT_P; ---------------------------------------------------------------------- -- Process ISR_P for generating ISR register ---------------------------------------------------------------------- ISR_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if ((Rst_n = RESET_ACTIVE) or (iar(i) = '1')) then isr(i) <= '0'; elsif (i < C_NUM_INTR_INPUTS) then if (isr_en = '1') then isr(i) <= isr_data_in(i); end if; elsif (i >= C_NUM_INTR_INPUTS) then if (bus2ip_wrce(0) = '1') then isr(i) <= Wr_data(i); end if; end if; end if; end process ISR_P; ---------------------------------------------------------------------- -- Process IMR_P for generating IMR(Interrrupt Mode Register) Register ---------------------------------------------------------------------- IMR_FAST_MODE_GEN: if (C_HAS_FAST = 1) generate ----- begin ----- IMR_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then imr(i) <= '0'; elsif bus2ip_wrce(8) = '1' then imr(i) <= wr_data_int(i); end if; end if; end process IMR_P; end generate IMR_FAST_MODE_GEN; ----------------------------------- end generate REG_GEN; --------------------- --------------------------------------------------------------------------- -- Proces IVAR_REG_P for generating IVAR Registers --------------------------------------------------------------------------- IVAR_FAST_MODE_GEN: if (C_HAS_FAST = 1) generate ----- begin ----- IVAR_REG_MEM_MB_CLK_GEN: if (C_MB_CLK_NOT_CONNECTED = 0) generate IVAR_REG_MEM_I: entity axi_intc_v4_1.shared_ram_ivar generic map ( C_WIDTH => C_DWIDTH, C_DPRAM_DEPTH => IVAR_MEM_DEPTH, C_ADDR_LINES => IVAR_MEM_ADDR_LINES, C_IVAR_RESET_VALUE => C_IVAR_RESET_VALUE ) port map ( Addra => Reg_addr(IVAR_MEM_ADDR_LINES-1 downto 0), Addrb => ivar_rd_addr_mb_clk(IVAR_MEM_ADDR_LINES-1 downto 0), Clka => Clk, Clkb => Processor_clk, Dina => wr_data, --Dinb => (others => '0'), --Ena => '1', --Enb => '1', Wea => write_ivar, --Web => '0', Douta => ivar_rd_data_axi_clk, Doutb => ivar_rd_data_mb_clk ); end generate IVAR_REG_MEM_MB_CLK_GEN; IVAR_REG_MEM_AXI_CLK_GEN: if (C_MB_CLK_NOT_CONNECTED = 1) generate IVAR_REG_MEM_I: entity axi_intc_v4_1.shared_ram_ivar generic map ( C_WIDTH => C_DWIDTH, C_DPRAM_DEPTH => IVAR_MEM_DEPTH, C_ADDR_LINES => IVAR_MEM_ADDR_LINES, C_IVAR_RESET_VALUE => C_IVAR_RESET_VALUE ) port map ( Addra => Reg_addr(IVAR_MEM_ADDR_LINES-1 downto 0), Addrb => ivar_rd_addr_mb_clk(IVAR_MEM_ADDR_LINES-1 downto 0), Clka => Clk, Clkb => Clk, Dina => wr_data, --Dinb => (others => '0'), --Ena => '1', --Enb => '1', Wea => write_ivar, --Web => '0', Douta => ivar_rd_data_axi_clk, Doutb => ivar_rd_data_mb_clk ); end generate IVAR_REG_MEM_AXI_CLK_GEN; end generate IVAR_FAST_MODE_GEN; ----------------------------------------------------------------------- -- Generating ier_out & isr_out if C_NUM_INTR /= C_DWIDTH ----------------------------------------------------------------------- REG_OUT_GEN_DWIDTH_NOT_EQ_NUM_INTR: if (C_NUM_INTR /= C_DWIDTH) generate ----- begin ----- ier_out(C_NUM_INTR - 1 downto 0) <= ier; ier_out(C_DWIDTH - 1 downto C_NUM_INTR) <= (others => '0'); isr_out(C_NUM_INTR - 1 downto 0) <= isr; isr_out(C_DWIDTH - 1 downto C_NUM_INTR) <= (others => '0'); imr_out(C_NUM_INTR - 1 downto 0) <= imr; imr_out(C_DWIDTH - 1 downto C_NUM_INTR) <= (others => '0'); isr_ored_30_0_bits <= or_reduce(isr(C_NUM_INTR-1 downto 0)); end generate REG_OUT_GEN_DWIDTH_NOT_EQ_NUM_INTR; ------------------------------------------------------------------------ -- Generating ier_out & isr_out if C_NUM_INTR = C_DWIDTH ------------------------------------------------------------------------ REG_OUT_GEN_DWIDTH_EQ_NUM_INTR: if (C_NUM_INTR = C_DWIDTH) generate ----- begin ----- ier_out <= ier; isr_out <= isr; imr_out <= imr; isr_ored_30_0_bits <= or_reduce(isr(C_NUM_INTR-2 downto 0)); end generate REG_OUT_GEN_DWIDTH_EQ_NUM_INTR; ilr_out (INDEX_BIT-1 downto 0) <= ilr(INDEX_BIT - 1 downto 0); ilr_out (C_DWIDTH-1 downto INDEX_BIT) <= (others => '1') when ilr(INDEX_BIT) = '1' else (others => '0'); ivr_out (INDEX_BIT-1 downto 0) <= ivr; ivr_out (C_DWIDTH-1 downto INDEX_BIT) <= (others => '1') when ((ivr = IVR_ALL_ONES)) else (others => '0'); -------------------------------------------------------------------------- -- Generate IPR if (C_HAS_IPR = 1) -------------------------------------------------------------------------- IPR_GEN: if (C_HAS_IPR = 1) generate ---------------------------------------------------------------------- -- Process IPR_P for generating IPR register ---------------------------------------------------------------------- IPR_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then ipr <= (others => '0'); else ipr <= isr_out and ier_out; end if; end if; end process IPR_P; ------------------ end generate IPR_GEN; --------------------- -------------------------------------------------------------------------- -- Assign IPR ALL ZEROS if (C_HAS_IPR = 0) -------------------------------------------------------------------------- IPR_NO_GEN: if (C_HAS_IPR = 0) generate ipr <= (others => '0'); end generate IPR_NO_GEN; -------------------------------------------------------------------------- -- Generate IVR if (C_HAS_IVR = 1 or C_HAS_FAST = 1) -------------------------------------------------------------------------- IVR_GEN: if ((C_HAS_IVR = 1) or (C_HAS_FAST = 1)) generate begin ---------------------------------------------------------------------- -- Process IVR_DATA_GEN_P for generating interrupt vector address ---------------------------------------------------------------------- IVR_DATA_GEN_P: process (isr, ier) is variable ivr_in : std_logic_vector(INDEX_BIT - 1 downto 0) := (others => '1'); ----- begin ----- for i in 0 to (C_NUM_INTR - 1) loop if ((isr(i) = '1') and (ier(i) = '1')) then --ivr_in := CONV_STD_LOGIC_VECTOR(i, INDEX_BIT); ivr_in := std_logic_vector(to_unsigned(i, INDEX_BIT)); exit; else ivr_in := (others => '1'); end if; end loop; ivr_data_in <= ivr_in; end process IVR_DATA_GEN_P; ---------------------------------------------------------------------- -- Process IVR_P for generating IVR register ---------------------------------------------------------------------- IVR_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then ivr <= (others => '1'); else ivr <= ivr_data_in; end if; end if; end process IVR_P; end generate IVR_GEN; -------------------------------------------------------------------------- -- Assign IVR ALL ONES if (C_HAS_IVR = 0) and (C_HAS_FAST = 0) -------------------------------------------------------------------------- IVR_NO_GEN: if ((C_HAS_IVR = 0) and (C_HAS_FAST = 0)) generate ivr <= (others => '1'); end generate IVR_NO_GEN; -------------------------------------------------------------------------- -- Generate ILR if (C_HAS_ILR = 1) -------------------------------------------------------------------------- ILR_GEN: if (C_HAS_ILR = 1) generate begin ---------------------------------------------------------------------- -- Process ILR_P for generating ILR register ---------------------------------------------------------------------- ILR_P: process (Clk) is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then ilr <= (others => '1'); elsif (bus2ip_wrce(9) = '1') then ilr <= Wr_data(INDEX_BIT downto 0); end if; end if; end process ILR_P; end generate ILR_GEN; -------------------------------------------------------------------------- -- Assign ILR ALL ONES if (C_HAS_ILR = 0) -------------------------------------------------------------------------- ILR_NO_GEN: if (C_HAS_ILR = 0) generate begin ilr <= (others => '1'); end generate ILR_NO_GEN; -------------------------------------------------------------------------- -- DETECTING HW INTERRUPT -------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Detecting the interrupts --------------------------------------------------------------------------- INTR_DETECT_GEN: for i in 0 to C_NUM_INTR_INPUTS - 1 generate signal synced_intr : std_logic := '0'; begin ----------------------------------------------------------------------- -- Generating the synchronization flip-flops if C_ASYNC_INTR(i) = 1 ----------------------------------------------------------------------- ASYNC_GEN: if C_ASYNC_INTR(i) = '1' and C_NUM_SYNC_FF > 0 generate signal intr_ff : std_logic_vector(0 to C_NUM_SYNC_FF - 1) := (others => '0'); attribute ASYNC_REG : string; attribute ASYNC_REG of intr_ff : signal is "TRUE"; begin -------------------------------------------- -- Process SYNC_P to synchronize hw_intr -------------------------------------------- SYNC_P : process (Clk) is begin if Clk'event and Clk = '1' then intr_ff(0) <= Intr(i); for k in intr_ff'left to intr_ff'right - 1 loop intr_ff(k + 1) <= intr_ff(k); end loop; end if; end process SYNC_P; synced_intr <= intr_ff(intr_ff'right); ------------------------------ end generate ASYNC_GEN; ----------------------------------------------------------------------- -- No synchronization flip-flops if C_ASYNC_INTR(i) = 0 ----------------------------------------------------------------------- SYNC_GEN: if C_ASYNC_INTR(i) = '0' or C_NUM_SYNC_FF = 0 generate begin synced_intr <= Intr(i); end generate SYNC_GEN; ----------------------------------------------------------------------- -- Generating the edge triggered interrupts if C_KIND_OF_INTR(i) = 1 ----------------------------------------------------------------------- EDGE_DETECT_GEN: if C_KIND_OF_INTR(i) = '1' generate signal intr_d1 : std_logic; signal intr_edge : std_logic; begin ---------------------------------------------------------------- -- Process REG_INTR_EDGE_P to register the interrupt signal edge ---------------------------------------------------------------- REG_INTR_EDGE_P : process (Clk) is begin if(Clk'event and Clk='1') then if Rst_n = RESET_ACTIVE then intr_d1 <= not C_KIND_OF_EDGE(i); else intr_d1 <= synced_intr; end if; end if; end process REG_INTR_EDGE_P; -- Creating one-shot edge triggered interrupt intr_edge <= '1' when (synced_intr = C_KIND_OF_EDGE(i)) and (intr_d1 = not C_KIND_OF_EDGE(i)) else '0'; ----------------------------------------------------------------- -- Process DETECT_INTR_P to generate the edge triggered interrupt ----------------------------------------------------------------- DETECT_INTR_P : process (Clk) is begin if Clk'event and Clk='1' then if (Rst_n = RESET_ACTIVE) or (iar(i) = '1') then hw_intr(i) <= '0'; elsif (intr_edge = '1') then hw_intr(i) <= '1'; end if; end if; end process DETECT_INTR_P; -------------------------- end generate EDGE_DETECT_GEN; ---------------------------------------------------------------------- -- Generating the Level trigeered interrupts if C_KIND_OF_INTR(i) = 0 ---------------------------------------------------------------------- LVL_DETECT_GEN: if C_KIND_OF_INTR(i) = '0' generate begin ------------------------------------------------------------------ -- Process LVL_P to generate hw_intr (active high or low) ------------------------------------------------------------------ LVL_P : process (Clk) is begin if Clk'event and Clk = '1' then if (Rst_n = RESET_ACTIVE) or (iar(i) = '1') then hw_intr(i) <= '0'; elsif synced_intr = C_KIND_OF_LVL(i) then hw_intr(i) <= '1'; end if; end if; end process LVL_P; ------------------ end generate LVL_DETECT_GEN; end generate INTR_DETECT_GEN; -------------------------------------------------------------------------- -- Checking Active Interrupt/Interrupts -------------------------------------------------------------------------- IRQ_ONE_INTR_GEN: if (C_NUM_INTR = 1) generate ----- begin ----- irq_gen_i<= isr(0) and ier(0) and ilr(0); end generate IRQ_ONE_INTR_GEN; IRQ_MULTI_INTR_GEN: if (C_NUM_INTR > 1) generate ----- begin ----- -------------------------------------------------------------- -- Process IRQ_GEN_P to generate irq_gen -------------------------------------------------------------- IRQ_GEN_P: process (isr, ier, ilr) is variable ilr_value : integer; variable irq_gen_int : std_logic; ----- begin ----- ilr_value := TO_INTEGER(unsigned( ilr(INDEX_BIT - 1 downto 0) )); irq_gen_int := '0'; for i in 0 to (isr'length - 1) loop if (C_HAS_ILR = 1) then exit when (i = ilr_value) and (ilr(INDEX_BIT) = '0'); end if; irq_gen_int := irq_gen_int or (isr(i) and ier(i)); end loop; irq_gen_i <= irq_gen_int; end process IRQ_GEN_P; ---------------------- end generate IRQ_MULTI_INTR_GEN; -------------------------------- -- Registering irq_gen_i as it will be going through double synchronizer IRQ_GEN_REG_P : Process(Clk)is ----- begin ----- if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then irq_gen <= '0'; else irq_gen <= irq_gen_i; end if; end if; end process IRQ_GEN_REG_P; -------------------------- -------------------------------------------------------------- -- Synchronizing irq_gen -------------------------------------------------------------- IRQ_GEN_SYNC_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate ----- begin ----- -- Synchronize irq_gen to Processor clock domain IRQ_GEN_DOUBLE_SYNC_I: entity axi_intc_v4_1.double_synchronizer generic map ( C_DWIDTH => 1 ) port map ( CLK_2 => Processor_clk, RESET_2_n => processor_rst_n, DATA_IN => scalar_to_vector(irq_gen), vector_to_scalar(SYNC_DATA_OUT) => irq_gen_sync ); end generate IRQ_GEN_SYNC_GEN; IRQ_GEN_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate irq_gen_sync <= irq_gen; end generate IRQ_GEN_SYNC_DISABLE_GEN; --------------------------------------------------------------- -- Process to synchronize irq_gen and "ivar" to Processor Clock --------------------------------------------------------------- IVAR_INDEX_SYNC_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 0)) generate ----- begin ----- IN_IDLE_SYNC_EN_GEN: if (C_DISABLE_SYNCHRONIZERS = 0) generate IN_IDLE_DOUBLE_SYNC_I: entity axi_intc_v4_1.double_synchronizer generic map ( C_DWIDTH => 1 ) port map ( CLK_2 => Clk, RESET_2_n => Rst_n, DATA_IN => scalar_to_vector(in_idle), vector_to_scalar(SYNC_DATA_OUT) => in_idle_axi_clk ); end generate IN_IDLE_SYNC_EN_GEN; --------------------------------- IN_IDLE_SYNC_DISABLE_GEN: if (C_DISABLE_SYNCHRONIZERS = 1) generate in_idle_axi_clk <= in_idle; end generate IN_IDLE_SYNC_DISABLE_GEN; -------------------------------------- idle_and_irq <= in_idle_axi_clk and irq_gen_i and mer(0); ------------------------------------ IDLE_IRQ_DELAY_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then idle_and_irq_d1 <= '0'; else idle_and_irq_d1 <= idle_and_irq; end if; end if; end process IDLE_IRQ_DELAY_P; ------------------------------------ ivar_index_sample_en_i <= idle_and_irq and (not idle_and_irq_d1); ------------------------------------ SAMPLE_REG_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then ivar_index_sample_en <= '0'; else ivar_index_sample_en <= ivar_index_sample_en_i; end if; end if; end process SAMPLE_REG_P; ------------------------------------ IVAR_INDEX_SYNC_EN_GEN: if (C_DISABLE_SYNCHRONIZERS = 0) generate IRQ_GEN_EDGE_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Clk, RESET_1_n => Rst_n, DATA_IN => ivar_index_sample_en, CLK_2 => Processor_clk, RESET_2_n => processor_rst_n, SYNC_DATA_OUT => ivar_index_sample_en_mb_clk ); end generate IVAR_INDEX_SYNC_EN_GEN; ------------------------------------ IVAR_INDEX_SYNC_DISABLE_GEN: if (C_DISABLE_SYNCHRONIZERS = 1) generate ivar_index_sample_en_mb_clk <= ivar_index_sample_en; end generate IVAR_INDEX_SYNC_DISABLE_GEN; ------------------------------------ IVAR_INDEX_AXI_REG_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then ivar_index_axi_clk <= (others => '0'); else if (ivar_index_sample_en_i = '1') then ivar_index_axi_clk <= ivr_data_in; else ivar_index_axi_clk <= ivar_index_axi_clk; end if; end if; end if; end process IVAR_INDEX_AXI_REG_P; ------------------------------------ IVAR_INDEX_MB_REG_P : Process(Processor_clk) begin if (Processor_clk'event and Processor_clk = '1') then if (processor_rst_n = RESET_ACTIVE) then ivar_index_mb_clk <= (others => '0'); else if (ivar_index_sample_en_mb_clk = '1') then ivar_index_mb_clk <= ivar_index_axi_clk; else ivar_index_mb_clk <= ivar_index_mb_clk; end if; end if; end if; end process IVAR_INDEX_MB_REG_P; ------------------------------------ ivar_rd_addr_mb_clk <= std_logic_vector(to_unsigned(TO_INTEGER(unsigned(ivar_index_mb_clk)), 5)); ------------------------------------ end generate IVAR_INDEX_SYNC_GEN; --------------------------------------------------------------------- -- Process to synchronize irq_gen disable to Processor Clock with ILR --------------------------------------------------------------------- IRQ_DIS_SYNC_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 0) and (C_HAS_ILR = 1)) generate signal irq_dis : std_logic; signal irq_dis_d1 : std_logic; signal irq_dis_sample_i : std_logic; signal irq_dis_sample : std_logic; begin irq_dis <= not irq_gen_i; IDLE_NOT_IRQ_DELAY_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then irq_dis_d1 <= '0'; else irq_dis_d1 <= irq_dis; end if; end if; end process IDLE_NOT_IRQ_DELAY_P; irq_dis_sample_i <= irq_dis and (not irq_dis_d1); SAMPLE_REG_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then irq_dis_sample <= '0'; else irq_dis_sample <= irq_dis_sample_i; end if; end if; end process SAMPLE_REG_P; IRQ_DIS_SYNC_EN_GEN: if (C_DISABLE_SYNCHRONIZERS = 0) generate IRQ_GEN_EDGE_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Clk, RESET_1_n => Rst_n, DATA_IN => irq_dis_sample, CLK_2 => Processor_clk, RESET_2_n => processor_rst_n, SYNC_DATA_OUT => irq_dis_sample_mb_clk ); end generate IRQ_DIS_SYNC_EN_GEN; IRQ_DIS_SYNC_DISABLE_GEN: if (C_DISABLE_SYNCHRONIZERS = 1) generate irq_dis_sample_mb_clk <= irq_dis_sample; end generate IRQ_DIS_SYNC_DISABLE_GEN; end generate IRQ_DIS_SYNC_GEN; --------------------------------------------------------------- -- Process to synchronize irq_gen and "ivar" to Processor Clock --------------------------------------------------------------- IVAR_INDEX_SYNC_ON_AXI_CLK_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 1)) generate ----- begin ----- in_idle_axi_clk <= in_idle; ------------------------------------ idle_and_irq <= in_idle_axi_clk and irq_gen and mer(0); ------------------------------------ IDLE_IRQ_DELAY_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then idle_and_irq_d1 <= '0'; else idle_and_irq_d1 <= idle_and_irq; end if; end if; end process IDLE_IRQ_DELAY_P; -------------------------------- ivar_index_sample_en_i <= idle_and_irq and (not idle_and_irq_d1); -------------------------------- SAMPLE_REG_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then ivar_index_sample_en <= '0'; else ivar_index_sample_en <= ivar_index_sample_en_i; end if; end if; end process SAMPLE_REG_P; -------------------------------- ivar_index_sample_en_mb_clk <= ivar_index_sample_en; -------------------------------- IVAR_INDEX_AXI_REG_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then ivar_index_axi_clk <= (others => '0'); else if (ivar_index_sample_en_i = '1') then ivar_index_axi_clk <= ivr; else ivar_index_axi_clk <= ivar_index_axi_clk; end if; end if; end if; end process IVAR_INDEX_AXI_REG_P; -------------------------------- ivar_index_mb_clk <= ivar_index_axi_clk; -------------------------------- ivar_rd_addr_mb_clk <= std_logic_vector(to_unsigned(TO_INTEGER(unsigned(ivar_index_mb_clk)), 5)); end generate IVAR_INDEX_SYNC_ON_AXI_CLK_GEN; --------------------------------------------------------------------- -- Process to synchronize irq_gen disable to Processor Clock with ILR --------------------------------------------------------------------- IRQ_DIS_SYNC_ON_AXI_CLK_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 1) and (C_HAS_ILR = 1)) generate signal irq_dis : std_logic; signal irq_dis_d1 : std_logic; signal irq_dis_sample_i : std_logic; signal irq_dis_sample : std_logic; begin irq_dis <= not irq_gen; IDLE_IRQ_DELAY_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then irq_dis_d1 <= '0'; else irq_dis_d1 <= irq_dis; end if; end if; end process IDLE_IRQ_DELAY_P; irq_dis_sample_i <= irq_dis and (not irq_dis_d1); SAMPLE_REG_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then irq_dis_sample <= '0'; else irq_dis_sample <= irq_dis_sample_i; end if; end if; end process SAMPLE_REG_P; irq_dis_sample_mb_clk <= irq_dis_sample; end generate IRQ_DIS_SYNC_ON_AXI_CLK_GEN; NO_IRQ_DIS_SYNC: if (C_HAS_FAST = 0) or (C_HAS_ILR = 0) generate begin irq_dis_sample_mb_clk <= '0'; end generate NO_IRQ_DIS_SYNC; ---------------------------------------------------------------------- -- MER_0_DOUBLE_SYNC_I to synchronize MER(0) with Processor_clk ---------------------------------------------------------------------- MER_SYNC_EN_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate --Synchronize mer(0) to Processor clock domain MER_0_DOUBLE_SYNC_I: entity axi_intc_v4_1.double_synchronizer generic map ( C_DWIDTH => 1 ) port map ( CLK_2 => Processor_clk, RESET_2_n => processor_rst_n, DATA_IN => scalar_to_vector(mer(0)), vector_to_scalar(SYNC_DATA_OUT) => mer_0_sync ); end generate MER_SYNC_EN_GEN; ------------------------------ MER_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate mer_0_sync <= mer(0); end generate MER_SYNC_DISABLE_GEN; -------------------------------------------------------------------------- -- Generating LEVEL interrupt if C_IRQ_IS_LEVEL = 1 -------------------------------------------------------------------------- IRQ_LEVEL_GEN: if (C_IRQ_IS_LEVEL = 1) generate -- Level IRQ generation if C_HAS_FAST is 1 IRQ_LEVEL_FAST_ON_MB_CLK_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 0)) generate -- Type declaration type STATE_TYPE is (IDLE, GEN_LEVEL_IRQ, WAIT_ACK); -- Signal declaration signal current_state : STATE_TYPE; begin -- generate in_idle signal GEN_IN_IDLE_P : process (Processor_clk) begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then in_idle <= '0'; else if (current_state = IDLE) then in_idle <= '1'; else in_idle <= '0'; end if; end if; end if; end process GEN_IN_IDLE_P; -------------------------------------------------------------- --The sequential process below maintains the current_state -------------------------------------------------------------- GEN_CS_P : process (Processor_clk) begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then current_state <= IDLE; else case current_state is when IDLE => if ((ivar_index_sample_en_mb_clk = '1')) then current_state <= GEN_LEVEL_IRQ; else current_state <= IDLE; end if; when GEN_LEVEL_IRQ => if (imr(TO_INTEGER(unsigned(ivar_index_mb_clk))) = '1') then if (first_ack = '1') then current_state <= WAIT_ACK; else current_state <= GEN_LEVEL_IRQ; end if; else if (ack_or_sync = '1') or (irq_dis_sample_mb_clk = '1') then current_state <= IDLE; else current_state <= GEN_LEVEL_IRQ; end if; end if; when WAIT_ACK => if (second_ack_sync_mb_clk = '1') then current_state <= IDLE; else current_state <= WAIT_ACK; end if; -- coverage off when others => current_state <= IDLE; -- coverage on end case; end if; end if; end process GEN_CS_P; -------------------------------------------------------------------- -- Process IRQ_LEVEL_P for generating LEVEL interrupt -------------------------------------------------------------------- Irq_i <= C_IRQ_ACTIVE when (current_state = GEN_LEVEL_IRQ) else not C_IRQ_ACTIVE; ----------------------------- GEN_LEVEL_IRQ_P : process (Processor_clk) begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then Irq <= (not C_IRQ_ACTIVE); else Irq <= Irq_i; end if; end if; end process GEN_LEVEL_IRQ_P; ----------------------------- NO_CASCADE_IVAR_ADDRESS: -- if (C_CASCADE_MASTER = 0) generate if (C_EN_CASCADE_MODE = 0) and (C_CASCADE_MASTER = 0) generate begin ----- Interrupt_address <= ivar_rd_data_mb_clk; end generate NO_CASCADE_IVAR_ADDRESS; ------------------------------------- CASCADE_IVAR_ADDRESS: if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 0) generate signal Interrupt_address_in_reg : std_logic_vector(31 downto 0); ----- begin ----- REG_IP_INTR_ADDR_IN: process(Processor_clk)is begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then Interrupt_address_in_reg <= (others => '0'); else Interrupt_address_in_reg <= Interrupt_address_in; end if; end if; end process REG_IP_INTR_ADDR_IN; -------------------------------- Interrupt_address_in_reg_int <= Interrupt_address_in_reg; -------------------------------- Interrupt_address <= Interrupt_address_in_reg when ((isr(31) = '1') and (ier(31) = '1') and (isr_ored_30_0_bits = '0') ) else ivar_rd_data_mb_clk; end generate CASCADE_IVAR_ADDRESS; ---------------------------------- CASCADE_IVAR_ADDRESS_MST_MD: if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 1) generate -- local signal declaration signal Interrupt_address_in_reg : std_logic_vector(31 downto 0); ----- begin ----- REG_IP_INTR_ADDR_IN: process(Processor_clk)is begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then Interrupt_address_in_reg <= (others => '0'); else Interrupt_address_in_reg <= Interrupt_address_in; end if; end if; end process REG_IP_INTR_ADDR_IN; -------------------------------- Interrupt_address_in_reg_int <= Interrupt_address_in_reg; -------------------------------- Interrupt_address <= Interrupt_address_in_reg when ((isr(31) = '1') and (ier(31) = '1') and (isr_ored_30_0_bits = '0') ) else ivar_rd_data_mb_clk; end generate CASCADE_IVAR_ADDRESS_MST_MD; end generate IRQ_LEVEL_FAST_ON_MB_CLK_GEN; ------------------------------------------------------------------ IRQ_LEVEL_FAST_ON_AXI_CLK_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 1)) generate -- Type declaration type STATE_TYPE is (IDLE, GEN_LEVEL_IRQ, WAIT_ACK); -- Signal declaration signal current_state : STATE_TYPE; begin -- generate in_idle signal GEN_IN_IDLE_P : process (Clk) begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then in_idle <= '0'; else if (current_state = IDLE) then in_idle <= '1'; else in_idle <= '0'; end if; end if; end if; end process GEN_IN_IDLE_P; -------------------------------------------------------------- --The sequential process below maintains the current_state -------------------------------------------------------------- GEN_CS_P : process (Clk) begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then current_state <= IDLE; else case current_state is when IDLE => if (ivar_index_sample_en_mb_clk = '1') then current_state <= GEN_LEVEL_IRQ; else current_state <= IDLE; end if; when GEN_LEVEL_IRQ => if (imr(TO_INTEGER(unsigned(ivar_index_mb_clk))) = '1') then if (first_ack = '1') then current_state <= WAIT_ACK; else current_state <= GEN_LEVEL_IRQ; end if; else if (ack_or_sync = '1') or (irq_dis_sample_mb_clk = '1') then current_state <= IDLE; else current_state <= GEN_LEVEL_IRQ; end if; end if; when WAIT_ACK => if (second_ack_sync_mb_clk = '1') then current_state <= IDLE; else current_state <= WAIT_ACK; end if; -- coverage off when others => current_state <= IDLE; -- coverage on end case; end if; end if; end process GEN_CS_P; -------------------------------------------------------------------- -- Process IRQ_LEVEL_P for generating LEVEL interrupt -------------------------------------------------------------------- Irq_i <= C_IRQ_ACTIVE when (current_state = GEN_LEVEL_IRQ) else not C_IRQ_ACTIVE; ------------------------------- GEN_LEVEL_IRQ_P : process (Clk) begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then Irq <= (not C_IRQ_ACTIVE); else Irq <= Irq_i; end if; end if; end process GEN_LEVEL_IRQ_P; ---------------------------- -- Interrupt_address <= ivar_rd_data_mb_clk; NO_CASCADE_IVAR_ADDRESS: -- if (C_CASCADE_MASTER = 0) generate if (C_EN_CASCADE_MODE = 0) and (C_CASCADE_MASTER = 0) generate begin ----- Interrupt_address <= ivar_rd_data_mb_clk; end generate NO_CASCADE_IVAR_ADDRESS; CASCADE_IVAR_ADDRESS: if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 0) generate signal Interrupt_address_in_reg : std_logic_vector(31 downto 0); ----- begin ----- REG_IP_INTR_ADDR_IN: process(Clk)is begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then Interrupt_address_in_reg <= (others => '0'); else Interrupt_address_in_reg <= Interrupt_address_in; end if; end if; end process REG_IP_INTR_ADDR_IN; -------------------------------- Interrupt_address_in_reg_int <= Interrupt_address_in_reg; -------------------------------- Interrupt_address <= Interrupt_address_in_reg when ((isr(31) = '1') and (ier(31) = '1') and (isr_ored_30_0_bits = '0') ) else ivar_rd_data_mb_clk; end generate CASCADE_IVAR_ADDRESS; ---------------------------------- CASCADE_IVAR_ADDRESS_MST_MD: if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 1) generate signal Interrupt_address_in_reg : std_logic_vector(31 downto 0); ----- begin ----- REG_IP_INTR_ADDR_IN: process(Clk)is begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then Interrupt_address_in_reg <= (others => '0'); else Interrupt_address_in_reg <= Interrupt_address_in; end if; end if; end process REG_IP_INTR_ADDR_IN; -------------------------------- Interrupt_address_in_reg_int <= Interrupt_address_in_reg; -------------------------------- Interrupt_address <= Interrupt_address_in_reg when ((isr(31) = '1') and (ier(31) = '1') and (isr_ored_30_0_bits = '0') ) else ivar_rd_data_mb_clk; end generate CASCADE_IVAR_ADDRESS_MST_MD; ------------------------------------------- end generate IRQ_LEVEL_FAST_ON_AXI_CLK_GEN; -- Level IRQ generation if C_HAS_FAST is 0 IRQ_LEVEL_NORMAL_ON_MB_CLK_GEN: if ((C_HAS_FAST = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate -------------------------------------------------------------------- -- Process IRQ_LEVEL_P for generating LEVEL interrupt -------------------------------------------------------------------- IRQ_LEVEL_P: process (Processor_clk) is begin if(Processor_clk'event and Processor_clk = '1') then if ((processor_rst_n = RESET_ACTIVE) or (irq_gen_sync = '0')) then Irq <= not C_IRQ_ACTIVE; elsif ((irq_gen_sync = '1') and (mer_0_sync = '1')) then Irq <= C_IRQ_ACTIVE; end if; end if; end process IRQ_LEVEL_P; ------------------------------------- Interrupt_address <= (others => '0'); ------------------------------------- end generate IRQ_LEVEL_NORMAL_ON_MB_CLK_GEN; IRQ_LEVEL_NORMAL_ON_AXI_CLK_GEN: if ((C_HAS_FAST = 0) and (C_MB_CLK_NOT_CONNECTED = 1)) generate -------------------------------------------------------------------- -- Process IRQ_LEVEL_P for generating LEVEL interrupt -------------------------------------------------------------------- IRQ_LEVEL_ON_AXI_P: process (Clk) is begin if(Clk'event and Clk = '1') then if ((Rst_n = RESET_ACTIVE) or (irq_gen_sync = '0')) then Irq <= not C_IRQ_ACTIVE; elsif ((irq_gen_sync = '1') and (mer_0_sync = '1')) then Irq <= C_IRQ_ACTIVE; end if; end if; end process IRQ_LEVEL_ON_AXI_P; Interrupt_address <= (others => '0'); end generate IRQ_LEVEL_NORMAL_ON_AXI_CLK_GEN; end generate IRQ_LEVEL_GEN; ---------------------------------------------------------------------- -- Generating ack_or for C_NUM_INTR = 1 ---------------------------------------------------------------------- ACK_OR_ONE_INTR_GEN: if (C_NUM_INTR = 1) generate ack_or_i <= iar(0); end generate ACK_OR_ONE_INTR_GEN; ---------------------------------------------------------------------- -- Generating ack_or for C_NUM_INTR > 1 ---------------------------------------------------------------------- ACK_OR_MULTI_INTR_GEN: if (C_NUM_INTR > 1) generate ----- begin ----- -------------------------------------------------------------- -- Process ACK_OR_GEN_P to generate ack_or (ORed Acks) -------------------------------------------------------------- ACK_OR_GEN_P: process (iar) variable ack_or_int : std_logic := '0'; begin ack_or_int := iar(0); for i in 1 to (iar'length - 1) loop ack_or_int := ack_or_int or (iar(i)); end loop; ack_or_i <= ack_or_int; end process ACK_OR_GEN_P; end generate ACK_OR_MULTI_INTR_GEN; ---------------------------------- ACK_OR_REG_P : Process(Clk) begin if (Clk'event and Clk = '1') then if (Rst_n = RESET_ACTIVE) then ack_or <= '0'; else ack_or <= ack_or_i; end if; end if; end process ACK_OR_REG_P; ------------------------- ACK_OR_SYNC_EN_GEN: if ((C_DISABLE_SYNCHRONIZERS = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate ACK_OR_PULSE_SYNC_I: entity axi_intc_v4_1.pulse_synchronizer port map ( CLK_1 => Clk, RESET_1_n => Rst_n, DATA_IN => ack_or, CLK_2 => Processor_clk, RESET_2_n => processor_rst_n, SYNC_DATA_OUT => ack_or_sync ); end generate ACK_OR_SYNC_EN_GEN; ACK_OR_SYNC_DISABLE_GEN: if ((C_DISABLE_SYNCHRONIZERS = 1) or (C_MB_CLK_NOT_CONNECTED = 1)) generate ack_or_sync <= ack_or; end generate ACK_OR_SYNC_DISABLE_GEN; -------------------------------------------------------------------------- -- Generating EDGE interrupt if C_IRQ_IS_LEVEL = 0 -------------------------------------------------------------------------- IRQ_EDGE_GEN: if (C_IRQ_IS_LEVEL = 0) generate IRQ_EDGE_FAST_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 0)) generate -- Type declaration type STATE_TYPE is (IDLE, GEN_PULSE, WAIT_ACK); -- Signal declaration signal current_state : STATE_TYPE; begin -- generate in_idle signal GEN_IN_IDLE_P : process (Processor_clk) begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then in_idle <= '0'; else if (current_state = IDLE) then in_idle <= '1'; else in_idle <= '0'; end if; end if; end if; end process GEN_IN_IDLE_P; -------------------------------------------------------------- --The sequential process below maintains the current_state -------------------------------------------------------------- GEN_CS_P : process (Processor_clk) begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then current_state <= IDLE; else case current_state is when IDLE => if (ivar_index_sample_en_mb_clk = '1') then current_state <= GEN_PULSE; else current_state <= IDLE; end if; when GEN_PULSE => if (imr(TO_INTEGER(unsigned(ivar_index_mb_clk))) = '1') then if (first_ack = '1') then current_state <= WAIT_ACK; else current_state <= GEN_PULSE; end if; else if (ack_or_sync = '1') or (irq_dis_sample_mb_clk = '1') then current_state <= IDLE; else current_state <= GEN_PULSE; end if; end if; when WAIT_ACK => if (second_ack_sync_mb_clk = '1') then current_state <= IDLE; else current_state <= WAIT_ACK; end if; -- coverage off when others => current_state <= IDLE; -- coverage on end case; end if; end if; end process GEN_CS_P; Irq_i <= C_IRQ_ACTIVE when (current_state = GEN_PULSE) else (not C_IRQ_ACTIVE); GEN_IRQ_P : process (Processor_clk) begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then Irq <= (not C_IRQ_ACTIVE); else Irq <= Irq_i; end if; end if; end process GEN_IRQ_P; -- Interrupt_address <= ivar_rd_data_mb_clk; -- 09-09-2012 NO_CASCADE_IVAR_ADDRESS: -- if (C_CASCADE_MASTER = 0) generate if (C_EN_CASCADE_MODE = 0) and (C_CASCADE_MASTER = 0) generate begin ----- Interrupt_address <= ivar_rd_data_mb_clk; end generate NO_CASCADE_IVAR_ADDRESS; CASCADE_IVAR_ADDRESS: if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 0) generate signal Interrupt_address_in_reg : std_logic_vector(31 downto 0); ----- begin ----- REG_IP_INTR_ADDR_IN: process(Processor_clk)is begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then Interrupt_address_in_reg <= (others => '0'); else Interrupt_address_in_reg <= Interrupt_address_in; end if; end if; end process REG_IP_INTR_ADDR_IN; -------------------------------- Interrupt_address_in_reg_int <= Interrupt_address_in_reg; -------------------------------- Interrupt_address <= Interrupt_address_in_reg when ((isr(31) = '1') and (ier(31) = '1') and (isr_ored_30_0_bits = '0') ) else ivar_rd_data_mb_clk; end generate CASCADE_IVAR_ADDRESS; --------------------------------------------------- CASCADE_IVAR_ADDRESS_MST_MD: if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 1) generate signal Interrupt_address_in_reg : std_logic_vector(31 downto 0); ----- begin ----- REG_IP_INTR_ADDR_IN: process(Processor_clk)is begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then Interrupt_address_in_reg <= (others => '0'); else Interrupt_address_in_reg <= Interrupt_address_in; end if; end if; end process REG_IP_INTR_ADDR_IN; -------------------------------- Interrupt_address_in_reg_int <= Interrupt_address_in_reg; -------------------------------- Interrupt_address <= Interrupt_address_in_reg when ((isr(31) = '1') and (ier(31) = '1') and (isr_ored_30_0_bits = '0') ) else ivar_rd_data_mb_clk; end generate CASCADE_IVAR_ADDRESS_MST_MD; --------------------------------------------------- end generate IRQ_EDGE_FAST_GEN; IRQ_EDGE_FAST_ON_AXI_CLK_GEN: if ((C_HAS_FAST = 1) and (C_MB_CLK_NOT_CONNECTED = 1)) generate -- Type declaration type STATE_TYPE is (IDLE, GEN_PULSE, WAIT_ACK); -- Signal declaration signal current_state : STATE_TYPE; begin -- generate in_idle signal GEN_IN_IDLE_P : process (Clk) begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then in_idle <= '0'; else if (current_state = IDLE) then in_idle <= '1'; else in_idle <= '0'; end if; end if; end if; end process GEN_IN_IDLE_P; -------------------------------------------------------------- --The sequential process below maintains the current_state -------------------------------------------------------------- GEN_CS_P : process (Clk) begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then current_state <= IDLE; else case current_state is when IDLE => if (ivar_index_sample_en_mb_clk = '1') then current_state <= GEN_PULSE; else current_state <= IDLE; end if; when GEN_PULSE => if (imr(TO_INTEGER(unsigned(ivar_index_mb_clk))) = '1') then if (first_ack = '1') then current_state <= WAIT_ACK; else current_state <= GEN_PULSE; end if; else if (ack_or_sync = '1') or (irq_dis_sample_mb_clk = '1') then current_state <= IDLE; else current_state <= GEN_PULSE; end if; end if; when WAIT_ACK => if (second_ack_sync_mb_clk = '1') then current_state <= IDLE; else current_state <= WAIT_ACK; end if; -- coverage off when others => current_state <= IDLE; -- coverage on end case; end if; end if; end process GEN_CS_P; --------------------------- Irq_i <= C_IRQ_ACTIVE when (current_state = GEN_PULSE) else (not C_IRQ_ACTIVE); --------------------------- GEN_IRQ_P : process (Clk) begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then Irq <= (not C_IRQ_ACTIVE); else Irq <= Irq_i; end if; end if; end process GEN_IRQ_P; ----------------------- -- Interrupt_address <= ivar_rd_data_mb_clk; -- 09-09-2012 NO_CASCADE_IVAR_ADDRESS: -- if (C_CASCADE_MASTER = 0) generate if (C_EN_CASCADE_MODE = 0) and (C_CASCADE_MASTER = 0) generate begin ----- Interrupt_address <= ivar_rd_data_mb_clk; end generate NO_CASCADE_IVAR_ADDRESS; ------------------------------------- CASCADE_IVAR_ADDRESS: if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 0) generate signal Interrupt_address_in_reg : std_logic_vector(31 downto 0); ----- begin ----- REG_IP_INTR_ADDR_IN: process(Clk)is begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then Interrupt_address_in_reg <= (others => '0'); else Interrupt_address_in_reg <= Interrupt_address_in; end if; end if; end process REG_IP_INTR_ADDR_IN; -------------------------------- Interrupt_address_in_reg_int <= Interrupt_address_in_reg; -------------------------------- Interrupt_address <= Interrupt_address_in_reg when ((isr(31) = '1') and (ier(31) = '1') and (isr_ored_30_0_bits = '0') ) else ivar_rd_data_mb_clk; end generate CASCADE_IVAR_ADDRESS; --------------------------------------------------------------- CASCADE_IVAR_ADDRESS_MST_MD: if (C_EN_CASCADE_MODE = 1) and (C_CASCADE_MASTER = 1) generate signal Interrupt_address_in_reg : std_logic_vector(31 downto 0); ----- begin ----- REG_IP_INTR_ADDR_IN: process(Clk)is begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then Interrupt_address_in_reg <= (others => '0'); else Interrupt_address_in_reg <= Interrupt_address_in; end if; end if; end process REG_IP_INTR_ADDR_IN; -------------------------------- Interrupt_address_in_reg_int <= Interrupt_address_in_reg; -------------------------------- Interrupt_address <= Interrupt_address_in_reg when ((isr(31) = '1') and (ier(31) = '1') and (isr_ored_30_0_bits = '0') ) else ivar_rd_data_mb_clk; end generate CASCADE_IVAR_ADDRESS_MST_MD; --------------------------------------------------------------- end generate IRQ_EDGE_FAST_ON_AXI_CLK_GEN; --IRQ_EDGE_NORMAL_GEN: if (C_HAS_FAST = 0) generate IRQ_EDGE_NO_MB_CLK_GEN: if ((C_HAS_FAST = 0) and (C_MB_CLK_NOT_CONNECTED = 1)) generate -- Type declaration type STATE_TYPE is (IDLE, GEN_PULSE, WAIT_ACK); -- Signal declaration signal current_state : STATE_TYPE; begin -------------------------------------------------------------- --The sequential process below maintains the current_state -------------------------------------------------------------- GEN_CS_P : process (Clk) begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then current_state <= IDLE; else case current_state is when IDLE => if ((irq_gen_sync = '1') and (mer_0_sync = '1')) then current_state <= GEN_PULSE; else current_state <= IDLE; end if; when GEN_PULSE => current_state <= WAIT_ACK; when WAIT_ACK => if (ack_or_sync = '1') then current_state <= IDLE; else current_state <= WAIT_ACK; end if; end case; end if; end if; end process GEN_CS_P; GEN_IRQ_AND_ADDR_P : process (Clk) begin if(Clk'event and Clk='1') then if (Rst_n = RESET_ACTIVE) then Irq <= (not C_IRQ_ACTIVE); else if (current_state = GEN_PULSE) then Irq <= C_IRQ_ACTIVE; else Irq <= not C_IRQ_ACTIVE; end if; end if; end if; end process GEN_IRQ_AND_ADDR_P; Interrupt_address <= (others => '0'); end generate IRQ_EDGE_NO_MB_CLK_GEN; IRQ_EDGE_MB_CLK_GEN: if ((C_HAS_FAST = 0) and (C_MB_CLK_NOT_CONNECTED = 0)) generate -- Type declaration type STATE_TYPE is (IDLE, GEN_PULSE, WAIT_ACK, WAIT_SYNC); -- Signal declaration signal current_state : STATE_TYPE; begin -------------------------------------------------------------- --The sequential process below maintains the current_state -------------------------------------------------------------- GEN_CS_P : process (Processor_clk) begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then current_state <= IDLE; else case current_state is when IDLE => if ((irq_gen_sync = '1') and (mer_0_sync = '1')) then current_state <= GEN_PULSE; else current_state <= IDLE; end if; when GEN_PULSE => current_state <= WAIT_ACK; when WAIT_ACK => if (ack_or_sync = '1') then if (C_DISABLE_SYNCHRONIZERS = 1) then current_state <= IDLE; else current_state <= WAIT_SYNC; end if; else current_state <= WAIT_ACK; end if; when WAIT_SYNC => current_state <= IDLE; -- coverage off when others => current_state <= IDLE; -- coverage on end case; end if; end if; end process GEN_CS_P; GEN_IRQ_AND_ADDR_P : process (Processor_clk) begin if(Processor_clk'event and Processor_clk='1') then if (processor_rst_n = RESET_ACTIVE) then Irq <= (not C_IRQ_ACTIVE); else if (current_state = GEN_PULSE) then Irq <= C_IRQ_ACTIVE; else Irq <= not C_IRQ_ACTIVE; end if; end if; end if; end process GEN_IRQ_AND_ADDR_P; Interrupt_address <= (others => '0'); end generate IRQ_EDGE_MB_CLK_GEN; --end generate IRQ_EDGE_NORMAL_GEN; end generate IRQ_EDGE_GEN; --Read data in Normal mode (C_HAS_FAST = 0) OUTPUT_DATA_NORMAL_GEN: if (C_HAS_FAST = 0) generate ----- begin ----- ------------------------------------------------------------------------ -- Process OUTPUT_DATA_GEN_P for generating Rd_data ------------------------------------------------------------------------ OUTPUT_DATA_GEN_P: process (read, Reg_addr, isr_out, ipr, ier_out, ilr_out, ivr_out, mer) is ----- begin ----- if (read = '1') then case Reg_addr(6 downto 0) is when "0000000" => Rd_data <= isr_out; -- ISR (R/W) when "0000001" => Rd_data <= ipr; -- IPR (Read only) when "0000010" => Rd_data <= ier_out; -- IER (R/W) when "0000110" => Rd_data <= ivr_out; -- IVR (Read only) when "0000111" => Rd_data <= mer; -- MER (R/W) when "0001001" => Rd_data <= ilr_out; -- ILR (R(W) -- IAR, SIE, CIE (Write only) -- coverage off when others => Rd_data <= (others => '0'); -- coverage on end case; else Rd_data <= (others => '0'); end if; end process OUTPUT_DATA_GEN_P; end generate OUTPUT_DATA_NORMAL_GEN; --Read data in mixed mode (C_HAS_FAST = 1) and C_EN_CASCADE_MODE = 1 and C_CASCADE_MASTER = 1 CASCADE_OP_DATA_FAST_GEN: if ((C_HAS_FAST = 1) and (C_EN_CASCADE_MODE = 1) ) generate ----- begin ----- ------------------------------------------------------------------------ -- Process OUTPUT_DATA_GEN_P for generating Rd_data ------------------------------------------------------------------------ OUTPUT_DATA_GEN_P: process (read , read_ivar , Reg_addr , isr_out , ipr , ier_out , ilr_out , ivr_out , mer , imr_out , ivar_rd_data_axi_clk, Interrupt_address_in_reg_int, ier , isr , isr_ored_30_0_bits) is begin ----- if (read = '1') then case Reg_addr(6 downto 0) is when "0000000" => Rd_data <= isr_out; -- ISR (R/W) when "0000001" => Rd_data <= ipr; -- IPR (Read only) when "0000010" => Rd_data <= ier_out; -- IER (R/W) when "0000110" => Rd_data <= ivr_out; -- IVR (Read only) when "0000111" => Rd_data <= mer; -- MER (R/W) when "0001000" => Rd_data <= imr_out; -- IMR (R/W) when "0001001" => Rd_data <= ilr_out; -- ILR (R(W) -- IAR, SIE, CIE (Write only) -- coverage off when others => Rd_data <= (others => '0'); -- coverage on end case; elsif (read_ivar = '1') then -- read IVAR of 31st bit in case the interrupt is present if((isr(31) = '1') and -- else to read IVAR of lower modules the processor has to (ier(31) = '1') and -- initiate the transaction for lower module separately (isr_ored_30_0_bits = '0') )then Rd_data <= Interrupt_address_in_reg_int; else Rd_data <= ivar_rd_data_axi_clk; end if; else Rd_data <= (others => '0'); end if; end process OUTPUT_DATA_GEN_P; end generate CASCADE_OP_DATA_FAST_GEN; -------------------------------------------------------------------------- NO_CASCADE_OP_DATA_FAST_GEN: if (C_HAS_FAST = 1) and (C_CASCADE_MASTER = 0) and (C_EN_CASCADE_MODE = 0) generate ----- begin ----- ------------------------------------------------------------------------ -- Process OUTPUT_DATA_GEN_P for generating Rd_data ------------------------------------------------------------------------ OUTPUT_DATA_GEN_P: process (read , read_ivar , Reg_addr , isr_out , ipr , ier_out , ilr_out , ivr_out , mer , imr_out , ivar_rd_data_axi_clk) is begin if (read = '1') then case Reg_addr(6 downto 0) is when "0000000" => Rd_data <= isr_out; -- ISR (R/W) when "0000001" => Rd_data <= ipr; -- IPR (Read only) when "0000010" => Rd_data <= ier_out; -- IER (R/W) when "0000110" => Rd_data <= ivr_out; -- IVR (Read only) when "0000111" => Rd_data <= mer; -- MER (R/W) when "0001000" => Rd_data <= imr_out; -- IMR (R/W) when "0001001" => Rd_data <= ilr_out; -- ILR (R(W) -- IAR, SIE, CIE (Write only) -- coverage off when others => Rd_data <= (others => '0'); -- coverage on end case; elsif (read_ivar = '1') then Rd_data <= ivar_rd_data_axi_clk; else Rd_data <= (others => '0'); end if; end process OUTPUT_DATA_GEN_P; end generate NO_CASCADE_OP_DATA_FAST_GEN; -------------------------------------------------------------------------- end imp;
apache-2.0
1d4b0193e931f475b27b1836b22eb07a
0.359179
4.99761
false
false
false
false
sils1297/HWPrak14
task_4/project_1.srcs/sources_1/new/Register.vhd
1
607
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity SimpleRegister is generic( WIDTH : integer := 16 ); Port( inval : in std_ulogic_vector(WIDTH - 1 downto 0); outval : out std_ulogic_vector(WIDTH - 1 downto 0); set : in std_ulogic; reset : in std_ulogic; clock : in std_ulogic ); end SimpleRegister; architecture Behavioral of SimpleRegister is begin process(clock, set) begin if reset = '1' then outval <= (others => '0'); else if set = '1' and rising_edge(clock) then outval <= inval; end if; end if; end process; end Behavioral;
agpl-3.0
0f659d4f2f91c363adf56b55fcad7132
0.660626
2.836449
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/metaheurísticas/hal_wsga.vhd
1
1,748
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:06:03) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_wsga_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END hal_wsga_entity; ARCHITECTURE hal_wsga_description OF hal_wsga_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; WHEN "00000010" => register1 := register1 * register2; register2 := input3 * 3; register3 := input4 + 4; WHEN "00000011" => register2 := register2 * 6; register1 := register1 - 8; register4 := input5 * 9; IF (register3 < 10) THEN output1 <= register3; ELSE output1 <= "0000000000000000000000000001010"; END IF; WHEN "00000100" => output2 <= register4 + 11; output3 <= register1 - register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_wsga_description;
gpl-3.0
74c65b75629d80ed2f58241342760738
0.687071
3.243043
false
false
false
false
BBN-Q/APS2-Comms
src/tcp_bridge.vhd
1
6,751
-- Top-level entity for the bridge to the TCP stream -- Contains: -- 1. tcp_demux to send data to either memory or CPLD -- 2. tcp_axi_dma to issue read/write DMA commands to memory -- 3. tcp_mux to combine return streams -- -- Original author: Colm Ryan -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tcp_bridge is port ( clk : in std_logic; rst : in std_logic; clk_tcp : in std_logic; rst_tcp : in std_logic; --TCP streams tcp_rx_tdata : in std_logic_vector(7 downto 0); tcp_rx_tvalid : in std_logic; tcp_rx_tready : out std_logic; tcp_tx_tdata : out std_logic_vector(7 downto 0); tcp_tx_tvalid : out std_logic; tcp_tx_tready : in std_logic; comms_active : out std_logic; --CPLD streams cpld_rx_tdata : out std_logic_vector(31 downto 0); cpld_rx_tvalid : out std_logic; cpld_rx_tready : in std_logic := '1'; cpld_rx_tlast : out std_logic; cpld_tx_tdata : in std_logic_vector(31 downto 0) := (others => '0'); cpld_tx_tvalid : in std_logic := '0'; cpld_tx_tready : out std_logic; cpld_tx_tlast : in std_logic := '0'; --AXI DataMover streams MM2S_CMD_tdata : out std_logic_vector( 71 downto 0 ); MM2S_CMD_tready : in std_logic; MM2S_CMD_tvalid : out std_logic; MM2S_tdata : in std_logic_vector( 31 downto 0 ); MM2S_tkeep : in std_logic_vector( 3 downto 0 ); MM2S_tlast : in std_logic; MM2S_tready : out std_logic; MM2S_tvalid : in std_logic; MM2S_STS_tdata : in std_logic_vector( 7 downto 0 ); MM2S_STS_tkeep : in std_logic_vector( 0 to 0 ); MM2S_STS_tlast : in std_logic; MM2S_STS_tready : out std_logic; MM2S_STS_tvalid : in std_logic; S2MM_CMD_tdata : out std_logic_vector( 71 downto 0 ); S2MM_CMD_tready : in std_logic; S2MM_CMD_tvalid : out std_logic; S2MM_tdata : out std_logic_vector( 31 downto 0 ); S2MM_tkeep : out std_logic_vector( 3 downto 0 ); S2MM_tlast : out std_logic; S2MM_tready : in std_logic; S2MM_tvalid : out std_logic; S2MM_STS_tdata : in std_logic_vector( 7 downto 0 ); S2MM_STS_tkeep : in std_logic_vector( 0 to 0 ); S2MM_STS_tlast : in std_logic; S2MM_STS_tready : out std_logic; S2MM_STS_tvalid : in std_logic ); end entity; architecture arch of tcp_bridge is attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of clk_tcp : signal is "xilinx.com:signal:clock:1.0 clk_tcp CLK"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of clk_tcp : signal is "ASSOCIATED_BUSIF tcp_rx:tcp_tx, ASSOCIATED_RESET rst_tcp, FREQ_HZ 125000000"; attribute X_INTERFACE_INFO of rst_tcp : signal is "xilinx.com:signal:reset:1.0 rst_tcp RST"; attribute X_INTERFACE_PARAMETER of rst_tcp : signal is "POLARITY ACTIVE_HIGH"; signal memory_rx_tdata : std_logic_vector(31 downto 0) := (others => '0'); signal memory_rx_tvalid, memory_rx_tready, memory_rx_tlast : std_logic := '0'; signal memory_tx_write_resp_tdata : std_logic_vector(31 downto 0); signal memory_tx_write_resp_tvalid, memory_tx_write_resp_tready, memory_tx_write_resp_tlast : std_logic; signal memory_tx_read_resp_tdata : std_logic_vector(31 downto 0); signal memory_tx_read_resp_tvalid, memory_tx_read_resp_tready, memory_tx_read_resp_tlast : std_logic; signal tcp_tx_tvalid_int : std_logic; begin tcp_tx_tvalid <= tcp_tx_tvalid_int; --Clock out valids as indicators of comms_active comms_active_reg : process( clk_tcp ) begin if rising_edge( clk_tcp) then if rst_tcp = '1' then comms_active <= '0'; else comms_active <= tcp_rx_tvalid or tcp_tx_tvalid_int; end if; end if; end process; --TCP Demux tcp_demux_inst : entity work.tcp_demux port map ( clk => clk, rst => rst, clk_tcp => clk_tcp, rst_tcp => rst_tcp, --TCP stream receive tcp_rx_tdata => tcp_rx_tdata, tcp_rx_tvalid => tcp_rx_tvalid, tcp_rx_tready => tcp_rx_tready, --rx stream passed to memory memory_rx_tdata => memory_rx_tdata, memory_rx_tvalid => memory_rx_tvalid, memory_rx_tready => memory_rx_tready, memory_rx_tlast => memory_rx_tlast, --rx stream passed to CPLD bridge cpld_rx_tdata => cpld_rx_tdata, cpld_rx_tvalid => cpld_rx_tvalid, cpld_rx_tready => cpld_rx_tready, cpld_rx_tlast => cpld_rx_tlast ); --TCP DMA tcp_axi_dma_inst : entity work.tcp_axi_dma port map ( clk => clk, rst => rst, ---TCP receive rx_tdata => memory_rx_tdata, rx_tvalid => memory_rx_tvalid, rx_tready => memory_rx_tready, rx_tlast => memory_rx_tlast, --TCP send channels tx_write_resp_tdata => memory_tx_write_resp_tdata, tx_write_resp_tvalid => memory_tx_write_resp_tvalid, tx_write_resp_tlast => memory_tx_write_resp_tlast, tx_write_resp_tready => memory_tx_write_resp_tready, tx_read_resp_tdata => memory_tx_read_resp_tdata, tx_read_resp_tvalid => memory_tx_read_resp_tvalid, tx_read_resp_tlast => memory_tx_read_resp_tlast, tx_read_resp_tready => memory_tx_read_resp_tready, --DataMover interfaces MM2S_CMD_tdata => MM2S_CMD_tdata, MM2S_CMD_tready => MM2S_CMD_tready, MM2S_CMD_tvalid => MM2S_CMD_tvalid, MM2S_tdata => MM2S_tdata, MM2S_tkeep => MM2S_tkeep, MM2S_tlast => MM2S_tlast, MM2S_tready => MM2S_tready, MM2S_tvalid => MM2S_tvalid, MM2S_STS_tdata => MM2S_STS_tdata, MM2S_STS_tkeep => MM2S_STS_tkeep, MM2S_STS_tlast => MM2S_STS_tlast, MM2S_STS_tready => MM2S_STS_tready, MM2S_STS_tvalid => MM2S_STS_tvalid, S2MM_CMD_tdata => S2MM_CMD_tdata, S2MM_CMD_tready => S2MM_CMD_tready, S2MM_CMD_tvalid => S2MM_CMD_tvalid, S2MM_tdata => S2MM_tdata, S2MM_tkeep => S2MM_tkeep, S2MM_tlast => S2MM_tlast, S2MM_tready => S2MM_tready, S2MM_tvalid => S2MM_tvalid, S2MM_STS_tdata => S2MM_STS_tdata, S2MM_STS_tkeep => S2MM_STS_tkeep, S2MM_STS_tlast => S2MM_STS_tlast, S2MM_STS_tready => S2MM_STS_tready, S2MM_STS_tvalid => S2MM_STS_tvalid ); tcp_mux_inst : entity work.tcp_mux port map ( clk => clk, rst => rst, clk_tcp => clk_tcp, rst_tcp => rst_tcp, --memory write/read streams memory_tx_write_resp_tdata => memory_tx_write_resp_tdata, memory_tx_write_resp_tvalid => memory_tx_write_resp_tvalid, memory_tx_write_resp_tlast => memory_tx_write_resp_tlast, memory_tx_write_resp_tready => memory_tx_write_resp_tready, memory_tx_read_resp_tdata => memory_tx_read_resp_tdata, memory_tx_read_resp_tvalid => memory_tx_read_resp_tvalid, memory_tx_read_resp_tlast => memory_tx_read_resp_tlast, memory_tx_read_resp_tready => memory_tx_read_resp_tready, --CPLD tx stream cpld_tx_tdata => cpld_tx_tdata, cpld_tx_tvalid => cpld_tx_tvalid, cpld_tx_tready => cpld_tx_tready, cpld_tx_tlast => cpld_tx_tlast, --TCP tx stream tcp_tx_tdata => tcp_tx_tdata, tcp_tx_tvalid => tcp_tx_tvalid_int, tcp_tx_tready => tcp_tx_tready ); end architecture;
mpl-2.0
e2136e2a8deba920a0e236b2d8da9e69
0.684936
2.57083
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/c_multiplexer.vhd
1
898
library ieee; use ieee.std_logic_1164.all; library WORK; use WORK.all; entity c_multiplexer is generic ( width : integer := 4; no_of_inputs : integer := 2; select_size : integer := 1 ); port ( input : in std_logic_vector(((width * no_of_inputs) - 1) downto 0); mux_select : in std_logic_vector ((select_size - 1) downto 0); output : out std_logic_vector ((width - 1) downto 0) ); end c_multiplexer; architecture behavior of c_multiplexer is begin P1 : process (mux_select, input) variable control_val : integer := 0; variable base_val : integer := 1; begin base_val := 1; control_val := 0; for i in 0 to (select_size - 1) loop if (mux_select(i) = '1') then control_val := control_val + base_val; end if; base_val := base_val * 2; end loop; output <= input(((control_val + 1) * width - 1) downto (control_val) * width); end process P1; end behavior;
mit
5a46923f4fbbceb323bbe977edfe9b71
0.641425
2.823899
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/ld_arith_reg2.vhd
15
17,134
------------------------------------------------------------------------------- -- $Id: ld_arith_reg2.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg2.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- -- ld_arith_reg2 is derived from ld_arith_reg. There are a few -- changes: -- - The control signal for load is active-low, LOAD_n. -- - Boolean generic C_LOAD_OVERRIDES reverses the default that -- OP overrides LOAD_n when both are asserted on the -- same cycle. -- - The default width is 32. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg2.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 09/01/03 -- First version, derived from ld_arith_reg -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg2 is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0; ------------------------------------------------------------------------ C_LOAD_OVERRIDES : boolean := false ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD_n) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD_n : in std_logic; -- Active-low enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD_n -- unless C_LOAD_OVERRIDES = true) ); end ld_arith_reg2; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg2 is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else LOAD_n when not C_ADD_SUB_NOT and C_LOAD_OVERRIDES else OP; -- when not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- Adder case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_ADD_OO: if C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Adder case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_ADD_LO: if C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xor arith_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_SUB_OO: if not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_SUB_LO: if not C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xnor arith_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_OO_GEN : if not C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); end generate; MULT_AND_LO_GEN : if C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => LOAD_n, I0 => Q_i(j) ); end generate; ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= not LOAD_n or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
apache-2.0
90eba7786932026b96ce945938b1a2ec
0.381989
4.943451
false
false
false
false
jc38x/X38-02FO16
benchmarks/LEKO_LEKU/leku/LEKU-CD'/25_18.vhd
1
133,508
Library IEEE; use IEEE.std_logic_1164.all; entity x25_18x is Port ( A302,A301,A300,A299,A298,A269,A268,A267,A266,A265,A236,A235,A234,A233,A232,A203,A202,A201,A200,A199,A166,A167,A168,A169,A170: in std_logic; A41: buffer std_logic ); end x25_18x; architecture x25_18x_behav of x25_18x is signal a1a,a2a,a3a,a4a,a5a,a6a,a7a,a8a,a9a,a10a,a11a,a12a,a13a,a14a,a15a,a16a,a17a,a18a,a19a,a20a,a21a,a22a,a23a,a24a,a25a,a26a,a27a,a28a,a29a,a30a,a31a,a32a,a33a,a34a,a35a,a36a,a37a,a38a,a39a,a40a,a41a,a42a,a43a,a44a,a45a,a46a,a47a,a48a,a49a,a50a,a51a,a52a,a53a,a54a,a55a,a56a,a57a,a58a,a59a,a60a,a61a,a62a,a63a,a64a,a65a,a66a,a67a,a68a,a69a,a70a,a71a,a72a,a73a,a74a,a75a,a76a,a77a,a78a,a79a,a80a,a81a,a82a,a83a,a84a,a85a,a86a,a87a,a88a,a89a,a90a,a91a,a92a,a93a,a94a,a95a,a96a,a97a,a98a,a99a,a100a,a101a,a102a,a103a,a104a,a105a,a106a,a107a,a108a,a109a,a110a,a111a,a112a,a113a,a114a,a115a,a116a,a117a,a118a,a119a,a120a,a121a,a122a,a123a,a124a,a125a,a126a,a127a,a128a,a129a,a130a,a131a,a132a,a133a,a134a,a135a,a136a,a137a,a138a,a139a,a140a,a141a,a142a,a143a,a144a,a145a,a146a,a147a,a148a,a149a,a150a,a151a,a152a,a153a,a154a,a155a,a156a,a157a,a158a,a159a,a160a,a161a,a162a,a163a,a164a,a165a,a166a,a167a,a168a,a169a,a170a,a171a,a172a,a173a,a174a,a175a,a176a,a177a,a178a,a179a,a180a,a181a,a182a,a183a,a184a,a185a,a186a,a187a,a188a,a189a,a190a,a191a,a192a,a193a,a194a,a195a,a196a,a197a,a198a,a199a,a200a,a201a,a202a,a203a,a204a,a205a,a206a,a207a,a208a,a209a,a210a,a211a,a212a,a213a,a214a,a215a,a216a,a217a,a218a,a219a,a220a,a221a,a222a,a223a,a224a,a225a,a226a,a227a,a228a,a229a,a230a,a231a,a232a,a233a,a234a,a235a,a236a,a237a,a238a,a239a,a240a,a241a,a242a,a243a,a244a,a245a,a246a,a247a,a248a,a249a,a250a,a251a,a252a,a253a,a254a,a255a,a256a,a257a,a258a,a259a,a260a,a261a,a262a,a263a,a264a,a265a,a266a,a267a,a268a,a269a,a270a,a271a,a272a,a273a,a274a,a275a,a276a,a277a,a278a,a279a,a280a,a281a,a282a,a283a,a284a,a285a,a286a,a287a,a288a,a289a,a290a,a291a,a292a,a293a,a294a,a295a,a296a,a297a,a298a,a299a,a300a,a301a,a302a,a303a,a304a,a305a,a306a,a307a,a308a,a309a,a310a,a311a,a312a,a313a,a314a,a315a,a316a,a317a,a318a,a319a,a320a,a321a,a322a,a323a,a324a,a325a,a326a,a327a,a328a,a329a,a330a,a331a,a332a,a333a,a334a,a335a,a336a,a337a,a338a,a339a,a340a,a341a,a342a,a343a,a344a,a345a,a346a,a347a,a348a,a351a,a355a,a356a,a357a,a360a,a364a,a365a,a366a,a367a,a370a,a374a,a375a,a376a,a380a,a381a,a385a,a386a,a387a,a388a,a389a,a392a,a396a,a397a,a398a,a402a,a403a,a407a,a408a,a409a,a410a,a413a,a417a,a418a,a419a,a423a,a424a,a428a,a429a,a430a,a431a,a432a,a433a,a436a,a440a,a441a,a442a,a446a,a447a,a451a,a452a,a453a,a454a,a457a,a461a,a462a,a463a,a467a,a468a,a472a,a473a,a474a,a475a,a476a,a479a,a483a,a484a,a485a,a489a,a490a,a494a,a495a,a496a,a497a,a500a,a504a,a505a,a506a,a510a,a511a,a515a,a516a,a517a,a518a,a519a,a520a,a521a,a524a,a528a,a529a,a530a,a533a,a537a,a538a,a539a,a540a,a543a,a547a,a548a,a549a,a553a,a554a,a558a,a559a,a560a,a561a,a562a,a565a,a569a,a570a,a571a,a575a,a576a,a580a,a581a,a582a,a583a,a586a,a590a,a591a,a592a,a596a,a597a,a601a,a602a,a603a,a604a,a605a,a606a,a609a,a613a,a614a,a615a,a619a,a620a,a624a,a625a,a626a,a627a,a630a,a634a,a635a,a636a,a640a,a641a,a645a,a646a,a647a,a648a,a649a,a652a,a656a,a657a,a658a,a662a,a663a,a667a,a668a,a669a,a670a,a673a,a677a,a678a,a679a,a683a,a684a,a688a,a689a,a690a,a691a,a692a,a693a,a694a,a695a,a698a,a702a,a703a,a704a,a707a,a711a,a712a,a713a,a714a,a717a,a721a,a722a,a723a,a727a,a728a,a732a,a733a,a734a,a735a,a736a,a739a,a743a,a744a,a745a,a749a,a750a,a754a,a755a,a756a,a757a,a760a,a764a,a765a,a766a,a770a,a771a,a775a,a776a,a777a,a778a,a779a,a780a,a783a,a787a,a788a,a789a,a793a,a794a,a798a,a799a,a800a,a801a,a804a,a808a,a809a,a810a,a814a,a815a,a819a,a820a,a821a,a822a,a823a,a826a,a830a,a831a,a832a,a836a,a837a,a841a,a842a,a843a,a844a,a847a,a851a,a852a,a853a,a857a,a858a,a862a,a863a,a864a,a865a,a866a,a867a,a868a,a871a,a875a,a876a,a877a,a880a,a884a,a885a,a886a,a887a,a890a,a894a,a895a,a896a,a900a,a901a,a905a,a906a,a907a,a908a,a909a,a912a,a916a,a917a,a918a,a922a,a923a,a927a,a928a,a929a,a930a,a933a,a937a,a938a,a939a,a943a,a944a,a948a,a949a,a950a,a951a,a952a,a953a,a956a,a960a,a961a,a962a,a966a,a967a,a971a,a972a,a973a,a974a,a977a,a981a,a982a,a983a,a987a,a988a,a992a,a993a,a994a,a995a,a996a,a999a,a1003a,a1004a,a1005a,a1009a,a1010a,a1014a,a1015a,a1016a,a1017a,a1020a,a1024a,a1025a,a1026a,a1030a,a1031a,a1035a,a1036a,a1037a,a1038a,a1039a,a1040a,a1041a,a1042a,a1049a,a1052a,a1055a,a1058a,a1061a,a1064a,a1067a,a1070a,a1073a,a1076a,a1079a,a1082a,a1085a,a1088a,a1091a,a1094a,a1097a,a1100a,a1103a,a1106a,a1110a,a1111a,a1115a,a1116a,a1120a,a1121a,a1125a,a1126a,a1130a,a1131a,a1135a,a1136a,a1140a,a1141a,a1145a,a1146a,a1150a,a1151a,a1155a,a1156a,a1160a,a1161a,a1165a,a1166a,a1170a,a1171a,a1175a,a1176a,a1180a,a1181a,a1185a,a1186a,a1190a,a1191a,a1195a,a1196a,a1200a,a1201a,a1205a,a1206a,a1210a,a1211a,a1215a,a1216a,a1220a,a1221a,a1225a,a1226a,a1230a,a1231a,a1235a,a1236a,a1240a,a1241a,a1245a,a1246a,a1250a,a1251a,a1255a,a1256a,a1260a,a1261a,a1265a,a1266a,a1270a,a1271a,a1275a,a1276a,a1280a,a1281a,a1285a,a1286a,a1290a,a1291a,a1295a,a1296a,a1300a,a1301a,a1305a,a1306a,a1310a,a1311a,a1315a,a1316a,a1320a,a1321a,a1325a,a1326a,a1330a,a1331a,a1335a,a1336a,a1340a,a1341a,a1345a,a1346a,a1350a,a1351a,a1355a,a1356a,a1360a,a1361a,a1365a,a1366a,a1370a,a1371a,a1375a,a1376a,a1380a,a1381a,a1385a,a1386a,a1390a,a1391a,a1395a,a1396a,a1400a,a1401a,a1405a,a1406a,a1410a,a1411a,a1415a,a1416a,a1420a,a1421a,a1425a,a1426a,a1430a,a1431a,a1435a,a1436a,a1440a,a1441a,a1445a,a1446a,a1450a,a1451a,a1455a,a1456a,a1460a,a1461a,a1465a,a1466a,a1470a,a1471a,a1474a,a1477a,a1478a,a1482a,a1483a,a1486a,a1489a,a1490a,a1494a,a1495a,a1498a,a1501a,a1502a,a1506a,a1507a,a1510a,a1513a,a1514a,a1518a,a1519a,a1522a,a1525a,a1526a,a1530a,a1531a,a1534a,a1537a,a1538a,a1542a,a1543a,a1546a,a1549a,a1550a,a1554a,a1555a,a1558a,a1561a,a1562a,a1565a,a1568a,a1569a,a1572a,a1575a,a1576a,a1579a,a1582a,a1583a,a1586a,a1589a,a1590a,a1593a,a1596a,a1597a,a1600a,a1603a,a1604a,a1607a,a1610a,a1611a,a1614a,a1617a,a1618a,a1621a,a1624a,a1625a,a1628a,a1631a,a1632a,a1635a,a1638a,a1639a,a1642a,a1645a,a1646a,a1649a,a1652a,a1653a,a1656a,a1659a,a1660a,a1663a,a1666a,a1667a,a1670a,a1673a,a1674a,a1677a,a1680a,a1681a,a1684a,a1687a,a1688a,a1691a,a1694a,a1695a,a1698a,a1701a,a1702a,a1705a,a1708a,a1709a,a1712a,a1715a,a1716a,a1719a,a1722a,a1723a,a1726a,a1729a,a1730a,a1733a,a1736a,a1737a,a1740a,a1743a,a1744a,a1747a,a1750a,a1751a,a1754a,a1757a,a1758a,a1761a,a1764a,a1765a,a1768a,a1771a,a1772a,a1775a,a1778a,a1779a,a1782a,a1785a,a1786a,a1789a,a1792a,a1793a,a1796a,a1799a,a1800a,a1803a,a1806a,a1807a,a1810a,a1813a,a1814a,a1817a,a1820a,a1821a,a1824a,a1827a,a1828a,a1831a,a1834a,a1835a,a1838a,a1841a,a1842a,a1845a,a1848a,a1849a,a1852a,a1855a,a1856a,a1859a,a1862a,a1863a,a1866a,a1869a,a1870a,a1873a,a1876a,a1877a,a1880a,a1883a,a1884a,a1887a,a1890a,a1891a,a1894a,a1897a,a1898a,a1901a,a1904a,a1905a,a1908a,a1911a,a1912a,a1915a,a1918a,a1919a,a1922a,a1925a,a1926a,a1929a,a1932a,a1933a,a1936a,a1939a,a1940a,a1943a,a1946a,a1947a,a1950a,a1953a,a1954a,a1957a,a1960a,a1961a,a1964a,a1967a,a1968a,a1971a,a1974a,a1975a,a1978a,a1981a,a1982a,a1985a,a1988a,a1989a,a1992a,a1995a,a1996a,a1999a,a2002a,a2003a,a2006a,a2009a,a2010a,a2013a,a2016a,a2017a,a2020a,a2023a,a2024a,a2027a,a2030a,a2031a,a2034a,a2037a,a2038a,a2041a,a2044a,a2045a,a2048a,a2051a,a2052a,a2055a,a2058a,a2059a,a2062a,a2065a,a2066a,a2069a,a2072a,a2073a,a2076a,a2079a,a2080a,a2083a,a2086a,a2087a,a2090a,a2093a,a2094a,a2097a,a2100a,a2101a,a2104a,a2107a,a2108a,a2111a,a2114a,a2115a,a2118a,a2121a,a2122a,a2125a,a2128a,a2129a,a2132a,a2135a,a2136a,a2139a,a2142a,a2143a,a2146a,a2149a,a2150a,a2153a,a2156a,a2157a,a2160a,a2163a,a2164a,a2167a,a2170a,a2171a,a2174a,a2177a,a2178a,a2181a,a2184a,a2185a,a2188a,a2191a,a2192a,a2195a,a2198a,a2199a,a2202a,a2205a,a2206a,a2209a,a2212a,a2213a,a2216a,a2219a,a2220a,a2223a,a2226a,a2227a,a2230a,a2233a,a2234a,a2237a,a2240a,a2241a,a2244a,a2247a,a2248a,a2251a,a2254a,a2255a,a2258a,a2261a,a2262a,a2265a,a2268a,a2269a,a2272a,a2275a,a2276a,a2279a,a2282a,a2283a,a2286a,a2289a,a2290a,a2293a,a2296a,a2297a,a2300a,a2303a,a2304a,a2307a,a2310a,a2311a,a2314a,a2317a,a2318a,a2321a,a2324a,a2325a,a2328a,a2331a,a2332a,a2335a,a2338a,a2339a,a2342a,a2345a,a2346a,a2349a,a2352a,a2353a,a2356a,a2359a,a2360a,a2363a,a2366a,a2367a,a2370a,a2373a,a2374a,a2377a,a2380a,a2381a,a2384a,a2387a,a2388a,a2391a,a2394a,a2395a,a2398a,a2401a,a2402a,a2405a,a2408a,a2409a,a2412a,a2415a,a2416a,a2419a,a2422a,a2423a,a2426a,a2429a,a2430a,a2433a,a2436a,a2437a,a2440a,a2443a,a2444a,a2447a,a2450a,a2451a,a2454a,a2457a,a2458a,a2461a,a2464a,a2465a,a2468a,a2471a,a2472a,a2475a,a2478a,a2479a,a2482a,a2485a,a2486a,a2489a,a2492a,a2493a,a2496a,a2499a,a2500a,a2503a,a2506a,a2507a,a2510a,a2513a,a2514a,a2517a,a2520a,a2521a,a2524a,a2527a,a2528a,a2531a,a2534a,a2535a,a2538a,a2541a,a2542a,a2545a,a2548a,a2549a,a2552a,a2555a,a2556a,a2559a,a2562a,a2563a,a2566a,a2569a,a2570a,a2573a,a2576a,a2577a,a2580a,a2583a,a2584a,a2587a,a2590a,a2591a,a2594a,a2597a,a2598a,a2601a,a2604a,a2605a,a2608a,a2611a,a2612a,a2615a,a2618a,a2619a,a2622a,a2625a,a2626a,a2629a,a2632a,a2633a,a2636a,a2639a,a2640a,a2643a,a2646a,a2647a,a2650a,a2653a,a2654a,a2657a,a2660a,a2661a,a2664a,a2667a,a2668a,a2671a,a2674a,a2675a,a2678a,a2681a,a2682a,a2685a,a2688a,a2689a,a2692a,a2695a,a2696a,a2699a,a2702a,a2703a,a2706a,a2709a,a2710a,a2713a,a2716a,a2717a,a2720a,a2723a,a2724a,a2727a,a2730a,a2731a,a2734a,a2737a,a2738a,a2741a,a2744a,a2745a,a2748a,a2752a,a2753a,a2754a,a2757a,a2760a,a2761a,a2764a,a2768a,a2769a,a2770a,a2773a,a2776a,a2777a,a2780a,a2784a,a2785a,a2786a,a2789a,a2792a,a2793a,a2796a,a2800a,a2801a,a2802a,a2805a,a2808a,a2809a,a2812a,a2816a,a2817a,a2818a,a2821a,a2824a,a2825a,a2828a,a2832a,a2833a,a2834a,a2837a,a2840a,a2841a,a2844a,a2848a,a2849a,a2850a,a2853a,a2856a,a2857a,a2860a,a2864a,a2865a,a2866a,a2869a,a2872a,a2873a,a2876a,a2880a,a2881a,a2882a,a2885a,a2888a,a2889a,a2892a,a2896a,a2897a,a2898a,a2901a,a2904a,a2905a,a2908a,a2912a,a2913a,a2914a,a2917a,a2920a,a2921a,a2924a,a2928a,a2929a,a2930a,a2933a,a2936a,a2937a,a2940a,a2944a,a2945a,a2946a,a2949a,a2952a,a2953a,a2956a,a2960a,a2961a,a2962a,a2965a,a2968a,a2969a,a2972a,a2976a,a2977a,a2978a,a2981a,a2984a,a2985a,a2988a,a2992a,a2993a,a2994a,a2997a,a3000a,a3001a,a3004a,a3008a,a3009a,a3010a,a3013a,a3016a,a3017a,a3020a,a3024a,a3025a,a3026a,a3029a,a3032a,a3033a,a3036a,a3040a,a3041a,a3042a,a3045a,a3048a,a3049a,a3052a,a3056a,a3057a,a3058a,a3061a,a3064a,a3065a,a3068a,a3072a,a3073a,a3074a,a3077a,a3080a,a3081a,a3084a,a3088a,a3089a,a3090a,a3093a,a3096a,a3097a,a3100a,a3104a,a3105a,a3106a,a3109a,a3112a,a3113a,a3116a,a3120a,a3121a,a3122a,a3125a,a3128a,a3129a,a3132a,a3136a,a3137a,a3138a,a3141a,a3144a,a3145a,a3148a,a3152a,a3153a,a3154a,a3157a,a3160a,a3161a,a3164a,a3168a,a3169a,a3170a,a3173a,a3176a,a3177a,a3180a,a3184a,a3185a,a3186a,a3189a,a3192a,a3193a,a3196a,a3200a,a3201a,a3202a,a3205a,a3208a,a3209a,a3212a,a3216a,a3217a,a3218a,a3221a,a3224a,a3225a,a3228a,a3232a,a3233a,a3234a,a3237a,a3240a,a3241a,a3244a,a3248a,a3249a,a3250a,a3253a,a3257a,a3258a,a3259a,a3262a,a3266a,a3267a,a3268a,a3271a,a3275a,a3276a,a3277a,a3280a,a3284a,a3285a,a3286a,a3289a,a3293a,a3294a,a3295a,a3298a,a3302a,a3303a,a3304a,a3307a,a3311a,a3312a,a3313a,a3316a,a3320a,a3321a,a3322a,a3325a,a3329a,a3330a,a3331a,a3334a,a3338a,a3339a,a3340a,a3343a,a3347a,a3348a,a3349a,a3352a,a3356a,a3357a,a3358a,a3361a,a3365a,a3366a,a3367a,a3370a,a3374a,a3375a,a3376a,a3379a,a3383a,a3384a,a3385a,a3388a,a3392a,a3393a,a3394a,a3397a,a3401a,a3402a,a3403a,a3406a,a3410a,a3411a,a3412a,a3415a,a3419a,a3420a,a3421a,a3424a,a3428a,a3429a,a3430a,a3433a,a3437a,a3438a,a3439a,a3442a,a3446a,a3447a,a3448a,a3451a,a3455a,a3456a,a3457a,a3460a,a3464a,a3465a,a3466a,a3469a,a3473a,a3474a,a3475a,a3478a,a3482a,a3483a,a3484a,a3487a,a3491a,a3492a,a3493a,a3496a,a3500a,a3501a,a3502a,a3505a,a3509a,a3510a,a3511a,a3514a,a3518a,a3519a,a3520a,a3523a,a3527a,a3528a,a3529a,a3532a,a3536a,a3537a,a3538a,a3541a,a3545a,a3546a,a3547a,a3550a,a3554a,a3555a,a3556a,a3559a,a3563a,a3564a,a3565a,a3568a,a3572a,a3573a,a3574a,a3577a,a3581a,a3582a,a3583a,a3586a,a3590a,a3591a,a3592a,a3595a,a3599a,a3600a,a3601a,a3604a,a3608a,a3609a,a3610a,a3613a,a3617a,a3618a,a3619a,a3622a,a3626a,a3627a,a3628a,a3631a,a3635a,a3636a,a3637a,a3640a,a3644a,a3645a,a3646a,a3649a,a3653a,a3654a,a3655a,a3658a,a3662a,a3663a,a3664a,a3667a,a3671a,a3672a,a3673a,a3676a,a3680a,a3681a,a3682a,a3685a,a3689a,a3690a,a3691a,a3694a,a3698a,a3699a,a3700a,a3703a,a3707a,a3708a,a3709a,a3712a,a3716a,a3717a,a3718a,a3721a,a3725a,a3726a,a3727a,a3730a,a3734a,a3735a,a3736a,a3739a,a3743a,a3744a,a3745a,a3748a,a3752a,a3753a,a3754a,a3757a,a3761a,a3762a,a3763a,a3766a,a3770a,a3771a,a3772a,a3775a,a3779a,a3780a,a3781a,a3784a,a3788a,a3789a,a3790a,a3793a,a3797a,a3798a,a3799a,a3802a,a3806a,a3807a,a3808a,a3811a,a3815a,a3816a,a3817a,a3820a,a3824a,a3825a,a3826a,a3829a,a3833a,a3834a,a3835a,a3838a,a3842a,a3843a,a3844a,a3847a,a3851a,a3852a,a3853a,a3856a,a3860a,a3861a,a3862a,a3865a,a3869a,a3870a,a3871a,a3874a,a3878a,a3879a,a3880a,a3883a,a3887a,a3888a,a3889a,a3892a,a3896a,a3897a,a3898a,a3901a,a3905a,a3906a,a3907a,a3910a,a3914a,a3915a,a3916a,a3919a,a3923a,a3924a,a3925a,a3928a,a3932a,a3933a,a3934a,a3937a,a3941a,a3942a,a3943a,a3946a,a3950a,a3951a,a3952a,a3955a,a3959a,a3960a,a3961a,a3964a,a3968a,a3969a,a3970a,a3973a,a3977a,a3978a,a3979a,a3982a,a3986a,a3987a,a3988a,a3991a,a3995a,a3996a,a3997a,a4000a,a4004a,a4005a,a4006a,a4009a,a4013a,a4014a,a4015a,a4018a,a4022a,a4023a,a4024a,a4027a,a4031a,a4032a,a4033a,a4036a,a4040a,a4041a,a4042a,a4045a,a4049a,a4050a,a4051a,a4054a,a4058a,a4059a,a4060a,a4063a,a4067a,a4068a,a4069a,a4072a,a4076a,a4077a,a4078a,a4081a,a4085a,a4086a,a4087a,a4090a,a4094a,a4095a,a4096a,a4099a,a4103a,a4104a,a4105a,a4108a,a4112a,a4113a,a4114a,a4117a,a4121a,a4122a,a4123a,a4126a,a4130a,a4131a,a4132a,a4135a,a4139a,a4140a,a4141a,a4144a,a4148a,a4149a,a4150a,a4153a,a4157a,a4158a,a4159a,a4162a,a4166a,a4167a,a4168a,a4171a,a4175a,a4176a,a4177a,a4180a,a4184a,a4185a,a4186a,a4189a,a4193a,a4194a,a4195a,a4198a,a4202a,a4203a,a4204a,a4207a,a4211a,a4212a,a4213a,a4216a,a4220a,a4221a,a4222a,a4225a,a4229a,a4230a,a4231a,a4234a,a4238a,a4239a,a4240a,a4243a,a4247a,a4248a,a4249a,a4252a,a4256a,a4257a,a4258a,a4261a,a4265a,a4266a,a4267a,a4270a,a4274a,a4275a,a4276a,a4279a,a4283a,a4284a,a4285a,a4288a,a4292a,a4293a,a4294a,a4297a,a4301a,a4302a,a4303a,a4306a,a4310a,a4311a,a4312a,a4315a,a4319a,a4320a,a4321a,a4324a,a4328a,a4329a,a4330a,a4333a,a4337a,a4338a,a4339a,a4342a,a4346a,a4347a,a4348a,a4351a,a4355a,a4356a,a4357a,a4360a,a4364a,a4365a,a4366a,a4369a,a4373a,a4374a,a4375a,a4378a,a4382a,a4383a,a4384a,a4387a,a4391a,a4392a,a4393a,a4396a,a4400a,a4401a,a4402a,a4405a,a4409a,a4410a,a4411a,a4414a,a4418a,a4419a,a4420a,a4423a,a4427a,a4428a,a4429a,a4432a,a4436a,a4437a,a4438a,a4441a,a4445a,a4446a,a4447a,a4450a,a4454a,a4455a,a4456a,a4459a,a4463a,a4464a,a4465a,a4468a,a4472a,a4473a,a4474a,a4477a,a4481a,a4482a,a4483a,a4486a,a4490a,a4491a,a4492a,a4495a,a4499a,a4500a,a4501a,a4504a,a4508a,a4509a,a4510a,a4513a,a4517a,a4518a,a4519a,a4522a,a4526a,a4527a,a4528a,a4531a,a4535a,a4536a,a4537a,a4540a,a4544a,a4545a,a4546a,a4549a,a4553a,a4554a,a4555a,a4558a,a4562a,a4563a,a4564a,a4567a,a4571a,a4572a,a4573a,a4576a,a4580a,a4581a,a4582a,a4585a,a4589a,a4590a,a4591a,a4594a,a4598a,a4599a,a4600a,a4603a,a4607a,a4608a,a4609a,a4612a,a4616a,a4617a,a4618a,a4621a,a4625a,a4626a,a4627a,a4630a,a4634a,a4635a,a4636a,a4639a,a4643a,a4644a,a4645a,a4648a,a4652a,a4653a,a4654a,a4657a,a4661a,a4662a,a4663a,a4666a,a4670a,a4671a,a4672a,a4675a,a4679a,a4680a,a4681a,a4684a,a4688a,a4689a,a4690a,a4693a,a4697a,a4698a,a4699a,a4702a,a4706a,a4707a,a4708a,a4711a,a4715a,a4716a,a4717a,a4720a,a4724a,a4725a,a4726a,a4729a,a4733a,a4734a,a4735a,a4738a,a4742a,a4743a,a4744a,a4747a,a4751a,a4752a,a4753a,a4756a,a4760a,a4761a,a4762a,a4765a,a4769a,a4770a,a4771a,a4774a,a4778a,a4779a,a4780a,a4783a,a4787a,a4788a,a4789a,a4792a,a4796a,a4797a,a4798a,a4801a,a4805a,a4806a,a4807a,a4810a,a4814a,a4815a,a4816a,a4819a,a4823a,a4824a,a4825a,a4828a,a4832a,a4833a,a4834a,a4837a,a4841a,a4842a,a4843a,a4846a,a4850a,a4851a,a4852a,a4855a,a4859a,a4860a,a4861a,a4864a,a4868a,a4869a,a4870a,a4873a,a4877a,a4878a,a4879a,a4882a,a4886a,a4887a,a4888a,a4891a,a4895a,a4896a,a4897a,a4900a,a4904a,a4905a,a4906a,a4909a,a4913a,a4914a,a4915a,a4918a,a4922a,a4923a,a4924a,a4927a,a4931a,a4932a,a4933a,a4936a,a4940a,a4941a,a4942a,a4945a,a4949a,a4950a,a4951a,a4954a,a4958a,a4959a,a4960a,a4963a,a4967a,a4968a,a4969a,a4972a,a4976a,a4977a,a4978a,a4981a,a4985a,a4986a,a4987a,a4991a,a4992a,a4996a,a4997a,a4998a,a5001a,a5005a,a5006a,a5007a,a5011a,a5012a,a5016a,a5017a,a5018a,a5021a,a5025a,a5026a,a5027a,a5031a,a5032a,a5036a,a5037a,a5038a,a5041a,a5045a,a5046a,a5047a,a5051a,a5052a,a5056a,a5057a,a5058a,a5061a,a5065a,a5066a,a5067a,a5071a,a5072a,a5076a,a5077a,a5078a,a5081a,a5085a,a5086a,a5087a,a5091a,a5092a,a5096a,a5097a,a5098a,a5101a,a5105a,a5106a,a5107a,a5111a,a5112a,a5116a,a5117a,a5118a,a5121a,a5125a,a5126a,a5127a,a5131a,a5132a,a5136a,a5137a,a5138a,a5141a,a5145a,a5146a,a5147a,a5151a,a5152a,a5156a,a5157a,a5158a,a5161a,a5165a,a5166a,a5167a,a5171a,a5172a,a5176a,a5177a,a5178a,a5181a,a5185a,a5186a,a5187a,a5191a,a5192a,a5196a,a5197a,a5198a,a5201a,a5205a,a5206a,a5207a,a5211a,a5212a,a5216a,a5217a,a5218a,a5221a,a5225a,a5226a,a5227a,a5231a,a5232a,a5236a,a5237a,a5238a,a5241a,a5245a,a5246a,a5247a,a5251a,a5252a,a5256a,a5257a,a5258a,a5261a,a5265a,a5266a,a5267a,a5271a,a5272a,a5276a,a5277a,a5278a,a5281a,a5285a,a5286a,a5287a,a5291a,a5292a,a5296a,a5297a,a5298a,a5301a,a5305a,a5306a,a5307a,a5311a,a5312a,a5316a,a5317a,a5318a,a5321a,a5325a,a5326a,a5327a,a5331a,a5332a,a5336a,a5337a,a5338a,a5341a,a5345a,a5346a,a5347a,a5351a,a5352a,a5356a,a5357a,a5358a,a5361a,a5365a,a5366a,a5367a,a5371a,a5372a,a5376a,a5377a,a5378a,a5381a,a5385a,a5386a,a5387a,a5391a,a5392a,a5396a,a5397a,a5398a,a5401a,a5405a,a5406a,a5407a,a5411a,a5412a,a5416a,a5417a,a5418a,a5421a,a5425a,a5426a,a5427a,a5431a,a5432a,a5436a,a5437a,a5438a,a5441a,a5445a,a5446a,a5447a,a5451a,a5452a,a5456a,a5457a,a5458a,a5461a,a5465a,a5466a,a5467a,a5471a,a5472a,a5476a,a5477a,a5478a,a5481a,a5485a,a5486a,a5487a,a5491a,a5492a,a5496a,a5497a,a5498a,a5501a,a5505a,a5506a,a5507a,a5511a,a5512a,a5516a,a5517a,a5518a,a5521a,a5525a,a5526a,a5527a,a5531a,a5532a,a5536a,a5537a,a5538a,a5541a,a5545a,a5546a,a5547a,a5551a,a5552a,a5556a,a5557a,a5558a,a5561a,a5565a,a5566a,a5567a,a5571a,a5572a,a5576a,a5577a,a5578a,a5581a,a5585a,a5586a,a5587a,a5591a,a5592a,a5596a,a5597a,a5598a,a5601a,a5605a,a5606a,a5607a,a5611a,a5612a,a5616a,a5617a,a5618a,a5622a,a5623a,a5627a,a5628a,a5629a,a5633a,a5634a,a5638a,a5639a,a5640a,a5644a,a5645a,a5649a,a5650a,a5651a,a5655a,a5656a,a5660a,a5661a,a5662a,a5666a,a5667a,a5671a,a5672a,a5673a,a5677a,a5678a,a5682a,a5683a,a5684a,a5688a,a5689a,a5693a,a5694a,a5695a,a5699a,a5700a,a5704a,a5705a,a5706a,a5710a,a5711a,a5715a,a5716a,a5717a,a5721a,a5722a,a5726a,a5727a,a5728a,a5732a,a5733a,a5737a,a5738a,a5739a,a5743a,a5744a,a5748a,a5749a,a5750a,a5754a,a5755a,a5759a,a5760a,a5761a,a5765a,a5766a,a5770a,a5771a,a5772a,a5776a,a5777a,a5781a,a5782a,a5783a,a5787a,a5788a,a5792a,a5793a,a5794a,a5798a,a5799a,a5803a,a5804a,a5805a,a5809a,a5810a,a5814a,a5815a,a5816a,a5820a,a5821a,a5825a,a5826a,a5827a,a5831a,a5832a,a5836a,a5837a,a5838a,a5842a,a5843a,a5847a,a5848a,a5849a,a5853a,a5854a,a5858a,a5859a,a5860a,a5864a,a5865a,a5869a,a5870a,a5871a,a5875a,a5876a,a5880a,a5881a,a5882a,a5886a,a5887a,a5891a,a5892a,a5893a,a5897a,a5898a,a5902a,a5903a,a5904a,a5908a,a5909a,a5913a,a5914a,a5915a,a5919a,a5920a,a5924a,a5925a,a5926a,a5930a,a5931a,a5935a,a5936a,a5937a,a5941a,a5942a,a5946a,a5947a,a5948a,a5952a,a5953a,a5957a,a5958a,a5959a,a5963a,a5964a,a5968a,a5969a,a5970a,a5974a,a5975a,a5979a,a5980a,a5981a,a5985a,a5986a,a5990a,a5991a,a5992a,a5996a,a5997a,a6001a,a6002a,a6003a,a6007a,a6008a,a6012a,a6013a,a6014a,a6018a,a6019a,a6023a,a6024a,a6025a,a6029a,a6030a,a6034a,a6035a,a6036a,a6040a,a6041a,a6045a,a6046a,a6047a,a6051a,a6052a,a6056a,a6057a,a6058a,a6062a,a6063a,a6067a,a6068a,a6069a,a6073a,a6074a,a6078a,a6079a,a6080a,a6084a,a6085a,a6089a,a6090a,a6091a,a6095a,a6096a,a6100a,a6101a,a6102a,a6106a,a6107a,a6111a,a6112a,a6113a,a6117a,a6118a,a6122a,a6123a,a6124a,a6128a,a6129a,a6133a,a6134a,a6135a,a6139a,a6140a,a6144a,a6145a,a6146a,a6150a,a6151a,a6155a,a6156a,a6157a,a6161a,a6162a,a6166a,a6167a,a6168a,a6172a,a6173a,a6177a,a6178a,a6179a,a6183a,a6184a,a6188a,a6189a,a6190a,a6194a,a6195a,a6199a,a6200a,a6201a,a6205a,a6206a,a6210a,a6211a,a6212a,a6216a,a6217a,a6221a,a6222a,a6223a,a6227a,a6228a,a6232a,a6233a,a6234a,a6238a,a6239a,a6243a,a6244a,a6245a,a6249a,a6250a,a6254a,a6255a,a6256a,a6260a,a6261a,a6265a,a6266a,a6267a,a6271a,a6272a,a6276a,a6277a,a6278a,a6282a,a6283a,a6287a,a6288a,a6289a,a6293a,a6294a,a6298a,a6299a,a6300a,a6304a,a6305a,a6309a,a6310a,a6311a,a6315a,a6316a,a6320a,a6321a,a6322a,a6326a,a6327a,a6331a,a6332a,a6333a,a6337a,a6338a,a6342a,a6343a,a6344a,a6348a,a6349a,a6353a,a6354a,a6355a,a6359a,a6360a,a6364a,a6365a,a6366a,a6370a,a6371a,a6375a,a6376a,a6377a,a6381a,a6382a,a6386a,a6387a,a6388a,a6392a,a6393a,a6397a,a6398a,a6399a,a6403a,a6404a,a6408a,a6409a,a6410a,a6414a,a6415a,a6419a,a6420a,a6421a,a6425a,a6426a,a6430a,a6431a,a6432a,a6436a,a6437a,a6441a,a6442a,a6443a,a6447a,a6448a,a6452a,a6453a,a6454a,a6458a,a6459a,a6463a,a6464a,a6465a,a6469a,a6470a,a6474a,a6475a,a6476a,a6480a,a6481a,a6485a,a6486a,a6487a,a6491a,a6492a,a6496a,a6497a,a6498a,a6502a,a6503a,a6507a,a6508a,a6509a,a6513a,a6514a,a6518a,a6519a,a6520a,a6524a,a6525a,a6529a,a6530a,a6531a,a6535a,a6536a,a6540a,a6541a,a6542a,a6546a,a6547a,a6551a,a6552a,a6553a,a6557a,a6558a,a6562a,a6563a,a6564a,a6568a,a6569a,a6573a,a6574a,a6575a,a6579a,a6580a,a6584a,a6585a,a6586a,a6590a,a6591a,a6595a,a6596a,a6597a,a6601a,a6602a,a6606a,a6607a,a6608a,a6612a,a6613a,a6617a,a6618a,a6619a,a6623a,a6624a,a6628a,a6629a,a6630a,a6634a,a6635a,a6639a,a6640a,a6641a,a6645a,a6646a,a6650a,a6651a,a6652a,a6656a,a6657a,a6661a,a6662a,a6663a,a6667a,a6668a,a6672a,a6673a,a6674a: std_logic; begin A41 <=( a1042a ) or ( a695a ); a1a <=( a6674a and a6663a ); a2a <=( a6652a and a6641a ); a3a <=( a6630a and a6619a ); a4a <=( a6608a and a6597a ); a5a <=( a6586a and a6575a ); a6a <=( a6564a and a6553a ); a7a <=( a6542a and a6531a ); a8a <=( a6520a and a6509a ); a9a <=( a6498a and a6487a ); a10a <=( a6476a and a6465a ); a11a <=( a6454a and a6443a ); a12a <=( a6432a and a6421a ); a13a <=( a6410a and a6399a ); a14a <=( a6388a and a6377a ); a15a <=( a6366a and a6355a ); a16a <=( a6344a and a6333a ); a17a <=( a6322a and a6311a ); a18a <=( a6300a and a6289a ); a19a <=( a6278a and a6267a ); a20a <=( a6256a and a6245a ); a21a <=( a6234a and a6223a ); a22a <=( a6212a and a6201a ); a23a <=( a6190a and a6179a ); a24a <=( a6168a and a6157a ); a25a <=( a6146a and a6135a ); a26a <=( a6124a and a6113a ); a27a <=( a6102a and a6091a ); a28a <=( a6080a and a6069a ); a29a <=( a6058a and a6047a ); a30a <=( a6036a and a6025a ); a31a <=( a6014a and a6003a ); a32a <=( a5992a and a5981a ); a33a <=( a5970a and a5959a ); a34a <=( a5948a and a5937a ); a35a <=( a5926a and a5915a ); a36a <=( a5904a and a5893a ); a37a <=( a5882a and a5871a ); a38a <=( a5860a and a5849a ); a39a <=( a5838a and a5827a ); a40a <=( a5816a and a5805a ); a41a <=( a5794a and a5783a ); a42a <=( a5772a and a5761a ); a43a <=( a5750a and a5739a ); a44a <=( a5728a and a5717a ); a45a <=( a5706a and a5695a ); a46a <=( a5684a and a5673a ); a47a <=( a5662a and a5651a ); a48a <=( a5640a and a5629a ); a49a <=( a5618a and a5607a ); a50a <=( a5598a and a5587a ); a51a <=( a5578a and a5567a ); a52a <=( a5558a and a5547a ); a53a <=( a5538a and a5527a ); a54a <=( a5518a and a5507a ); a55a <=( a5498a and a5487a ); a56a <=( a5478a and a5467a ); a57a <=( a5458a and a5447a ); a58a <=( a5438a and a5427a ); a59a <=( a5418a and a5407a ); a60a <=( a5398a and a5387a ); a61a <=( a5378a and a5367a ); a62a <=( a5358a and a5347a ); a63a <=( a5338a and a5327a ); a64a <=( a5318a and a5307a ); a65a <=( a5298a and a5287a ); a66a <=( a5278a and a5267a ); a67a <=( a5258a and a5247a ); a68a <=( a5238a and a5227a ); a69a <=( a5218a and a5207a ); a70a <=( a5198a and a5187a ); a71a <=( a5178a and a5167a ); a72a <=( a5158a and a5147a ); a73a <=( a5138a and a5127a ); a74a <=( a5118a and a5107a ); a75a <=( a5098a and a5087a ); a76a <=( a5078a and a5067a ); a77a <=( a5058a and a5047a ); a78a <=( a5038a and a5027a ); a79a <=( a5018a and a5007a ); a80a <=( a4998a and a4987a ); a81a <=( a4978a and a4969a ); a82a <=( a4960a and a4951a ); a83a <=( a4942a and a4933a ); a84a <=( a4924a and a4915a ); a85a <=( a4906a and a4897a ); a86a <=( a4888a and a4879a ); a87a <=( a4870a and a4861a ); a88a <=( a4852a and a4843a ); a89a <=( a4834a and a4825a ); a90a <=( a4816a and a4807a ); a91a <=( a4798a and a4789a ); a92a <=( a4780a and a4771a ); a93a <=( a4762a and a4753a ); a94a <=( a4744a and a4735a ); a95a <=( a4726a and a4717a ); a96a <=( a4708a and a4699a ); a97a <=( a4690a and a4681a ); a98a <=( a4672a and a4663a ); a99a <=( a4654a and a4645a ); a100a <=( a4636a and a4627a ); a101a <=( a4618a and a4609a ); a102a <=( a4600a and a4591a ); a103a <=( a4582a and a4573a ); a104a <=( a4564a and a4555a ); a105a <=( a4546a and a4537a ); a106a <=( a4528a and a4519a ); a107a <=( a4510a and a4501a ); a108a <=( a4492a and a4483a ); a109a <=( a4474a and a4465a ); a110a <=( a4456a and a4447a ); a111a <=( a4438a and a4429a ); a112a <=( a4420a and a4411a ); a113a <=( a4402a and a4393a ); a114a <=( a4384a and a4375a ); a115a <=( a4366a and a4357a ); a116a <=( a4348a and a4339a ); a117a <=( a4330a and a4321a ); a118a <=( a4312a and a4303a ); a119a <=( a4294a and a4285a ); a120a <=( a4276a and a4267a ); a121a <=( a4258a and a4249a ); a122a <=( a4240a and a4231a ); a123a <=( a4222a and a4213a ); a124a <=( a4204a and a4195a ); a125a <=( a4186a and a4177a ); a126a <=( a4168a and a4159a ); a127a <=( a4150a and a4141a ); a128a <=( a4132a and a4123a ); a129a <=( a4114a and a4105a ); a130a <=( a4096a and a4087a ); a131a <=( a4078a and a4069a ); a132a <=( a4060a and a4051a ); a133a <=( a4042a and a4033a ); a134a <=( a4024a and a4015a ); a135a <=( a4006a and a3997a ); a136a <=( a3988a and a3979a ); a137a <=( a3970a and a3961a ); a138a <=( a3952a and a3943a ); a139a <=( a3934a and a3925a ); a140a <=( a3916a and a3907a ); a141a <=( a3898a and a3889a ); a142a <=( a3880a and a3871a ); a143a <=( a3862a and a3853a ); a144a <=( a3844a and a3835a ); a145a <=( a3826a and a3817a ); a146a <=( a3808a and a3799a ); a147a <=( a3790a and a3781a ); a148a <=( a3772a and a3763a ); a149a <=( a3754a and a3745a ); a150a <=( a3736a and a3727a ); a151a <=( a3718a and a3709a ); a152a <=( a3700a and a3691a ); a153a <=( a3682a and a3673a ); a154a <=( a3664a and a3655a ); a155a <=( a3646a and a3637a ); a156a <=( a3628a and a3619a ); a157a <=( a3610a and a3601a ); a158a <=( a3592a and a3583a ); a159a <=( a3574a and a3565a ); a160a <=( a3556a and a3547a ); a161a <=( a3538a and a3529a ); a162a <=( a3520a and a3511a ); a163a <=( a3502a and a3493a ); a164a <=( a3484a and a3475a ); a165a <=( a3466a and a3457a ); a166a <=( a3448a and a3439a ); a167a <=( a3430a and a3421a ); a168a <=( a3412a and a3403a ); a169a <=( a3394a and a3385a ); a170a <=( a3376a and a3367a ); a171a <=( a3358a and a3349a ); a172a <=( a3340a and a3331a ); a173a <=( a3322a and a3313a ); a174a <=( a3304a and a3295a ); a175a <=( a3286a and a3277a ); a176a <=( a3268a and a3259a ); a177a <=( a3250a and a3241a ); a178a <=( a3234a and a3225a ); a179a <=( a3218a and a3209a ); a180a <=( a3202a and a3193a ); a181a <=( a3186a and a3177a ); a182a <=( a3170a and a3161a ); a183a <=( a3154a and a3145a ); a184a <=( a3138a and a3129a ); a185a <=( a3122a and a3113a ); a186a <=( a3106a and a3097a ); a187a <=( a3090a and a3081a ); a188a <=( a3074a and a3065a ); a189a <=( a3058a and a3049a ); a190a <=( a3042a and a3033a ); a191a <=( a3026a and a3017a ); a192a <=( a3010a and a3001a ); a193a <=( a2994a and a2985a ); a194a <=( a2978a and a2969a ); a195a <=( a2962a and a2953a ); a196a <=( a2946a and a2937a ); a197a <=( a2930a and a2921a ); a198a <=( a2914a and a2905a ); a199a <=( a2898a and a2889a ); a200a <=( a2882a and a2873a ); a201a <=( a2866a and a2857a ); a202a <=( a2850a and a2841a ); a203a <=( a2834a and a2825a ); a204a <=( a2818a and a2809a ); a205a <=( a2802a and a2793a ); a206a <=( a2786a and a2777a ); a207a <=( a2770a and a2761a ); a208a <=( a2754a and a2745a ); a209a <=( a2738a and a2731a ); a210a <=( a2724a and a2717a ); a211a <=( a2710a and a2703a ); a212a <=( a2696a and a2689a ); a213a <=( a2682a and a2675a ); a214a <=( a2668a and a2661a ); a215a <=( a2654a and a2647a ); a216a <=( a2640a and a2633a ); a217a <=( a2626a and a2619a ); a218a <=( a2612a and a2605a ); a219a <=( a2598a and a2591a ); a220a <=( a2584a and a2577a ); a221a <=( a2570a and a2563a ); a222a <=( a2556a and a2549a ); a223a <=( a2542a and a2535a ); a224a <=( a2528a and a2521a ); a225a <=( a2514a and a2507a ); a226a <=( a2500a and a2493a ); a227a <=( a2486a and a2479a ); a228a <=( a2472a and a2465a ); a229a <=( a2458a and a2451a ); a230a <=( a2444a and a2437a ); a231a <=( a2430a and a2423a ); a232a <=( a2416a and a2409a ); a233a <=( a2402a and a2395a ); a234a <=( a2388a and a2381a ); a235a <=( a2374a and a2367a ); a236a <=( a2360a and a2353a ); a237a <=( a2346a and a2339a ); a238a <=( a2332a and a2325a ); a239a <=( a2318a and a2311a ); a240a <=( a2304a and a2297a ); a241a <=( a2290a and a2283a ); a242a <=( a2276a and a2269a ); a243a <=( a2262a and a2255a ); a244a <=( a2248a and a2241a ); a245a <=( a2234a and a2227a ); a246a <=( a2220a and a2213a ); a247a <=( a2206a and a2199a ); a248a <=( a2192a and a2185a ); a249a <=( a2178a and a2171a ); a250a <=( a2164a and a2157a ); a251a <=( a2150a and a2143a ); a252a <=( a2136a and a2129a ); a253a <=( a2122a and a2115a ); a254a <=( a2108a and a2101a ); a255a <=( a2094a and a2087a ); a256a <=( a2080a and a2073a ); a257a <=( a2066a and a2059a ); a258a <=( a2052a and a2045a ); a259a <=( a2038a and a2031a ); a260a <=( a2024a and a2017a ); a261a <=( a2010a and a2003a ); a262a <=( a1996a and a1989a ); a263a <=( a1982a and a1975a ); a264a <=( a1968a and a1961a ); a265a <=( a1954a and a1947a ); a266a <=( a1940a and a1933a ); a267a <=( a1926a and a1919a ); a268a <=( a1912a and a1905a ); a269a <=( a1898a and a1891a ); a270a <=( a1884a and a1877a ); a271a <=( a1870a and a1863a ); a272a <=( a1856a and a1849a ); a273a <=( a1842a and a1835a ); a274a <=( a1828a and a1821a ); a275a <=( a1814a and a1807a ); a276a <=( a1800a and a1793a ); a277a <=( a1786a and a1779a ); a278a <=( a1772a and a1765a ); a279a <=( a1758a and a1751a ); a280a <=( a1744a and a1737a ); a281a <=( a1730a and a1723a ); a282a <=( a1716a and a1709a ); a283a <=( a1702a and a1695a ); a284a <=( a1688a and a1681a ); a285a <=( a1674a and a1667a ); a286a <=( a1660a and a1653a ); a287a <=( a1646a and a1639a ); a288a <=( a1632a and a1625a ); a289a <=( a1618a and a1611a ); a290a <=( a1604a and a1597a ); a291a <=( a1590a and a1583a ); a292a <=( a1576a and a1569a ); a293a <=( a1562a and a1555a ); a294a <=( a1550a and a1543a ); a295a <=( a1538a and a1531a ); a296a <=( a1526a and a1519a ); a297a <=( a1514a and a1507a ); a298a <=( a1502a and a1495a ); a299a <=( a1490a and a1483a ); a300a <=( a1478a and a1471a ); a301a <=( a1466a and a1461a ); a302a <=( a1456a and a1451a ); a303a <=( a1446a and a1441a ); a304a <=( a1436a and a1431a ); a305a <=( a1426a and a1421a ); a306a <=( a1416a and a1411a ); a307a <=( a1406a and a1401a ); a308a <=( a1396a and a1391a ); a309a <=( a1386a and a1381a ); a310a <=( a1376a and a1371a ); a311a <=( a1366a and a1361a ); a312a <=( a1356a and a1351a ); a313a <=( a1346a and a1341a ); a314a <=( a1336a and a1331a ); a315a <=( a1326a and a1321a ); a316a <=( a1316a and a1311a ); a317a <=( a1306a and a1301a ); a318a <=( a1296a and a1291a ); a319a <=( a1286a and a1281a ); a320a <=( a1276a and a1271a ); a321a <=( a1266a and a1261a ); a322a <=( a1256a and a1251a ); a323a <=( a1246a and a1241a ); a324a <=( a1236a and a1231a ); a325a <=( a1226a and a1221a ); a326a <=( a1216a and a1211a ); a327a <=( a1206a and a1201a ); a328a <=( a1196a and a1191a ); a329a <=( a1186a and a1181a ); a330a <=( a1176a and a1171a ); a331a <=( a1166a and a1161a ); a332a <=( a1156a and a1151a ); a333a <=( a1146a and a1141a ); a334a <=( a1136a and a1131a ); a335a <=( a1126a and a1121a ); a336a <=( a1116a and a1111a ); a337a <=( a1106a and a1103a ); a338a <=( a1100a and a1097a ); a339a <=( a1094a and a1091a ); a340a <=( a1088a and a1085a ); a341a <=( a1082a and a1079a ); a342a <=( a1076a and a1073a ); a343a <=( a1070a and a1067a ); a344a <=( a1064a and a1061a ); a345a <=( a1058a and a1055a ); a346a <=( a1052a and a1049a ); a347a <=( A267 and A266 ); a348a <=( A267 and A265 ); a351a <=( a347a ) or ( a348a ); a355a <=( a344a ) or ( a345a ); a356a <=( a346a ) or ( a355a ); a357a <=( a356a ) or ( a351a ); a360a <=( a342a ) or ( a343a ); a364a <=( a339a ) or ( a340a ); a365a <=( a341a ) or ( a364a ); a366a <=( a365a ) or ( a360a ); a367a <=( a366a ) or ( a357a ); a370a <=( a337a ) or ( a338a ); a374a <=( a334a ) or ( a335a ); a375a <=( a336a ) or ( a374a ); a376a <=( a375a ) or ( a370a ); a380a <=( a331a ) or ( a332a ); a381a <=( a333a ) or ( a380a ); a385a <=( a328a ) or ( a329a ); a386a <=( a330a ) or ( a385a ); a387a <=( a386a ) or ( a381a ); a388a <=( a387a ) or ( a376a ); a389a <=( a388a ) or ( a367a ); a392a <=( a326a ) or ( a327a ); a396a <=( a323a ) or ( a324a ); a397a <=( a325a ) or ( a396a ); a398a <=( a397a ) or ( a392a ); a402a <=( a320a ) or ( a321a ); a403a <=( a322a ) or ( a402a ); a407a <=( a317a ) or ( a318a ); a408a <=( a319a ) or ( a407a ); a409a <=( a408a ) or ( a403a ); a410a <=( a409a ) or ( a398a ); a413a <=( a315a ) or ( a316a ); a417a <=( a312a ) or ( a313a ); a418a <=( a314a ) or ( a417a ); a419a <=( a418a ) or ( a413a ); a423a <=( a309a ) or ( a310a ); a424a <=( a311a ) or ( a423a ); a428a <=( a306a ) or ( a307a ); a429a <=( a308a ) or ( a428a ); a430a <=( a429a ) or ( a424a ); a431a <=( a430a ) or ( a419a ); a432a <=( a431a ) or ( a410a ); a433a <=( a432a ) or ( a389a ); a436a <=( a304a ) or ( a305a ); a440a <=( a301a ) or ( a302a ); a441a <=( a303a ) or ( a440a ); a442a <=( a441a ) or ( a436a ); a446a <=( a298a ) or ( a299a ); a447a <=( a300a ) or ( a446a ); a451a <=( a295a ) or ( a296a ); a452a <=( a297a ) or ( a451a ); a453a <=( a452a ) or ( a447a ); a454a <=( a453a ) or ( a442a ); a457a <=( a293a ) or ( a294a ); a461a <=( a290a ) or ( a291a ); a462a <=( a292a ) or ( a461a ); a463a <=( a462a ) or ( a457a ); a467a <=( a287a ) or ( a288a ); a468a <=( a289a ) or ( a467a ); a472a <=( a284a ) or ( a285a ); a473a <=( a286a ) or ( a472a ); a474a <=( a473a ) or ( a468a ); a475a <=( a474a ) or ( a463a ); a476a <=( a475a ) or ( a454a ); a479a <=( a282a ) or ( a283a ); a483a <=( a279a ) or ( a280a ); a484a <=( a281a ) or ( a483a ); a485a <=( a484a ) or ( a479a ); a489a <=( a276a ) or ( a277a ); a490a <=( a278a ) or ( a489a ); a494a <=( a273a ) or ( a274a ); a495a <=( a275a ) or ( a494a ); a496a <=( a495a ) or ( a490a ); a497a <=( a496a ) or ( a485a ); a500a <=( a271a ) or ( a272a ); a504a <=( a268a ) or ( a269a ); a505a <=( a270a ) or ( a504a ); a506a <=( a505a ) or ( a500a ); a510a <=( a265a ) or ( a266a ); a511a <=( a267a ) or ( a510a ); a515a <=( a262a ) or ( a263a ); a516a <=( a264a ) or ( a515a ); a517a <=( a516a ) or ( a511a ); a518a <=( a517a ) or ( a506a ); a519a <=( a518a ) or ( a497a ); a520a <=( a519a ) or ( a476a ); a521a <=( a520a ) or ( a433a ); a524a <=( a260a ) or ( a261a ); a528a <=( a257a ) or ( a258a ); a529a <=( a259a ) or ( a528a ); a530a <=( a529a ) or ( a524a ); a533a <=( a255a ) or ( a256a ); a537a <=( a252a ) or ( a253a ); a538a <=( a254a ) or ( a537a ); a539a <=( a538a ) or ( a533a ); a540a <=( a539a ) or ( a530a ); a543a <=( a250a ) or ( a251a ); a547a <=( a247a ) or ( a248a ); a548a <=( a249a ) or ( a547a ); a549a <=( a548a ) or ( a543a ); a553a <=( a244a ) or ( a245a ); a554a <=( a246a ) or ( a553a ); a558a <=( a241a ) or ( a242a ); a559a <=( a243a ) or ( a558a ); a560a <=( a559a ) or ( a554a ); a561a <=( a560a ) or ( a549a ); a562a <=( a561a ) or ( a540a ); a565a <=( a239a ) or ( a240a ); a569a <=( a236a ) or ( a237a ); a570a <=( a238a ) or ( a569a ); a571a <=( a570a ) or ( a565a ); a575a <=( a233a ) or ( a234a ); a576a <=( a235a ) or ( a575a ); a580a <=( a230a ) or ( a231a ); a581a <=( a232a ) or ( a580a ); a582a <=( a581a ) or ( a576a ); a583a <=( a582a ) or ( a571a ); a586a <=( a228a ) or ( a229a ); a590a <=( a225a ) or ( a226a ); a591a <=( a227a ) or ( a590a ); a592a <=( a591a ) or ( a586a ); a596a <=( a222a ) or ( a223a ); a597a <=( a224a ) or ( a596a ); a601a <=( a219a ) or ( a220a ); a602a <=( a221a ) or ( a601a ); a603a <=( a602a ) or ( a597a ); a604a <=( a603a ) or ( a592a ); a605a <=( a604a ) or ( a583a ); a606a <=( a605a ) or ( a562a ); a609a <=( a217a ) or ( a218a ); a613a <=( a214a ) or ( a215a ); a614a <=( a216a ) or ( a613a ); a615a <=( a614a ) or ( a609a ); a619a <=( a211a ) or ( a212a ); a620a <=( a213a ) or ( a619a ); a624a <=( a208a ) or ( a209a ); a625a <=( a210a ) or ( a624a ); a626a <=( a625a ) or ( a620a ); a627a <=( a626a ) or ( a615a ); a630a <=( a206a ) or ( a207a ); a634a <=( a203a ) or ( a204a ); a635a <=( a205a ) or ( a634a ); a636a <=( a635a ) or ( a630a ); a640a <=( a200a ) or ( a201a ); a641a <=( a202a ) or ( a640a ); a645a <=( a197a ) or ( a198a ); a646a <=( a199a ) or ( a645a ); a647a <=( a646a ) or ( a641a ); a648a <=( a647a ) or ( a636a ); a649a <=( a648a ) or ( a627a ); a652a <=( a195a ) or ( a196a ); a656a <=( a192a ) or ( a193a ); a657a <=( a194a ) or ( a656a ); a658a <=( a657a ) or ( a652a ); a662a <=( a189a ) or ( a190a ); a663a <=( a191a ) or ( a662a ); a667a <=( a186a ) or ( a187a ); a668a <=( a188a ) or ( a667a ); a669a <=( a668a ) or ( a663a ); a670a <=( a669a ) or ( a658a ); a673a <=( a184a ) or ( a185a ); a677a <=( a181a ) or ( a182a ); a678a <=( a183a ) or ( a677a ); a679a <=( a678a ) or ( a673a ); a683a <=( a178a ) or ( a179a ); a684a <=( a180a ) or ( a683a ); a688a <=( a175a ) or ( a176a ); a689a <=( a177a ) or ( a688a ); a690a <=( a689a ) or ( a684a ); a691a <=( a690a ) or ( a679a ); a692a <=( a691a ) or ( a670a ); a693a <=( a692a ) or ( a649a ); a694a <=( a693a ) or ( a606a ); a695a <=( a694a ) or ( a521a ); a698a <=( a173a ) or ( a174a ); a702a <=( a170a ) or ( a171a ); a703a <=( a172a ) or ( a702a ); a704a <=( a703a ) or ( a698a ); a707a <=( a168a ) or ( a169a ); a711a <=( a165a ) or ( a166a ); a712a <=( a167a ) or ( a711a ); a713a <=( a712a ) or ( a707a ); a714a <=( a713a ) or ( a704a ); a717a <=( a163a ) or ( a164a ); a721a <=( a160a ) or ( a161a ); a722a <=( a162a ) or ( a721a ); a723a <=( a722a ) or ( a717a ); a727a <=( a157a ) or ( a158a ); a728a <=( a159a ) or ( a727a ); a732a <=( a154a ) or ( a155a ); a733a <=( a156a ) or ( a732a ); a734a <=( a733a ) or ( a728a ); a735a <=( a734a ) or ( a723a ); a736a <=( a735a ) or ( a714a ); a739a <=( a152a ) or ( a153a ); a743a <=( a149a ) or ( a150a ); a744a <=( a151a ) or ( a743a ); a745a <=( a744a ) or ( a739a ); a749a <=( a146a ) or ( a147a ); a750a <=( a148a ) or ( a749a ); a754a <=( a143a ) or ( a144a ); a755a <=( a145a ) or ( a754a ); a756a <=( a755a ) or ( a750a ); a757a <=( a756a ) or ( a745a ); a760a <=( a141a ) or ( a142a ); a764a <=( a138a ) or ( a139a ); a765a <=( a140a ) or ( a764a ); a766a <=( a765a ) or ( a760a ); a770a <=( a135a ) or ( a136a ); a771a <=( a137a ) or ( a770a ); a775a <=( a132a ) or ( a133a ); a776a <=( a134a ) or ( a775a ); a777a <=( a776a ) or ( a771a ); a778a <=( a777a ) or ( a766a ); a779a <=( a778a ) or ( a757a ); a780a <=( a779a ) or ( a736a ); a783a <=( a130a ) or ( a131a ); a787a <=( a127a ) or ( a128a ); a788a <=( a129a ) or ( a787a ); a789a <=( a788a ) or ( a783a ); a793a <=( a124a ) or ( a125a ); a794a <=( a126a ) or ( a793a ); a798a <=( a121a ) or ( a122a ); a799a <=( a123a ) or ( a798a ); a800a <=( a799a ) or ( a794a ); a801a <=( a800a ) or ( a789a ); a804a <=( a119a ) or ( a120a ); a808a <=( a116a ) or ( a117a ); a809a <=( a118a ) or ( a808a ); a810a <=( a809a ) or ( a804a ); a814a <=( a113a ) or ( a114a ); a815a <=( a115a ) or ( a814a ); a819a <=( a110a ) or ( a111a ); a820a <=( a112a ) or ( a819a ); a821a <=( a820a ) or ( a815a ); a822a <=( a821a ) or ( a810a ); a823a <=( a822a ) or ( a801a ); a826a <=( a108a ) or ( a109a ); a830a <=( a105a ) or ( a106a ); a831a <=( a107a ) or ( a830a ); a832a <=( a831a ) or ( a826a ); a836a <=( a102a ) or ( a103a ); a837a <=( a104a ) or ( a836a ); a841a <=( a99a ) or ( a100a ); a842a <=( a101a ) or ( a841a ); a843a <=( a842a ) or ( a837a ); a844a <=( a843a ) or ( a832a ); a847a <=( a97a ) or ( a98a ); a851a <=( a94a ) or ( a95a ); a852a <=( a96a ) or ( a851a ); a853a <=( a852a ) or ( a847a ); a857a <=( a91a ) or ( a92a ); a858a <=( a93a ) or ( a857a ); a862a <=( a88a ) or ( a89a ); a863a <=( a90a ) or ( a862a ); a864a <=( a863a ) or ( a858a ); a865a <=( a864a ) or ( a853a ); a866a <=( a865a ) or ( a844a ); a867a <=( a866a ) or ( a823a ); a868a <=( a867a ) or ( a780a ); a871a <=( a86a ) or ( a87a ); a875a <=( a83a ) or ( a84a ); a876a <=( a85a ) or ( a875a ); a877a <=( a876a ) or ( a871a ); a880a <=( a81a ) or ( a82a ); a884a <=( a78a ) or ( a79a ); a885a <=( a80a ) or ( a884a ); a886a <=( a885a ) or ( a880a ); a887a <=( a886a ) or ( a877a ); a890a <=( a76a ) or ( a77a ); a894a <=( a73a ) or ( a74a ); a895a <=( a75a ) or ( a894a ); a896a <=( a895a ) or ( a890a ); a900a <=( a70a ) or ( a71a ); a901a <=( a72a ) or ( a900a ); a905a <=( a67a ) or ( a68a ); a906a <=( a69a ) or ( a905a ); a907a <=( a906a ) or ( a901a ); a908a <=( a907a ) or ( a896a ); a909a <=( a908a ) or ( a887a ); a912a <=( a65a ) or ( a66a ); a916a <=( a62a ) or ( a63a ); a917a <=( a64a ) or ( a916a ); a918a <=( a917a ) or ( a912a ); a922a <=( a59a ) or ( a60a ); a923a <=( a61a ) or ( a922a ); a927a <=( a56a ) or ( a57a ); a928a <=( a58a ) or ( a927a ); a929a <=( a928a ) or ( a923a ); a930a <=( a929a ) or ( a918a ); a933a <=( a54a ) or ( a55a ); a937a <=( a51a ) or ( a52a ); a938a <=( a53a ) or ( a937a ); a939a <=( a938a ) or ( a933a ); a943a <=( a48a ) or ( a49a ); a944a <=( a50a ) or ( a943a ); a948a <=( a45a ) or ( a46a ); a949a <=( a47a ) or ( a948a ); a950a <=( a949a ) or ( a944a ); a951a <=( a950a ) or ( a939a ); a952a <=( a951a ) or ( a930a ); a953a <=( a952a ) or ( a909a ); a956a <=( a43a ) or ( a44a ); a960a <=( a40a ) or ( a41a ); a961a <=( a42a ) or ( a960a ); a962a <=( a961a ) or ( a956a ); a966a <=( a37a ) or ( a38a ); a967a <=( a39a ) or ( a966a ); a971a <=( a34a ) or ( a35a ); a972a <=( a36a ) or ( a971a ); a973a <=( a972a ) or ( a967a ); a974a <=( a973a ) or ( a962a ); a977a <=( a32a ) or ( a33a ); a981a <=( a29a ) or ( a30a ); a982a <=( a31a ) or ( a981a ); a983a <=( a982a ) or ( a977a ); a987a <=( a26a ) or ( a27a ); a988a <=( a28a ) or ( a987a ); a992a <=( a23a ) or ( a24a ); a993a <=( a25a ) or ( a992a ); a994a <=( a993a ) or ( a988a ); a995a <=( a994a ) or ( a983a ); a996a <=( a995a ) or ( a974a ); a999a <=( a21a ) or ( a22a ); a1003a <=( a18a ) or ( a19a ); a1004a <=( a20a ) or ( a1003a ); a1005a <=( a1004a ) or ( a999a ); a1009a <=( a15a ) or ( a16a ); a1010a <=( a17a ) or ( a1009a ); a1014a <=( a12a ) or ( a13a ); a1015a <=( a14a ) or ( a1014a ); a1016a <=( a1015a ) or ( a1010a ); a1017a <=( a1016a ) or ( a1005a ); a1020a <=( a10a ) or ( a11a ); a1024a <=( a7a ) or ( a8a ); a1025a <=( a9a ) or ( a1024a ); a1026a <=( a1025a ) or ( a1020a ); a1030a <=( a4a ) or ( a5a ); a1031a <=( a6a ) or ( a1030a ); a1035a <=( a1a ) or ( a2a ); a1036a <=( a3a ) or ( a1035a ); a1037a <=( a1036a ) or ( a1031a ); a1038a <=( a1037a ) or ( a1026a ); a1039a <=( a1038a ) or ( a1017a ); a1040a <=( a1039a ) or ( a996a ); a1041a <=( a1040a ) or ( a953a ); a1042a <=( a1041a ) or ( a868a ); a1049a <=( A266 and A265 ); a1052a <=( (not A269) and A268 ); a1055a <=( A266 and (not A265) ); a1058a <=( A269 and (not A268) ); a1061a <=( (not A266) and A265 ); a1064a <=( A269 and (not A268) ); a1067a <=( (not A266) and (not A265) ); a1070a <=( (not A269) and A268 ); a1073a <=( A201 and A199 ); a1076a <=( A234 and A232 ); a1079a <=( A201 and A199 ); a1082a <=( A234 and A233 ); a1085a <=( A201 and A200 ); a1088a <=( A234 and A232 ); a1091a <=( A201 and A200 ); a1094a <=( A234 and A233 ); a1097a <=( (not A166) and A167 ); a1100a <=( A234 and A232 ); a1103a <=( (not A166) and A167 ); a1106a <=( A234 and A233 ); a1110a <=( A232 and A201 ); a1111a <=( A199 and a1110a ); a1115a <=( (not A236) and A235 ); a1116a <=( A233 and a1115a ); a1120a <=( (not A232) and A201 ); a1121a <=( A199 and a1120a ); a1125a <=( A236 and (not A235) ); a1126a <=( A233 and a1125a ); a1130a <=( A232 and A201 ); a1131a <=( A199 and a1130a ); a1135a <=( A236 and (not A235) ); a1136a <=( (not A233) and a1135a ); a1140a <=( (not A232) and A201 ); a1141a <=( A199 and a1140a ); a1145a <=( (not A236) and A235 ); a1146a <=( (not A233) and a1145a ); a1150a <=( A232 and A201 ); a1151a <=( A200 and a1150a ); a1155a <=( (not A236) and A235 ); a1156a <=( A233 and a1155a ); a1160a <=( (not A232) and A201 ); a1161a <=( A200 and a1160a ); a1165a <=( A236 and (not A235) ); a1166a <=( A233 and a1165a ); a1170a <=( A232 and A201 ); a1171a <=( A200 and a1170a ); a1175a <=( A236 and (not A235) ); a1176a <=( (not A233) and a1175a ); a1180a <=( (not A232) and A201 ); a1181a <=( A200 and a1180a ); a1185a <=( (not A236) and A235 ); a1186a <=( (not A233) and a1185a ); a1190a <=( A202 and A200 ); a1191a <=( A199 and a1190a ); a1195a <=( A234 and A232 ); a1196a <=( (not A203) and a1195a ); a1200a <=( A202 and A200 ); a1201a <=( A199 and a1200a ); a1205a <=( A234 and A233 ); a1206a <=( (not A203) and a1205a ); a1210a <=( (not A202) and A200 ); a1211a <=( (not A199) and a1210a ); a1215a <=( A234 and A232 ); a1216a <=( A203 and a1215a ); a1220a <=( (not A202) and A200 ); a1221a <=( (not A199) and a1220a ); a1225a <=( A234 and A233 ); a1226a <=( A203 and a1225a ); a1230a <=( (not A202) and (not A200) ); a1231a <=( A199 and a1230a ); a1235a <=( A234 and A232 ); a1236a <=( A203 and a1235a ); a1240a <=( (not A202) and (not A200) ); a1241a <=( A199 and a1240a ); a1245a <=( A234 and A233 ); a1246a <=( A203 and a1245a ); a1250a <=( A202 and (not A200) ); a1251a <=( (not A199) and a1250a ); a1255a <=( A234 and A232 ); a1256a <=( (not A203) and a1255a ); a1260a <=( A202 and (not A200) ); a1261a <=( (not A199) and a1260a ); a1265a <=( A234 and A233 ); a1266a <=( (not A203) and a1265a ); a1270a <=( A199 and A166 ); a1271a <=( A167 and a1270a ); a1275a <=( A300 and A299 ); a1276a <=( A201 and a1275a ); a1280a <=( A199 and A166 ); a1281a <=( A167 and a1280a ); a1285a <=( A300 and A298 ); a1286a <=( A201 and a1285a ); a1290a <=( A200 and A166 ); a1291a <=( A167 and a1290a ); a1295a <=( A300 and A299 ); a1296a <=( A201 and a1295a ); a1300a <=( A200 and A166 ); a1301a <=( A167 and a1300a ); a1305a <=( A300 and A298 ); a1306a <=( A201 and a1305a ); a1310a <=( A232 and (not A166) ); a1311a <=( A167 and a1310a ); a1315a <=( (not A236) and A235 ); a1316a <=( A233 and a1315a ); a1320a <=( (not A232) and (not A166) ); a1321a <=( A167 and a1320a ); a1325a <=( A236 and (not A235) ); a1326a <=( A233 and a1325a ); a1330a <=( A232 and (not A166) ); a1331a <=( A167 and a1330a ); a1335a <=( A236 and (not A235) ); a1336a <=( (not A233) and a1335a ); a1340a <=( (not A232) and (not A166) ); a1341a <=( A167 and a1340a ); a1345a <=( (not A236) and A235 ); a1346a <=( (not A233) and a1345a ); a1350a <=( A199 and (not A166) ); a1351a <=( (not A167) and a1350a ); a1355a <=( A300 and A299 ); a1356a <=( A201 and a1355a ); a1360a <=( A199 and (not A166) ); a1361a <=( (not A167) and a1360a ); a1365a <=( A300 and A298 ); a1366a <=( A201 and a1365a ); a1370a <=( A200 and (not A166) ); a1371a <=( (not A167) and a1370a ); a1375a <=( A300 and A299 ); a1376a <=( A201 and a1375a ); a1380a <=( A200 and (not A166) ); a1381a <=( (not A167) and a1380a ); a1385a <=( A300 and A298 ); a1386a <=( A201 and a1385a ); a1390a <=( A199 and (not A167) ); a1391a <=( (not A168) and a1390a ); a1395a <=( A300 and A299 ); a1396a <=( A201 and a1395a ); a1400a <=( A199 and (not A167) ); a1401a <=( (not A168) and a1400a ); a1405a <=( A300 and A298 ); a1406a <=( A201 and a1405a ); a1410a <=( A200 and (not A167) ); a1411a <=( (not A168) and a1410a ); a1415a <=( A300 and A299 ); a1416a <=( A201 and a1415a ); a1420a <=( A200 and (not A167) ); a1421a <=( (not A168) and a1420a ); a1425a <=( A300 and A298 ); a1426a <=( A201 and a1425a ); a1430a <=( (not A167) and A168 ); a1431a <=( A170 and a1430a ); a1435a <=( A234 and A232 ); a1436a <=( A166 and a1435a ); a1440a <=( (not A167) and A168 ); a1441a <=( A170 and a1440a ); a1445a <=( A234 and A233 ); a1446a <=( A166 and a1445a ); a1450a <=( (not A167) and A168 ); a1451a <=( A169 and a1450a ); a1455a <=( A234 and A232 ); a1456a <=( A166 and a1455a ); a1460a <=( (not A167) and A168 ); a1461a <=( A169 and a1460a ); a1465a <=( A234 and A233 ); a1466a <=( A166 and a1465a ); a1470a <=( (not A199) and (not A166) ); a1471a <=( A167 and a1470a ); a1474a <=( (not A202) and (not A200) ); a1477a <=( A300 and A299 ); a1478a <=( a1477a and a1474a ); a1482a <=( (not A199) and (not A166) ); a1483a <=( A167 and a1482a ); a1486a <=( (not A202) and (not A200) ); a1489a <=( A300 and A298 ); a1490a <=( a1489a and a1486a ); a1494a <=( (not A199) and (not A166) ); a1495a <=( A167 and a1494a ); a1498a <=( A203 and (not A200) ); a1501a <=( A300 and A299 ); a1502a <=( a1501a and a1498a ); a1506a <=( (not A199) and (not A166) ); a1507a <=( A167 and a1506a ); a1510a <=( A203 and (not A200) ); a1513a <=( A300 and A298 ); a1514a <=( a1513a and a1510a ); a1518a <=( (not A167) and (not A169) ); a1519a <=( (not A170) and a1518a ); a1522a <=( A201 and A199 ); a1525a <=( A300 and A299 ); a1526a <=( a1525a and a1522a ); a1530a <=( (not A167) and (not A169) ); a1531a <=( (not A170) and a1530a ); a1534a <=( A201 and A199 ); a1537a <=( A300 and A298 ); a1538a <=( a1537a and a1534a ); a1542a <=( (not A167) and (not A169) ); a1543a <=( (not A170) and a1542a ); a1546a <=( A201 and A200 ); a1549a <=( A300 and A299 ); a1550a <=( a1549a and a1546a ); a1554a <=( (not A167) and (not A169) ); a1555a <=( (not A170) and a1554a ); a1558a <=( A201 and A200 ); a1561a <=( A300 and A298 ); a1562a <=( a1561a and a1558a ); a1565a <=( A200 and A199 ); a1568a <=( (not A203) and A202 ); a1569a <=( a1568a and a1565a ); a1572a <=( A233 and A232 ); a1575a <=( (not A236) and A235 ); a1576a <=( a1575a and a1572a ); a1579a <=( A200 and A199 ); a1582a <=( (not A203) and A202 ); a1583a <=( a1582a and a1579a ); a1586a <=( A233 and (not A232) ); a1589a <=( A236 and (not A235) ); a1590a <=( a1589a and a1586a ); a1593a <=( A200 and A199 ); a1596a <=( (not A203) and A202 ); a1597a <=( a1596a and a1593a ); a1600a <=( (not A233) and A232 ); a1603a <=( A236 and (not A235) ); a1604a <=( a1603a and a1600a ); a1607a <=( A200 and A199 ); a1610a <=( (not A203) and A202 ); a1611a <=( a1610a and a1607a ); a1614a <=( (not A233) and (not A232) ); a1617a <=( (not A236) and A235 ); a1618a <=( a1617a and a1614a ); a1621a <=( A200 and (not A199) ); a1624a <=( A203 and (not A202) ); a1625a <=( a1624a and a1621a ); a1628a <=( A233 and A232 ); a1631a <=( (not A236) and A235 ); a1632a <=( a1631a and a1628a ); a1635a <=( A200 and (not A199) ); a1638a <=( A203 and (not A202) ); a1639a <=( a1638a and a1635a ); a1642a <=( A233 and (not A232) ); a1645a <=( A236 and (not A235) ); a1646a <=( a1645a and a1642a ); a1649a <=( A200 and (not A199) ); a1652a <=( A203 and (not A202) ); a1653a <=( a1652a and a1649a ); a1656a <=( (not A233) and A232 ); a1659a <=( A236 and (not A235) ); a1660a <=( a1659a and a1656a ); a1663a <=( A200 and (not A199) ); a1666a <=( A203 and (not A202) ); a1667a <=( a1666a and a1663a ); a1670a <=( (not A233) and (not A232) ); a1673a <=( (not A236) and A235 ); a1674a <=( a1673a and a1670a ); a1677a <=( (not A200) and A199 ); a1680a <=( A203 and (not A202) ); a1681a <=( a1680a and a1677a ); a1684a <=( A233 and A232 ); a1687a <=( (not A236) and A235 ); a1688a <=( a1687a and a1684a ); a1691a <=( (not A200) and A199 ); a1694a <=( A203 and (not A202) ); a1695a <=( a1694a and a1691a ); a1698a <=( A233 and (not A232) ); a1701a <=( A236 and (not A235) ); a1702a <=( a1701a and a1698a ); a1705a <=( (not A200) and A199 ); a1708a <=( A203 and (not A202) ); a1709a <=( a1708a and a1705a ); a1712a <=( (not A233) and A232 ); a1715a <=( A236 and (not A235) ); a1716a <=( a1715a and a1712a ); a1719a <=( (not A200) and A199 ); a1722a <=( A203 and (not A202) ); a1723a <=( a1722a and a1719a ); a1726a <=( (not A233) and (not A232) ); a1729a <=( (not A236) and A235 ); a1730a <=( a1729a and a1726a ); a1733a <=( (not A200) and (not A199) ); a1736a <=( (not A203) and A202 ); a1737a <=( a1736a and a1733a ); a1740a <=( A233 and A232 ); a1743a <=( (not A236) and A235 ); a1744a <=( a1743a and a1740a ); a1747a <=( (not A200) and (not A199) ); a1750a <=( (not A203) and A202 ); a1751a <=( a1750a and a1747a ); a1754a <=( A233 and (not A232) ); a1757a <=( A236 and (not A235) ); a1758a <=( a1757a and a1754a ); a1761a <=( (not A200) and (not A199) ); a1764a <=( (not A203) and A202 ); a1765a <=( a1764a and a1761a ); a1768a <=( (not A233) and A232 ); a1771a <=( A236 and (not A235) ); a1772a <=( a1771a and a1768a ); a1775a <=( (not A200) and (not A199) ); a1778a <=( (not A203) and A202 ); a1779a <=( a1778a and a1775a ); a1782a <=( (not A233) and (not A232) ); a1785a <=( (not A236) and A235 ); a1786a <=( a1785a and a1782a ); a1789a <=( A166 and A167 ); a1792a <=( A201 and A199 ); a1793a <=( a1792a and a1789a ); a1796a <=( A299 and A298 ); a1799a <=( (not A302) and A301 ); a1800a <=( a1799a and a1796a ); a1803a <=( A166 and A167 ); a1806a <=( A201 and A199 ); a1807a <=( a1806a and a1803a ); a1810a <=( (not A299) and A298 ); a1813a <=( A302 and (not A301) ); a1814a <=( a1813a and a1810a ); a1817a <=( A166 and A167 ); a1820a <=( A201 and A199 ); a1821a <=( a1820a and a1817a ); a1824a <=( A299 and (not A298) ); a1827a <=( A302 and (not A301) ); a1828a <=( a1827a and a1824a ); a1831a <=( A166 and A167 ); a1834a <=( A201 and A199 ); a1835a <=( a1834a and a1831a ); a1838a <=( (not A299) and (not A298) ); a1841a <=( (not A302) and A301 ); a1842a <=( a1841a and a1838a ); a1845a <=( A166 and A167 ); a1848a <=( A201 and A200 ); a1849a <=( a1848a and a1845a ); a1852a <=( A299 and A298 ); a1855a <=( (not A302) and A301 ); a1856a <=( a1855a and a1852a ); a1859a <=( A166 and A167 ); a1862a <=( A201 and A200 ); a1863a <=( a1862a and a1859a ); a1866a <=( (not A299) and A298 ); a1869a <=( A302 and (not A301) ); a1870a <=( a1869a and a1866a ); a1873a <=( A166 and A167 ); a1876a <=( A201 and A200 ); a1877a <=( a1876a and a1873a ); a1880a <=( A299 and (not A298) ); a1883a <=( A302 and (not A301) ); a1884a <=( a1883a and a1880a ); a1887a <=( A166 and A167 ); a1890a <=( A201 and A200 ); a1891a <=( a1890a and a1887a ); a1894a <=( (not A299) and (not A298) ); a1897a <=( (not A302) and A301 ); a1898a <=( a1897a and a1894a ); a1901a <=( A166 and A167 ); a1904a <=( A200 and A199 ); a1905a <=( a1904a and a1901a ); a1908a <=( (not A203) and A202 ); a1911a <=( A300 and A299 ); a1912a <=( a1911a and a1908a ); a1915a <=( A166 and A167 ); a1918a <=( A200 and A199 ); a1919a <=( a1918a and a1915a ); a1922a <=( (not A203) and A202 ); a1925a <=( A300 and A298 ); a1926a <=( a1925a and a1922a ); a1929a <=( A166 and A167 ); a1932a <=( A200 and (not A199) ); a1933a <=( a1932a and a1929a ); a1936a <=( A203 and (not A202) ); a1939a <=( A300 and A299 ); a1940a <=( a1939a and a1936a ); a1943a <=( A166 and A167 ); a1946a <=( A200 and (not A199) ); a1947a <=( a1946a and a1943a ); a1950a <=( A203 and (not A202) ); a1953a <=( A300 and A298 ); a1954a <=( a1953a and a1950a ); a1957a <=( A166 and A167 ); a1960a <=( (not A200) and A199 ); a1961a <=( a1960a and a1957a ); a1964a <=( A203 and (not A202) ); a1967a <=( A300 and A299 ); a1968a <=( a1967a and a1964a ); a1971a <=( A166 and A167 ); a1974a <=( (not A200) and A199 ); a1975a <=( a1974a and a1971a ); a1978a <=( A203 and (not A202) ); a1981a <=( A300 and A298 ); a1982a <=( a1981a and a1978a ); a1985a <=( A166 and A167 ); a1988a <=( (not A200) and (not A199) ); a1989a <=( a1988a and a1985a ); a1992a <=( (not A203) and A202 ); a1995a <=( A300 and A299 ); a1996a <=( a1995a and a1992a ); a1999a <=( A166 and A167 ); a2002a <=( (not A200) and (not A199) ); a2003a <=( a2002a and a1999a ); a2006a <=( (not A203) and A202 ); a2009a <=( A300 and A298 ); a2010a <=( a2009a and a2006a ); a2013a <=( (not A166) and A167 ); a2016a <=( A200 and A199 ); a2017a <=( a2016a and a2013a ); a2020a <=( (not A202) and (not A201) ); a2023a <=( A300 and A299 ); a2024a <=( a2023a and a2020a ); a2027a <=( (not A166) and A167 ); a2030a <=( A200 and A199 ); a2031a <=( a2030a and a2027a ); a2034a <=( (not A202) and (not A201) ); a2037a <=( A300 and A298 ); a2038a <=( a2037a and a2034a ); a2041a <=( (not A166) and A167 ); a2044a <=( A200 and A199 ); a2045a <=( a2044a and a2041a ); a2048a <=( A203 and (not A201) ); a2051a <=( A300 and A299 ); a2052a <=( a2051a and a2048a ); a2055a <=( (not A166) and A167 ); a2058a <=( A200 and A199 ); a2059a <=( a2058a and a2055a ); a2062a <=( A203 and (not A201) ); a2065a <=( A300 and A298 ); a2066a <=( a2065a and a2062a ); a2069a <=( (not A166) and A167 ); a2072a <=( A200 and (not A199) ); a2073a <=( a2072a and a2069a ); a2076a <=( A202 and (not A201) ); a2079a <=( A300 and A299 ); a2080a <=( a2079a and a2076a ); a2083a <=( (not A166) and A167 ); a2086a <=( A200 and (not A199) ); a2087a <=( a2086a and a2083a ); a2090a <=( A202 and (not A201) ); a2093a <=( A300 and A298 ); a2094a <=( a2093a and a2090a ); a2097a <=( (not A166) and A167 ); a2100a <=( A200 and (not A199) ); a2101a <=( a2100a and a2097a ); a2104a <=( (not A203) and (not A201) ); a2107a <=( A300 and A299 ); a2108a <=( a2107a and a2104a ); a2111a <=( (not A166) and A167 ); a2114a <=( A200 and (not A199) ); a2115a <=( a2114a and a2111a ); a2118a <=( (not A203) and (not A201) ); a2121a <=( A300 and A298 ); a2122a <=( a2121a and a2118a ); a2125a <=( (not A166) and A167 ); a2128a <=( (not A200) and A199 ); a2129a <=( a2128a and a2125a ); a2132a <=( A202 and (not A201) ); a2135a <=( A300 and A299 ); a2136a <=( a2135a and a2132a ); a2139a <=( (not A166) and A167 ); a2142a <=( (not A200) and A199 ); a2143a <=( a2142a and a2139a ); a2146a <=( A202 and (not A201) ); a2149a <=( A300 and A298 ); a2150a <=( a2149a and a2146a ); a2153a <=( (not A166) and A167 ); a2156a <=( (not A200) and A199 ); a2157a <=( a2156a and a2153a ); a2160a <=( (not A203) and (not A201) ); a2163a <=( A300 and A299 ); a2164a <=( a2163a and a2160a ); a2167a <=( (not A166) and A167 ); a2170a <=( (not A200) and A199 ); a2171a <=( a2170a and a2167a ); a2174a <=( (not A203) and (not A201) ); a2177a <=( A300 and A298 ); a2178a <=( a2177a and a2174a ); a2181a <=( (not A166) and (not A167) ); a2184a <=( A201 and A199 ); a2185a <=( a2184a and a2181a ); a2188a <=( A299 and A298 ); a2191a <=( (not A302) and A301 ); a2192a <=( a2191a and a2188a ); a2195a <=( (not A166) and (not A167) ); a2198a <=( A201 and A199 ); a2199a <=( a2198a and a2195a ); a2202a <=( (not A299) and A298 ); a2205a <=( A302 and (not A301) ); a2206a <=( a2205a and a2202a ); a2209a <=( (not A166) and (not A167) ); a2212a <=( A201 and A199 ); a2213a <=( a2212a and a2209a ); a2216a <=( A299 and (not A298) ); a2219a <=( A302 and (not A301) ); a2220a <=( a2219a and a2216a ); a2223a <=( (not A166) and (not A167) ); a2226a <=( A201 and A199 ); a2227a <=( a2226a and a2223a ); a2230a <=( (not A299) and (not A298) ); a2233a <=( (not A302) and A301 ); a2234a <=( a2233a and a2230a ); a2237a <=( (not A166) and (not A167) ); a2240a <=( A201 and A200 ); a2241a <=( a2240a and a2237a ); a2244a <=( A299 and A298 ); a2247a <=( (not A302) and A301 ); a2248a <=( a2247a and a2244a ); a2251a <=( (not A166) and (not A167) ); a2254a <=( A201 and A200 ); a2255a <=( a2254a and a2251a ); a2258a <=( (not A299) and A298 ); a2261a <=( A302 and (not A301) ); a2262a <=( a2261a and a2258a ); a2265a <=( (not A166) and (not A167) ); a2268a <=( A201 and A200 ); a2269a <=( a2268a and a2265a ); a2272a <=( A299 and (not A298) ); a2275a <=( A302 and (not A301) ); a2276a <=( a2275a and a2272a ); a2279a <=( (not A166) and (not A167) ); a2282a <=( A201 and A200 ); a2283a <=( a2282a and a2279a ); a2286a <=( (not A299) and (not A298) ); a2289a <=( (not A302) and A301 ); a2290a <=( a2289a and a2286a ); a2293a <=( (not A166) and (not A167) ); a2296a <=( A200 and A199 ); a2297a <=( a2296a and a2293a ); a2300a <=( (not A203) and A202 ); a2303a <=( A300 and A299 ); a2304a <=( a2303a and a2300a ); a2307a <=( (not A166) and (not A167) ); a2310a <=( A200 and A199 ); a2311a <=( a2310a and a2307a ); a2314a <=( (not A203) and A202 ); a2317a <=( A300 and A298 ); a2318a <=( a2317a and a2314a ); a2321a <=( (not A166) and (not A167) ); a2324a <=( A200 and (not A199) ); a2325a <=( a2324a and a2321a ); a2328a <=( A203 and (not A202) ); a2331a <=( A300 and A299 ); a2332a <=( a2331a and a2328a ); a2335a <=( (not A166) and (not A167) ); a2338a <=( A200 and (not A199) ); a2339a <=( a2338a and a2335a ); a2342a <=( A203 and (not A202) ); a2345a <=( A300 and A298 ); a2346a <=( a2345a and a2342a ); a2349a <=( (not A166) and (not A167) ); a2352a <=( (not A200) and A199 ); a2353a <=( a2352a and a2349a ); a2356a <=( A203 and (not A202) ); a2359a <=( A300 and A299 ); a2360a <=( a2359a and a2356a ); a2363a <=( (not A166) and (not A167) ); a2366a <=( (not A200) and A199 ); a2367a <=( a2366a and a2363a ); a2370a <=( A203 and (not A202) ); a2373a <=( A300 and A298 ); a2374a <=( a2373a and a2370a ); a2377a <=( (not A166) and (not A167) ); a2380a <=( (not A200) and (not A199) ); a2381a <=( a2380a and a2377a ); a2384a <=( (not A203) and A202 ); a2387a <=( A300 and A299 ); a2388a <=( a2387a and a2384a ); a2391a <=( (not A166) and (not A167) ); a2394a <=( (not A200) and (not A199) ); a2395a <=( a2394a and a2391a ); a2398a <=( (not A203) and A202 ); a2401a <=( A300 and A298 ); a2402a <=( a2401a and a2398a ); a2405a <=( (not A167) and (not A168) ); a2408a <=( A201 and A199 ); a2409a <=( a2408a and a2405a ); a2412a <=( A299 and A298 ); a2415a <=( (not A302) and A301 ); a2416a <=( a2415a and a2412a ); a2419a <=( (not A167) and (not A168) ); a2422a <=( A201 and A199 ); a2423a <=( a2422a and a2419a ); a2426a <=( (not A299) and A298 ); a2429a <=( A302 and (not A301) ); a2430a <=( a2429a and a2426a ); a2433a <=( (not A167) and (not A168) ); a2436a <=( A201 and A199 ); a2437a <=( a2436a and a2433a ); a2440a <=( A299 and (not A298) ); a2443a <=( A302 and (not A301) ); a2444a <=( a2443a and a2440a ); a2447a <=( (not A167) and (not A168) ); a2450a <=( A201 and A199 ); a2451a <=( a2450a and a2447a ); a2454a <=( (not A299) and (not A298) ); a2457a <=( (not A302) and A301 ); a2458a <=( a2457a and a2454a ); a2461a <=( (not A167) and (not A168) ); a2464a <=( A201 and A200 ); a2465a <=( a2464a and a2461a ); a2468a <=( A299 and A298 ); a2471a <=( (not A302) and A301 ); a2472a <=( a2471a and a2468a ); a2475a <=( (not A167) and (not A168) ); a2478a <=( A201 and A200 ); a2479a <=( a2478a and a2475a ); a2482a <=( (not A299) and A298 ); a2485a <=( A302 and (not A301) ); a2486a <=( a2485a and a2482a ); a2489a <=( (not A167) and (not A168) ); a2492a <=( A201 and A200 ); a2493a <=( a2492a and a2489a ); a2496a <=( A299 and (not A298) ); a2499a <=( A302 and (not A301) ); a2500a <=( a2499a and a2496a ); a2503a <=( (not A167) and (not A168) ); a2506a <=( A201 and A200 ); a2507a <=( a2506a and a2503a ); a2510a <=( (not A299) and (not A298) ); a2513a <=( (not A302) and A301 ); a2514a <=( a2513a and a2510a ); a2517a <=( (not A167) and (not A168) ); a2520a <=( A200 and A199 ); a2521a <=( a2520a and a2517a ); a2524a <=( (not A203) and A202 ); a2527a <=( A300 and A299 ); a2528a <=( a2527a and a2524a ); a2531a <=( (not A167) and (not A168) ); a2534a <=( A200 and A199 ); a2535a <=( a2534a and a2531a ); a2538a <=( (not A203) and A202 ); a2541a <=( A300 and A298 ); a2542a <=( a2541a and a2538a ); a2545a <=( (not A167) and (not A168) ); a2548a <=( A200 and (not A199) ); a2549a <=( a2548a and a2545a ); a2552a <=( A203 and (not A202) ); a2555a <=( A300 and A299 ); a2556a <=( a2555a and a2552a ); a2559a <=( (not A167) and (not A168) ); a2562a <=( A200 and (not A199) ); a2563a <=( a2562a and a2559a ); a2566a <=( A203 and (not A202) ); a2569a <=( A300 and A298 ); a2570a <=( a2569a and a2566a ); a2573a <=( (not A167) and (not A168) ); a2576a <=( (not A200) and A199 ); a2577a <=( a2576a and a2573a ); a2580a <=( A203 and (not A202) ); a2583a <=( A300 and A299 ); a2584a <=( a2583a and a2580a ); a2587a <=( (not A167) and (not A168) ); a2590a <=( (not A200) and A199 ); a2591a <=( a2590a and a2587a ); a2594a <=( A203 and (not A202) ); a2597a <=( A300 and A298 ); a2598a <=( a2597a and a2594a ); a2601a <=( (not A167) and (not A168) ); a2604a <=( (not A200) and (not A199) ); a2605a <=( a2604a and a2601a ); a2608a <=( (not A203) and A202 ); a2611a <=( A300 and A299 ); a2612a <=( a2611a and a2608a ); a2615a <=( (not A167) and (not A168) ); a2618a <=( (not A200) and (not A199) ); a2619a <=( a2618a and a2615a ); a2622a <=( (not A203) and A202 ); a2625a <=( A300 and A298 ); a2626a <=( a2625a and a2622a ); a2629a <=( A168 and A170 ); a2632a <=( A166 and (not A167) ); a2633a <=( a2632a and a2629a ); a2636a <=( A233 and A232 ); a2639a <=( (not A236) and A235 ); a2640a <=( a2639a and a2636a ); a2643a <=( A168 and A170 ); a2646a <=( A166 and (not A167) ); a2647a <=( a2646a and a2643a ); a2650a <=( A233 and (not A232) ); a2653a <=( A236 and (not A235) ); a2654a <=( a2653a and a2650a ); a2657a <=( A168 and A170 ); a2660a <=( A166 and (not A167) ); a2661a <=( a2660a and a2657a ); a2664a <=( (not A233) and A232 ); a2667a <=( A236 and (not A235) ); a2668a <=( a2667a and a2664a ); a2671a <=( A168 and A170 ); a2674a <=( A166 and (not A167) ); a2675a <=( a2674a and a2671a ); a2678a <=( (not A233) and (not A232) ); a2681a <=( (not A236) and A235 ); a2682a <=( a2681a and a2678a ); a2685a <=( A168 and A169 ); a2688a <=( A166 and (not A167) ); a2689a <=( a2688a and a2685a ); a2692a <=( A233 and A232 ); a2695a <=( (not A236) and A235 ); a2696a <=( a2695a and a2692a ); a2699a <=( A168 and A169 ); a2702a <=( A166 and (not A167) ); a2703a <=( a2702a and a2699a ); a2706a <=( A233 and (not A232) ); a2709a <=( A236 and (not A235) ); a2710a <=( a2709a and a2706a ); a2713a <=( A168 and A169 ); a2716a <=( A166 and (not A167) ); a2717a <=( a2716a and a2713a ); a2720a <=( (not A233) and A232 ); a2723a <=( A236 and (not A235) ); a2724a <=( a2723a and a2720a ); a2727a <=( A168 and A169 ); a2730a <=( A166 and (not A167) ); a2731a <=( a2730a and a2727a ); a2734a <=( (not A233) and (not A232) ); a2737a <=( (not A236) and A235 ); a2738a <=( a2737a and a2734a ); a2741a <=( (not A166) and A167 ); a2744a <=( (not A200) and (not A199) ); a2745a <=( a2744a and a2741a ); a2748a <=( A298 and (not A202) ); a2752a <=( (not A302) and A301 ); a2753a <=( A299 and a2752a ); a2754a <=( a2753a and a2748a ); a2757a <=( (not A166) and A167 ); a2760a <=( (not A200) and (not A199) ); a2761a <=( a2760a and a2757a ); a2764a <=( A298 and (not A202) ); a2768a <=( A302 and (not A301) ); a2769a <=( (not A299) and a2768a ); a2770a <=( a2769a and a2764a ); a2773a <=( (not A166) and A167 ); a2776a <=( (not A200) and (not A199) ); a2777a <=( a2776a and a2773a ); a2780a <=( (not A298) and (not A202) ); a2784a <=( A302 and (not A301) ); a2785a <=( A299 and a2784a ); a2786a <=( a2785a and a2780a ); a2789a <=( (not A166) and A167 ); a2792a <=( (not A200) and (not A199) ); a2793a <=( a2792a and a2789a ); a2796a <=( (not A298) and (not A202) ); a2800a <=( (not A302) and A301 ); a2801a <=( (not A299) and a2800a ); a2802a <=( a2801a and a2796a ); a2805a <=( (not A166) and A167 ); a2808a <=( (not A200) and (not A199) ); a2809a <=( a2808a and a2805a ); a2812a <=( A298 and A203 ); a2816a <=( (not A302) and A301 ); a2817a <=( A299 and a2816a ); a2818a <=( a2817a and a2812a ); a2821a <=( (not A166) and A167 ); a2824a <=( (not A200) and (not A199) ); a2825a <=( a2824a and a2821a ); a2828a <=( A298 and A203 ); a2832a <=( A302 and (not A301) ); a2833a <=( (not A299) and a2832a ); a2834a <=( a2833a and a2828a ); a2837a <=( (not A166) and A167 ); a2840a <=( (not A200) and (not A199) ); a2841a <=( a2840a and a2837a ); a2844a <=( (not A298) and A203 ); a2848a <=( A302 and (not A301) ); a2849a <=( A299 and a2848a ); a2850a <=( a2849a and a2844a ); a2853a <=( (not A166) and A167 ); a2856a <=( (not A200) and (not A199) ); a2857a <=( a2856a and a2853a ); a2860a <=( (not A298) and A203 ); a2864a <=( (not A302) and A301 ); a2865a <=( (not A299) and a2864a ); a2866a <=( a2865a and a2860a ); a2869a <=( A168 and A170 ); a2872a <=( A166 and (not A167) ); a2873a <=( a2872a and a2869a ); a2876a <=( (not A200) and (not A199) ); a2880a <=( A300 and A299 ); a2881a <=( (not A202) and a2880a ); a2882a <=( a2881a and a2876a ); a2885a <=( A168 and A170 ); a2888a <=( A166 and (not A167) ); a2889a <=( a2888a and a2885a ); a2892a <=( (not A200) and (not A199) ); a2896a <=( A300 and A298 ); a2897a <=( (not A202) and a2896a ); a2898a <=( a2897a and a2892a ); a2901a <=( A168 and A170 ); a2904a <=( A166 and (not A167) ); a2905a <=( a2904a and a2901a ); a2908a <=( (not A200) and (not A199) ); a2912a <=( A300 and A299 ); a2913a <=( A203 and a2912a ); a2914a <=( a2913a and a2908a ); a2917a <=( A168 and A170 ); a2920a <=( A166 and (not A167) ); a2921a <=( a2920a and a2917a ); a2924a <=( (not A200) and (not A199) ); a2928a <=( A300 and A298 ); a2929a <=( A203 and a2928a ); a2930a <=( a2929a and a2924a ); a2933a <=( A168 and A169 ); a2936a <=( A166 and (not A167) ); a2937a <=( a2936a and a2933a ); a2940a <=( (not A200) and (not A199) ); a2944a <=( A300 and A299 ); a2945a <=( (not A202) and a2944a ); a2946a <=( a2945a and a2940a ); a2949a <=( A168 and A169 ); a2952a <=( A166 and (not A167) ); a2953a <=( a2952a and a2949a ); a2956a <=( (not A200) and (not A199) ); a2960a <=( A300 and A298 ); a2961a <=( (not A202) and a2960a ); a2962a <=( a2961a and a2956a ); a2965a <=( A168 and A169 ); a2968a <=( A166 and (not A167) ); a2969a <=( a2968a and a2965a ); a2972a <=( (not A200) and (not A199) ); a2976a <=( A300 and A299 ); a2977a <=( A203 and a2976a ); a2978a <=( a2977a and a2972a ); a2981a <=( A168 and A169 ); a2984a <=( A166 and (not A167) ); a2985a <=( a2984a and a2981a ); a2988a <=( (not A200) and (not A199) ); a2992a <=( A300 and A298 ); a2993a <=( A203 and a2992a ); a2994a <=( a2993a and a2988a ); a2997a <=( (not A169) and (not A170) ); a3000a <=( A199 and (not A167) ); a3001a <=( a3000a and a2997a ); a3004a <=( A298 and A201 ); a3008a <=( (not A302) and A301 ); a3009a <=( A299 and a3008a ); a3010a <=( a3009a and a3004a ); a3013a <=( (not A169) and (not A170) ); a3016a <=( A199 and (not A167) ); a3017a <=( a3016a and a3013a ); a3020a <=( A298 and A201 ); a3024a <=( A302 and (not A301) ); a3025a <=( (not A299) and a3024a ); a3026a <=( a3025a and a3020a ); a3029a <=( (not A169) and (not A170) ); a3032a <=( A199 and (not A167) ); a3033a <=( a3032a and a3029a ); a3036a <=( (not A298) and A201 ); a3040a <=( A302 and (not A301) ); a3041a <=( A299 and a3040a ); a3042a <=( a3041a and a3036a ); a3045a <=( (not A169) and (not A170) ); a3048a <=( A199 and (not A167) ); a3049a <=( a3048a and a3045a ); a3052a <=( (not A298) and A201 ); a3056a <=( (not A302) and A301 ); a3057a <=( (not A299) and a3056a ); a3058a <=( a3057a and a3052a ); a3061a <=( (not A169) and (not A170) ); a3064a <=( A200 and (not A167) ); a3065a <=( a3064a and a3061a ); a3068a <=( A298 and A201 ); a3072a <=( (not A302) and A301 ); a3073a <=( A299 and a3072a ); a3074a <=( a3073a and a3068a ); a3077a <=( (not A169) and (not A170) ); a3080a <=( A200 and (not A167) ); a3081a <=( a3080a and a3077a ); a3084a <=( A298 and A201 ); a3088a <=( A302 and (not A301) ); a3089a <=( (not A299) and a3088a ); a3090a <=( a3089a and a3084a ); a3093a <=( (not A169) and (not A170) ); a3096a <=( A200 and (not A167) ); a3097a <=( a3096a and a3093a ); a3100a <=( (not A298) and A201 ); a3104a <=( A302 and (not A301) ); a3105a <=( A299 and a3104a ); a3106a <=( a3105a and a3100a ); a3109a <=( (not A169) and (not A170) ); a3112a <=( A200 and (not A167) ); a3113a <=( a3112a and a3109a ); a3116a <=( (not A298) and A201 ); a3120a <=( (not A302) and A301 ); a3121a <=( (not A299) and a3120a ); a3122a <=( a3121a and a3116a ); a3125a <=( (not A169) and (not A170) ); a3128a <=( A199 and (not A167) ); a3129a <=( a3128a and a3125a ); a3132a <=( A202 and A200 ); a3136a <=( A300 and A299 ); a3137a <=( (not A203) and a3136a ); a3138a <=( a3137a and a3132a ); a3141a <=( (not A169) and (not A170) ); a3144a <=( A199 and (not A167) ); a3145a <=( a3144a and a3141a ); a3148a <=( A202 and A200 ); a3152a <=( A300 and A298 ); a3153a <=( (not A203) and a3152a ); a3154a <=( a3153a and a3148a ); a3157a <=( (not A169) and (not A170) ); a3160a <=( (not A199) and (not A167) ); a3161a <=( a3160a and a3157a ); a3164a <=( (not A202) and A200 ); a3168a <=( A300 and A299 ); a3169a <=( A203 and a3168a ); a3170a <=( a3169a and a3164a ); a3173a <=( (not A169) and (not A170) ); a3176a <=( (not A199) and (not A167) ); a3177a <=( a3176a and a3173a ); a3180a <=( (not A202) and A200 ); a3184a <=( A300 and A298 ); a3185a <=( A203 and a3184a ); a3186a <=( a3185a and a3180a ); a3189a <=( (not A169) and (not A170) ); a3192a <=( A199 and (not A167) ); a3193a <=( a3192a and a3189a ); a3196a <=( (not A202) and (not A200) ); a3200a <=( A300 and A299 ); a3201a <=( A203 and a3200a ); a3202a <=( a3201a and a3196a ); a3205a <=( (not A169) and (not A170) ); a3208a <=( A199 and (not A167) ); a3209a <=( a3208a and a3205a ); a3212a <=( (not A202) and (not A200) ); a3216a <=( A300 and A298 ); a3217a <=( A203 and a3216a ); a3218a <=( a3217a and a3212a ); a3221a <=( (not A169) and (not A170) ); a3224a <=( (not A199) and (not A167) ); a3225a <=( a3224a and a3221a ); a3228a <=( A202 and (not A200) ); a3232a <=( A300 and A299 ); a3233a <=( (not A203) and a3232a ); a3234a <=( a3233a and a3228a ); a3237a <=( (not A169) and (not A170) ); a3240a <=( (not A199) and (not A167) ); a3241a <=( a3240a and a3237a ); a3244a <=( A202 and (not A200) ); a3248a <=( A300 and A298 ); a3249a <=( (not A203) and a3248a ); a3250a <=( a3249a and a3244a ); a3253a <=( A166 and A167 ); a3257a <=( A202 and A200 ); a3258a <=( A199 and a3257a ); a3259a <=( a3258a and a3253a ); a3262a <=( A298 and (not A203) ); a3266a <=( (not A302) and A301 ); a3267a <=( A299 and a3266a ); a3268a <=( a3267a and a3262a ); a3271a <=( A166 and A167 ); a3275a <=( A202 and A200 ); a3276a <=( A199 and a3275a ); a3277a <=( a3276a and a3271a ); a3280a <=( A298 and (not A203) ); a3284a <=( A302 and (not A301) ); a3285a <=( (not A299) and a3284a ); a3286a <=( a3285a and a3280a ); a3289a <=( A166 and A167 ); a3293a <=( A202 and A200 ); a3294a <=( A199 and a3293a ); a3295a <=( a3294a and a3289a ); a3298a <=( (not A298) and (not A203) ); a3302a <=( A302 and (not A301) ); a3303a <=( A299 and a3302a ); a3304a <=( a3303a and a3298a ); a3307a <=( A166 and A167 ); a3311a <=( A202 and A200 ); a3312a <=( A199 and a3311a ); a3313a <=( a3312a and a3307a ); a3316a <=( (not A298) and (not A203) ); a3320a <=( (not A302) and A301 ); a3321a <=( (not A299) and a3320a ); a3322a <=( a3321a and a3316a ); a3325a <=( A166 and A167 ); a3329a <=( (not A202) and A200 ); a3330a <=( (not A199) and a3329a ); a3331a <=( a3330a and a3325a ); a3334a <=( A298 and A203 ); a3338a <=( (not A302) and A301 ); a3339a <=( A299 and a3338a ); a3340a <=( a3339a and a3334a ); a3343a <=( A166 and A167 ); a3347a <=( (not A202) and A200 ); a3348a <=( (not A199) and a3347a ); a3349a <=( a3348a and a3343a ); a3352a <=( A298 and A203 ); a3356a <=( A302 and (not A301) ); a3357a <=( (not A299) and a3356a ); a3358a <=( a3357a and a3352a ); a3361a <=( A166 and A167 ); a3365a <=( (not A202) and A200 ); a3366a <=( (not A199) and a3365a ); a3367a <=( a3366a and a3361a ); a3370a <=( (not A298) and A203 ); a3374a <=( A302 and (not A301) ); a3375a <=( A299 and a3374a ); a3376a <=( a3375a and a3370a ); a3379a <=( A166 and A167 ); a3383a <=( (not A202) and A200 ); a3384a <=( (not A199) and a3383a ); a3385a <=( a3384a and a3379a ); a3388a <=( (not A298) and A203 ); a3392a <=( (not A302) and A301 ); a3393a <=( (not A299) and a3392a ); a3394a <=( a3393a and a3388a ); a3397a <=( A166 and A167 ); a3401a <=( (not A202) and (not A200) ); a3402a <=( A199 and a3401a ); a3403a <=( a3402a and a3397a ); a3406a <=( A298 and A203 ); a3410a <=( (not A302) and A301 ); a3411a <=( A299 and a3410a ); a3412a <=( a3411a and a3406a ); a3415a <=( A166 and A167 ); a3419a <=( (not A202) and (not A200) ); a3420a <=( A199 and a3419a ); a3421a <=( a3420a and a3415a ); a3424a <=( A298 and A203 ); a3428a <=( A302 and (not A301) ); a3429a <=( (not A299) and a3428a ); a3430a <=( a3429a and a3424a ); a3433a <=( A166 and A167 ); a3437a <=( (not A202) and (not A200) ); a3438a <=( A199 and a3437a ); a3439a <=( a3438a and a3433a ); a3442a <=( (not A298) and A203 ); a3446a <=( A302 and (not A301) ); a3447a <=( A299 and a3446a ); a3448a <=( a3447a and a3442a ); a3451a <=( A166 and A167 ); a3455a <=( (not A202) and (not A200) ); a3456a <=( A199 and a3455a ); a3457a <=( a3456a and a3451a ); a3460a <=( (not A298) and A203 ); a3464a <=( (not A302) and A301 ); a3465a <=( (not A299) and a3464a ); a3466a <=( a3465a and a3460a ); a3469a <=( A166 and A167 ); a3473a <=( A202 and (not A200) ); a3474a <=( (not A199) and a3473a ); a3475a <=( a3474a and a3469a ); a3478a <=( A298 and (not A203) ); a3482a <=( (not A302) and A301 ); a3483a <=( A299 and a3482a ); a3484a <=( a3483a and a3478a ); a3487a <=( A166 and A167 ); a3491a <=( A202 and (not A200) ); a3492a <=( (not A199) and a3491a ); a3493a <=( a3492a and a3487a ); a3496a <=( A298 and (not A203) ); a3500a <=( A302 and (not A301) ); a3501a <=( (not A299) and a3500a ); a3502a <=( a3501a and a3496a ); a3505a <=( A166 and A167 ); a3509a <=( A202 and (not A200) ); a3510a <=( (not A199) and a3509a ); a3511a <=( a3510a and a3505a ); a3514a <=( (not A298) and (not A203) ); a3518a <=( A302 and (not A301) ); a3519a <=( A299 and a3518a ); a3520a <=( a3519a and a3514a ); a3523a <=( A166 and A167 ); a3527a <=( A202 and (not A200) ); a3528a <=( (not A199) and a3527a ); a3529a <=( a3528a and a3523a ); a3532a <=( (not A298) and (not A203) ); a3536a <=( (not A302) and A301 ); a3537a <=( (not A299) and a3536a ); a3538a <=( a3537a and a3532a ); a3541a <=( (not A166) and A167 ); a3545a <=( (not A201) and A200 ); a3546a <=( A199 and a3545a ); a3547a <=( a3546a and a3541a ); a3550a <=( A298 and (not A202) ); a3554a <=( (not A302) and A301 ); a3555a <=( A299 and a3554a ); a3556a <=( a3555a and a3550a ); a3559a <=( (not A166) and A167 ); a3563a <=( (not A201) and A200 ); a3564a <=( A199 and a3563a ); a3565a <=( a3564a and a3559a ); a3568a <=( A298 and (not A202) ); a3572a <=( A302 and (not A301) ); a3573a <=( (not A299) and a3572a ); a3574a <=( a3573a and a3568a ); a3577a <=( (not A166) and A167 ); a3581a <=( (not A201) and A200 ); a3582a <=( A199 and a3581a ); a3583a <=( a3582a and a3577a ); a3586a <=( (not A298) and (not A202) ); a3590a <=( A302 and (not A301) ); a3591a <=( A299 and a3590a ); a3592a <=( a3591a and a3586a ); a3595a <=( (not A166) and A167 ); a3599a <=( (not A201) and A200 ); a3600a <=( A199 and a3599a ); a3601a <=( a3600a and a3595a ); a3604a <=( (not A298) and (not A202) ); a3608a <=( (not A302) and A301 ); a3609a <=( (not A299) and a3608a ); a3610a <=( a3609a and a3604a ); a3613a <=( (not A166) and A167 ); a3617a <=( (not A201) and A200 ); a3618a <=( A199 and a3617a ); a3619a <=( a3618a and a3613a ); a3622a <=( A298 and A203 ); a3626a <=( (not A302) and A301 ); a3627a <=( A299 and a3626a ); a3628a <=( a3627a and a3622a ); a3631a <=( (not A166) and A167 ); a3635a <=( (not A201) and A200 ); a3636a <=( A199 and a3635a ); a3637a <=( a3636a and a3631a ); a3640a <=( A298 and A203 ); a3644a <=( A302 and (not A301) ); a3645a <=( (not A299) and a3644a ); a3646a <=( a3645a and a3640a ); a3649a <=( (not A166) and A167 ); a3653a <=( (not A201) and A200 ); a3654a <=( A199 and a3653a ); a3655a <=( a3654a and a3649a ); a3658a <=( (not A298) and A203 ); a3662a <=( A302 and (not A301) ); a3663a <=( A299 and a3662a ); a3664a <=( a3663a and a3658a ); a3667a <=( (not A166) and A167 ); a3671a <=( (not A201) and A200 ); a3672a <=( A199 and a3671a ); a3673a <=( a3672a and a3667a ); a3676a <=( (not A298) and A203 ); a3680a <=( (not A302) and A301 ); a3681a <=( (not A299) and a3680a ); a3682a <=( a3681a and a3676a ); a3685a <=( (not A166) and A167 ); a3689a <=( (not A201) and A200 ); a3690a <=( (not A199) and a3689a ); a3691a <=( a3690a and a3685a ); a3694a <=( A298 and A202 ); a3698a <=( (not A302) and A301 ); a3699a <=( A299 and a3698a ); a3700a <=( a3699a and a3694a ); a3703a <=( (not A166) and A167 ); a3707a <=( (not A201) and A200 ); a3708a <=( (not A199) and a3707a ); a3709a <=( a3708a and a3703a ); a3712a <=( A298 and A202 ); a3716a <=( A302 and (not A301) ); a3717a <=( (not A299) and a3716a ); a3718a <=( a3717a and a3712a ); a3721a <=( (not A166) and A167 ); a3725a <=( (not A201) and A200 ); a3726a <=( (not A199) and a3725a ); a3727a <=( a3726a and a3721a ); a3730a <=( (not A298) and A202 ); a3734a <=( A302 and (not A301) ); a3735a <=( A299 and a3734a ); a3736a <=( a3735a and a3730a ); a3739a <=( (not A166) and A167 ); a3743a <=( (not A201) and A200 ); a3744a <=( (not A199) and a3743a ); a3745a <=( a3744a and a3739a ); a3748a <=( (not A298) and A202 ); a3752a <=( (not A302) and A301 ); a3753a <=( (not A299) and a3752a ); a3754a <=( a3753a and a3748a ); a3757a <=( (not A166) and A167 ); a3761a <=( (not A201) and A200 ); a3762a <=( (not A199) and a3761a ); a3763a <=( a3762a and a3757a ); a3766a <=( A298 and (not A203) ); a3770a <=( (not A302) and A301 ); a3771a <=( A299 and a3770a ); a3772a <=( a3771a and a3766a ); a3775a <=( (not A166) and A167 ); a3779a <=( (not A201) and A200 ); a3780a <=( (not A199) and a3779a ); a3781a <=( a3780a and a3775a ); a3784a <=( A298 and (not A203) ); a3788a <=( A302 and (not A301) ); a3789a <=( (not A299) and a3788a ); a3790a <=( a3789a and a3784a ); a3793a <=( (not A166) and A167 ); a3797a <=( (not A201) and A200 ); a3798a <=( (not A199) and a3797a ); a3799a <=( a3798a and a3793a ); a3802a <=( (not A298) and (not A203) ); a3806a <=( A302 and (not A301) ); a3807a <=( A299 and a3806a ); a3808a <=( a3807a and a3802a ); a3811a <=( (not A166) and A167 ); a3815a <=( (not A201) and A200 ); a3816a <=( (not A199) and a3815a ); a3817a <=( a3816a and a3811a ); a3820a <=( (not A298) and (not A203) ); a3824a <=( (not A302) and A301 ); a3825a <=( (not A299) and a3824a ); a3826a <=( a3825a and a3820a ); a3829a <=( (not A166) and A167 ); a3833a <=( (not A201) and (not A200) ); a3834a <=( A199 and a3833a ); a3835a <=( a3834a and a3829a ); a3838a <=( A298 and A202 ); a3842a <=( (not A302) and A301 ); a3843a <=( A299 and a3842a ); a3844a <=( a3843a and a3838a ); a3847a <=( (not A166) and A167 ); a3851a <=( (not A201) and (not A200) ); a3852a <=( A199 and a3851a ); a3853a <=( a3852a and a3847a ); a3856a <=( A298 and A202 ); a3860a <=( A302 and (not A301) ); a3861a <=( (not A299) and a3860a ); a3862a <=( a3861a and a3856a ); a3865a <=( (not A166) and A167 ); a3869a <=( (not A201) and (not A200) ); a3870a <=( A199 and a3869a ); a3871a <=( a3870a and a3865a ); a3874a <=( (not A298) and A202 ); a3878a <=( A302 and (not A301) ); a3879a <=( A299 and a3878a ); a3880a <=( a3879a and a3874a ); a3883a <=( (not A166) and A167 ); a3887a <=( (not A201) and (not A200) ); a3888a <=( A199 and a3887a ); a3889a <=( a3888a and a3883a ); a3892a <=( (not A298) and A202 ); a3896a <=( (not A302) and A301 ); a3897a <=( (not A299) and a3896a ); a3898a <=( a3897a and a3892a ); a3901a <=( (not A166) and A167 ); a3905a <=( (not A201) and (not A200) ); a3906a <=( A199 and a3905a ); a3907a <=( a3906a and a3901a ); a3910a <=( A298 and (not A203) ); a3914a <=( (not A302) and A301 ); a3915a <=( A299 and a3914a ); a3916a <=( a3915a and a3910a ); a3919a <=( (not A166) and A167 ); a3923a <=( (not A201) and (not A200) ); a3924a <=( A199 and a3923a ); a3925a <=( a3924a and a3919a ); a3928a <=( A298 and (not A203) ); a3932a <=( A302 and (not A301) ); a3933a <=( (not A299) and a3932a ); a3934a <=( a3933a and a3928a ); a3937a <=( (not A166) and A167 ); a3941a <=( (not A201) and (not A200) ); a3942a <=( A199 and a3941a ); a3943a <=( a3942a and a3937a ); a3946a <=( (not A298) and (not A203) ); a3950a <=( A302 and (not A301) ); a3951a <=( A299 and a3950a ); a3952a <=( a3951a and a3946a ); a3955a <=( (not A166) and A167 ); a3959a <=( (not A201) and (not A200) ); a3960a <=( A199 and a3959a ); a3961a <=( a3960a and a3955a ); a3964a <=( (not A298) and (not A203) ); a3968a <=( (not A302) and A301 ); a3969a <=( (not A299) and a3968a ); a3970a <=( a3969a and a3964a ); a3973a <=( (not A166) and (not A167) ); a3977a <=( A202 and A200 ); a3978a <=( A199 and a3977a ); a3979a <=( a3978a and a3973a ); a3982a <=( A298 and (not A203) ); a3986a <=( (not A302) and A301 ); a3987a <=( A299 and a3986a ); a3988a <=( a3987a and a3982a ); a3991a <=( (not A166) and (not A167) ); a3995a <=( A202 and A200 ); a3996a <=( A199 and a3995a ); a3997a <=( a3996a and a3991a ); a4000a <=( A298 and (not A203) ); a4004a <=( A302 and (not A301) ); a4005a <=( (not A299) and a4004a ); a4006a <=( a4005a and a4000a ); a4009a <=( (not A166) and (not A167) ); a4013a <=( A202 and A200 ); a4014a <=( A199 and a4013a ); a4015a <=( a4014a and a4009a ); a4018a <=( (not A298) and (not A203) ); a4022a <=( A302 and (not A301) ); a4023a <=( A299 and a4022a ); a4024a <=( a4023a and a4018a ); a4027a <=( (not A166) and (not A167) ); a4031a <=( A202 and A200 ); a4032a <=( A199 and a4031a ); a4033a <=( a4032a and a4027a ); a4036a <=( (not A298) and (not A203) ); a4040a <=( (not A302) and A301 ); a4041a <=( (not A299) and a4040a ); a4042a <=( a4041a and a4036a ); a4045a <=( (not A166) and (not A167) ); a4049a <=( (not A202) and A200 ); a4050a <=( (not A199) and a4049a ); a4051a <=( a4050a and a4045a ); a4054a <=( A298 and A203 ); a4058a <=( (not A302) and A301 ); a4059a <=( A299 and a4058a ); a4060a <=( a4059a and a4054a ); a4063a <=( (not A166) and (not A167) ); a4067a <=( (not A202) and A200 ); a4068a <=( (not A199) and a4067a ); a4069a <=( a4068a and a4063a ); a4072a <=( A298 and A203 ); a4076a <=( A302 and (not A301) ); a4077a <=( (not A299) and a4076a ); a4078a <=( a4077a and a4072a ); a4081a <=( (not A166) and (not A167) ); a4085a <=( (not A202) and A200 ); a4086a <=( (not A199) and a4085a ); a4087a <=( a4086a and a4081a ); a4090a <=( (not A298) and A203 ); a4094a <=( A302 and (not A301) ); a4095a <=( A299 and a4094a ); a4096a <=( a4095a and a4090a ); a4099a <=( (not A166) and (not A167) ); a4103a <=( (not A202) and A200 ); a4104a <=( (not A199) and a4103a ); a4105a <=( a4104a and a4099a ); a4108a <=( (not A298) and A203 ); a4112a <=( (not A302) and A301 ); a4113a <=( (not A299) and a4112a ); a4114a <=( a4113a and a4108a ); a4117a <=( (not A166) and (not A167) ); a4121a <=( (not A202) and (not A200) ); a4122a <=( A199 and a4121a ); a4123a <=( a4122a and a4117a ); a4126a <=( A298 and A203 ); a4130a <=( (not A302) and A301 ); a4131a <=( A299 and a4130a ); a4132a <=( a4131a and a4126a ); a4135a <=( (not A166) and (not A167) ); a4139a <=( (not A202) and (not A200) ); a4140a <=( A199 and a4139a ); a4141a <=( a4140a and a4135a ); a4144a <=( A298 and A203 ); a4148a <=( A302 and (not A301) ); a4149a <=( (not A299) and a4148a ); a4150a <=( a4149a and a4144a ); a4153a <=( (not A166) and (not A167) ); a4157a <=( (not A202) and (not A200) ); a4158a <=( A199 and a4157a ); a4159a <=( a4158a and a4153a ); a4162a <=( (not A298) and A203 ); a4166a <=( A302 and (not A301) ); a4167a <=( A299 and a4166a ); a4168a <=( a4167a and a4162a ); a4171a <=( (not A166) and (not A167) ); a4175a <=( (not A202) and (not A200) ); a4176a <=( A199 and a4175a ); a4177a <=( a4176a and a4171a ); a4180a <=( (not A298) and A203 ); a4184a <=( (not A302) and A301 ); a4185a <=( (not A299) and a4184a ); a4186a <=( a4185a and a4180a ); a4189a <=( (not A166) and (not A167) ); a4193a <=( A202 and (not A200) ); a4194a <=( (not A199) and a4193a ); a4195a <=( a4194a and a4189a ); a4198a <=( A298 and (not A203) ); a4202a <=( (not A302) and A301 ); a4203a <=( A299 and a4202a ); a4204a <=( a4203a and a4198a ); a4207a <=( (not A166) and (not A167) ); a4211a <=( A202 and (not A200) ); a4212a <=( (not A199) and a4211a ); a4213a <=( a4212a and a4207a ); a4216a <=( A298 and (not A203) ); a4220a <=( A302 and (not A301) ); a4221a <=( (not A299) and a4220a ); a4222a <=( a4221a and a4216a ); a4225a <=( (not A166) and (not A167) ); a4229a <=( A202 and (not A200) ); a4230a <=( (not A199) and a4229a ); a4231a <=( a4230a and a4225a ); a4234a <=( (not A298) and (not A203) ); a4238a <=( A302 and (not A301) ); a4239a <=( A299 and a4238a ); a4240a <=( a4239a and a4234a ); a4243a <=( (not A166) and (not A167) ); a4247a <=( A202 and (not A200) ); a4248a <=( (not A199) and a4247a ); a4249a <=( a4248a and a4243a ); a4252a <=( (not A298) and (not A203) ); a4256a <=( (not A302) and A301 ); a4257a <=( (not A299) and a4256a ); a4258a <=( a4257a and a4252a ); a4261a <=( (not A167) and (not A168) ); a4265a <=( A202 and A200 ); a4266a <=( A199 and a4265a ); a4267a <=( a4266a and a4261a ); a4270a <=( A298 and (not A203) ); a4274a <=( (not A302) and A301 ); a4275a <=( A299 and a4274a ); a4276a <=( a4275a and a4270a ); a4279a <=( (not A167) and (not A168) ); a4283a <=( A202 and A200 ); a4284a <=( A199 and a4283a ); a4285a <=( a4284a and a4279a ); a4288a <=( A298 and (not A203) ); a4292a <=( A302 and (not A301) ); a4293a <=( (not A299) and a4292a ); a4294a <=( a4293a and a4288a ); a4297a <=( (not A167) and (not A168) ); a4301a <=( A202 and A200 ); a4302a <=( A199 and a4301a ); a4303a <=( a4302a and a4297a ); a4306a <=( (not A298) and (not A203) ); a4310a <=( A302 and (not A301) ); a4311a <=( A299 and a4310a ); a4312a <=( a4311a and a4306a ); a4315a <=( (not A167) and (not A168) ); a4319a <=( A202 and A200 ); a4320a <=( A199 and a4319a ); a4321a <=( a4320a and a4315a ); a4324a <=( (not A298) and (not A203) ); a4328a <=( (not A302) and A301 ); a4329a <=( (not A299) and a4328a ); a4330a <=( a4329a and a4324a ); a4333a <=( (not A167) and (not A168) ); a4337a <=( (not A202) and A200 ); a4338a <=( (not A199) and a4337a ); a4339a <=( a4338a and a4333a ); a4342a <=( A298 and A203 ); a4346a <=( (not A302) and A301 ); a4347a <=( A299 and a4346a ); a4348a <=( a4347a and a4342a ); a4351a <=( (not A167) and (not A168) ); a4355a <=( (not A202) and A200 ); a4356a <=( (not A199) and a4355a ); a4357a <=( a4356a and a4351a ); a4360a <=( A298 and A203 ); a4364a <=( A302 and (not A301) ); a4365a <=( (not A299) and a4364a ); a4366a <=( a4365a and a4360a ); a4369a <=( (not A167) and (not A168) ); a4373a <=( (not A202) and A200 ); a4374a <=( (not A199) and a4373a ); a4375a <=( a4374a and a4369a ); a4378a <=( (not A298) and A203 ); a4382a <=( A302 and (not A301) ); a4383a <=( A299 and a4382a ); a4384a <=( a4383a and a4378a ); a4387a <=( (not A167) and (not A168) ); a4391a <=( (not A202) and A200 ); a4392a <=( (not A199) and a4391a ); a4393a <=( a4392a and a4387a ); a4396a <=( (not A298) and A203 ); a4400a <=( (not A302) and A301 ); a4401a <=( (not A299) and a4400a ); a4402a <=( a4401a and a4396a ); a4405a <=( (not A167) and (not A168) ); a4409a <=( (not A202) and (not A200) ); a4410a <=( A199 and a4409a ); a4411a <=( a4410a and a4405a ); a4414a <=( A298 and A203 ); a4418a <=( (not A302) and A301 ); a4419a <=( A299 and a4418a ); a4420a <=( a4419a and a4414a ); a4423a <=( (not A167) and (not A168) ); a4427a <=( (not A202) and (not A200) ); a4428a <=( A199 and a4427a ); a4429a <=( a4428a and a4423a ); a4432a <=( A298 and A203 ); a4436a <=( A302 and (not A301) ); a4437a <=( (not A299) and a4436a ); a4438a <=( a4437a and a4432a ); a4441a <=( (not A167) and (not A168) ); a4445a <=( (not A202) and (not A200) ); a4446a <=( A199 and a4445a ); a4447a <=( a4446a and a4441a ); a4450a <=( (not A298) and A203 ); a4454a <=( A302 and (not A301) ); a4455a <=( A299 and a4454a ); a4456a <=( a4455a and a4450a ); a4459a <=( (not A167) and (not A168) ); a4463a <=( (not A202) and (not A200) ); a4464a <=( A199 and a4463a ); a4465a <=( a4464a and a4459a ); a4468a <=( (not A298) and A203 ); a4472a <=( (not A302) and A301 ); a4473a <=( (not A299) and a4472a ); a4474a <=( a4473a and a4468a ); a4477a <=( (not A167) and (not A168) ); a4481a <=( A202 and (not A200) ); a4482a <=( (not A199) and a4481a ); a4483a <=( a4482a and a4477a ); a4486a <=( A298 and (not A203) ); a4490a <=( (not A302) and A301 ); a4491a <=( A299 and a4490a ); a4492a <=( a4491a and a4486a ); a4495a <=( (not A167) and (not A168) ); a4499a <=( A202 and (not A200) ); a4500a <=( (not A199) and a4499a ); a4501a <=( a4500a and a4495a ); a4504a <=( A298 and (not A203) ); a4508a <=( A302 and (not A301) ); a4509a <=( (not A299) and a4508a ); a4510a <=( a4509a and a4504a ); a4513a <=( (not A167) and (not A168) ); a4517a <=( A202 and (not A200) ); a4518a <=( (not A199) and a4517a ); a4519a <=( a4518a and a4513a ); a4522a <=( (not A298) and (not A203) ); a4526a <=( A302 and (not A301) ); a4527a <=( A299 and a4526a ); a4528a <=( a4527a and a4522a ); a4531a <=( (not A167) and (not A168) ); a4535a <=( A202 and (not A200) ); a4536a <=( (not A199) and a4535a ); a4537a <=( a4536a and a4531a ); a4540a <=( (not A298) and (not A203) ); a4544a <=( (not A302) and A301 ); a4545a <=( (not A299) and a4544a ); a4546a <=( a4545a and a4540a ); a4549a <=( A168 and A170 ); a4553a <=( A199 and A166 ); a4554a <=( (not A167) and a4553a ); a4555a <=( a4554a and a4549a ); a4558a <=( (not A201) and A200 ); a4562a <=( A300 and A299 ); a4563a <=( (not A202) and a4562a ); a4564a <=( a4563a and a4558a ); a4567a <=( A168 and A170 ); a4571a <=( A199 and A166 ); a4572a <=( (not A167) and a4571a ); a4573a <=( a4572a and a4567a ); a4576a <=( (not A201) and A200 ); a4580a <=( A300 and A298 ); a4581a <=( (not A202) and a4580a ); a4582a <=( a4581a and a4576a ); a4585a <=( A168 and A170 ); a4589a <=( A199 and A166 ); a4590a <=( (not A167) and a4589a ); a4591a <=( a4590a and a4585a ); a4594a <=( (not A201) and A200 ); a4598a <=( A300 and A299 ); a4599a <=( A203 and a4598a ); a4600a <=( a4599a and a4594a ); a4603a <=( A168 and A170 ); a4607a <=( A199 and A166 ); a4608a <=( (not A167) and a4607a ); a4609a <=( a4608a and a4603a ); a4612a <=( (not A201) and A200 ); a4616a <=( A300 and A298 ); a4617a <=( A203 and a4616a ); a4618a <=( a4617a and a4612a ); a4621a <=( A168 and A170 ); a4625a <=( (not A199) and A166 ); a4626a <=( (not A167) and a4625a ); a4627a <=( a4626a and a4621a ); a4630a <=( (not A201) and A200 ); a4634a <=( A300 and A299 ); a4635a <=( A202 and a4634a ); a4636a <=( a4635a and a4630a ); a4639a <=( A168 and A170 ); a4643a <=( (not A199) and A166 ); a4644a <=( (not A167) and a4643a ); a4645a <=( a4644a and a4639a ); a4648a <=( (not A201) and A200 ); a4652a <=( A300 and A298 ); a4653a <=( A202 and a4652a ); a4654a <=( a4653a and a4648a ); a4657a <=( A168 and A170 ); a4661a <=( (not A199) and A166 ); a4662a <=( (not A167) and a4661a ); a4663a <=( a4662a and a4657a ); a4666a <=( (not A201) and A200 ); a4670a <=( A300 and A299 ); a4671a <=( (not A203) and a4670a ); a4672a <=( a4671a and a4666a ); a4675a <=( A168 and A170 ); a4679a <=( (not A199) and A166 ); a4680a <=( (not A167) and a4679a ); a4681a <=( a4680a and a4675a ); a4684a <=( (not A201) and A200 ); a4688a <=( A300 and A298 ); a4689a <=( (not A203) and a4688a ); a4690a <=( a4689a and a4684a ); a4693a <=( A168 and A170 ); a4697a <=( A199 and A166 ); a4698a <=( (not A167) and a4697a ); a4699a <=( a4698a and a4693a ); a4702a <=( (not A201) and (not A200) ); a4706a <=( A300 and A299 ); a4707a <=( A202 and a4706a ); a4708a <=( a4707a and a4702a ); a4711a <=( A168 and A170 ); a4715a <=( A199 and A166 ); a4716a <=( (not A167) and a4715a ); a4717a <=( a4716a and a4711a ); a4720a <=( (not A201) and (not A200) ); a4724a <=( A300 and A298 ); a4725a <=( A202 and a4724a ); a4726a <=( a4725a and a4720a ); a4729a <=( A168 and A170 ); a4733a <=( A199 and A166 ); a4734a <=( (not A167) and a4733a ); a4735a <=( a4734a and a4729a ); a4738a <=( (not A201) and (not A200) ); a4742a <=( A300 and A299 ); a4743a <=( (not A203) and a4742a ); a4744a <=( a4743a and a4738a ); a4747a <=( A168 and A170 ); a4751a <=( A199 and A166 ); a4752a <=( (not A167) and a4751a ); a4753a <=( a4752a and a4747a ); a4756a <=( (not A201) and (not A200) ); a4760a <=( A300 and A298 ); a4761a <=( (not A203) and a4760a ); a4762a <=( a4761a and a4756a ); a4765a <=( A168 and A169 ); a4769a <=( A199 and A166 ); a4770a <=( (not A167) and a4769a ); a4771a <=( a4770a and a4765a ); a4774a <=( (not A201) and A200 ); a4778a <=( A300 and A299 ); a4779a <=( (not A202) and a4778a ); a4780a <=( a4779a and a4774a ); a4783a <=( A168 and A169 ); a4787a <=( A199 and A166 ); a4788a <=( (not A167) and a4787a ); a4789a <=( a4788a and a4783a ); a4792a <=( (not A201) and A200 ); a4796a <=( A300 and A298 ); a4797a <=( (not A202) and a4796a ); a4798a <=( a4797a and a4792a ); a4801a <=( A168 and A169 ); a4805a <=( A199 and A166 ); a4806a <=( (not A167) and a4805a ); a4807a <=( a4806a and a4801a ); a4810a <=( (not A201) and A200 ); a4814a <=( A300 and A299 ); a4815a <=( A203 and a4814a ); a4816a <=( a4815a and a4810a ); a4819a <=( A168 and A169 ); a4823a <=( A199 and A166 ); a4824a <=( (not A167) and a4823a ); a4825a <=( a4824a and a4819a ); a4828a <=( (not A201) and A200 ); a4832a <=( A300 and A298 ); a4833a <=( A203 and a4832a ); a4834a <=( a4833a and a4828a ); a4837a <=( A168 and A169 ); a4841a <=( (not A199) and A166 ); a4842a <=( (not A167) and a4841a ); a4843a <=( a4842a and a4837a ); a4846a <=( (not A201) and A200 ); a4850a <=( A300 and A299 ); a4851a <=( A202 and a4850a ); a4852a <=( a4851a and a4846a ); a4855a <=( A168 and A169 ); a4859a <=( (not A199) and A166 ); a4860a <=( (not A167) and a4859a ); a4861a <=( a4860a and a4855a ); a4864a <=( (not A201) and A200 ); a4868a <=( A300 and A298 ); a4869a <=( A202 and a4868a ); a4870a <=( a4869a and a4864a ); a4873a <=( A168 and A169 ); a4877a <=( (not A199) and A166 ); a4878a <=( (not A167) and a4877a ); a4879a <=( a4878a and a4873a ); a4882a <=( (not A201) and A200 ); a4886a <=( A300 and A299 ); a4887a <=( (not A203) and a4886a ); a4888a <=( a4887a and a4882a ); a4891a <=( A168 and A169 ); a4895a <=( (not A199) and A166 ); a4896a <=( (not A167) and a4895a ); a4897a <=( a4896a and a4891a ); a4900a <=( (not A201) and A200 ); a4904a <=( A300 and A298 ); a4905a <=( (not A203) and a4904a ); a4906a <=( a4905a and a4900a ); a4909a <=( A168 and A169 ); a4913a <=( A199 and A166 ); a4914a <=( (not A167) and a4913a ); a4915a <=( a4914a and a4909a ); a4918a <=( (not A201) and (not A200) ); a4922a <=( A300 and A299 ); a4923a <=( A202 and a4922a ); a4924a <=( a4923a and a4918a ); a4927a <=( A168 and A169 ); a4931a <=( A199 and A166 ); a4932a <=( (not A167) and a4931a ); a4933a <=( a4932a and a4927a ); a4936a <=( (not A201) and (not A200) ); a4940a <=( A300 and A298 ); a4941a <=( A202 and a4940a ); a4942a <=( a4941a and a4936a ); a4945a <=( A168 and A169 ); a4949a <=( A199 and A166 ); a4950a <=( (not A167) and a4949a ); a4951a <=( a4950a and a4945a ); a4954a <=( (not A201) and (not A200) ); a4958a <=( A300 and A299 ); a4959a <=( (not A203) and a4958a ); a4960a <=( a4959a and a4954a ); a4963a <=( A168 and A169 ); a4967a <=( A199 and A166 ); a4968a <=( (not A167) and a4967a ); a4969a <=( a4968a and a4963a ); a4972a <=( (not A201) and (not A200) ); a4976a <=( A300 and A298 ); a4977a <=( (not A203) and a4976a ); a4978a <=( a4977a and a4972a ); a4981a <=( A168 and A170 ); a4985a <=( (not A199) and A166 ); a4986a <=( (not A167) and a4985a ); a4987a <=( a4986a and a4981a ); a4991a <=( A298 and (not A202) ); a4992a <=( (not A200) and a4991a ); a4996a <=( (not A302) and A301 ); a4997a <=( A299 and a4996a ); a4998a <=( a4997a and a4992a ); a5001a <=( A168 and A170 ); a5005a <=( (not A199) and A166 ); a5006a <=( (not A167) and a5005a ); a5007a <=( a5006a and a5001a ); a5011a <=( A298 and (not A202) ); a5012a <=( (not A200) and a5011a ); a5016a <=( A302 and (not A301) ); a5017a <=( (not A299) and a5016a ); a5018a <=( a5017a and a5012a ); a5021a <=( A168 and A170 ); a5025a <=( (not A199) and A166 ); a5026a <=( (not A167) and a5025a ); a5027a <=( a5026a and a5021a ); a5031a <=( (not A298) and (not A202) ); a5032a <=( (not A200) and a5031a ); a5036a <=( A302 and (not A301) ); a5037a <=( A299 and a5036a ); a5038a <=( a5037a and a5032a ); a5041a <=( A168 and A170 ); a5045a <=( (not A199) and A166 ); a5046a <=( (not A167) and a5045a ); a5047a <=( a5046a and a5041a ); a5051a <=( (not A298) and (not A202) ); a5052a <=( (not A200) and a5051a ); a5056a <=( (not A302) and A301 ); a5057a <=( (not A299) and a5056a ); a5058a <=( a5057a and a5052a ); a5061a <=( A168 and A170 ); a5065a <=( (not A199) and A166 ); a5066a <=( (not A167) and a5065a ); a5067a <=( a5066a and a5061a ); a5071a <=( A298 and A203 ); a5072a <=( (not A200) and a5071a ); a5076a <=( (not A302) and A301 ); a5077a <=( A299 and a5076a ); a5078a <=( a5077a and a5072a ); a5081a <=( A168 and A170 ); a5085a <=( (not A199) and A166 ); a5086a <=( (not A167) and a5085a ); a5087a <=( a5086a and a5081a ); a5091a <=( A298 and A203 ); a5092a <=( (not A200) and a5091a ); a5096a <=( A302 and (not A301) ); a5097a <=( (not A299) and a5096a ); a5098a <=( a5097a and a5092a ); a5101a <=( A168 and A170 ); a5105a <=( (not A199) and A166 ); a5106a <=( (not A167) and a5105a ); a5107a <=( a5106a and a5101a ); a5111a <=( (not A298) and A203 ); a5112a <=( (not A200) and a5111a ); a5116a <=( A302 and (not A301) ); a5117a <=( A299 and a5116a ); a5118a <=( a5117a and a5112a ); a5121a <=( A168 and A170 ); a5125a <=( (not A199) and A166 ); a5126a <=( (not A167) and a5125a ); a5127a <=( a5126a and a5121a ); a5131a <=( (not A298) and A203 ); a5132a <=( (not A200) and a5131a ); a5136a <=( (not A302) and A301 ); a5137a <=( (not A299) and a5136a ); a5138a <=( a5137a and a5132a ); a5141a <=( A168 and A169 ); a5145a <=( (not A199) and A166 ); a5146a <=( (not A167) and a5145a ); a5147a <=( a5146a and a5141a ); a5151a <=( A298 and (not A202) ); a5152a <=( (not A200) and a5151a ); a5156a <=( (not A302) and A301 ); a5157a <=( A299 and a5156a ); a5158a <=( a5157a and a5152a ); a5161a <=( A168 and A169 ); a5165a <=( (not A199) and A166 ); a5166a <=( (not A167) and a5165a ); a5167a <=( a5166a and a5161a ); a5171a <=( A298 and (not A202) ); a5172a <=( (not A200) and a5171a ); a5176a <=( A302 and (not A301) ); a5177a <=( (not A299) and a5176a ); a5178a <=( a5177a and a5172a ); a5181a <=( A168 and A169 ); a5185a <=( (not A199) and A166 ); a5186a <=( (not A167) and a5185a ); a5187a <=( a5186a and a5181a ); a5191a <=( (not A298) and (not A202) ); a5192a <=( (not A200) and a5191a ); a5196a <=( A302 and (not A301) ); a5197a <=( A299 and a5196a ); a5198a <=( a5197a and a5192a ); a5201a <=( A168 and A169 ); a5205a <=( (not A199) and A166 ); a5206a <=( (not A167) and a5205a ); a5207a <=( a5206a and a5201a ); a5211a <=( (not A298) and (not A202) ); a5212a <=( (not A200) and a5211a ); a5216a <=( (not A302) and A301 ); a5217a <=( (not A299) and a5216a ); a5218a <=( a5217a and a5212a ); a5221a <=( A168 and A169 ); a5225a <=( (not A199) and A166 ); a5226a <=( (not A167) and a5225a ); a5227a <=( a5226a and a5221a ); a5231a <=( A298 and A203 ); a5232a <=( (not A200) and a5231a ); a5236a <=( (not A302) and A301 ); a5237a <=( A299 and a5236a ); a5238a <=( a5237a and a5232a ); a5241a <=( A168 and A169 ); a5245a <=( (not A199) and A166 ); a5246a <=( (not A167) and a5245a ); a5247a <=( a5246a and a5241a ); a5251a <=( A298 and A203 ); a5252a <=( (not A200) and a5251a ); a5256a <=( A302 and (not A301) ); a5257a <=( (not A299) and a5256a ); a5258a <=( a5257a and a5252a ); a5261a <=( A168 and A169 ); a5265a <=( (not A199) and A166 ); a5266a <=( (not A167) and a5265a ); a5267a <=( a5266a and a5261a ); a5271a <=( (not A298) and A203 ); a5272a <=( (not A200) and a5271a ); a5276a <=( A302 and (not A301) ); a5277a <=( A299 and a5276a ); a5278a <=( a5277a and a5272a ); a5281a <=( A168 and A169 ); a5285a <=( (not A199) and A166 ); a5286a <=( (not A167) and a5285a ); a5287a <=( a5286a and a5281a ); a5291a <=( (not A298) and A203 ); a5292a <=( (not A200) and a5291a ); a5296a <=( (not A302) and A301 ); a5297a <=( (not A299) and a5296a ); a5298a <=( a5297a and a5292a ); a5301a <=( (not A169) and (not A170) ); a5305a <=( A200 and A199 ); a5306a <=( (not A167) and a5305a ); a5307a <=( a5306a and a5301a ); a5311a <=( A298 and (not A203) ); a5312a <=( A202 and a5311a ); a5316a <=( (not A302) and A301 ); a5317a <=( A299 and a5316a ); a5318a <=( a5317a and a5312a ); a5321a <=( (not A169) and (not A170) ); a5325a <=( A200 and A199 ); a5326a <=( (not A167) and a5325a ); a5327a <=( a5326a and a5321a ); a5331a <=( A298 and (not A203) ); a5332a <=( A202 and a5331a ); a5336a <=( A302 and (not A301) ); a5337a <=( (not A299) and a5336a ); a5338a <=( a5337a and a5332a ); a5341a <=( (not A169) and (not A170) ); a5345a <=( A200 and A199 ); a5346a <=( (not A167) and a5345a ); a5347a <=( a5346a and a5341a ); a5351a <=( (not A298) and (not A203) ); a5352a <=( A202 and a5351a ); a5356a <=( A302 and (not A301) ); a5357a <=( A299 and a5356a ); a5358a <=( a5357a and a5352a ); a5361a <=( (not A169) and (not A170) ); a5365a <=( A200 and A199 ); a5366a <=( (not A167) and a5365a ); a5367a <=( a5366a and a5361a ); a5371a <=( (not A298) and (not A203) ); a5372a <=( A202 and a5371a ); a5376a <=( (not A302) and A301 ); a5377a <=( (not A299) and a5376a ); a5378a <=( a5377a and a5372a ); a5381a <=( (not A169) and (not A170) ); a5385a <=( A200 and (not A199) ); a5386a <=( (not A167) and a5385a ); a5387a <=( a5386a and a5381a ); a5391a <=( A298 and A203 ); a5392a <=( (not A202) and a5391a ); a5396a <=( (not A302) and A301 ); a5397a <=( A299 and a5396a ); a5398a <=( a5397a and a5392a ); a5401a <=( (not A169) and (not A170) ); a5405a <=( A200 and (not A199) ); a5406a <=( (not A167) and a5405a ); a5407a <=( a5406a and a5401a ); a5411a <=( A298 and A203 ); a5412a <=( (not A202) and a5411a ); a5416a <=( A302 and (not A301) ); a5417a <=( (not A299) and a5416a ); a5418a <=( a5417a and a5412a ); a5421a <=( (not A169) and (not A170) ); a5425a <=( A200 and (not A199) ); a5426a <=( (not A167) and a5425a ); a5427a <=( a5426a and a5421a ); a5431a <=( (not A298) and A203 ); a5432a <=( (not A202) and a5431a ); a5436a <=( A302 and (not A301) ); a5437a <=( A299 and a5436a ); a5438a <=( a5437a and a5432a ); a5441a <=( (not A169) and (not A170) ); a5445a <=( A200 and (not A199) ); a5446a <=( (not A167) and a5445a ); a5447a <=( a5446a and a5441a ); a5451a <=( (not A298) and A203 ); a5452a <=( (not A202) and a5451a ); a5456a <=( (not A302) and A301 ); a5457a <=( (not A299) and a5456a ); a5458a <=( a5457a and a5452a ); a5461a <=( (not A169) and (not A170) ); a5465a <=( (not A200) and A199 ); a5466a <=( (not A167) and a5465a ); a5467a <=( a5466a and a5461a ); a5471a <=( A298 and A203 ); a5472a <=( (not A202) and a5471a ); a5476a <=( (not A302) and A301 ); a5477a <=( A299 and a5476a ); a5478a <=( a5477a and a5472a ); a5481a <=( (not A169) and (not A170) ); a5485a <=( (not A200) and A199 ); a5486a <=( (not A167) and a5485a ); a5487a <=( a5486a and a5481a ); a5491a <=( A298 and A203 ); a5492a <=( (not A202) and a5491a ); a5496a <=( A302 and (not A301) ); a5497a <=( (not A299) and a5496a ); a5498a <=( a5497a and a5492a ); a5501a <=( (not A169) and (not A170) ); a5505a <=( (not A200) and A199 ); a5506a <=( (not A167) and a5505a ); a5507a <=( a5506a and a5501a ); a5511a <=( (not A298) and A203 ); a5512a <=( (not A202) and a5511a ); a5516a <=( A302 and (not A301) ); a5517a <=( A299 and a5516a ); a5518a <=( a5517a and a5512a ); a5521a <=( (not A169) and (not A170) ); a5525a <=( (not A200) and A199 ); a5526a <=( (not A167) and a5525a ); a5527a <=( a5526a and a5521a ); a5531a <=( (not A298) and A203 ); a5532a <=( (not A202) and a5531a ); a5536a <=( (not A302) and A301 ); a5537a <=( (not A299) and a5536a ); a5538a <=( a5537a and a5532a ); a5541a <=( (not A169) and (not A170) ); a5545a <=( (not A200) and (not A199) ); a5546a <=( (not A167) and a5545a ); a5547a <=( a5546a and a5541a ); a5551a <=( A298 and (not A203) ); a5552a <=( A202 and a5551a ); a5556a <=( (not A302) and A301 ); a5557a <=( A299 and a5556a ); a5558a <=( a5557a and a5552a ); a5561a <=( (not A169) and (not A170) ); a5565a <=( (not A200) and (not A199) ); a5566a <=( (not A167) and a5565a ); a5567a <=( a5566a and a5561a ); a5571a <=( A298 and (not A203) ); a5572a <=( A202 and a5571a ); a5576a <=( A302 and (not A301) ); a5577a <=( (not A299) and a5576a ); a5578a <=( a5577a and a5572a ); a5581a <=( (not A169) and (not A170) ); a5585a <=( (not A200) and (not A199) ); a5586a <=( (not A167) and a5585a ); a5587a <=( a5586a and a5581a ); a5591a <=( (not A298) and (not A203) ); a5592a <=( A202 and a5591a ); a5596a <=( A302 and (not A301) ); a5597a <=( A299 and a5596a ); a5598a <=( a5597a and a5592a ); a5601a <=( (not A169) and (not A170) ); a5605a <=( (not A200) and (not A199) ); a5606a <=( (not A167) and a5605a ); a5607a <=( a5606a and a5601a ); a5611a <=( (not A298) and (not A203) ); a5612a <=( A202 and a5611a ); a5616a <=( (not A302) and A301 ); a5617a <=( (not A299) and a5616a ); a5618a <=( a5617a and a5612a ); a5622a <=( (not A167) and A168 ); a5623a <=( A170 and a5622a ); a5627a <=( A200 and A199 ); a5628a <=( A166 and a5627a ); a5629a <=( a5628a and a5623a ); a5633a <=( A298 and (not A202) ); a5634a <=( (not A201) and a5633a ); a5638a <=( (not A302) and A301 ); a5639a <=( A299 and a5638a ); a5640a <=( a5639a and a5634a ); a5644a <=( (not A167) and A168 ); a5645a <=( A170 and a5644a ); a5649a <=( A200 and A199 ); a5650a <=( A166 and a5649a ); a5651a <=( a5650a and a5645a ); a5655a <=( A298 and (not A202) ); a5656a <=( (not A201) and a5655a ); a5660a <=( A302 and (not A301) ); a5661a <=( (not A299) and a5660a ); a5662a <=( a5661a and a5656a ); a5666a <=( (not A167) and A168 ); a5667a <=( A170 and a5666a ); a5671a <=( A200 and A199 ); a5672a <=( A166 and a5671a ); a5673a <=( a5672a and a5667a ); a5677a <=( (not A298) and (not A202) ); a5678a <=( (not A201) and a5677a ); a5682a <=( A302 and (not A301) ); a5683a <=( A299 and a5682a ); a5684a <=( a5683a and a5678a ); a5688a <=( (not A167) and A168 ); a5689a <=( A170 and a5688a ); a5693a <=( A200 and A199 ); a5694a <=( A166 and a5693a ); a5695a <=( a5694a and a5689a ); a5699a <=( (not A298) and (not A202) ); a5700a <=( (not A201) and a5699a ); a5704a <=( (not A302) and A301 ); a5705a <=( (not A299) and a5704a ); a5706a <=( a5705a and a5700a ); a5710a <=( (not A167) and A168 ); a5711a <=( A170 and a5710a ); a5715a <=( A200 and A199 ); a5716a <=( A166 and a5715a ); a5717a <=( a5716a and a5711a ); a5721a <=( A298 and A203 ); a5722a <=( (not A201) and a5721a ); a5726a <=( (not A302) and A301 ); a5727a <=( A299 and a5726a ); a5728a <=( a5727a and a5722a ); a5732a <=( (not A167) and A168 ); a5733a <=( A170 and a5732a ); a5737a <=( A200 and A199 ); a5738a <=( A166 and a5737a ); a5739a <=( a5738a and a5733a ); a5743a <=( A298 and A203 ); a5744a <=( (not A201) and a5743a ); a5748a <=( A302 and (not A301) ); a5749a <=( (not A299) and a5748a ); a5750a <=( a5749a and a5744a ); a5754a <=( (not A167) and A168 ); a5755a <=( A170 and a5754a ); a5759a <=( A200 and A199 ); a5760a <=( A166 and a5759a ); a5761a <=( a5760a and a5755a ); a5765a <=( (not A298) and A203 ); a5766a <=( (not A201) and a5765a ); a5770a <=( A302 and (not A301) ); a5771a <=( A299 and a5770a ); a5772a <=( a5771a and a5766a ); a5776a <=( (not A167) and A168 ); a5777a <=( A170 and a5776a ); a5781a <=( A200 and A199 ); a5782a <=( A166 and a5781a ); a5783a <=( a5782a and a5777a ); a5787a <=( (not A298) and A203 ); a5788a <=( (not A201) and a5787a ); a5792a <=( (not A302) and A301 ); a5793a <=( (not A299) and a5792a ); a5794a <=( a5793a and a5788a ); a5798a <=( (not A167) and A168 ); a5799a <=( A170 and a5798a ); a5803a <=( A200 and (not A199) ); a5804a <=( A166 and a5803a ); a5805a <=( a5804a and a5799a ); a5809a <=( A298 and A202 ); a5810a <=( (not A201) and a5809a ); a5814a <=( (not A302) and A301 ); a5815a <=( A299 and a5814a ); a5816a <=( a5815a and a5810a ); a5820a <=( (not A167) and A168 ); a5821a <=( A170 and a5820a ); a5825a <=( A200 and (not A199) ); a5826a <=( A166 and a5825a ); a5827a <=( a5826a and a5821a ); a5831a <=( A298 and A202 ); a5832a <=( (not A201) and a5831a ); a5836a <=( A302 and (not A301) ); a5837a <=( (not A299) and a5836a ); a5838a <=( a5837a and a5832a ); a5842a <=( (not A167) and A168 ); a5843a <=( A170 and a5842a ); a5847a <=( A200 and (not A199) ); a5848a <=( A166 and a5847a ); a5849a <=( a5848a and a5843a ); a5853a <=( (not A298) and A202 ); a5854a <=( (not A201) and a5853a ); a5858a <=( A302 and (not A301) ); a5859a <=( A299 and a5858a ); a5860a <=( a5859a and a5854a ); a5864a <=( (not A167) and A168 ); a5865a <=( A170 and a5864a ); a5869a <=( A200 and (not A199) ); a5870a <=( A166 and a5869a ); a5871a <=( a5870a and a5865a ); a5875a <=( (not A298) and A202 ); a5876a <=( (not A201) and a5875a ); a5880a <=( (not A302) and A301 ); a5881a <=( (not A299) and a5880a ); a5882a <=( a5881a and a5876a ); a5886a <=( (not A167) and A168 ); a5887a <=( A170 and a5886a ); a5891a <=( A200 and (not A199) ); a5892a <=( A166 and a5891a ); a5893a <=( a5892a and a5887a ); a5897a <=( A298 and (not A203) ); a5898a <=( (not A201) and a5897a ); a5902a <=( (not A302) and A301 ); a5903a <=( A299 and a5902a ); a5904a <=( a5903a and a5898a ); a5908a <=( (not A167) and A168 ); a5909a <=( A170 and a5908a ); a5913a <=( A200 and (not A199) ); a5914a <=( A166 and a5913a ); a5915a <=( a5914a and a5909a ); a5919a <=( A298 and (not A203) ); a5920a <=( (not A201) and a5919a ); a5924a <=( A302 and (not A301) ); a5925a <=( (not A299) and a5924a ); a5926a <=( a5925a and a5920a ); a5930a <=( (not A167) and A168 ); a5931a <=( A170 and a5930a ); a5935a <=( A200 and (not A199) ); a5936a <=( A166 and a5935a ); a5937a <=( a5936a and a5931a ); a5941a <=( (not A298) and (not A203) ); a5942a <=( (not A201) and a5941a ); a5946a <=( A302 and (not A301) ); a5947a <=( A299 and a5946a ); a5948a <=( a5947a and a5942a ); a5952a <=( (not A167) and A168 ); a5953a <=( A170 and a5952a ); a5957a <=( A200 and (not A199) ); a5958a <=( A166 and a5957a ); a5959a <=( a5958a and a5953a ); a5963a <=( (not A298) and (not A203) ); a5964a <=( (not A201) and a5963a ); a5968a <=( (not A302) and A301 ); a5969a <=( (not A299) and a5968a ); a5970a <=( a5969a and a5964a ); a5974a <=( (not A167) and A168 ); a5975a <=( A170 and a5974a ); a5979a <=( (not A200) and A199 ); a5980a <=( A166 and a5979a ); a5981a <=( a5980a and a5975a ); a5985a <=( A298 and A202 ); a5986a <=( (not A201) and a5985a ); a5990a <=( (not A302) and A301 ); a5991a <=( A299 and a5990a ); a5992a <=( a5991a and a5986a ); a5996a <=( (not A167) and A168 ); a5997a <=( A170 and a5996a ); a6001a <=( (not A200) and A199 ); a6002a <=( A166 and a6001a ); a6003a <=( a6002a and a5997a ); a6007a <=( A298 and A202 ); a6008a <=( (not A201) and a6007a ); a6012a <=( A302 and (not A301) ); a6013a <=( (not A299) and a6012a ); a6014a <=( a6013a and a6008a ); a6018a <=( (not A167) and A168 ); a6019a <=( A170 and a6018a ); a6023a <=( (not A200) and A199 ); a6024a <=( A166 and a6023a ); a6025a <=( a6024a and a6019a ); a6029a <=( (not A298) and A202 ); a6030a <=( (not A201) and a6029a ); a6034a <=( A302 and (not A301) ); a6035a <=( A299 and a6034a ); a6036a <=( a6035a and a6030a ); a6040a <=( (not A167) and A168 ); a6041a <=( A170 and a6040a ); a6045a <=( (not A200) and A199 ); a6046a <=( A166 and a6045a ); a6047a <=( a6046a and a6041a ); a6051a <=( (not A298) and A202 ); a6052a <=( (not A201) and a6051a ); a6056a <=( (not A302) and A301 ); a6057a <=( (not A299) and a6056a ); a6058a <=( a6057a and a6052a ); a6062a <=( (not A167) and A168 ); a6063a <=( A170 and a6062a ); a6067a <=( (not A200) and A199 ); a6068a <=( A166 and a6067a ); a6069a <=( a6068a and a6063a ); a6073a <=( A298 and (not A203) ); a6074a <=( (not A201) and a6073a ); a6078a <=( (not A302) and A301 ); a6079a <=( A299 and a6078a ); a6080a <=( a6079a and a6074a ); a6084a <=( (not A167) and A168 ); a6085a <=( A170 and a6084a ); a6089a <=( (not A200) and A199 ); a6090a <=( A166 and a6089a ); a6091a <=( a6090a and a6085a ); a6095a <=( A298 and (not A203) ); a6096a <=( (not A201) and a6095a ); a6100a <=( A302 and (not A301) ); a6101a <=( (not A299) and a6100a ); a6102a <=( a6101a and a6096a ); a6106a <=( (not A167) and A168 ); a6107a <=( A170 and a6106a ); a6111a <=( (not A200) and A199 ); a6112a <=( A166 and a6111a ); a6113a <=( a6112a and a6107a ); a6117a <=( (not A298) and (not A203) ); a6118a <=( (not A201) and a6117a ); a6122a <=( A302 and (not A301) ); a6123a <=( A299 and a6122a ); a6124a <=( a6123a and a6118a ); a6128a <=( (not A167) and A168 ); a6129a <=( A170 and a6128a ); a6133a <=( (not A200) and A199 ); a6134a <=( A166 and a6133a ); a6135a <=( a6134a and a6129a ); a6139a <=( (not A298) and (not A203) ); a6140a <=( (not A201) and a6139a ); a6144a <=( (not A302) and A301 ); a6145a <=( (not A299) and a6144a ); a6146a <=( a6145a and a6140a ); a6150a <=( (not A167) and A168 ); a6151a <=( A169 and a6150a ); a6155a <=( A200 and A199 ); a6156a <=( A166 and a6155a ); a6157a <=( a6156a and a6151a ); a6161a <=( A298 and (not A202) ); a6162a <=( (not A201) and a6161a ); a6166a <=( (not A302) and A301 ); a6167a <=( A299 and a6166a ); a6168a <=( a6167a and a6162a ); a6172a <=( (not A167) and A168 ); a6173a <=( A169 and a6172a ); a6177a <=( A200 and A199 ); a6178a <=( A166 and a6177a ); a6179a <=( a6178a and a6173a ); a6183a <=( A298 and (not A202) ); a6184a <=( (not A201) and a6183a ); a6188a <=( A302 and (not A301) ); a6189a <=( (not A299) and a6188a ); a6190a <=( a6189a and a6184a ); a6194a <=( (not A167) and A168 ); a6195a <=( A169 and a6194a ); a6199a <=( A200 and A199 ); a6200a <=( A166 and a6199a ); a6201a <=( a6200a and a6195a ); a6205a <=( (not A298) and (not A202) ); a6206a <=( (not A201) and a6205a ); a6210a <=( A302 and (not A301) ); a6211a <=( A299 and a6210a ); a6212a <=( a6211a and a6206a ); a6216a <=( (not A167) and A168 ); a6217a <=( A169 and a6216a ); a6221a <=( A200 and A199 ); a6222a <=( A166 and a6221a ); a6223a <=( a6222a and a6217a ); a6227a <=( (not A298) and (not A202) ); a6228a <=( (not A201) and a6227a ); a6232a <=( (not A302) and A301 ); a6233a <=( (not A299) and a6232a ); a6234a <=( a6233a and a6228a ); a6238a <=( (not A167) and A168 ); a6239a <=( A169 and a6238a ); a6243a <=( A200 and A199 ); a6244a <=( A166 and a6243a ); a6245a <=( a6244a and a6239a ); a6249a <=( A298 and A203 ); a6250a <=( (not A201) and a6249a ); a6254a <=( (not A302) and A301 ); a6255a <=( A299 and a6254a ); a6256a <=( a6255a and a6250a ); a6260a <=( (not A167) and A168 ); a6261a <=( A169 and a6260a ); a6265a <=( A200 and A199 ); a6266a <=( A166 and a6265a ); a6267a <=( a6266a and a6261a ); a6271a <=( A298 and A203 ); a6272a <=( (not A201) and a6271a ); a6276a <=( A302 and (not A301) ); a6277a <=( (not A299) and a6276a ); a6278a <=( a6277a and a6272a ); a6282a <=( (not A167) and A168 ); a6283a <=( A169 and a6282a ); a6287a <=( A200 and A199 ); a6288a <=( A166 and a6287a ); a6289a <=( a6288a and a6283a ); a6293a <=( (not A298) and A203 ); a6294a <=( (not A201) and a6293a ); a6298a <=( A302 and (not A301) ); a6299a <=( A299 and a6298a ); a6300a <=( a6299a and a6294a ); a6304a <=( (not A167) and A168 ); a6305a <=( A169 and a6304a ); a6309a <=( A200 and A199 ); a6310a <=( A166 and a6309a ); a6311a <=( a6310a and a6305a ); a6315a <=( (not A298) and A203 ); a6316a <=( (not A201) and a6315a ); a6320a <=( (not A302) and A301 ); a6321a <=( (not A299) and a6320a ); a6322a <=( a6321a and a6316a ); a6326a <=( (not A167) and A168 ); a6327a <=( A169 and a6326a ); a6331a <=( A200 and (not A199) ); a6332a <=( A166 and a6331a ); a6333a <=( a6332a and a6327a ); a6337a <=( A298 and A202 ); a6338a <=( (not A201) and a6337a ); a6342a <=( (not A302) and A301 ); a6343a <=( A299 and a6342a ); a6344a <=( a6343a and a6338a ); a6348a <=( (not A167) and A168 ); a6349a <=( A169 and a6348a ); a6353a <=( A200 and (not A199) ); a6354a <=( A166 and a6353a ); a6355a <=( a6354a and a6349a ); a6359a <=( A298 and A202 ); a6360a <=( (not A201) and a6359a ); a6364a <=( A302 and (not A301) ); a6365a <=( (not A299) and a6364a ); a6366a <=( a6365a and a6360a ); a6370a <=( (not A167) and A168 ); a6371a <=( A169 and a6370a ); a6375a <=( A200 and (not A199) ); a6376a <=( A166 and a6375a ); a6377a <=( a6376a and a6371a ); a6381a <=( (not A298) and A202 ); a6382a <=( (not A201) and a6381a ); a6386a <=( A302 and (not A301) ); a6387a <=( A299 and a6386a ); a6388a <=( a6387a and a6382a ); a6392a <=( (not A167) and A168 ); a6393a <=( A169 and a6392a ); a6397a <=( A200 and (not A199) ); a6398a <=( A166 and a6397a ); a6399a <=( a6398a and a6393a ); a6403a <=( (not A298) and A202 ); a6404a <=( (not A201) and a6403a ); a6408a <=( (not A302) and A301 ); a6409a <=( (not A299) and a6408a ); a6410a <=( a6409a and a6404a ); a6414a <=( (not A167) and A168 ); a6415a <=( A169 and a6414a ); a6419a <=( A200 and (not A199) ); a6420a <=( A166 and a6419a ); a6421a <=( a6420a and a6415a ); a6425a <=( A298 and (not A203) ); a6426a <=( (not A201) and a6425a ); a6430a <=( (not A302) and A301 ); a6431a <=( A299 and a6430a ); a6432a <=( a6431a and a6426a ); a6436a <=( (not A167) and A168 ); a6437a <=( A169 and a6436a ); a6441a <=( A200 and (not A199) ); a6442a <=( A166 and a6441a ); a6443a <=( a6442a and a6437a ); a6447a <=( A298 and (not A203) ); a6448a <=( (not A201) and a6447a ); a6452a <=( A302 and (not A301) ); a6453a <=( (not A299) and a6452a ); a6454a <=( a6453a and a6448a ); a6458a <=( (not A167) and A168 ); a6459a <=( A169 and a6458a ); a6463a <=( A200 and (not A199) ); a6464a <=( A166 and a6463a ); a6465a <=( a6464a and a6459a ); a6469a <=( (not A298) and (not A203) ); a6470a <=( (not A201) and a6469a ); a6474a <=( A302 and (not A301) ); a6475a <=( A299 and a6474a ); a6476a <=( a6475a and a6470a ); a6480a <=( (not A167) and A168 ); a6481a <=( A169 and a6480a ); a6485a <=( A200 and (not A199) ); a6486a <=( A166 and a6485a ); a6487a <=( a6486a and a6481a ); a6491a <=( (not A298) and (not A203) ); a6492a <=( (not A201) and a6491a ); a6496a <=( (not A302) and A301 ); a6497a <=( (not A299) and a6496a ); a6498a <=( a6497a and a6492a ); a6502a <=( (not A167) and A168 ); a6503a <=( A169 and a6502a ); a6507a <=( (not A200) and A199 ); a6508a <=( A166 and a6507a ); a6509a <=( a6508a and a6503a ); a6513a <=( A298 and A202 ); a6514a <=( (not A201) and a6513a ); a6518a <=( (not A302) and A301 ); a6519a <=( A299 and a6518a ); a6520a <=( a6519a and a6514a ); a6524a <=( (not A167) and A168 ); a6525a <=( A169 and a6524a ); a6529a <=( (not A200) and A199 ); a6530a <=( A166 and a6529a ); a6531a <=( a6530a and a6525a ); a6535a <=( A298 and A202 ); a6536a <=( (not A201) and a6535a ); a6540a <=( A302 and (not A301) ); a6541a <=( (not A299) and a6540a ); a6542a <=( a6541a and a6536a ); a6546a <=( (not A167) and A168 ); a6547a <=( A169 and a6546a ); a6551a <=( (not A200) and A199 ); a6552a <=( A166 and a6551a ); a6553a <=( a6552a and a6547a ); a6557a <=( (not A298) and A202 ); a6558a <=( (not A201) and a6557a ); a6562a <=( A302 and (not A301) ); a6563a <=( A299 and a6562a ); a6564a <=( a6563a and a6558a ); a6568a <=( (not A167) and A168 ); a6569a <=( A169 and a6568a ); a6573a <=( (not A200) and A199 ); a6574a <=( A166 and a6573a ); a6575a <=( a6574a and a6569a ); a6579a <=( (not A298) and A202 ); a6580a <=( (not A201) and a6579a ); a6584a <=( (not A302) and A301 ); a6585a <=( (not A299) and a6584a ); a6586a <=( a6585a and a6580a ); a6590a <=( (not A167) and A168 ); a6591a <=( A169 and a6590a ); a6595a <=( (not A200) and A199 ); a6596a <=( A166 and a6595a ); a6597a <=( a6596a and a6591a ); a6601a <=( A298 and (not A203) ); a6602a <=( (not A201) and a6601a ); a6606a <=( (not A302) and A301 ); a6607a <=( A299 and a6606a ); a6608a <=( a6607a and a6602a ); a6612a <=( (not A167) and A168 ); a6613a <=( A169 and a6612a ); a6617a <=( (not A200) and A199 ); a6618a <=( A166 and a6617a ); a6619a <=( a6618a and a6613a ); a6623a <=( A298 and (not A203) ); a6624a <=( (not A201) and a6623a ); a6628a <=( A302 and (not A301) ); a6629a <=( (not A299) and a6628a ); a6630a <=( a6629a and a6624a ); a6634a <=( (not A167) and A168 ); a6635a <=( A169 and a6634a ); a6639a <=( (not A200) and A199 ); a6640a <=( A166 and a6639a ); a6641a <=( a6640a and a6635a ); a6645a <=( (not A298) and (not A203) ); a6646a <=( (not A201) and a6645a ); a6650a <=( A302 and (not A301) ); a6651a <=( A299 and a6650a ); a6652a <=( a6651a and a6646a ); a6656a <=( (not A167) and A168 ); a6657a <=( A169 and a6656a ); a6661a <=( (not A200) and A199 ); a6662a <=( A166 and a6661a ); a6663a <=( a6662a and a6657a ); a6667a <=( (not A298) and (not A203) ); a6668a <=( (not A201) and a6667a ); a6672a <=( (not A302) and A301 ); a6673a <=( (not A299) and a6672a ); a6674a <=( a6673a and a6668a ); end x25_18x_behav;
gpl-3.0
3dd5f2678d52402e3470ade877948245
0.606398
2.162668
false
false
false
false
sils1297/HWPrak14
task_4/project_1.srcs/sources_1/new/ProgramCounter.vhd
1
802
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ProgramCounter is generic( WIDTH : integer := 16 ); Port( inval : in std_ulogic_vector(WIDTH - 1 downto 0); comp : in std_ulogic_vector(WIDTH - 1 downto 0); jump : in std_ulogic_vector(2 downto 0); reset : in std_ulogic; clock : in std_ulogic; outval : out std_ulogic_vector(WIDTH - 1 downto 0) ); end ProgramCounter; architecture Behavioral of ProgramCounter is begin process(clock, set) begin if reset = '1' then outval <= (others => '0'); else if (j(0) = '1' and signed(comp) < 0) or (j(1) = '1' and signed(comp) = 0) or (j(2) = '1' and signed(comp) > 0) then outval <= inval; else outval <= outval + 1; end if; end if; end process; end Behavioral;
agpl-3.0
b7502e3a4264da40e6b1d99f645bfb34
0.623441
2.746575
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pselect_mask.vhd
15
13,363
------------------------------------------------------------------------------- -- $Id: pselect_mask.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_mask.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_mask.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pselect_mask.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- goran 2002-02-06 First Version -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library Unisim; use Unisim.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- PS -- peripheral select ------------------------------------------------------------------------------- entity pselect_mask is generic ( C_AW : integer := 32; C_BAR : std_logic_vector(0 to 31) := "00000000000000100000000000000000"; C_MASK : std_logic_vector(0 to 31) := "00000000000001111100000000000000" ); port ( A : in std_logic_vector(0 to C_AW-1); Valid : in std_logic; CS : out std_logic ); end entity pselect_mask; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- library unisim; use unisim.all; architecture imp of pselect_mask is -- component LUT4 -- generic( -- INIT : bit_vector := X"0000" -- ); -- port ( -- O : out std_logic; -- I0 : in std_logic := '0'; -- I1 : in std_logic := '0'; -- I2 : in std_logic := '0'; -- I3 : in std_logic := '0'); -- end component; -- component MUXCY is -- port ( -- O : out std_logic; -- CI : in std_logic; -- DI : in std_logic; -- S : in std_logic -- ); -- end component MUXCY; function Nr_Of_Ones (S : std_logic_vector) return natural is variable tmp : natural := 0; begin -- function Nr_Of_Ones for I in S'range loop if (S(I) = '1') then tmp := tmp + 1; end if; end loop; -- I return tmp; end function Nr_Of_Ones; function fix_AB (B : boolean; I : integer) return integer is begin -- function fix_AB if (not B) then return I + 1; else return I; end if; end function fix_AB; constant Nr : integer := Nr_Of_Ones(C_MASK); constant Use_CIN : boolean := ((Nr mod 4) = 0); constant AB : integer := fix_AB(Use_CIN, Nr); attribute INIT : string; constant NUM_LUTS : integer := (AB-1)/4+1; -- signal lut_out : std_logic_vector(0 to NUM_LUTS-1); -- signal carry_chain : std_logic_vector(0 to NUM_LUTS); -- function to initialize LUT within pselect type int4 is array (3 downto 0) of integer; function pselect_init_lut(i : integer; AB : integer; NUM_LUTS : integer; C_AW : integer; C_BAR : std_logic_vector(0 to 31)) return bit_vector is variable init_vector : bit_vector(15 downto 0) := X"0001"; variable j : integer := 0; variable val_in : int4; begin for j in 0 to 3 loop if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) then val_in(j) := conv_integer(C_BAR(i*4+j)); else val_in(j) := 0; end if; end loop; init_vector := To_bitvector(conv_std_logic_vector(2**(val_in(3)*8+ val_in(2)*4+val_in(1)*2+val_in(0)*1),16)); return init_vector; end pselect_init_lut; signal A_Bus : std_logic_vector(0 to AB); signal BAR : std_logic_vector(0 to AB); ------------------------------------------------------------------------------- -- Begin architecture section ------------------------------------------------------------------------------- begin -- VHDL_RTL Make_Busses : process (A,Valid) is variable tmp : natural; begin -- process Make_Busses tmp := 0; A_Bus <= (others => '0'); BAR <= (others => '0'); for I in C_MASK'range loop if (C_MASK(I) = '1') then A_Bus(tmp) <= A(I); BAR(tmp) <= C_BAR(I); tmp := tmp + 1; end if; end loop; -- I if (not Use_CIN) then BAR(tmp) <= '1'; A_Bus(tmp) <= Valid; end if; end process Make_Busses; -- More_Than_3_Bits : if (AB > 3) generate -- Using_CIn: if (Use_CIN) generate -- carry_chain(0) <= Valid; -- end generate Using_CIn; -- No_CIn: if (not Use_CIN) generate -- carry_chain(0) <= '1'; -- end generate No_CIn; -- GEN_DECODE : for i in 0 to NUM_LUTS-1 generate -- signal lut_in : std_logic_vector(3 downto 0); -- begin -- GEN_LUT_INPUTS : for j in 0 to 3 generate -- -- Generate to assign address bits to LUT4 inputs -- GEN_INPUT : if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) generate -- lut_in(j) <= A_Bus(i*4+j); -- end generate; -- -- Generate to assign zeros to remaining LUT4 inputs -- GEN_ZEROS : if not(i < NUM_LUTS-1 or j <= ((AB-1) mod 4)) generate -- lut_in(j) <= '0'; -- end generate; -- end generate; --------------------------------------------------------------------------------- ---- RTL version without LUT instantiation for XST --------------------------------------------------------------------------------- -- lut_out(i) <= (lut_in(0) xnor BAR(i*4+0)) and -- (lut_in(1) xnor BAR(i*4+1)) and -- (lut_in(2) xnor BAR(i*4+2)) and -- (lut_in(3) xnor BAR(i*4+3)); --------------------------------------------------------------------------------- ---- Structural version with LUT instantiation for Synplicity (when RLOC is ---- desired for placing LUT --------------------------------------------------------------------------------- ---- LUT4_I : LUT4 ---- generic map( ---- -- Function init_lut is used to generate INIT value for LUT4 ---- INIT => pselect_init_lut(i,C_AB,NUM_LUTS,C_AW,C_BAR) ---- ) ---- port map ( ---- O => lut_out(i), -- [out] ---- I0 => lut_in(0), -- [in] ---- I1 => lut_in(1), -- [in] ---- I2 => lut_in(2), -- [in] ---- I3 => lut_in(3)); -- [in] --------------------------------------------------------------------------------- -- MUXCY_I : MUXCY -- port map ( -- O => carry_chain(i+1), --[out] -- CI => carry_chain(i), --[in] -- DI => '0', --[in] -- S => lut_out(i) --[in] -- ); -- end generate; -- CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output -- end generate More_Than_3_Bits; -- Less_than_4_bits: if (AB < 4) generate CS <= Valid when A_Bus=BAR else '0'; -- end generate Less_than_4_bits; end imp;
apache-2.0
4cec9d550a4568a35afba5eeb69b066b
0.41353
4.46028
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/28e93d3e/hdl/src/vhdl/double_synchronizer.vhd
5
6,181
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename : double_synchronizer.vhd -- Version : v3.0 -- Description: The double_synchronizer is having the double flop synchronization logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: ------------------------------------------------------------------------------- -- Author: NLR -- History: -- NLR 3/21/2011 Initial version -- ^^^^^^^ -- ^^^^^^^ -- SK 10/10/12 -- -- 1. Added cascade mode support in v1.03.a version of the core -- 2. Updated major version of the core -- ~~~~~~ -- ~~~~~~ -- SK 12/16/12 -- v3.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*N" -- clock signals: "clk", "clk_div#", "clk_#x" -- RESET_2 signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- counter signals: "*cntr*", "*count*" -- ports: - Names in Uppercase -- processes: "*_REG", "*_CMB" -- component instantiations: "<ENTITY_>MODULE<#|_FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library axi_intc_v4_1; use axi_intc_v4_1.all; library unisim; use unisim.vcomponents.FDR; ------------------------------------------------------------------------------- entity double_synchronizer is generic ( C_DWIDTH : integer range 1 to 32 := 1 ); port ( CLK_2 : in std_logic; RESET_2_n : in std_logic; -- active_low DATA_IN : in std_logic_vector(C_DWIDTH-1 downto 0); SYNC_DATA_OUT : out std_logic_vector(C_DWIDTH-1 downto 0) ); end entity; ------------------------------------------------------------------------------- architecture RTL of double_synchronizer is signal RESET_2_p : std_logic; signal data_in_d1 : std_logic_vector(C_DWIDTH-1 downto 0); ----- begin ----- -- active high Reset RESET_2_p <= not RESET_2_n; REG_GEN : for i in 0 to (C_DWIDTH - 1) generate BLOCK_GEN: block attribute ASYNC_REG : string; attribute ASYNC_REG of FIRST_FLOP_i : label is "TRUE"; begin FIRST_FLOP_i: component FDR port map ( Q => data_in_d1(i), C => CLK_2, D => DATA_IN(i), R => RESET_2_p ); SECOND_FLOP_i: component FDR port map ( Q => SYNC_DATA_OUT(i), C => CLK_2, D => data_in_d1(i), R => RESET_2_p ); end block BLOCK_GEN; end generate REG_GEN; ------------------------------------------------------------------------------- end RTL; -------------------------------------------------------------------------------
apache-2.0
883f839074504977d2b14efc19d8e6d8
0.504126
4.772973
false
false
false
false
rhexsel/xinu-cMIPS
vhdl/rom.vhd
1
8,638
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- syncronous ROM; FPGA version, word-indexed -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; use work.p_memory.all; entity ROM is generic (LOAD_FILE_NAME : string := "prog.bin"); -- not used with FPGA port (rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' rdy : out std_logic; -- active in '0' strobe : in std_logic; addr : in reg32; data : out reg32); -- FPGA version constant INST_ADDRS_BITS : natural := log2_ceil(INST_MEM_SZ); subtype rom_address is natural range 0 to ((INST_MEM_SZ / 4) - 1); end entity ROM; architecture rtl of ROM is component wait_states is generic (NUM_WAIT_STATES :integer := 0); port(rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' waiting : out std_logic); -- active in '1' end component wait_states; component single_port_rom is generic (N_WORDS : integer); port (address : in rom_address; clken : in std_logic; clock : in std_logic; q : out std_logic_vector); end component single_port_rom; component alt_mf_rom port (address : IN STD_LOGIC_VECTOR ((INST_ADDRS_BITS-1) DOWNTO 0); clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end component alt_mf_rom; signal instrn : reg32; signal index : rom_address := 0; signal waiting, clken : std_logic; signal raw_addr : std_logic_vector((INST_ADDRS_BITS-1) downto 0); begin -- rtl U_BUS_WAIT: wait_states generic map (ROM_WAIT_STATES) port map (rst, clk, sel, waiting); rdy <= not(waiting); clken <= not(sel); -- >>2 = /4: byte addressed but word indexed index <= to_integer(unsigned(addr((INST_ADDRS_BITS-1)+2 downto 2))); -- U_ROM: single_port_rom generic map (INST_MEM_SZ / 4) -- port map (index, clken, strobe, instrn); raw_addr <= addr((INST_ADDRS_BITS-1)+2 downto 2); U_RTL_ROM: alt_mf_rom port map (raw_addr, clken, strobe, instrn); U_ROM_ACCESS: process (instrn, sel, index) begin if sel = '0' then data <= instrn; assert (index >= 0) and (index < INST_MEM_SZ/4) report "rom index out of bounds: " & natural'image(index) severity failure; assert TRUE -- DEBUG report "romRD["& natural'image(index) &"]="& SLV32HEX(instrn); else data <= (others => 'X'); end if; end process U_ROM_ACCESS; end architecture rtl; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- Adapted from Altera's design for a ROM that may be synthesized -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.p_wires.all; entity single_port_rom is generic (N_WORDS : integer := 32); port (address : in natural range 0 to (N_WORDS - 1); clken : in std_logic; clock : in std_logic; q : out reg32); end entity; architecture rtl of single_port_rom is -- Build a 2-D array type for the RoM subtype word_t is std_logic_vector(31 downto 0); type memory_t is array(0 to (N_WORDS-1)) of word_t; -- assemble.sh -v mac_lcd.s |\ -- sed -e '1,6d' -e '/^$/d' -e '/^ /!d' -e 's:\t: :g' \ -- -e 's#\(^ *[a-f0-9]*:\) *\(........\) *\(.*\)$#x"\2", -- \1 \3#' \ -- -e '$s:,: :' constant test_prog : memory_t := (others => (others => '0')); function init_rom return memory_t is variable tmp : memory_t := (others => (others => '0')); variable i_addr : integer; begin for addr_pos in test_prog'range loop tmp(addr_pos) := test_prog(addr_pos); -- i_addr := addr_pos; end loop; for addr_pos in test_prog'high to (N_WORDS - 1) loop tmp(addr_pos) := x"00000000"; -- nop end loop; return tmp; end init_rom; -- Declare the ROM signal and specify a default value. Quartus II -- will create a memory initialization file (ROM.mif) based on the -- default value. signal rom : memory_t := init_rom; begin process(clock,clken) begin if(clken = '1' and rising_edge(clock)) then q <= rom(address); end if; end process; end architecture rtl; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- syncronous ROM; MIPS executable loaded into ROM at CPU reset, wd-indexed -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ architecture simulation of ROM is component wait_states is generic (NUM_WAIT_STATES :integer := 0); port(rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' waiting : out std_logic); -- active in '1' end component wait_states; component FFT is port(clk, rst, T : in std_logic; Q : out std_logic); end component FFT; constant WAIT_COUNT : max_wait_states := (NUM_MAX_W_STS - ROM_WAIT_STATES); constant WAIT_FOR : reg10 := std_logic_vector(to_signed(WAIT_COUNT, 10)); signal waiting, do_wait : std_logic; begin -- behavioral U_BUS_WAIT: wait_states generic map (ROM_WAIT_STATES) port map (rst, clk, sel, waiting); rdy <= not(waiting); U_ROM: process (rst, sel, strobe, addr) subtype t_address is unsigned((INST_ADDRS_BITS - 1) downto 0); variable u_addr : t_address; subtype word is std_logic_vector(data'length - 1 downto 0); type storage_array is array( natural range 0 to (INST_MEM_SZ - 1) ) of word; variable storage : storage_array; variable index, latched : natural; type binary_file is file of integer; file load_file: binary_file open read_mode is LOAD_FILE_NAME; variable instr: integer; -- := to_integer(unsigned(NULL_INSTRUCTION)); variable s_instr : signed(31 downto 0); begin if rst = '0' then -- reset, read binary executable index := 0; -- indexed by word for i in 0 to (INST_MEM_SZ - 1) loop if not endfile(load_file) then read(load_file, instr); s_instr := to_signed(instr, 32); assert TRUE report "romINIT["& natural'image(index*4) &"]= " & SLV32HEX(std_logic_vector(s_instr)); -- DEBUG storage(index) := std_logic_vector(s_instr); index := index + 1; end if; end loop; -- i else -- normal operation u_addr := unsigned(addr((2+(INST_ADDRS_BITS-1)) downto 2)); -- >>2 = /4 index := to_integer(u_addr); -- indexed by word, not by byte assert (index >= 0) and (index < INST_MEM_SZ/4) report "romRDindex out of bounds: " & SLV32HEX(addr) & " = " & natural'image(index) severity warning; -- failure; if sel = '0' and rising_edge(strobe) then latched := index; end if; if sel = '0' then data <= storage(latched); assert TRUE -- DEBUG report "romRD["& natural'image(index) &"]="& SLV32HEX(storage(index)); else data <= (others => 'X'); end if; end if; end process; end architecture simulation; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
a41a2ba63021144b769ef514030900b3
0.534962
3.757286
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/3-ARF/metaheurísticas/arf_spea2.vhd
1
2,416
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:35:18) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY arf_spea2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 3); output1, output2: OUT unsigned(0 TO 4)); END arf_spea2_entity; ARCHITECTURE arf_spea2_description OF arf_spea2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; WHEN "00000010" => register3 := input3 * 3; register4 := input4 * 4; register1 := register2 + register1; WHEN "00000011" => register2 := register3 + register4; register1 := register1 + 6; register3 := input5 * 7; register4 := input6 * 8; WHEN "00000100" => register3 := register3 + register4; register4 := input7 * 9; register5 := input8 * 10; register2 := register2 + 12; WHEN "00000101" => register6 := register1 * 14; register4 := register5 + register4; register5 := register2 * 16; WHEN "00000110" => register2 := register2 * 18; register1 := register1 * 20; register5 := register5 + register6; WHEN "00000111" => register1 := register2 + register1; register2 := register5 * 22; register5 := register5 * 24; WHEN "00001000" => register6 := register1 * 26; register1 := register1 * 28; WHEN "00001001" => register1 := register1 + register2; register2 := register6 + register5; WHEN "00001010" => output1 <= register4 + register2; output2 <= register3 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END arf_spea2_description;
gpl-3.0
469f6f13af6db49ac35bf6d7e6e49f2c
0.656043
3.081633
false
false
false
false
marceloboeira/vhdl-examples
008-state-machine-calculator/_example/vetor_de_debounce.vhd
1
1,937
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:20:49 09/25/2012 -- Design Name: -- Module Name: vetor_de_debounce - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; USE ieee.std_logic_1164.ALL; use ieee.std_logic_arith.all; entity debounce is Port ( clock : in std_logic; entrada : in STD_LOGIC; entrada_tmp1_o : out STD_LOGIC; entrada_tmp2_o : out STD_LOGIC; contagem_o : out std_logic_vector(19 downto 0); saida_DB : out STD_LOGIC); end debounce; architecture Behavioral of debounce is constant atraso : integer := 500000; -- alterado p/ 500 p/ simular. Valor p/ sintese ingual a 500000 signal contagem : integer := 0; signal entrada_tmp1, entrada_tmp2 : std_logic:='0'; signal saida_tmp : std_logic; begin contar: process (entrada, clock, entrada_tmp1) begin if entrada /= entrada_tmp1 then contagem <= 0; entrada_tmp1 <= entrada; elsif clock'event and clock = '1' then entrada_tmp1 <= entrada; entrada_tmp2 <= entrada_tmp1; contagem <= contagem +1; else contagem <= contagem; end if; end process; avaliar: process (clock, entrada_tmp1, entrada_tmp2, contagem) begin if clock'event and clock='1' then if contagem >= atraso and entrada_tmp1 = entrada_tmp2 then saida_tmp <= entrada; else saida_tmp <= saida_tmp; end if; else saida_tmp <= saida_tmp; end if; end process; saida_DB <= saida_tmp; contagem_o <= conv_std_logic_vector(contagem, 20); entrada_tmp1_o <= entrada_tmp1; entrada_tmp2_o <= entrada_tmp2; end Behavioral;
mit
b02d616ae02c40f8f72c83627a0daf42
0.580279
3.316781
false
false
false
false
freecores/twofish
vhdl/twofish_ecb_vt_testbench_192bits.vhd
1
10,445
-- Twofish_ecb_vt_testbench_192bits.vhd -- Copyright (C) 2006 Spyros Ninos -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this library; see the file COPYING. If not, write to: -- -- Free Software Foundation -- 59 Temple Place - Suite 330 -- Boston, MA 02111-1307, USA. -- -- description : this file is the testbench for the VARIABLE TEXT KAT of the twofish cipher with 192 bit key -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use ieee.std_logic_arith.all; use std.textio.all; entity vt_testbench192 is end vt_testbench192; architecture vt_encryption192_testbench_arch of vt_testbench192 is component reg128 port ( in_reg128 : in std_logic_vector(127 downto 0); out_reg128 : out std_logic_vector(127 downto 0); enable_reg128, reset_reg128, clk_reg128 : in std_logic ); end component; component twofish_keysched192 port ( odd_in_tk192, even_in_tk192 : in std_logic_vector(7 downto 0); in_key_tk192 : in std_logic_vector(191 downto 0); out_key_up_tk192, out_key_down_tk192 : out std_logic_vector(31 downto 0) ); end component; component twofish_whit_keysched192 port ( in_key_twk192 : in std_logic_vector(191 downto 0); out_K0_twk192, out_K1_twk192, out_K2_twk192, out_K3_twk192, out_K4_twk192, out_K5_twk192, out_K6_twk192, out_K7_twk192 : out std_logic_vector(31 downto 0) ); end component; component twofish_encryption_round192 port ( in1_ter192, in2_ter192, in3_ter192, in4_ter192, in_Sfirst_ter192, in_Ssecond_ter192, in_Sthird_ter192, in_key_up_ter192, in_key_down_ter192 : in std_logic_vector(31 downto 0); out1_ter192, out2_ter192, out3_ter192, out4_ter192 : out std_logic_vector(31 downto 0) ); end component; component twofish_data_input port ( in_tdi : in std_logic_vector(127 downto 0); out_tdi : out std_logic_vector(127 downto 0) ); end component; component twofish_data_output port ( in_tdo : in std_logic_vector(127 downto 0); out_tdo : out std_logic_vector(127 downto 0) ); end component; component demux128 port ( in_demux128 : in std_logic_vector(127 downto 0); out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0); selection_demux128 : in std_logic ); end component; component mux128 port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0); selection_mux128 : in std_logic; out_mux128 : out std_logic_vector(127 downto 0) ); end component; component twofish_S192 port ( in_key_ts192 : in std_logic_vector(191 downto 0); out_Sfirst_ts192, out_Ssecond_ts192, out_Sthird_ts192 : out std_logic_vector(31 downto 0) ); end component; FILE input_file : text is in "twofish_ecb_vt_testvalues_192bits.txt"; FILE output_file : text is out "twofish_ecb_vt_192bits_results.txt"; -- we create the functions that transform a number to text -- transforming a signle digit to a character function digit_to_char(number : integer range 0 to 9) return character is begin case number is when 0 => return '0'; when 1 => return '1'; when 2 => return '2'; when 3 => return '3'; when 4 => return '4'; when 5 => return '5'; when 6 => return '6'; when 7 => return '7'; when 8 => return '8'; when 9 => return '9'; end case; end; -- transforming multi-digit number to text function to_text(int_number : integer range 1 to 129) return string is variable our_text : string (1 to 3) := (others => ' '); variable hundreds, tens, ones : integer range 0 to 9; begin ones := int_number mod 10; tens := ((int_number mod 100) - ones) / 10; hundreds := (int_number - (int_number mod 100)) / 100; our_text(1) := digit_to_char(hundreds); our_text(2) := digit_to_char(tens); our_text(3) := digit_to_char(ones); return our_text; end; signal odd_number, even_number : std_logic_vector(7 downto 0); signal input_data, output_data, to_encr_reg128, from_tdi_to_xors, to_output_whit_xors, from_xors_to_tdo, to_mux, to_demux, from_input_whit_xors, to_round, to_input_mux : std_logic_vector(127 downto 0) ; signal twofish_key : std_logic_vector(191 downto 0); signal key_up, key_down, Sfirst, Ssecond, Sthird, from_xor0, from_xor1, from_xor2, from_xor3, K0,K1,K2,K3, K4,K5,K6,K7 : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal mux_selection : std_logic := '0'; signal demux_selection: std_logic := '0'; signal enable_encr_reg : std_logic := '0'; signal reset : std_logic := '0'; signal enable_round_reg : std_logic := '0'; -- begin the testbench arch description begin -- getting data to encrypt data_input: twofish_data_input port map ( in_tdi => input_data, out_tdi => from_tdi_to_xors ); -- producing whitening keys K0..7 the_whitening_step: twofish_whit_keysched192 port map ( in_key_twk192 => twofish_key, out_K0_twk192 => K0, out_K1_twk192 => K1, out_K2_twk192 => K2, out_K3_twk192 => K3, out_K4_twk192 => K4, out_K5_twk192 => K5, out_K6_twk192 => K6, out_K7_twk192 => K7 ); -- performing the input whitening XORs from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96); from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64); from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32); from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0); from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3; round_reg: reg128 port map ( in_reg128 => from_input_whit_xors, out_reg128 => to_input_mux, enable_reg128 => enable_round_reg, reset_reg128 => reset, clk_reg128 => clk ); input_mux: mux128 port map ( in1_mux128 => to_input_mux, in2_mux128 => to_mux, out_mux128 => to_round, selection_mux128 => mux_selection ); -- creating a round the_keysched_of_the_round: twofish_keysched192 port map ( odd_in_tk192 => odd_number, even_in_tk192 => even_number, in_key_tk192 => twofish_key, out_key_up_tk192 => key_up, out_key_down_tk192 => key_down ); producing_the_Skeys: twofish_S192 port map ( in_key_ts192 => twofish_key, out_Sfirst_ts192 => Sfirst, out_Ssecond_ts192 => Ssecond, out_Sthird_ts192 => Sthird ); the_encryption_circuit: twofish_encryption_round192 port map ( in1_ter192 => to_round(127 downto 96), in2_ter192 => to_round(95 downto 64), in3_ter192 => to_round(63 downto 32), in4_ter192 => to_round(31 downto 0), in_Sfirst_ter192 => Sfirst, in_Ssecond_ter192 => Ssecond, in_Sthird_ter192 => Sthird, in_key_up_ter192 => key_up, in_key_down_ter192 => key_down, out1_ter192 => to_encr_reg128(127 downto 96), out2_ter192 => to_encr_reg128(95 downto 64), out3_ter192 => to_encr_reg128(63 downto 32), out4_ter192 => to_encr_reg128(31 downto 0) ); encr_reg: reg128 port map ( in_reg128 => to_encr_reg128, out_reg128 => to_demux, enable_reg128 => enable_encr_reg, reset_reg128 => reset, clk_reg128 => clk ); output_demux: demux128 port map ( in_demux128 => to_demux, out1_demux128 => to_output_whit_xors, out2_demux128 => to_mux, selection_demux128 => demux_selection ); -- don't forget the last swap !!! from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32); from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0); from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96); from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64); taking_the_output: twofish_data_output port map ( in_tdo => from_xors_to_tdo, out_tdo => output_data ); -- we create the clock clk <= not clk after 50 ns; -- period 100 ns vt_proc: process variable pt_f, -- plaintext input from file ct_f : line; -- ciphertext from file variable pt_v, -- plaintext vector input ct_v : std_logic_vector(127 downto 0); -- ciphertext vector variable counter : integer range 1 to 129 := 1; -- counts the encryptions variable round : integer range 1 to 16 := 1; -- holds the rounds of encryption begin -- key stays fixed to zero twofish_key <= (others => '0'); while not endfile(input_file) loop readline(input_file, pt_f); readline(input_file,ct_f); hread(pt_f,pt_v); hread(ct_f,ct_v); input_data <= pt_v; wait for 25 ns; reset <= '1'; wait for 50 ns; reset <= '0'; mux_selection <= '0'; demux_selection <= '1'; enable_encr_reg <= '0'; enable_round_reg <= '0'; wait for 50 ns; enable_round_reg <= '1'; wait for 50 ns; enable_round_reg <= '0'; -- the first round even_number <= "00001000"; -- 8 odd_number <= "00001001"; -- 9 wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; demux_selection <= '1'; mux_selection <= '1'; -- the rest 15 rounds for round in 1 to 15 loop even_number <= conv_std_logic_vector(((round*2)+8), 8); odd_number <= conv_std_logic_vector(((round*2)+9), 8); wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; end loop; -- taking final results demux_selection <= '0'; wait for 25 ns; assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure; assert (ct_v /= output_data) report "Encryption I=" & to_text(counter) &" OK" severity note; counter := counter+1; hwrite(ct_f,output_data); hwrite(pt_f,pt_v); writeline(output_file,pt_f); writeline(output_file,ct_f); end loop; assert false report "***** Variable Text Known Answer Test with 192 bits key size ended succesfully! :) *****" severity failure; end process vt_proc; end vt_encryption192_testbench_arch;
gpl-2.0
4cfd3c586a559dcf715a03befe610258
0.649689
2.69201
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/builtin_extdepth_low_latency.vhd
5
43,742
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Nph735vcwPEfJiMwGddBoodEOG0acsPojQBbpHCKS08PbAewG4oah31eUV1q2e0NnBpLI1FmJVyA K+GCFHJEHw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l6bczCTB2+vO/FDn1u0WwxHss/Yg6pxGjIpr0z+F7Yr7f426L2t6kMQenD5BobhU7xvdr3NdtVYC TX9B1vr2gxhpgS/orzuw7u5/CUJrjxIqggV6ry+S646KMho9tVJqlG8xcdZYCzUz39BvJdjsIeML i9rH7jm3KyWk+23No/g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VtO19K1iZmHHH9bc8vtEdLEMt4DyHf0PfOgKz5k4h06KWbeMYQNt1qgBZbujNgqga6UA3EvDQ3r7 L7n56CL2WovskhVdBlwuo5yRMD/t5ffg4CXYOeu66A05FyKYOAfUsZjNMDs3p0npWC1U1Mxfv+Vx h+bAIHknihMzrheAzvtv0sq40P7p8THogr14G6sPvCSrgWKbM/zSQT4lLAiDehXQSQKFCgT28l9j uOGHO8R5T7LmwQ6x+y3mBPK3YnU6gFRbJTj/gynSf5qaXRdbxb78EO0/0IsZ2/n5OQYAVaILRuNm fPyLxx1KTT+grUhvwK7JQi9ygtEXk2MnM9lBGg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jW58T5PH2727EQrzb8b0O1Qgfx64N0KKwJPbuNVZJd/BM7938bibGAyYVU3GnE+AB3CP3gyV7UZa U8DIYajgzWaEWyfe53PoU/tAP36Pp52wtBnXM6VeOhV7J55azDiwaxQXL85u1/3keJlZvmWdB5YN ++Mr8E0ek50XHeUDfwk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XdsEecMreUbBhbVfOZXheTGdOYKeRS4Kgmu/2hmyaDn9lWZ3ejkG3ZpSKH6oGdzT9X8p0TDS24Am Z7T6HdCNtF8ieYl6dQYg2snOIyGZqQ6NVTy2Utg/5WvaYUToAsh+K/Hmp77RE2UFc/D7p9aZcvmQ Z7OT/OPHxv0vsW0I2ZYA2h2L/ZWsZk47Pu9/6zPLi6B81x3r1q6UYBXl6wPw47FEa+T4AAA1dopQ 60MnL9wUl//jr0L9TFhaCaHOw5LBTtHV695NYWE8Q5qi7OcBVCV3wpC8DaSXct3tpjuUo5D4xrYM BHfq63o3GYYMDDiza5WcG/jdAqbyjvCV9rEVKA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30640) `protect data_block +u+gFJeDm1jEnqyjOKhDlEkN++D8xF8t95kEtV48CvawuaogIUQUwqFMadsz5JXt+4RYj2+hN+J7 +zFFtQN/aHZQQhokj7ztHo2EN/70wRQS+1+JfAfeQz1HmSe5cSh86A/iMfpXt128YayF+hr6mJ7N Hhl3sLQem8EL6IKyIGJiy9E6MPn5RRNU8Pp51Zu1q3IhLn/r/Zs3QxGIp8Y2OxYvoDNU+/vPxswU OmZkzdz11oDcg8Sy1uVWWXTj+DltwXrETY7iAkAGn1Iem5dhbK+8Mj3yriepZ0xLOHzugflbzif/ Nb3OeX0xKPAhqnt1tlj8y9sUybrHirO/nsAxX9UR8/CRMYhZNFqKP72wGsiTbNGPZua6mST/l7Ng eOiRQjKrGhavYcNrb6DkdTLOQX4esDCA0v4zRUfptX98xZcKIJ8MEIzpaoE/a8kJRSv6eH354IFY djtKpJoH5Cb0jpleLGjuBDv5IVL6IKEVNFUNnSE8T0j9fNZtETrSZ6tjIzd6DM2WXK/ByaxQrr8i WoX9m8BM2R6wrV4dOPvWJsmJEnYEpWK62rRqZbY+jENItspJxBGEORVKcF3THJZZU9S6ULXYzX0j JalmPwramVASyqelfezP9Ajq3NSTBunidkwQHUQRSJ5G8YZrbIky5XeLMYtmLKvt6Nq401gJiTk2 3bfTpTYmzrA49BQy0uzJO5R+Xd9ymubM/52MOJOaCQEO10ZfG7XsMznOKvenHTZatQjiST5JoWkK 2wqSVNtx59dVjV0OyoczQBTreBxgTq/SnI28MeVA97uth4K2zAn4/XMeTEikO0lo6c2bMLtk4faB tk8i7OtO+ZfYdNNqNjVY/Prz49+zrhg0k10ssqSIE6sUDngUpIbG3s7JBIMkY8s4qh45OSXECCQ3 GZBZo2R0JoRMhVIWqwbjtlJ/dInTKmhShXh+fiHgWIejNKU60wcn1Ku4EgXJvFZ+X100CB1hfX1A GYm00ny3xyLRnAtAMKL7oy/0wqX6fY3DwwrVuaUi0yf+8vZ4VjEd7bDhrP88hrsA65u+vGN1nDbc QarNwUQFfdgAN4qmJnZGKt6R/SH5dKe9l/nT5ptEttIfRhWRVl9ceNY5ncKDmwIyye8um2b3WTB9 WPCS3oZ7gcn/8R5MSHXQgV/cgU/4ISSly0nHGiRaMp6eWVtfZv9Dw5tN3VG3BCEizKDmGk8Yz2B3 Z06H/j0W2caLOa7iyy6M/gXNbOCVi2ZsZOcz0IGWbTa0SCkhTRvsinsmxq9wGMcujWhK3AWqVdrr ftt7xqElCnzOibgJfzybZqoGm16DmCcxV4cLS1fcCbjNalUTL15l7xIErMl/A+c5w8gC5VOvnFz7 Lp1rhRnzHBG8DGrfqELMcsrNILN5A5+2Kk5I8UNk4VOgAu20a33iKKVVC4Rlb0RKsO7A9dJwjcqw SBR3c/ABwhFCh0NJAcnsbITp+DDr1dP2Px+LvNtzPtHp80hqGoMexN8sv5BeiQq3+7WZU3XcxMc0 WcabhytkGONntZb9J00rov2LX8dtGWB1OkCctMoAG3qcCuaR1egIWL6SvPXoxdemKztWc9xi8fUm BxY2vJibh/aKw2vmGqsw8XHLNHv/Fgq0hNvfZ7CitkpLPB90IZKtqZ6pIM/7jUC0HeAL05MESIX3 OIDjIkeVnGctTMAUBt9cJY+L2pDLMwKEKeHqHaB8sCUUmGkEs53U1ts8Lzruco2huf8I9MZIrRJn z1w4wGBaJxp3WDa3Jl3g6k/UPWN+QP/qYewLpbhjJvWVm2w1Vr1TueZe2EjmCMHtjoBcnqqZ+AFU dReUlzfWneqfoxQT1hi3EDauFn226nOPQxUFS7IAyR3g9anMwPg43j5Y2fxvXmR00dozAccxb6+V 1xVtJz1K0z/hilGD/WhpJPVHMhkWnkg6ujOBFo35eiVqDyI/2BORMPKN7HflgJNF8dP7n4twFvsF xUYZ8fw91nJdnZr7TwMzzAX12wNHm0/vsM9It2X91w0+jQ5qjSMbQIJJYskNCLrEibfiRlhYXXr4 p3/qRDWCcwgW6KLT37D3IAmljGLfkrk4FNkrS9UaDzMK6Flh4AKfAmBSDIp1Ag0FFLgsJaBYxvv4 RkyUSh3Lh8cIk51IGSbEBHJ2dXTF97UYC9248SwYs0QPbpgisOMy5+bI4iF4U1O/9AZv2XesaPeQ aReqsjiEzYPiAbLQLYXgH/2/Qa6kz1OIOu2dIshHmCP1SF8Fq3LqqZhBiLzWIVEbNb8nwACdNpgY vsvqg9fiV/nPL7IyuIxJaUifjqKacnXl+zvUE9SKJ2ohfdKVjLy9Bd00FP+rh5vpSa1IYjnPs0m5 zf/++LuacVUeYdnu9Y3qAE1f611FselwaD1fRbztBmHG1d2PEV54lj6IODu3O9kIxyxjhff1t2dx sNrv1WLEO/iDFkArt8SMa8fLphTUR8C3Qp1IbPZm11Tge3nfaQaU61dqQBGKLmhIOJo6zmb/Hdi7 nompBo4XNJcVKubXXW35E0spIXXyGnT5A2AK+tBkDoxHBQhBYglra/S9Zf8v1Dj58Nl0FCQeqZNr qyoG/yRGvKO0TF6NXAszz54yISWtKNBW5FnbOM1zEeW4V3Ab+XXn0Dkw8XzgzuccZE4LA6uAYa6h fL6MRDbc8/L3pErytbnyBCal8HumImCSVKlDTINhxVh3s8Vxf2TvGhgm264YwCbq9/j74bbIzfBe X5lN3Ri744fIoexnEvUPU6SCoMNcPZ9TLW5qDPqO33rrNSGWzCWqL2AVwP1RqTUNg/qsRN6JGG6J Y9j59n8QxZuKloQ8HKEQ1lUT9GyxvdNZe7LRfeRjw6paBi+NP0l9Qz46qK5hSJqA/l7GUKmNqdyO /r3uxXQC7+43jQ2vxqAGL9VZjFGtqZlnltb0ys1ntOQV5sK0EijegXSTFsjzkXINIVlmi8c/RL4m c/6TLiIZzpW4ZcoAUorIsaumNfTH34+5zlVfINk6sD5u84EgDzbL1mRU0/SUWOUu0MBuDap9ENid Y7PfKMk1SsfB0Zjh5cW0l0t6j4SfsEQWllTa2dKlR2OBataXwru6VyjYrg8bqRb2ohcZSyamLcCJ QClyEaue0yCzyE12Z7C+Z0BcuFFGZLcGjG30mhM087ZXC9avgq5gnHJv6TRzoggp8o/JlL/HYR1Y LGdaTQTLK8N0kw2LpS9zDgswp1kIzEdcKlWX/q1Fw8H3dUNDwI5aj3Dunsq8HpZVjlbO1a2uYVZe P7/kaICdLFQLYzlKeH5tz3NCw0CMMuJ/SiHdZugSYiHGy0aeFjoYUkZc17SbND7SuRgTNoi6V8EE OV+eV1X8g66MdagkoVFqImqobWJmWktD2wIgEAyTUn1tmC3ei7/AS9mYscbcZjFRf8InElF1wBv6 DHL6U2Mj3CUIeSH1YgAxlhUELha4RB/7yYy5Tnjern+HSB4ebuAwzcMlhbI4ADPtqsAWtslp3tb9 VG8QtaTyT+49fW2il4idSfYCeWTgC5+hwJwPPKr9jEf+zX90KS/tts+mtNEGVHdyHMnJ3E0+wy2i eOh0tP0R7dERNENx5f4nP/Q0AnWLxHjkw+dOzmXHXGtf5MQigFLExgkSfQICvO6brF7isqFJ56Zh dyYrrG1DRjN7FsqmuKJCMYrEzxF89Axicru5du/TiLG7xAh+8wSetwEHzI05tIjUkdd/sF7Jyz99 Es8efaR1rey6DBbaauPgEA/EnUGaF84I0CdXPVO0eJAE8exN8363LO57rwAGqjWUXaaW1yB47HmW AQ8jyU5c1uxQM8/o4ASXs8RnLlMN+q+SopOAUj0Rq8GpOM713kwf/f4SMFyuskUqPa6QWjkavqu4 zTe9ZIr1djP6UkPLrLnIZEEXokgTgPIcq9zdfSAfZ9A2tMQ3xCKSHh4SJT1Pd+dqyi/WSxRXf3hX //GEwlGKpJAWZW22jd3OaHFGTW2UG4S3uit9JkS33mC0kwaWs2n4n28hVrJqRd2sOXf/MsYqjlnz J+8ysB3HGHZcn5aw1g6sol3W/WWBTxKMjUk8lfkRMlnji2cW44fUj6QB336ePYfgd2Ih4+BNylJK L5yK4gP5ZCshX+uYV0z28ATsmoMQkYbI1lA+eNho/kkicRz8pVvuk1Pq/NZclIoyFTFgjL1MYAE9 xPd6yeREy+g8ehjBwvLyESAotQd17LQ74iF36vHjO7ayuqxKi4GBAW2qLBeZMQmldKsuAOSqtyGv MuaYoYZ/YQinhBRmFGUuTgB7Hn75o6AfF1AWfZlsUiDHv68CfLDfZ9gVCaxqlMk0yhh7MvZo/8Lf p+NcrOYoX4IrzmNelFSm3wzw/KWzE9bF+PHtU7Al8oIJ6F5RMFYLBhjGxD3tSGKTAPs5obTOsyfl RlrJ/Y4xVrerAalg3b6mklT2+R7VpA2A2NUXTzeqVn4iCQd148Srk8jdu/3xs+NLExRPUgIu0OBx I3a6veWW/zPmli+suLJuKvrc8jiLuG8hlXdRxzG/yoPZtEH5w3kqQNb+NV5+bNqg/i/IyGShDG+M H7c/9I2d8h9vuGrmk94KFrymGCm3KE9j2Ibhg8d0gOsRnw61z6Wsqt7asTSESCxOvNgT75jlId9n KGqmQT+4SiIQ3X+lovmxBx9x6X1i2cGPuceZMWauAFjAUb1Unqond5Q9rBKK7Up7tBi5mVSmLuor T/xA5b3Lz8eB3FOaWZ1sIYr9joW7Uhd8jvcCiELmFOoTYEB0goNsncdJVccnGpUrIPi2kGgrgJ/U bmU4SbLzMesMDHMt113ZOYlCtTenNyr9TtPMHw8yVs2tP7xxMHVRwObzqu1jRIIiH+Al640THUGp qP1iOLB7e/DH0zAPAb8KQRF7EEwxjz4j0YFr+2iFm/Z47ZfTOsRKd7ALnwpfnsprmlfh+XUj3njo PW+UsxXuIWvDDFXbDIFCjthFAcNNUdHdE+hnmcljBm4ARzrW4G/a0PMfq3Wi5fmil1fFz5f7+TnS UE8OFKRBq3wN+fYXIjz7WUaZANwaHMPtW6yTT4DLz6cvLhohA1/XoV7dXLd58rQ/HgQyO7lcDfb6 cgGZnyO1lKpY6zbH4PwVKtyb3d6HMQQSAOd+MCyQDN9vaG0JKBZCowKTMp8XK/ZwhF0VzUZlyseF 5ouX8OJV4c6i6QKFoIrk2/Bb0I4Psx6/s8dErwiOkwKT09cVw7UiQTpDlHUrFuo7nkEzSU0mNafx XOXkYBtLrkHF2539LEMWHiR5zxkDipZfz/3vycwOYZydWFbdGI5R8vr09ULCStvLTaxOl89+euAX bObAcBSlyVVe9gjK6MDvg/1+0hLsXcgeqZoJUdaSa6PjjXoMaSrAEZrRaQzeXQnC7R7zQYHJePMo ltLc4ayHe2x0WNRZZy1po04ZFShFf0j1bKi0cGgEjeSSjJtVgsW2RK8+hhl2ZBW8W5fJFweKE1Zw IrNiIiAF+ifbsyQWAXeXl7C3XJzXcoMw3ssFewQO7b5RpvAgWFfF+0qpTKg0ds3Oi3aieJvbkf60 IREQJRENPzAa3IleueCwk0Z6rzlexCSzZ+I7yNjlo79m7IoIGKGeXldZVoftZR1lLz9+o/PKwOSU yD1EZXfzHQfDHeTz3CEe5/s4YWQ5GJGC8sEYtD/pNZv2RKnMkNKo51mIivkMh2Crv3T5G0H62qaO rMm4CT6KejVxficFZqBFwr/wveMZgqo5GC19jEjo4J3UIHCYDmGirWxE2cEXj2EhZ+EfbFsB9ENL cJ9AksGbWz53QfNbH71BihPjHnIWjpBFcfVGzQ1WTzvZdVpfxyt+1kScct/P1/VG5ameChLagbZd qxfGuV7O2UQ6opasl7UjW4mUKYbJ3JK3+/xUXNNNmVcmaOCH7fv34a2BU1c7ZXExerjPaTkt9Lz1 ixjROYNKsVk7kCEeJx8NytkUJCsiWmcKJv2fOdOEOTZ3I5rjNz+wLCIW7E7x/H4SWQ3kLPey6S+S 9ZuCUzb1xJ6Ou61yhnUDeZzAI9vMQDt9RPYOcWOTfXDRr6N5L5nLRrwQiCU1AmyzlINGREPtqSLB eFvvSiQpGzPOUo0ZsPwp4HtApdEagnPb6aPJsQ+Tsyn80bsYoEaVCC4YviNDsgSEViJGf4Yj5JAA ewchw6gcY2s6tX6jTYkPUkSRg2N2Yr0aXcvRGHJMZ3amG/rhKKkiWQu+BspU99ScYM3Trghihch8 mowpsM+4JfCbrqJfby8bQ9JDPKKPXDLCwYxaCcrp1BpkuzJLXjQOGq1uiLx29jK3qD8cAsfMxgdp 4X6kUtnue8BQtirHpTHZhPbHjWPmAwLNAcKtjDZNmJODy52wvVaNQdSmJNccCgBJSFuuvR+L8rzD D1QMw9acPM6mTkc72VLZVLpTIKaqmyMWLAxYHdiE8Zb7kpiItab0jszF7wMowfNO0HOxkUTJPCqM s8cdVcZHSaBhvzl9T1Vm2nAqUNUBrADXpAsub2yXi7P2kRjVV31TlxD4in+wruZpoxnmbSwVQuHI 7w+cqSusAYkBvbuhz40+pZnOlB/o1YDmgTQAkckIBJml9iUytmlSjgaIqe5L5BF9blo4sEqbQkls j400X1twnomXQkda26t9o30j37tPUy8q2qDBX+VIHI8Pd1CGxBmPHZ1FJdB/OWW6MRJXl/ud777k 9AJTD/mlbGk8INsNdM2mO9njAQ1IpJQ6LN2d+RANWwFT9TjmusxGac9PVO/+wy4hOum0CJlGSOKh vxJ3CpvJK0BSDgGq2yRZywJnfH/W7ylegf4NkrTFfFwJmUNCsBSr15CXxtymggX9Ai6+IHArRF6p 5s5k7t6Z8IRT7i22ak+o1yd+HVbGPz89LshAIUK3PsazPBFF5jOB6n7zw4X9w+HSsjmtqvY30Ah2 5ZcElqP13OY1WAwVPTkuP+nLJakkZudwtWqd/cz+dQcl95oDQ0LZwtYbVd/QWpP5ZIeYTdy8zouJ CiMq34NiXtGa4tMX0aWEQMaNiquVibRSopow2hzNOyBWDsOGaS+Q8rxmQcuqjUIsDHJb5R9vynPy nxG7Q/shYjhq8s7FQmUsTrR5I1syCi2e0gWPAF2lV/B+xb/2Hh82H5MDDID9V5axzN1m+KTffefD ivoWFAVkAxLTZOeenYnbnRd3Jpfk0o15lw0TtZ9uf4VztiMwA7TRHzXk4e+yyB52ZgQUCCnsk9fi 0MOeT8nCugfbNdWqzn8CYLVjcUxUfiNVNC+ychTcapKN02OCyqaaurCXgkFyxkTHPobQ4EJBGvBv f6IyNWOFdqUVkRtB3DTnxOQUx4ElGzQNKFwCKROaKYwQXvbGhCp6ev3OYRme63+7hgpFWXpBlMzb I0vfuLjIRYpUf64WFWNA+3Z6vULB2Z7NreG8MWehiV/aOiznkaHEHApZOxlLMB8P7UKC5XLbSMmV nQLEW659pPcWLblBT9zFeH3PmBwJYZ9rgOdcQk5FW2G9uCgpaZmrPKWjRg5JoI9ftzukdQUm+iaG WbkVDtNziXafIvKW90WXf/AoOgPYb3gXvspXx6IR7vhVCo4HwhyzM/k66yzijrZ2wn/vM2JBh0m2 4X+3CdjjJewNxrW2nNBzn7vc5YENUw18L9V+AvzgU3fLYf4wE251GYT6HjWX1s4pi4cXf0PeNkfC N+GbBbG4XKZGffVYtHTg3KhWM0oEcXGHUKK7K4dCZmiz8pkWj7zSzwmAcb2Ut+6REG+qX5DyEZm4 BUsVzEyXuqV241W6LKkmJI5NNFq6xuUBlBP+rfVhMyiatNm6S2D/RQkWJE+VwtS25gQA5C0yYlXC RYPJd4hE8p/Yl7EzAtH8lvBaKsnxKwKsHqja2BrOaIheab0iUtZyniI4GYctthfp04+He0a5P08X eqjGVFsTg05spuqQu9nwaT3YA5nByTB/Hp4tNo6MOUPqzpvls49KEIBqo5av5feHVxBywfrxZl85 21AwYIjPJLPfImZnrOHjLdNz7z+A0j0t/AtFWmclQ+VGcTJNhI391SARbaMBzEGiaEW92F6GI298 Z6o+SHXA4yLCRbY+Wf5XqfHkf6Yn8sca9/s9rj9R0homWx1WHbHjTvbEba6BwNbZsCWdHAfz9rFL fZCtk1YAKc7jGM0HqvyhaBnBEdq14p3a1c5OoQx5FS3F942pxO9EJPLqVpBtgL18U1LMxX2GMrs/ 94WQ322gBH657fNfnN5niu3/VJpjJrjx3A5V2KxftUokfiHeVMvm8UGXhVDHh9+m0JakII7ONGZX MwFJKkZq5WShm7gwcAtC20nd6zstuowTjYVZs45uWnq9jMUTLBuwPlum2Mgj+80wkhNEV5RO5D+F MrDzPuctrihsFXXA4Jzs+h7ht9waVtQ14oQ967F6QQl5ynkxcq9XTyniUMeGqaCkovwj+d3i3INB KjTAIE8MC/oJLfXnyEBmMCuepwiHPtej6ZO8I8BAs1vHnBTxWhe20jPOC8BSpIFJCXz42zky9hsB U+KM0WYu0iFHjiToFE3n8WqGBy8lsO/RzbTP/uaBUn8b6Tyd4348PAGB87V+D7r7ulrhAYrfDvg+ iawjFfDn44JD8Q9eQVhwq3fK2hmesMUWzY7xLVmfKVjiumxfL4YBTSNWXI3+m0fm7hosPNFbu//f nIIohov0hTxhx0j55bd1TRv5kfgAPDiLyJihwTQnYK9ufDcv0+5jaxJhVkRvy4epZIlfI7LM1v12 VpEp7/Lw9wt57ZYIJsyaE0L3OiA9ZI5VqY1gnOmIBN3DH2cYrw8HHFN92TV6+1yI7+DNlp3PKweu +wqiRKTfKrgfRX7FQelMiJVAXwgom84tgB/1wWnIYCGQcBuPX6rnpr2lOEsZcqpkYPoTvQ9s9jW5 rdaIVjLHaOt/D1z28gnENpGWYMj9J5d1649wY+ayghWQzd9AUzIbqSX3UrbjTVtCUZ0yN64MZkK+ tBWl4Rv2uexr/kiOZf6vKBrzsvwp1XQ5CC1tCMyVuL1OPQ/H+Q+DBCPbQGr6iezG+ARYe4RaRS+e KdUSwQm7uc0m3+tcu2WpchF7y/yApwSmmCFokDGAhuvvqVwPUdakbYmcmazRtGWOGBf4RMGGOVod Gyci6tsJB+ONnV/MaJE2y7OkWDX4u98meT6K+PGxZKRV2sNuyWemlYyq/rFTyeMaVLVDzZ6gFPFW Oq3VjdtvJ6vqAwcVv1fMEIyevjXY8b9zZ3JH5beFFIXAiYVW89B6nCX8TZApxNkXiE0TWkBxjmux gvG4gvXgJ+iNdrWS+S18fBa3mWEaF4J/anqf7/ltbp8n9Zu1E3Z//S1khpglnbZpKtlNodNdzao4 BJVH/mOxY9oGvh+3w17QjO1MCo42ylWjM4e3Nb+SyGYVhf7tTRJEVB2QBrqh+3PyFvomu4SrnmfL egIzQJZcXZGAwkbfznvkNUxmuZQMXZe0B/A4+PZZWoid2n3ksw0XT8jSMCkLoLACMj4dl1EWMwqk hjCCF1fiSwTaq5vtnJlVPMUjDmFQI1QEHlhrJYLcGJkmGPO6P70ixapW1BUbmGZkgHDniwqw3WzC quAghHhzpyBVOQlC4Y/r8AFOv/xk03HyTcAcYol204ft3u8L+OApLi2IhybYR9QsVJn23/RNMsV7 vJl6CbvOCi/XEaZcy+U9c4XkiJUe9n7CH5kpkMUxwA4Y66BUgaNAGz5KiwBFr8W9ADd8bZJDB/6g NiGbjEb1VNuSF9o5MM9UTINKJo/ui/8RVHur2XFbCcovLWYxrd2S0TEecYQq+3zWUJyiuMXhmikf adQ887Zqm9EJWJh2Wn3nSVNbIcLM+QJtKG9U7uKyZKheZj0gAP0hlqgS9mOkNRB7bh54BVly+cK1 JhVtbfvFTpPXrczunum7LT6ITj2nKmmELzHtDkLOgOhOcl/3X1tEkw5ntlT+f3yWw9dTlYQkOhly ZhSoBozk7IFDviyN+b9ekaofumrGcUdw6fKqXJERFHQfNHxruJb/9zkdInZPsKgK4mkmbFmY9LbU K6+gKcLXtztwv8UsJdtmFkWawUoKo5zFPWuzNyv71RxEwgKW1LnsaWEP580ncvCrurVtgw/3sPIP UNzgoI9Gz9sqGo8XeDxaAnhL5ztIYfOXeH2LeXHrpUBs68p4d3Lhnpa/6lRKMaRUU6egrZWYY18V U3KzVJawCM55lYVNOf46HpXVAHDOAntWq6cUW1VWFRBwD/Q5x+czb0WKhPa015FfQjiMzzZFjqoc GqCjELRCsNsROh8RxA2SnFf90Sq9Ox37h3OeWfL8hjtiJjd/crUboGCENt21fwfyoowit1jnZjHy F0ZQryZtLG9fM0cwghsxkv0yMPCJoVdwzYiMrL+Te1ET39d1aFMbh+plrV0zJ2inmFumW8xcE76W H4gHYfbciffzPdP/xn4SZmGLif+S9iXZerHRhJsGmIx8MpqIs7bd1EQXdkjX/H/3F8g2lYvylFu4 znXS3UpR9MUwYq4FCaZcOee1a3RS6hrl+fPhHVfF54p1PzfS/vh/YWAKEzpihU7ad/UYt80lRBY2 O5rPp7gMK34ZknJ+cskQfu8mCgfw/XI9x4BnX/WR6M5ZAjpYJ4X7VviA1pCZ268CGOKN9NEa04wv vyfIDcv3UJTKTuqykTO6I9L5wdlI8ET5hzvEsCZQfd2TuhFhuCpLiI7z7P15zGksAM7TZzpXKjbc 55vYTIMAFJDo/AOcemS8jcAIGrl3Nud1hlqZhRc9JrhX1r/qOPBmu+twkMRMBUHITP3KJxDjj9xJ HhlNSt/T6immOTn4U/1apakvzk5fwujLSHLC9K65KApwyOdUyA7fKVCKA+ltK+XJS8DcpB8iOkT4 9q8KU1vjI+r2UAbfcrlaa5Y+B3Mn+5q91RIIPYdP2n2vdD+YuIe3Eg7wkpkLTIT7aRukxS07aA0l Rf2EykbKm9mlRed6HGYbHZyyaG/K/1jQPCpiDoyTiEEDTc7dm28m1yNnKsjnnzAAfxodWSjUBlkB 9SsrBwNssrzJpkcOcpOigDESA8WgquX+dN4tWHVRRxq/a1HkSlfHR+1okRUaWPBdvJ07GUGIjqAG x1RK3oqFhmJ8OmlBZ9nLTJRb935Ff4jno+HuUgPNlkwZPiGtO+CiBQ9WE4+fnMMxh/YTtsgIpkNc ZnX+aE+3uxjgLwVkFAIbwGxH6nzFYvj41rt6c+YV84dYPrpVBcvL39WT0vNbB1p+OsGWjw2qpqb9 Stx922a5d5zDBOsX7rKbU4Kw8FmHXWNyy7h8OiglxWxA8PwwCOTqStrFmdS9+kg51LnQ0UdjBxi7 GGLZ/gFokgDkk6CggHs1z+wQPu9mm7c4PpSLLK9pKbYvh6U64K2jBisbDIuqTbHC/Pk9nJksy7qM iJVqAheR6mx7T7oHM9BRAyGc0j6KwcFfPekAkqMjsukWqmj1MJb55G4aBOkl5xCIOOsIWtFNeNyt +sNZ6jk2oktFhp99o3sT0wViea+CnGCicUvn4gt3CgbHQTZnqK5CP/3tHjs4BCgarqrxkH+z26l2 HPR+OffxWWddl69DJCpU3YuDB8Ts49KayvO9hvIOyVkwwR7hN2k03vMSbFZWe7OjA45huVhcucU2 Oze+85SYAZdwzq8SF0nv8ygJla/3AxtRxK7JErik/4N5fjRh6osrCCT8qjaWW0lIii+GJNzGz1oD WkC+LEZGWu+LNIZLfYnbgI4NpeqKR/pI0X8Nd3xIktTU972KgslKnRGRmHWgXcC08ovBNzZooIe9 HFyKjnDAv+xQ7rR99hIkIbJYMBZNpMGRlkYtcVjC6sJwRQfzT9wueKmYyG32vEcKx78dI6rrvml0 2JeDRBWQuZSbFGmvrN6rtHIQbJS92PzQfQ6Zl3VVSCFoK/M5j9SsLShjA1TZBHGhfK0AwaM54b3s +v7qfirnyiYTPs+FMuTcMfV/Q+ovOVyZ2DOolacOQvdb9FHdw0g6Fvd7khIIwql2x3yDJr6Rt2V0 SeUjVeCB3yUnihDkae6XDVbe9sv4GMrbKMWtF/XWnEY+Ezj5x3H01OtD9+8i8+b/7YO7I0ih2b2c bLo7xdL4hPNjcvcquMsDXmZHNB1TSZsFO7p1GGVMzcc52S5VYFjEHagS1UL6lxg5QQ1t00eHs/Wz m8Zqu5PuFVIeMCXEasNwqpV/96X01H5EdmMHMx21EJDXDiGB481wz5D1dkFdyHLfYQKI51hGV0rd lLdXWoDDXv+YRAQNYCpiAensH5iZ3QwhSkFal41trMufSYYFSobnk/Gaot50emrti+TPkjbqhu8F 9W36r2QasEWcoNYYXQn7V0ZKycVfFNThJr8cPu0Mh4KOO/EE01uRay1kkIqbci/nd9l6l3us9M6e W1WYwPL0uS3KEDLJkuJigoYI8oGZ4que7kj2QYmtRee/sObo9WDYsz1tq27WfZXrUA0YGnaCqbK1 7+KLQlASKGSlX7qgxYauFNceMMTM+SU1GrDk8xyFL+PLNMfhz4XSsdzNxCpOt+HMkMnmrpr8ruRu tQEB13SyOxsXjCqpwwtaAe7+2X9Tuo1MWyGcyvBrfyRYMIv8ziy0q189FkMuQELg6NiZOcQ3sUGm CYeF5qdRsG7eA+D7dg8KEEyvR3+O26lHukzgY1Eg1hVLK+prUPEig88FQtXC3RlNw4dfHMVYPBe+ 0tNRm/TbuBe3PwFuftd7SHWscOhAbA78YgGnjRZiF5R/0sKcnz3nF02gmH2IIyxKonxAR++FnGPX I5EjxRkxigSG6ijczfgkU8ryuxWfKJwNwFq0xJA7du8GVZe+Y9/nhEJDwuQF1N4JsTKDB8XzSM07 +k06G61juxyZFdqFDYJlYb3hd87rav2L0LgKLkQt77u3arHN0sPSPnSrObMOU9FcILFTHYyZp4Zs A1BhyaXtR9CVkkOccRQM8qMN5VJiP6lr6IFLGRwsFcIWOCFWWUlM5hDHbkWeJpaoN1WhmK7BQV9h 8/sRBVnpp7Oez3oXx7SY5VLThqVl5k0Zbq2js6D6XFUApIjWo32hVlwJ5JWV3dEtVtGJK3PsyzUU FzOV6EcZE4WPyNeebaAMGqGRMoLiOB/997OJFHuEpZ32ptjOTA7Dpd3IIrosJ10XfSpJiMMyxbX0 8K11P/IuH7somesNr7WELVodRrX0zXzkotLhkOJEgzFdwIskBUbzZJwHdhr1zZ+zNFwUYam7tNaN KFACwS8Q5tm8+LgzptPY6UYHKglx4P3vys2/1lNohtwqi3gH0JWfobzjS3e0lhpQdSU8CCwVueFS GLJQeqPeuHgWAil0YCDLytZe7hfH/EflM0lisfGA+MRc/Ihbk3CK8WPEF1J9EhHn7WJ0MQo6wTHo X9t3BRIru5FKdpjDlQBMqjrC8/duS5abSmeZXKNCznwfVHHdBiUr2ylx+n1MdeJ4e7fh26C4g1p1 Drhwe9i5ABYay7NOjY8zItk4Z8FEPsCIgPdUO2EcS/zxTofEHtgSowjkfAWYaqZ1V2L8pvaOsXVD yAFBTQGwoOPwe4Ydp63b6Cf/eoOJ46gcQYZOld4tGaf9+JzTpdg2BR9qm1LUYKWFoN6kvuG0WDtW Rh6lBo7vnP884mn7JGpgpSO9QPABPbMz+nPibBIYnP/BjiatbNPm5aYx/lxSDzHFxHJzwCz1W5VL yGAycw8JUH78hmySSvnDuy1WOBWoAVRdOirWEouvjZt+QigtW8OMafBgwSPcORUs/z5nrmb5mdgq hgLN8eifvpSpgFhkKEICTcybaHsDpP6DQaGBb7lfIL9KcCTCjqY8c9YFwaKGCt0xPytcdKLCoVDk HgvYoTdBldedeLqbRXvbrdxgwaRBs07/mkUONETOX20YTML2zMnseF0PFVMv/Nf8Ym82MCF3daBq Si0IkYvxQjOnxR722kx3eEvAMeHG3myLxRKlM+PqlFfIP+cAIifTdmzNxa5KO6Xz/rELAgoCWueu CSdgYZSmtLyaNY7tI+6LRZeBn6QyVhiOxcmQoZEFT5pFqFtkzhNV6P8it0Gu7FbuGYFYz0pVhvGV 1bx2w8ZRDZjdEU9xnxexLZUlblXQgnIfKEYCM3Um4Re5wEI/0BbJPjroMHYuw6K5kuSe4eFS2YjD nEElr5kl2Dyua7ZIHXCmoHS/yD2EdUOsIooDuDLSvRoIWgVdFA23rtBJBXx7UZqeB1TApuPPrKfy SZmFe6zuVw8EStiXvQ4wIvz9U1mbrpgBLHM35ILTyDWC2W5UnQrYyn5Vg9FqKPLkhei0jJq9p4ZU NhUmRtgO4p72NKI0dfX73Vmf5qihlbAcHhlBbgtIrKw7fVD2qSJenp6QxHQaDtC8RuhT1vNy4Pdd oyPnXNRc5pXrdr5ZXlL5WwnQpCO7u0baLahSZRElKb84b9VZyABOpX3CsiQ3guCjkY5THoJvgKWH ruDHNPFdJQ4vEMQKEuHjbveL40s9uqMdb07myFit112UX7ZVmA9WPxqB5bS/qA3AD3yJgETYVsJB vgqOdwCMoHVhEIwcpO0KZvyL9anyaY73HUlu4jrd7bZK4eQyQJK9Mo5qONtdzuOu3YYMPCYZzRC2 cPhTUt/gx8G/8Lj1zZgno0Nf5JglbtOQ8tuMznKOpXqbUbqsxKPxo0jINM9IRdnA/SLcAG7kJb5g //sD406X2u9CgbRG2rT7Nb1iBUYyyaX1/0zu9r5nP6ORVK+PnoNm831JnA91Mjef/8GQAbLDEy8q OyXEnD+x4MuMPT9494A6WuzZlU+hmV5iEK1T8XwQm7nakvHqtVQI7BxNIEc+J1E3nCkm3yQRuaof QliRFLDVbEN03yNFmLjDVP9vz2IpWfZ8WKI5tlSjQPpOsl6Vr+msTvWz1Z2TGty9CG6U+4LA03fn lzFAQNlwYXsByTREl6H0Au/zqCj0HJ0ONC5TO4SdvZEcQbi2bRyIPl4qpXbOW8WCCy2POsT9K3C6 TO5W/NzKaaRCePQJPv2hpC//kH/WScpBsp/Paq3KQk9hX+FmPvbL0Lzl1X8MrlhppUzvdy7USOf5 2qmV97AOBVMmxH96BlVz4PqXA01OhHJ30UsZb9pn512sg5NYgNObzL9OGkhWB5zJdtOlgyVEbrf1 RSTOkoU9NMk9RjAPBZURgZkerknirlpT0xbuYgod+lsO+2Y058GPhfMnJTengRnt+qwJOUS+YCDP MPklFQtIBWS1tyNgFqeU0x2T4nLg9rpdRCZUL57xDgK7n3yvS+M8kUps97xCvjRxiB4o6aZNdQpy CiEm0SJ3vtGvvR3ZYfz2pa5DwcpszBRRuSUQ5tSutPvzkxtkqB6EQrrNX4xGP/v+5Y6JihvorFAa hyF0fEBDksHii44lx1cyJ2oeCCLURLUlyyhaRr+PvC6kTpnjcOW9WEn9++KdIZ/sFNP4uqelITx3 PGZHjapcfVWgSakz4AsFI0ovhR1FBjxqUqHowA9V6AK8kHQ+RZBUIOYmur6KTRVxYxeEUsxf/M6L H1i0WthaOCMIyxh8s2F4fuHxeOaMtuIU18Xh/itxJnWNIk8DkAIuEuQPbzfAJUlO8VeDEjLD+ih6 MDA/n3+pFATTv7W0bvwoCSM7DIVyFkF1opI+uyK+isVcr0i6hV4y/eb68ZEntgXTrJ0AoYdeP19F OT75cX86HyYa25ju0oOueD5x0Hcakg+edxlup3xOEqNXOHeh7hNZIwEgZQ7/IFi9r8VhkHX6fMX4 G5jD7QU+vSAIB1sRz5gLLcpSlDo6vP60Rqk75VWB2rnfTIYN9VL9QC+1+Lro0IdCE1jiewZdykyS bLPcpGoxPqgaRB21jp7aNjuGNJKUgkH/kHaKLhGONn9qGVTtl3BWt0KBpMN/U0iYbiw0D08GNzH9 D43Z2Ufqmyh59ou+ph+oopWssZ9ebn09hNNOga7wvczBapvgZ2sF8ZMb0xiUpcsfuf9gPJV1izsc rQEvpmGHGvKwBp9YT0To6PywRrViyGleLa5peuFCc3dba3t4rXGzB0sO+wtw/8dldGD0875r1iHJ 29ZG72GE/jEnYDYvYOh+xJ1qDOpNJTD//jP336BcYpeM3qSkXdieL0oztJBeSeopUwBaArAh4RfY 0KhXyb3sQ2l+P1p7qbIphlaY5dNlqk5RYd6dvFDVyrik56ZTeDb3RCzBYqduVjVtCcCjhTAgvmF/ pEK3VuZmtyGsdRw8KViDXdqyAXwGdD5jzhZQVTcpClWPnmc1KMMu6L43SQQFzXVFnungFhYJvTG6 vLBfHaw2iH89tbj7Iuvv+d01BYIw4VjYammqdMj1jXBwun0k8b67iyDIASvQGopBMvEFv15v+ZN+ t6fcDoWdaGVMadTMh6eYNw9zHiqbMbJkyd7mZNQCVzKfrf0IKiNjoUuEldxndEEQ3lv8h1AOQ7C7 ouM3jC0s+HPOPN0EDQemYlu0SeZHz82xGZuvn1iJmKi63jpTz2XY3U3Levs28TetBcPf2RXqAMkM 0SwMQpqm24ztYZ8/pBDzUWbr+73w2zZN/oyw8lmQbsMw5Ux3TpnsmDQTnFSVgEa3nKc59d9LX4Ks pn7xGw5ef+YtW3U+cqr6Dcyp61+YDCY5lTPp73kFFF38LN881MgClJbk20xMaN2qWd9/L9vLFWVS SNyZuPEPwmmHlJ5aU+2E545GPwMr6Z3xwu6myepG6f5ObX+BCue8GgV9Qr6mE/Lp0gS4ijZPQKLd AyC+jux3RcZrCjbpaEYMjDKux5NBfs2uBoxaxNRrUfEULcaR+MqrjLMWRnLK65Ec8VPOad5xXwsw J0/9Bx5B8WWtLgL4UxuSLt13qRb5pp9LB7ssrnb2j/wqVy0tsL0YGcM/K8qYrA96XptCaqQaqCW5 1jWSncCtH2pkUtEU03cD2LcjL+iX2YF/qWrQS4kLCwVdKCrgz8zpKhyLswmv6dFzkJ1tAjUQVNfH e/WPtgQ6n5yXmBizHZebQudA8YCEM714HPYqd6vz5Hrob6ueWxKNvBEgsgwDk2TsG6ox0DMR0O1T ODRN+w6vJxAdJqxP5Xa5KtU3j1mjvqkjvTsykBpxA4zXyfNozPyRyf+AYuGRgIAkgMeRV3v1/Aau aoeC+o8vbVWuO+qXDkU2DYe5yvLhalX5fs2U3P6wjpv1dZT7ZwbT3kfppK9+mClVAZsdqBt1W2hZ s9qHDzgHa2NNH2+eyMVNnQYw3TAZW+Vfuiwtdmujh0LlnFoeyi+BRGds4XIbCCAT7Vw3y9WB6hRq JaBXM1uthP7xnsVrVn1efK/gCPcl/9rJ9zF2Cakyih1Veqi2XkdNWXF9SvWaXClfMujHnnWIRy0/ 9R6gseqMfHxspFfE+Oe2IF2xKMn135GQhvRmuZsrtNSzCqwA+/Xf7vDcNtHZDG2oiLtE6FyTrVG5 kUj/yQhEoQSH7mfH6xxkChlRy+PqZM5NYlhF18Cxh+wsu/5hQAFH7U770DWMdKFr/f4o5uGvo+1S kneRE8r6EjeDJIH3QJ6KlD+4CZuaqEvNxUMnuPQeqF0DhhI+RRarYxXNWga6uAmEHOqsnn5CbePe dEsW0XOGEckVnVupd+j46LDaaCfREgQ2kNlBnAWzdH4D6QADTRYykj6tPLeeSUr4Klqf61SDlAyx HcNym9riGYykArFxdJCJo01UDVuFVd/NmeVhwwGxtfVPdVZEaI+CsPMBZWVw2EL7mvhRS0iP69sh R4h7bdhqbgjORB6yd70EJs7YTkTdAFO6XJ9rGu6R+CQJlOD0tItsL4rL8ABZOdLtbJda3t7Znw4V 4KLQxsAw0DR50pb1gw6SkX09/RbIQ3LhBH2xBgw0JIwm4Xn9UW7tRpukKgJtJCmYfV5QStt04p5f WJLUbjHO8d7hY0gdfYMg5VkMtYY2ayWf6TNbUsAVr91gMl73CRrirg7qXxTKNujknCfp4hf2gNGo 56M13rZtOsRS8wxj3r5pVuMzlRwG/R4s5qHf+WDGY9Mr3l7DkPLIGSclvftgfsMpvv7FiiXKjLcZ R10ewNw4Q/cKwLx6JElXhfwcGF7Ug1P7EVHSbfBrJp1gDvrgUTRg0orBkfDaIQj7gdBQ06ekJ9JK tgNghSHl0ykU7KuF9xalRoDCRFBK1/okxWmFJimGva6LmJrgXPpGXMLMKibraCf9eG2e/t0Wbu1D WftP18MJGg4QaVfQye6joJgIKeBbR18kx8CNa6qstm/5D9JHkcaQnovPzjd9IQZjVHgPo7UIEDvY 5ZgNFi5KpDxIwPmwyGGSDaWYZ/2tIQvpCze1jFnDsgqB3irsWZp6Sz2pO6k3oZoaKMPWg8sgULhh tzol4SCy3EiFOuzwucc78NBVEKxr/Mo/JTi6upTPIkXqOtOhV3LRHErQ7lGBp+w52+n9DGrx2lUl jctvNNNBpektszP+UZRdTKaODBoti6Ho0gI7MSuBUzusCUYP7aqVCFy9KNXBq/91TsWPL/iDkWeM zta9Ex3IKc5naHGpNtvPT51jqxZlXn7Qauy5jiAVAjvhqvvlGdYSYB1V11fGRPitFAFOdem0ypRe EiDQHgZOlO8/4jLspINbeV2KS8G2jck6c2pitL5DcHiqlG2+H+gZciS4kEXZeyHu04l37Jm8jHtH fKEPKBDifaHWudIkieQ4pSCR83gsnfYlP0Jti+en4i89ru2gVGIt2gMakyrpAvAKMZpUc3OuoNLF 444o1vmrPbXsenhdgoSYkmTqg+FpAoGEKHx6bmDWPgcHfIiArIehvGBju+V5B1SJY8nYnMCZw1D1 lYHBJID2X9G59X7wIOqiHfoQhzJC+LmJfMBHwXH5ngzO0qpZt4yFhuewh6ot6ukAzM9zTrIolFeN HCF1+TMEH1xZRwqDXIb0PdqOWdFtqOpm1dMBjJcUvgboF0V2aBuuotRNOdZ49vzqqkuIaZWp98k0 gLJXCD7OBzQjpSsfMZBgHynKv31S2uXl7VFWctCisTZEp8RG/+gdcvMwQTXADAdfZG1EikcwNv0U X5m+2SG+78bNhb41WBMGGfYsOIYArDgFyF32XbxRBZ9S8VVSfcL+HXffbV9WT7hM9ZeVtkGPR74y z/msBd++gkLyTOTwnOBBAL+Sbpow7pqUMA5PF9xDDgpmbLvHopYK/GiboydDRFzI4zEcgv4xvTya Inh06VL/h7kAYpc1KpUPBTy28XeH7eXprowEVW5Jl31YQj0O9Wya9NV+e7uL6s1NukccOHpHsH1v Dc6ooxS+P2ky3ydIRqfOlmItLjU3UCyfUYLn7vH99Rr9tXlw8kfNWcg4kaI5cWctVrbYbpkNsz7R CbWu2zYUptQvwYDHIUQZ+QUcA5pLPWKLEHineqFu8vllMuxuW3sII1Ct5OlSxgBDfQDypKt/RZlr VbT0ju3ndyVSm7NRW3yaUZAGu8XIkcaS4+ClkHAGa/mTcW7DVfQ2ce/wEVXwQtlg0VQpy9ymiXDz VPGe0ESOGweYL+v4YmmHM8fzljbdiJRarkFVPrYrfuo6XWaGBSAsrPjS8x0NiSkFcjAou2Py89tU jVPxz9axUpGzGqUnspCoU2VOE+rRYLdxhvAvwlVdQX46NL3aBLcwiYkcKgo3AgPDTj4ccBkahgpU 4H9QAx6A9qpigmojIBPWzRwm31E/KbBL8krCqlZXbkNixxQcSZEJEBayqPUkzz4ORD08yhpuuaL7 5MDqheF5SiycRR6ZCogr7omYBZzbaEj1ILYmiYNB6E3Oe5mZ7dMf8Z9yapWgnEX14hxjlJ3ekuUs a0/P0+EZ9KUz9xxcsWLA1ZoKIGCB+XMm9kP/4N4nsVHaQ2o+IeWMFkpQi7Ga5zDaSG3inFHYlYZl bTqmuuKPSnQ5pyiXrfeYLs+pofvc79jzcrWU7ypfssSu+d5lVmUGyO4MmH6EHwrJ8H4FADAGAQG6 6MV7F4zg9OTRDvkXAwiOx+Cmpxr7YsKSh/BsvstPFDKsuRtVSdzBBm683HVoWjGIpbr8sFBO0ON+ ESu3k/KVigw21sZ2FXUV3ltt/u6LnGuDSAl4kB8b7fW1esAxqBGZ9AB+CMjstMu3nX2oyNPGmpQ3 6VM6aXFxg/2D0PSLAo9F/4yBgtEpIClwxOxkiV2xbzeT2tfwuOz2Xp//SgZWF4QytWwrDoOTu1K+ POsHpl1Fm2kZ1YSr8UWHg/+a1Ztt2gXRKbiuJQ+mYhSfozrQflvKdYSwhZQ/vOBz6jRdQ2rm/GX4 f9YmZ3xAdbb7Xtz5urPn96ovANPljO8JheJWrZK2+hmd9JFLbAoPCaWxUgxt/nWl2thiKqEBJLH6 32aWHysVLO3kgjRwCT50yMV/mPvFqQGVVUHvlRytPk79N9PhWTVN4v5J6LXCISqxu8OHh2tjf48u 1lEKQC9WMm8FSgzxyfwUagm3c3FvYZ2lqx86im7IKjyyu6epitrjBPmu7d/IkU9EwUOtnhnRbo5m y7OlV9sc4E9DNCWOUYltvO9LDlLxnMjpL4+euVDGwbbKptX7F19s4nvN+C/90nE1zIgW2oQNWISm Oi4QJhhd2hgmRuqfd+W+vRxRy4cfruEUfPiRd4m/5vNOfMWpKc5MhpBHmI+DyMZ4ELco0Pm+yorF 3q7qEaGGqfdurrsfSx0ThYXCywvqnsvDAjDx8g8Dom6nPSFYJfgDEU6qV7fETMxZXcyl54OIB28c sadFGgqMEnhXw53srDBpY8vG42XiHqdocl3jG/XW5ux+op/U92R+VbK/FZhTo2M1xdnB69OxCz3D bbO5sRbXge8cFwjjPNhI3b1AB8Fe3h25tSiko2ktSxsHZvk0MpeW23EM0zXH27POp3asc+HdoLrj vZyS14oHDhCXTNHekCMcX+cG23X6brvX1bb9pqX8fq0hBrKMmOJgPuhl3cMlrP+I89LAnJEJ2UPi xjxRSU22J7QMxt9PEBOh4pbIpGjXJDxGZq8s9sHC50SXOIT16CyOyTQg5tjvJKOEY13A7QvD5Pch ENC/hFjKzkELIrB2g1JoUtXJhGs2B7Cqjded+CmnddITYSUcUIa9NKcmDk4K6IIYDhzuj6O3teJH IXvDv+V3uN7qAUzBxi45hy3NQHHEqrhvnVBkBtAT/gnKGDrMtvkYEms2Hj5o0AHEknQ6x5iZSpBk xsTJ2mj5j3cnqU2si0EvZiEhIdtVkNppm0RmBVZIkI/NxRIyEfBD+Wd2LMCOS6Pv26EAoVNgZsdU 4544IT1Jq2VmTwIzsfIYJ0WMFmOxJLhlK2oL4Ayqwici8MKY3mGQk2bnmv0A1pM2rjDD+RLgWDRN jXNIt6zNyOEjo9aXnnKSkKj2Wy5/IorxeJ/QAPU36ogrj7HnJHd+VfKxboIq0OUhUlFUKHVQFhXx o2juSyd4mQXn+XgRoNLa4IoxcM++upuq9lXiYsz4RKIAYkwJ8YzRI02S6d9kOFEHbViRzAITMza1 Y6GuwrO3EWgNykDb0TyaBgY7UtYS0fZoqzarndSHLY4rUBVE3ueVRxd+gwgPyp2mtM7R0CrDfViT vGrbMWEOpdjz8Sb+1NAq/WxNXASfh7yqghgd7pSkffcvWuN9riNpou59CxGdglqWk8M0ZH4nUzLp nDi0guyBxCjGSnCT70wqYQS1O4BOgrGLaDF3fplDCHTtMC05u1HbG8EE6OicIKVFJz4VoOPv5K7m huoNQKxzcrFUzaTJH+3VKUTwJbELjR19YXF+n6e0xHIgLDg2ycySlj8mGMvwjDfDwWLDST2v1yg7 0Mn63woh12BK2NaaowvqjdI38zuNP2ui9JPPC02WufzIW4d+2T3aD5yYVHuKaPMAZ3maCpX/L7hc zkC2x36LGa6F8DYUpDl2skYQTaYLdiqx4UDtJsHpjE0SnbKvsi8SLB9yEVECvcb9+2fffKt+Z5UF iUVtV8drT3K0B445/TZewPycKrOaaNozr73ZYI3l7NuHTF+b1m1mm2GH3K/+LbjtINQWBrIMcaZB ++B+Rw9Rh2R4hJuzzfle1UNHB5hIf0wnijjLnb5vFrFSVM6oxjgLdErWBQd/5Aq7GiN7H/LULSiL IXweyfq0kM+MxFBOQIWousoXoZwkxfZp2o5zYKItL7jy9vhBPRQcMj80nWzSmZSQlAQjUGGW/Cle YGpfVpXA473jQjaUyQMy3yyIv7mU0rgKxHmXHXJDvox7XMy+GCELZb2poG8XgC4sDd38d0EeDrm5 0kQngHrojzCYhnwNnI6suTx5LCMCmMJImcGcUn/MOgddhaFsse8OLq31qsnW7UNkugcLNZtMVN7F j7l2RzezgmJ3264ZeP/g2dGNgxBc55c5WYVj8ycY71rwD+5ISWYfIGbSnforiA154ukgTTJPCQYO 1v/7eKtvOitILAJuF1Mh4gpR8ddh7njA44iMvh1axYQFRUenB8WH74QeCFP6qfF8N4AZZNrks4g2 J1Pke47XvFp1ineIQnV9p/W/uKjAtkaIPz9hIaI8iJQ4ax9UMdX4CBZN6knHiqm2mYNw8GyNqpEl 9SbFqCNBLWHQ4yUpGuPK2C0FAYyrbMPkNO+julT1B3mLxYDlcyvNG0nPgvp8e88OXQcsULJ3T5aU dW+oHpeixdkrUTdWykSo/F+qedlBBM7SuaQ1YN+uahH47nbtelCdnf6e3QcKx12hAzEuJtbp9R5K bfl6H46rKKLUs6lzfdRF2Y2pDrqIW7Qe2S65iOtwnLXmmZ6t2YpNoAbbVOUwfk6Chq6ilLFGh3Oj AtC+fPLkSFCIfOMsJVRJcDMYpCerPA546fyt10HfGGE002fTvupG9kEEHKdBKGiM+VKZ61GaVPLe 9sLVdDVqw8RObAVcWZRfAe/GtAjXKRar7fT+yJCyyVnn0jU4j3YjdJUNMXqDQtiN04Cqg4A20Sc8 5KeA+T6Z4yp+RiQ7aJDE0b7ItTPdcWWg7EKEKhID5jkcNYpqNJ0ZwI1wZIpe7p0ze/9FaUv2WH/C 5jXKZ8aMfzIQqe+LutHScSLJX4vkL/1YAyvP3K35wLP3m+QWp33BvOaqIIExpWDOSWVo7ARk+FHo QZa6DFGtYjTWEfu41m1vyFfUh7LpOmE5lgM6ZCiDoVcBoRpENv2zIlOzV2cUj7XqpVbB6ckvBKj6 02LIenhv1+JR3KXWArEMa8HAy0MoA4ne/tILdOOqJr/mjUWkTtYzvD0AytTIBDUCguleW0BUOBtR mcpMX0YCTUgqK+O8qi7BVSJJMFREIKYTfSFZgwW3s1J5leLln320S0nNP8ozmxjQPtX9Xr8XiIFz 9O3QoY/DwAae1xPCDt5CQ/5/Hplp0FqN4qILdbJm2iCl/4PEGh/EyaHCyx4WqUyyFUKE6ex9wv47 v+1KqJya3z2/IIlNUzwK7en7RHfrnjeY6j7GdLqYmfshEbIceZQyWFWVA5EWZhMkmhbMeeKxoE6s oaTohcQF260/u7rhCjZD2D9kApAOuWbd2/14LL4vk3wSEVHLhMWUaxhySERJbgRXEYRkmxOcE2y4 zmcbxPYstCwFWYR+iv4ECTG7BswcxQo4S9tHIfiOnkTszHcfW46sV6WHBQ1hObqXoYVAh7KS7BGi 78gaDgTRESZo4FbQOY7n9wHVfdmgDzrepf9JiT9aLAOvCY2M1aGSdi2CK6QRxGYDwW5j1GKhEksg AdCYI3IbiYGVPSHEi9+hQSj8eVBiC9RIeU9yFWAZUXc8sm7u9Mo1QIa5O7LlqiDPBMFexpun7/jT snKIGIb3jl2fCuqI0z2OaOvOIkIVj36+uD7jTYdeb6TJHrhcUVELbgG6+mL3cLDqGcuwP4Z/3H2N F/k1drg7DXRd8Ewlv7N3Dg2Zo98E1gpmrhg1yqOtRqJifgCxKPMemXiX29RIXjqLpNxx45ynRFre HX0ZiTBsQIdZOuF5bXv5oDqTOfT8mQ8YCj8F6icILbCnFxUlUlz4U+tNPa7vCwqbcJSpnq1S7SKp RLxfbE//r3qW1cbfDchNwpA/rBC/KWgcnQYK+SBTu1pVOJMg5FE4cKCPjl1XwNJW/fPp2ZYm8ZGJ YDoXqut/pfyWJBqxDIT+8rQQYXqD/lOVjmqeALeiLP9JVg312DbuJPBlqGTxntLVDK4Qhihhf5OJ 9GfSizFplS85tZNd5xLiYX5WNNNv4Rb9+Nf15EAFQV8d1VrT3yRST8f+OdFAQYBr++CoYT3lGW5j 2Wux4NV/bCnqKijc/cckM76EkOWyZ8ny1eiEzcoRr54Brf+H6YkIo4zeftNDeY95QHWHSy8l0lhH 026iAjbBnSE35yzMsMFkZ9fNBTjGFYjYJKwlCmqgqnLa3rQqpHE1jp1JKU2h9qhB+XFX1N7Cex53 3Px7hp2ft6+3fsEgNgfe+tvn5sXWxgAo5KTrYHyB56qFghFLE6LrrpLRfjKHS1b2N3DMscs6A2jy RgqwhWhxE51kdQNvisXt0ivxp8YIwHE59of5JegClPCbIN+1IXzrKCBlFWw/iyxP1HSY21zBY5gz R/ehS059lOarPzGNjmXlofuH29FGtSnLQC93+X4IA4Q9q1fyQ6gFAAZirLgc1cNLXWQJ9UMx+aWZ lyfhU8K7RPGc/YdTgpNZfzLLsNDHt6+Oj0ysg8ir4UNBC8LG0cYPRPrXNi2ahRLBprB7/fxnza6a FEpWpPPOkgb9uUFXLdSAm0uLQuXAoIcg55FvJ6A916heOWosAQgtkF3NkfL+gQhJUUezqxkuXAQf vtq6Xn+WfkbSk433ewsCMtH9RPIfUa0BvC5mmLN5DGg8iiB5yjv5nRhWa3v1cvX/4LLdnf9l7i+Z hWM0nmDRvW8NqvhWkqvsLxQkTanItE0B+/Ew2/29Wp17cdMDkU4/6RCZ+fuhSl5JiVGJ7ZxPthKR d8UnchgpktaJ6FBMUuMiApQuXuVKAVvF/g+PyYRfsm9Vb93HH6yYJCEpdrdX1jwiaySz92tyDPEm m0w81s/L/WqzjNHDf2MVPsdIfETEkrYAnXhUTMTjCj7UdAsyDsmUGWCX12zGZUPz4zVrJedxLsdR DpnFLHnNbtPMXDJc//46s7vFOtWMjbYdpt3DbiQAMY0dY5bJWZyBCc+8rFxXo7edD/ZLUOwXkB/v nNTvLhLMQtMzmrck6H6sgFUd4ACl9WPPpBtARbDGoJebR/swWhFzk/OnImabiAFq16Ovpm4EjFZs HViP9pOCLj9Enjp2i79OzeGnlZXFE9E9J6uNdnBStWISjJ/ViIhqKyE27r2f3nat7OpgJQ7SHSLX YEoVHDbxnht7ufyfndqFUI5NaiWG/z+NxMqfOsOBsBaae948XBG2Dg3cGOkV6EVigZAYvgpbOh8e cW56+G+AbOMrQdy03AFbL3rTds6CT/mynCCb3xbqorD+qWLPqpUmqTMlkWMomzKPYzR82MHH2zCo nu3rNjMSmecnGLC3+sOd0zidzEeyyMPCO6KZXlezsCr3LVn9zXUFc9d4cUVUbFlYL4FqkRtVDWEH UjU5y6rLqA6pXzXjEuERLQkw52n8hAaERNx1bucbIyhCUAanuESfePhRRQtkfrZcd2NwZfvWrrcS 3zd/NX1B6bdurFe7MPFsxV0KkdAoXCC53ox7+l6ms7zLwzuf+MV4tT1tlmV+gOxbEltQXqvLUmyw gGr6msDwmEvOU4HDBdt0KoNKGyPnuqgYl6Ls5N+4+o7fY9xdTv4KWbfoMkJtGBlqqSUpxt+XBpDU 39zbKbF/9K2/myLCzrUDKnWHkBDgDTcnLu8Zl+w9glGTpk/JnUk1AvvPUEdjUTugOsaydt0r6zRn UQNpEXEICFoZK8E6Ph5p3mh+znuprYBtsi5iozgVzNK1Rr18CG2N2d7KsKeZSlJ2sbNR8dc0ozGn 4fDeW/Rc+fA1rNXme/M4/JNLEjZ6M9FCe51sbWWWvJaotriCPnkYF1XaJ82opgZoMnLtukLYa9Df /Z6s+gWikkDGpZBNLpBp6cNeT1V2omAA4vY6oSU2NMa02xGBRvf3CDkcdpBrWs68Nf1ARoFfkSoc 3PWvQf+PY5A9Y+njgDq7SgXequy1kYYndxd/vvZGuWNZBY2p7Ur8kIOwDfmhYHGhkcZJBNMqejex Le4MliGJa6Uo68HAcqrM8ovBTXmcFz7rLJKdXhJXNKAae0dBWVp54LMfq6a3WyhQ3/CdXPh5Vypi +6FSdw6eC9gsK10Pi+oQTgOdU5bbTMDj++7nX7mfuVubB7Vq4Ut+nsWD4AJOwRcNg7Ylt2cDsMOq 3b9MqX5DBJ4cqM+MqUY2vAC/XbyzPPCwfKAYVdxYc2nZzY8LjcxJQXqnU89VFXERgfFPhh7E7g1w /kFBToJ4CTtIeBMRI7tpf1wuiwyu90nuDv/SZQWCJowvPH/be7iIT3a2f0CuBP6iJQnzpeQ2Bgql b/Q2y/WBDHngy7yICkyl80+1e5S/J1JyvzGRggl/PJKzJO1GvrfIsfxLGFCop5VhIFv00O7AIfro /6UK633eyCaaWy4FTEFxU5XxuRPGB0l9fVK/1thmww55kPoxSglvpwPzARWLPt5AOBr4SB4IK918 AvNVOgN6I/xC/GOezQkqbPqHdp+GjaB29H1s+HZIQIlfW34r2MVVSe/9iul2e/zkPoqEkqV29KRK vZhYNJvsK82H9YzIXk+PGm3D7oRE2agqlPiFTzoO6DGgz+lVJma3zf3Q1AIHDDq98PsnVfCWDl8C kHjlFqz6n2h1BYbseldIoQ+xRVrunAof4NUxDxNng9N8BO4GYw7VKs3vpCjhOAtP5epbrt3tsbpE jQ9ovIRGkq0nlVTPWO0iKrgQ+xVvxPEYcv5uI+6+d6/5y9CzGAmnEQDYr21c8T9ubjAvvGpephOs hdHZnTuWjTRSJSS1wL/0f+zgZBnQjEfBbU05y64QGGTXt26FtUkjqsyIJN8dJMRBjoBwhQOcllgX plTAk5hv3L7jy08c2gisGjeGyp3imK4yOs7HjazaNii52nF2nrvXKvmg7aXYzpoEuUcwv586+QuC iFU8HO47LZ11chZiCYv19Ssn8nlUPYulVQBpaGk/c16Pg+X4IhbS1iP4cakZgoU7Pvn3I46LJKzb KtG+L+L00OGXuns35719Pd9feLDj0wfM7NUlLj8D36ESqeRbRXTht0Hyl4tIYsB4Qy5Z351uec3G xJLQede4Gevya083HcsBMVzuXMdnLThflJlVFFmR0aeEvdcE9THHjHW99IOZA0prcQyWA+ZupsrG 1uxchLGzWr+kXuqIZb6xvtM5hV3R3a1zIbvmmbODwL4pSrleHg2nLuF9KmzU/XFAATTecDqmErCR NaDxIGDf1xc8c/W69kmRyLqC/FwnuZ52oH7SP12sj3Z/fJduazahQN79rxWYPEyHKI2c4jX0kcdq lOqMhPMaeGY9fbkwRZ+C7LoGhHKSHJwiQud825RD0yimZNcZ9v5wa+VY30EmUhAPnOvah7mpQE9v ZoG51dJb3+z4RhYNnI/mGSPjNi3mSIRec1987zAxqGDdVVqvvtWTXRoiH6I5/ZW906yxYcjIaIsC 5EK7KuLx+qDZpJYg09dHEWcU5xegTrZN7oeXusngWV3wpsgR/mSOGKnpl+L0ooJRyyrlHmvlSUtm iyWV0yowyllF00M8iq277KOME2dKd3AdKePh4tAZVKNFCEi4LmSdDNitSWy/g5Ghelv8E0k3zOyx +eNh7K7QyuEYTW0T3/yDocHdQLWwpCM4hj/g8DqBgOO1h4+pLEvnAPvn1fXqKmvKhnwFJQqmVVo3 5ez/ZzDESQ6/xbJDWhd0AmmJoYa+ZylDSXtYTJUmGN19L+LNfSlONsmGsQIoWy7135LSsWDVYf/e vpoEjzRT7HIDhYToiXH01Di4JnyClPGbDdMNrNzcGJyz7/U8bT+movPuDoPhVXWMNXdIvJCLv/I6 Yir1+e/np/ewyJq6Xjz/sBUsyd9VSmV7aUDxZc6y8VJaN48zFPrZILZUHC/mjFXuoZVzrZQ32HvW v3wKhPaoV8geFzS9y253zQV73mhp9uLgeqURS8kGBpNKFVDYTA+EZwuDKBU2rGkR9kxjfWJMGJdZ xgDRYQYBkqxGN8hG5oJ/MQbuHpqblAWed4+zfl8fB0f6M109FAoevYpyhLF4ZClcizBIcERbzFyR 2MwhAOihr0E5vI7IC2LlfaVN3yR71eDVWiCq1yV8r6GNd7BPStUgMcz0pzpjIrM5BX2rkscr8qZg BoPlFbvsBzySG8AaXBQCt1lZh7AXo/AVUGlHz6S73a4409sIgAEVQa03cKf8QCxm+apfgmskL29q +hrijohH07G4gox8Qld6LkiWj1fbEiFpt6hrHPUDOhiWrk+aoYzC0WmmMPyZlztrRd48G2U5+LU6 5ZWy6mzxraHLPifogL/X8yoCj+kfEcySLpWW+p9YMxuLLG9GBujJZHCZJIvaxo6HgoflPmYmkES0 pwYSSoUu5fLxicbBOnDYSC6kjRzQLww6QjgMeUKn07OSMVmCs5t3m1kGGUoSFisoxvoA5XghzV3R Lxz75MtAHREEXCPWzz1BcUqeAq4p/gVM+xk+O31+IJw0Bk2JJzH0NHeyRVL2UEGMIo1l27J7brpB 0UW0BVVqoGZZCfWqL9pLC39osJt2qEOJ5ifgpIbXf8qzTiPiLHsZ6ndSvf+uO0iamrUomtXvtBzy +OUepbsdvGOe2oheeYn9C0u8dvtJQBxsOKFOfIReR6aMPIOq/gbLa2v45yDLKLNoWbCVxMc6ebIh DZ+Fj8a91FBBPnSGeJfDFFLnAYK2B1Vwza+vfhYMHfJiSytZtTk+ixQwY/VHSB1A5UWCE9/hQEXF aC95waSZM0Hj2D6PcQwdFPkMNXM9sVdgJZV3q1VbVh3iZQaiW/+xDkkOSIwkkyqMOPG357MRWHmU jdvEHXnGgncB798Q4V3xFfyqdQFheHw8jwk4GZxFtzYeRRwdgYhcenS4LgSdB1fuovyWHSni7xEv nklfXYObVrXpTxyzfmnFqQ8F0YDifjvmKzENjKrLIt3lylxhdgzemnY+cvyqOYkUGqTFz31xqm36 izMlFqPYY/BUx/baH/j+wVese5kOPZ4xmaIy8iOyF2fZkUafRWtP8gPT831L1DbbJwoz6vkT+Ijl y4dKwqOo6QQeKi/lIMJMeZQ1O8rK9+9gNFg7A84OrlrwyzM+vD5+GarX1bFOVYQLm5PGR2cbK9vR EfmJrsyU5EihJRf8CowgnV2L0ql5baX7wEGnmrA3g7UqLpt5qOf3mu3TxnyNzh7SCU3cBTTgTerN byJM96wcjdR6JOqkLZt1kZ3V/iOmwkkEsRGJW8stScKWOq37w51VZWKFN/T6cjP6LCfIZS/M54LM 0OFAzuSKHIBpAK9FiijrYD+aja01p8ciKVquopjEf/pdf7491SGRCkgxr5yjMRujweFjP3HrsTrb 4nNZ5MrFLY4X2yTDkMQGJjexGP/FFZTwTdy/v2Qwt69/Y3lWCFsk+r5L0RjiploH5bHX8Vo0P9vR d1ADo400QI3nLqhc5jonJ4jUxVC0sHVVnZH/rMC1i0kJJCUQ8Fw7CBWLqLTO7i5lepSVBriJ0Qbo 0djckulCxvVouKAdtV9Q+tlCVDLrgd0kJBzO0IY7oiaCOrqc7MjumwFw2pRTKCgn5+wmFeHykk/F qgKTfheeMKcT24my8XnxOX852ff6IGs5fgDH1hX0Pxgyi5+KMDL2taiTuxaaNUSopYBkvQYEePaO Mn0KKYQLN/zaVg6HgoMVvLcEvu4m1pkk81GnxVcBHu4AAR768+iAy4+G7Af3P06DaPl0SV4Qi/Ca zAgWEwnwh/PicACZOeNCgEim42sw/RA3varyX3THl2jjHobfqt5A5WUSuRRpepVnCWRi0Ofpd65R i26KIOBf9uK4cYwxSfRI36FcfuajideRvKhb88gWb0NA4AtFqIedFyT07upcudx9aZMrura66VU2 h2tYJdNZVC5+WYzU5OxD3pPiCdJV+uUsA6eUsQSL5wCHRE6KLaN6b4v2DmaMC+vA9KcB7ZzguiSu d0GHieNfmc68V3GwHvasWRGVWy+4tkKlWaYQuzwHpUJ6hHw8aEgrB+HUoC0x9lZOIFhGcQLEq8rg sqDEZLUh+Y0Dy4W3Iiq5lrAZW3drNZ/B4ipnXBu2IECTq8AS2Y7lQStHgLfADJpEByFPujPmQAlT VlPNYPw2fR2gZXTVWPoaWiCR3X3jSfVvFW3hReqBmQPYcOyXrapLcrf5GDcenkE2XTpUsePqKGxJ sOZSyRX4M8VF4d8hHyp2z9LlR+WMOV8gCYcqPLbxId7b0NLxE2T7TzsN6eytS8+Cw/GZfSTh7QrM T+FhQuP/DUqWaCJU3jbEXpIGm4OsveTe5ZJkii4MLWdEZE1o6dmGqtl4QB2VowuXHg2T3Uy2ifZu enak1lx7COBsrt39gR9k8hConkBG+zgORXyXsbUvmcEuRiB8p83VkUnzmXP4Jhx4zfF2i/sbE9ay 1GUVtHXMX3EZYjAh545BTSnhPfee8oajHS4hCpuBs0YfrYuGNaPZlzfBfP5OKM1hSOouiXwpxIot Hnc6SwGzljW8N50kBFTULNn1Ewu5754x3liMaJ9BMYDH+2Bs6UoV+n6WUmWC9hPDZft0szmf/QcP jIhQI6wkyMZTLAR7LA57oWYmN0G/qaRKvLttzVGkGO3Us1uZsa4Zxeq+6oZVIi74/TJUPxL8qXJS Mk8hyGd8Fs2z/TgcGU+Jmln0i7EW2qXGHs70N2nObojzh8rdZSdhekrtVBeT739Sas5tUY4rcqro Frh7DQi+Gy6kJG0QyxDilOnK71fgMc2sozCpwPFH11qPA7XsHTBegYvydJe4V/WelaUsYL7Fj9z9 a/Xtjx6LT73I4VmGSXQdQ1i1eeQ+0ANu18HxMBq9y3oPUio4RqYXjJb9rqhx5TYkxLyoocGYJtqA /SBp6YJ19vDVyqbAvDZa47j05BkBYtlTZPIQ/ZRf6NOe2vjbV/6c7WuekPbAnBIh9oVWMx02+xCw 2VVG1tdBVqtG4gTqH4r0s829uw6vQML4d6LdPwtJEboC/CI43tVI9o1o4DTMfSFPDloiqJiE/CH1 dKNxWin4sBuRbp7RNDTnUGnLqBroVRjQRRLY794c7qu7alt3+1W1R1DUiZUdarFCyQ1t4tbNu6xc ZMoGvfrMIG7DU3PbUg8FR2BPp/v38figD/LfX4m7sFVS+KsVsxiObpy1ivVuh0l13oKvMVuVy+ip O4+xVIt7yLNi7+QLjObAFVKqXarHU838qdXlGZxvZTNKYYi/0XfRCxWbq6pXsPWqD5Puvbkm2jg/ bmyn5cSjNNKugUlnwADYXxFlQsA/fvn0KZGWK/nGoSmjBSMKvP2OsklX8S+Cd4IidWc/NlJoBho1 TW9j1R6qqpe9VwEebq3EQQKQ9zbUOTp7fH1C9u9QSkXf5lOAc326bnJ4oh94msMZta3vWIVYpxuW ly3fO4B6F3b06uTHTwiYqaX/SnRvi/hxNpBd9iivFEo//XX9N7C9iTm8vW1ZBwkuWezSlwUTY4w/ Esiy+wGP2BADEDtDggsl785TlRMhCtP4SgMQZB9X7eERi1aQYf6eHnT+0u9gjRYb3OqAUHzlNE3Q XSFosSF6VRw//EVv0ClZIgQKnJ1YrGsgh/z6fs8vKQb71rzRln4rUPgNHgC9Vn+Q0agvS+f5V0Gp /c0YD7tosCYdd53QHfWuQj6AG/6CynDlWS2U1zQU822czMyj70uX52bEVZMRW0XEcAyjnH/JbBhg /jbRZOACkcZYBkhSvZXzyrHfINiNon2X3KTaFBn0o2TVjwwZ9DCAntdyw1/bosEKH18NJioMNhJd 6AC1ll3fyOtwok2oyE7yy98J1bczJT4nNPN6k9gcWXErkB8053AW1S8jyKhwxM08qaieDV40e8Ia 8yw4Lg9xD4ZhoREp8rqRga6iIILjAR85g63oGmht3oWVgo3LhYpCl1vWEvMPynnlPRFygAhgTU73 vl6YMttdq8dj96/3eoixgz2fVzWKSmJEncd9a53KDiKduFcq4fRpeJmpC0T1+uZNc8ztEJglmP8m E2jubltVVMQgecJtBd6LbCLzKndUe/v8LcytzIQ5GCS/0CcX9RxOvxmf702DYQC+ZVm5z52gT3C5 zz66dIjGR+kzUBIpLfHAcXxENI4afGyNUjwE/Ks3dKU6tvuH0gb5ob3OKZ7Nh2BOnOT1FWmDwyDT CDBuPUOMc1Ee0gTrkObyN1y60h2CTsKs1gPfQlPUf1c2eacgYB2f3ZHv6wy4kqz/dgVnxUN7E6Jg YQn2Ng+e0CqvADButl7BPGlkmHH5IpiPtYWwXjmRJLHRADQSMorLBtnRfko+mMK79ueZY0rRcSs5 ups3/4EG8/U4/06yDSFP712yGFppHSxlO+OZt56Yo2D2Xa2B48n1bJogOAnhJGfMVoVhZw3zGAia CW6z0KiTPnRHo99zJitx/tBqC66eOWgaKsZY6TYPh1xUptQ0ErrQ68bgA55b3moitJlElIpKMDAa vOnwRQLy5kKGAcNtj34PvSW3zIzbEfuFx4ZRhddvv2AjJcrCDVWfsfWt4PvblXt3zoNxJxeOhx3U 6lsquhp2HxSnYfp5VLOHb/xcHheRbcMEx3SjSIMvC3jgtvoHTU6R95boTvdUHVxpQAW23nfPpdSR PxYXvQlJCX37RHfn+kHfRmw3CdzdvKffpM23vkwIBxURs5osG5TPFq9nsf174fC3d0rftAz1p2zM vp8cZLqL2rr83O46lQQ0pbntmdef1eDNOI5x6AVYG+dQun1/aY16QwUKU+BenHWEI/FHYtwoqTtu 7hfbc38rnPXlqZdU6ckl7oBCuUlIaMobfV/7nQ2VVa9FgnG6mOjmh1Lp1jPH87AlGRgyPaussWva PY6D6nUJhuUObjoNT/fflH4GC43F+dvWqU3chIKHEgUcdwBH6dns8NVHti7fk1NJvond/7MNDijO iKI6A9lt8fMD24mF+wSTLTM1dMrepcv5JGuAfPkS2jkGK8e+lfu6J50MYCYRpVmt6cMGL45A/lfu nvEf6Q3qpcgBYRLxpNpMolWB5YaMsQ2ePmjFSbKGeg50FVicQR4d+n30Z4KkUElcLzecFXT5NAoc pYsedkt0WsFiHPVSSEM7drz8+tNYHugNf9cnjb5QKUv/Ks2wrBeQj0d1O1VndV0Zp4w133kLHPrj k3g6YaO3ztoYN3nZ9yVadKJe6nm0KcifCno2r6wnFvnxXImVlOO8r2D5+PlQOH7971yOjSwSsoXj JsFTjgmoEyGTWN1m2tGNamRmLemDHFRJ71HenQUHnkstrKXZ2QADsySRC7TVq4muS+WA4GkYicCZ dJJ2tfAD41ijw80Qv7MEGHSjFCDIAqwmgjYwoNoeWu2u3nsE3V6RIClSjuVVDiqPuD4KfhuDFRcJ Kvx8lUD70FBf1wvkA2BlPRhELV1WSXn4wswDVfa6V/am/AViSegEhwyPaUmxJDkYprDgXzEcz7eS JDpuDRMaWrV7Zd0u3qKcNBAxwtXilDuH72oOfFDWS7vXHLb1cSSqAulHhHR0tn0CQrt2r1FveuGD Ue8V4pEelfZzOFfmgxgw0malO+bGUg3a3Ol9XWECR+LBY5YGBMPk+dsQz41iCuBrcTvaZMmIhwil jlWG5a6KCsybKl4KNVF7yMcRSKjWjIpC9c0bD9llDoaZIql3uBUJIRF42djgz3rmAlNWwdrW5RoV RoXXZ/CZ0Fxo1XGrGTsT7V1TW0oqYxiHAl1NR7G+NCQz6btIuYF1DMsbpSh8BdfhIWjDgC1QrENT WK9mGcb/EcyOvtr1ObKCA2spiO9WUjVYOYn54mttocOocxBNPe+CKwHCKKwL2Sy08RU11je5wt37 LkMI+y06/yl2v10O2pyC7vb92vUsijFP2oNtosWgoxw0TzPuB8d0YiM4iKPPzk+/8sdShOK92KRn uukA63XR1ywP2CRC2E2kZqYrjh+nLtAdY6FzCdYzRo9xr+q1pry+yDFFKj80X4CGBbPeBbxKR0o/ Sk1GT0LRxRRKaiyrXokhjwA9kBwZkqRVS3lqxOafHNeBSb/RH8zEsoc+1fnIie4NevCQ2CcNIC/c QyynHR9w0tzMyo4WOu/qh6bSYJt5Hf5x9vBS0u5HigJICpv/3h/GCBNiMwKBH73felUht0GfZJvZ 3DPzK7ThV5nlu2ZYpDyBgnGT2D+vHywcHlpySv8dqNfya4N/TzQCuebvICwUU9ij0owBbOzusIwe vQ7t1JWZS09XUhEAotp0CbiqDldSgbAnsDz+FaX1egpkoDh7Y+f6+P7W/jQ2A25wGPFYsBMo5iMW Qw9g/skBhH3SKnyH36UE6yTgS1d6TLpNEle3eldFw7SuOAQFt6Y18gKgc+TxKbXMn4Dg+hSggg/j LTivKSEwBSzaxJQ6YetpRaakd7uAt69caIe4gUIiis9iqU/8i/98CIQIeRXqIz5xjYq72q/kor+W n8Mty6rgLz68iEwQoV2FFtHO1+cEn7p3OvERDHeY9kOcsY6GqH3XVUj/uzRp+B/i/G/9jSzyudgo I+TAkDi6PLTQH5Z0ZjRJnEho0PHoab+UWUrbB7hAsczi+UmZk9KJd07tzYnXV1OInLstW+F1GOiW OUoelVzlKwTEE1VUQaB/nW9kiQCqi6/UyHWRmKF9HcOr98vw2dkR04gGHvkAQIGiIjdiprvy+qGR N/TpCXVv65YQpqujoOobliblfwPxZvTwFf9ub6sRSy4vj3TpaQ17k2THpq3NAirEOVPYnyDjkMQV cEE/AqdbvTiY7BhXvEuv9yqw0b73OpurGyUTXpS237wzLokVqy5IvbpjqAMpT4mq/Gd7FCm5X0Pe ZWiaxA97BSpWxHxkW/Egox6lyxGdHDrpOV6AY4+ZKOTX2xxiLK6tUXg7hquUdsoeXDJ9r1U2RJSh CtpRl4M7A1nO3bdfRSXPfhLyUUlJQcUolOcP0BSSv2OSRry1jnhaJ96WpJAYU1iyuddLDrZ6mTDM JhFC8FL+1XUtmBW0uiWFYG34lPT1uSnigYsAvrYntLj26jQoAjy6TDVJYA7RNw0MVMUM72dHK8Vq HzlV2XKqbwS+OqwMXNYuFM57DPwf+TFu7e3/USdXhwqD4gAWh3+ugkOLskBm1yYAFtL/1zeZBLnp wE+BQHvR3QQKoCyd1cv5Uyr3BPu+Ac6tZkd3AiuYDakuBPEKovQJvjLGBpiMaKSPyHGEbMopgvhU fbX/pNp1E0/OhbxDnx9jJiLwe5fRmR3fYW1EbY9bnPrWQPoeMd306Sk/ASE9S4eqI2JUgQ1hDVhj SL5WJOdoQVVMdoOB/rDDn7pZEgzFbxwgTgMIzFlbrDY4UW66ldQzFO3pl1w72EkxTV3xlaFIDYit 0Q1OWtukjfaAd46eTKBdUa2TjiB/rX6g0kiVg+43P6zssF+hTKw2NbSKQV7jihjF2+MFAW8BLX/B sMCxr4urzuDOGdHL/0yASSqF4XwAj9x3phIPPwUzhGxtQzzgVawxgaiUre4AyeyfvUCuxmhPdyRG Krnk0fKI9B4g5HtLDVJONIoWs58+MMPLwlxCNgwqTO+Npbg4fyh7NWJ7AJ6QX7XE8ywxIJZIJjCi maXJR8sW4kbtNiLvF8yOW+IUHetu2JZKTuai2wTSrQ/iEyFaJE5K8MktceE+Lt9o5QrkCgUJrZ13 foV0FfSP61c4trKFZqWh7IPO+CqLiZxObg2nDP8EHH5uUA+LvbooxPWFYlzkb1Zp7JdFcn6yhun3 8qn8JQrQ3/4zKdz3U66VDtLK8lq4it4aYLEKyz8QYOW0aMniHY6uM+QBYh7gwoD6D5I93hpCxTAI FlDhn1mvZZZzZWlVIumPH5nKQtKPle2KO9+4pyZZo/zriy8bhEYXyPEGlt8sjJoq+/bfuiT+YK9o PFZz077Ue2BfKNjdAIBAwsEHwroJJX7TIQDKhf4HyLH5xcXrxu60XYuUsHxg1xGED5g0SvFGAMuy tAOKXcWrbpeIgiSNRMTvNjd6XbdK9fcCM3ERr9nzGQ7OQlrjG0AigqNyvclfUrkYmAvPa1wbvlNF v6nXpm4sevZ8pMhKh3SP7U+sm9LJkwA9JyHithj2HfdruddUQxGlsiI7HCmvPZxTX72WaNzgLs4N 76jbZp7WtnyAodI/lkHoCK2dQrZDHi2bauzG5vXVLybJsYpmMomN3JYO44SMcaCaLOIPRwAskDOg GhTe0yz7+q68kcvl0dmRGDpkYmz5gTO+lgN+TCy09q46jPgiht0DyhLHiGIhWpDJWc1Uz9BMX6hY x8hWvhyZnGolffS9RhsprtMLrrEegODrd6FIAFIXn9CB2x2GzqpzTDB2/ysH2i8PatpKODBioBtb 0DjPkwUAeM9nkupFvw1qWnWRuC7OMxfWIA2XNUrkXsrfHqT7gXkxIPN7Q/3vhkJPNYzcTaD7A5JZ aGt7YAUgtMu1t4RkwOYczn7D/uoof+UphSJDJiDi+0rGjHt/OT+cWZCJtERCEJsFSn2gv1aN+iQj ihGBd1mhDyD8EPCmJYIGDerLd7g8oGXetBT88Krs7LjAQ+f2mntDdqUxuTuMRr7HCESbaZSXPdH3 +7JrZilxHZzGhOPXyPvs1eI2iqGr2V2YOhzJoUEhnVIqIN59WVOhJa1kqDmq5DcBoxsAr8/QQzgd 4xHEGPtt8JnI87lgazq4XAkaxnRGj1WdO/eNNgaccad+wyt2kI9PrsKY7CvBJrdWKG2TGwCPOCOl 0G+6f8Y9bzsTmH9+vMpvL258ihkqG9EZOOPXHjnbRW/5t9iC9iWUBiLPjOT14xTSbar6LHtXGVcf FcHM6gTtd0kIU0phOKp2C4vc0pJ/1zQK5L5IY3SjhJcUxDV8Ahlz/EJHoEHtCa7cDbRllJV1lZ2G gSVImfbyxrd4IGXWA79chH52e19eqHitfSEy8qTlUSEFWqsXwMYQPaOc3yjT8tv07gaGhoG+TpV8 BqWZocN3NZdpRX1AHquOoNpDwb87ss7LohRZ7mlnCwZ577IKMEAJPE09AYSJ8AT4+CtJhIb5IFXC ilFbMAkX593Poipx1iXZJPSNASBBH7ohZWfDJV09i/ztBS9vZ4GZCrzjEkPzNTbtjt5w2N1QYU3e Q4SQyKFS2oK647bTnsI8Je9lK9JOqdCJ7wXASoFqanQ+UzA/Afm0x0FrgvOHdnbrnoVANHttjk1V QyKHwEFGmGBqfmYG+3iZR12t5erxMlgjewkFSUYRRQGwReFuQPGdHKxX8MAXAINL2FYI/VaJ6Kjk agYF/DEBRV7DIftu/SwkjM7qG8cSWOXtE8j0rhh7IuY6KISy96ag89T9zHeHby3OAPEwonJVJ73k fsccXLZAm75QpIBinKgvprxMkN2D2qPo8yvyV1VenkmIO/9wLcKsvkrvZAN5PfpyyXE9cLVHFx2E hWC3qoddn05KkrAC1AwfccnC+AeEoGWGRcIPbeXOyU0fYBLj/u6UuLffoIEWEO17tyNOrfLNEGPa b9WB5h0bnVrCi8JFveNAzWzIu3WP/zg59TCYSdQ77IlPHovWML3ZpBiqToyI3NIY0b4C81aAp/Pd Tvp2Sw9RhUwUH+DXQT4f7Kpm28EZQPd7j9mI0NCB1TPYEmso0KEdPYU+k0mUTB8nxEooPjiUDUgK aHd2bFIOZnuJDtgGcwfEd0PN44geGrffeqhc0gSZtjYEY+8bDnGNXH/T5EYI4I0R7WoxP/rjRVTH +mBLRqD89OEWXAAGI4eJsn559TcDoybCzsRVccJjudPHOJi3sXiphinDsrbH4Qpvy68Z+ROZnrdr mkmgcp7qbzoy67Mfmp4e2089cjx98bKGs0+zVktAL+8N54g7VejQqyoxt+NRmGm9wLV59fmgzv1V YFQAYbzJBVP/jxifEOAtXYzrRPlZ/A6uZv8Pz7mBL9UiFFE+c3nj5bU/6+Ii0GJ9BFhsmZ4IRrZM nHV2mWstW0TGMHWBmpFXgGDGszkSRSgD+IL1Ajp7tnTT0Pj9wB0FVGYjNlpYAxeHhlKywScbSTZ3 q+s912dvTPNVyKqGEOgOIESNP64bszROpxqVVo6gtrWrVxt1YOo5ILT+Uwk0tAC8Jy/YQN+uV8aq rHCIC0GrR9hYAbL4Ry6N4Pt/BDKnWsz9JQvFVx10FuooyWdhTrNPVxQcoHbsU2RwRd7A/ypavXs1 /WfsxcmH+v4txgy87QbY37hKfcalVvnI0lZh/9anKRoRKg5NZrbl+pRhRoMqRQxBr2sY2+mTVbhW NKF+HQhXA8+s8EpbgI8gNJkV0kF726Hxiz063pYsL4B2NwBv3oQ+1+FxKpjGXuXqsJed1HO/YA+n GoWICS/E5b1lmV+GxmG8PS1hvf4yuHcE1pJ7T24PsyEHSjMARVTqrOTQbgZ+WMJkjEGPv/sPTJzr rXLrxw3+og4fhezBzg3+zcaUaSlPFNpfuYDh4WMKFxtJgo9zXYaftEL3YhFiEoOtqtLVXhsBhBUg HFCJrwnXGOLC8Ud1oCMmIPUYuWU2MBT/Ms+RLF0yWy0A7HAVseCKiAlcX/zlVw2mJa3u2jV9d64v NxaqAb/Nwn9jiwI+QpblzkUAxK/YEhoTKGQ7bbD6U4+P/08N7X76ltBjeaTAHVGorAtnVytE35QH 3YkPMBHbjO5J+bM8/wmO+A+qGmCuNX4vYLb4g0wazDiZIePv3LU3FbTfXO8b7RRgZuiusHv6EOc0 aytCegTYWqB7YbtWN3O7rWlOmVddLQNqfTUG/Aidn8o2X53TbweaHd1I41ru/PiVDwse4D4352zj tBejhC/cohnQLxa3Hptn4NFsrQktmcFpZM1+4D6yeFk/YntoBIu3uU9lmEIAajHUjDdihKifYUgX dWc21OR1djxil97LCfyRt/QausP3S1E/McGMSuT5qzuB7UwUeE6PXlJGEG7uaso0dERcMNPQRAFw DY6+vC4faUtirArl/XPWI07iuoE2T3UDYQRF/AevZSZ53PzYNYE+S4bKEMJu9A1PfUnEEIlr9Y4/ 3nrs51MGj7B+cf91AVt2Z2dOmvThEMXsCwpr/1mWiivnha3yvUfZiCDeD7JWn8WTrUWVJGbVeFj9 r+scQTu3hNbpbRdWiGvSmJ5oJxKWhtNsMQev2hTDKIqJCjoybLH7MvG8jH80mBgrNypZZ4Qpy0jf HEl2+/+u8bTPvamYH1SIMd3m2RHxq1MjgggxH12pPDXj3q8Eq9T5C39ykycft1p4WVmnw5bk8q9l 9+tHD5xu1CZxhAu3BqpqqsXmoDlrJ5b0wdiDmlTUtr5CUfximlgwWWr0IALjU5wHnFF6GwQTkZPs cBkqqpowoSjp2is7NUUteTJBaEtd9SHiqqZsfpg6kCElsSFgvW3eeBZYlmEUhQFatCAYbH76Pn1u jwviujyfKKmh8H11cXUabNecbfPRfcv3V520P2TVczpkQNGFCG8QVRLoIEwzNIaJYam8Qymn7BYA PTmpnQoTvFUAnO4nbnmaw2IjQwmg5fhmxVAe9s4nfcOYbNjbLnW+dT1cSFZDHuNkJ2gOIdLDOZ+x HBY3rfzZjqhNOcZzJ+8KBuwTAT4xDuhvYH3MuyRCQiM07moqCPcSAwP4UTfZjggH5/2PhZYllTC1 YRSApwVqBoBfnuPQdMUWaq0PBWs8wrFqDmqTiCO9k2S0vMx+1+H3KyTpjQi7E0xhyUzb9/a/+5m1 e1bSKtbI4GnbMZ+9JK6wHpaTKy6Haieu6INwrrPaG9AtykJSoSwrBtHjHw1SrabMvq/TMbZAn6GA JUgfU37GCZ5Y7q/IL6fJvlTNQLTmjGMgrnndKoR5CZ3lybdSZsTqNlgTVoqIcX2NsNTxYWXksaOF LOtkDQnUHB/9rOtXmXeiHPOzTDwsjGcgTGTLaqVjHXEw4TEw5GaAEXy8CVDNom5HAa4vqkM8x9za e5/PwEsZeJGELXKWIKhzQtqyaodGZJccOS+r/j1dheVIIVnFbaIUlMLv+5sssZVTZAykRAk/03AC KzUg10vvyS/XIZZNG6Tw5G7PoiBldGfO5oF3Ltn8UU6rFQInsFEm6V7c4OaXeAWLsY4whftnPWRr bnA5+wcDSMeIikLorLcO2orZNV3zwywD9XfK9SGPibilpJKRzdeiUeQIbWsLZoyvJ1BUIIn5z/tS hb4yodYRouzb8Yi3WE3RwPZn37FuYi6cxGCTW0YQ4DEaGKu3UKjgmasI00N6woLDCOjttfDNBB6h qN+3kiii9ukoGRTwgtE16B/sIydR/2THNEh4FjFTsuTNmXOK0olZVgtXRaj3RpYAl1AqjxMKxSWY TkT8oJ50PTE7iL25aE9bs2DlIX2bFHCx7DC5OHFL4jWskrhyamyRXbIqRZwRV4vbE4FnQKj10179 dHn9kAtIrLReHPuMIuNVstuBW4nc3JvR4ZUzhbEpiorpppyK3cdbsSsxdanhMiGFsL+Q8SUQNibQ cv0yFaZ5n+AroIGDxzF4nApOiNK1+mDAInZr5p8yfDGWTK98md6a8mlLygfrq4Jy70MxRZZLhhJu TaDRDP1qhZihyFuQNQouqrCbC6Cs6SmEczeUA3xnx1+ZR8ED3931o++ycJuPo/S/5wsvfmM92uPW 2KNQoZdgC4JH4VjHH2yu6VQ857THdsIrqOKR9sXRfyRT/Tn2Hyw7LC5exJMjKsioQSZH3bNmsMoS aNanlohNqfW3ghSf2FtboaHP9b1uZ04nalsbladBv9aWfm+3a0IF8OYI17/mZE+NI3wMi0xKchSd Mhu1XJLTS2Et5J73ohiq8m9THoYA4TXK9i63FyCCDg== `protect end_protected
apache-2.0
52cdcd25a5ed8814f7f804c52fccef5c
0.949819
1.825702
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/srl_fifo3.vhd
15
67,496
------------------------------------------------------------------------------- -- $Id: srl_fifo3.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo3 - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo3.vhd -- -- Description: same as srl_fifo except the Addr port has the correct bit -- ordering, there is a true FIFO_Empty port, and the C_DEPTH -- generic actually controlls how many elements the fifo will -- hold (up to 16). includes an assertion statement to check -- that C_DEPTH is less than or equal to 16. changed -- C_DATA_BITS to C_DWIDTH and changed it from natural to -- positive (the width should be 1 or greater, zero width -- didn't make sense to me!). Changed C_DEPTH from natural -- to positive (zero elements doesn't make sense). -- The Addr port in srl_fifo has the bits reversed which -- made it more difficult to use. C_DEPTH was not used in -- srl_fifo. Data_Exists is delayed by one clock so it is -- not usefull for generating an empty flag. FIFO_Empty is -- generated directly from the address, the same way that -- FIFO_Full is generated. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo3.vhd -- ------------------------------------------------------------------------------- -- Author: jam -- -- History: -- JAM 2002-02-02 First Version - modified from original srl_fifo -- -- DCW 2002-03-12 Structural implementation of synchronous reset for -- Data_Exists DFF (using FDR) -- -- JAM 2002-04-12 Added C_XON generic for mixed vhdl/verilog sims -- -- als 2002-04-18 Added default for XON generic in SRL16E, FDRE, and FDR -- component declarations -- -- JAM 2002-05-01 Changed FIFO_Empty output from buffer_Empty, which had -- a clock delay, to the not of data_Exists_I, which -- doesn't have any delay -- -- DCW 2004-10-15 Changed unisim.all to unisim.vcomponents. -- Added C_FAMILY generic. -- Added C_AWIDTH generic. -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library proc_common_v4_0; use proc_common_v4_0.all; use proc_common_v4_0.family.all; library unisim; use unisim.vcomponents.all; entity srl_fifo3 is generic ( C_FAMILY : string := "virtex4"; -- latest and greatest C_DWIDTH : positive := 8; -- changed to positive C_AWIDTH : positive := 4; -- changed to positive C_DEPTH : positive := 16 -- changed to positive ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Full : out std_logic; FIFO_Empty : out std_logic; Data_Exists : out std_logic; Addr : out std_logic_vector(0 to C_AWIDTH-1) ); end entity srl_fifo3; architecture imp of srl_fifo3 is ------------------------------------------------------------------------------ -- Architecture BEGIN ------------------------------------------------------------------------------ begin ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- GENERATE FOR C_DEPTH LESS THAN 17 ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ C_DEPTH_LT_17 : if (C_DEPTH < 17) generate -------------------------------------------------------------------------- -- Constant Declarations -------------------------------------------------------------------------- -- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated -- based on the selected depth rather than fixed at 16 constant DEPTH : std_logic_vector(0 to 3) := conv_std_logic_vector(C_DEPTH-1,4); -------------------------------------------------------------------------- -- Signal Declarations -------------------------------------------------------------------------- signal addr_i : std_logic_vector(0 to 3); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 3); signal sum_A : std_logic_vector(0 to 3); signal addr_cy : std_logic_vector(0 to 4); -------------------------------------------------------------------------- -- Component Declarations -------------------------------------------------------------------------- component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic ); end component SRL16E; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic ); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic ); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic ); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic ); end component FDR; -------------------------------------------------------------------------- -- Begin for Generate -------------------------------------------------------------------------- begin -------------------------------------------------------------------------- -- Depth check and assertion -------------------------------------------------------------------------- -- C_DEPTH is positive so that ensures the fifo is at least 1 element deep -- make sure it is not greater than 16 locations deep -- pragma translate_off assert C_DEPTH <= 16 report "SRL Fifo's must be 16 or less elements deep" severity FAILURE; -- pragma translate_on -------------------------------------------------------------------------- -- Concurrent Signal Assignments -------------------------------------------------------------------------- -- since srl16 address is 3 downto 0 need to compare individual bits -- didn't muck with addr_i since the basic addressing works - Addr output -- is generated correctly below buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and addr_i(1) = DEPTH(2) and addr_i(2) = DEPTH(1) and addr_i(3) = DEPTH(0) ) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "0000") else '0'; FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay -- was buffer_Empty, which had a clock dly next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; -------------------------------------------------------------------------- -- Data Exists DFF Instance -------------------------------------------------------------------------- DATA_EXISTS_DFF : FDR port map ( Q => data_Exists_I, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset -- [in std_logic] ); -------------------------------------------------------------------------- -- GENERATE ADDRESS COUNTERS -------------------------------------------------------------------------- Addr_Counters : for i in 0 to 3 generate hsum_A(i) <= (FIFO_Read xor addr_i(i)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(i), -- [in std_logic] CI => addr_cy(i), -- [in std_logic] S => hsum_A(i), -- [in std_logic] LO => addr_cy(i+1) -- [out std_logic] ); XORCY_I : XORCY port map ( LI => hsum_A(i), -- [in std_logic] CI => addr_cy(i), -- [in std_logic] O => sum_A(i) -- [out std_logic] ); FDRE_I : FDRE port map ( Q => addr_i(i), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_i, -- [in std_logic] D => sum_A(i), -- [in std_logic] R => Reset -- [in std_logic] ); end generate Addr_Counters; -------------------------------------------------------------------------- -- GENERATE FIFO RAM -------------------------------------------------------------------------- FIFO_RAM : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i(0), -- [in std_logic] A1 => addr_i(1), -- [in std_logic] A2 => addr_i(2), -- [in std_logic] A3 => addr_i(3), -- [in std_logic] Q => Data_Out(I) -- [out std_logic] ); end generate FIFO_RAM; -------------------------------------------------------------------------- -- INT_ADDR_PROCESS -------------------------------------------------------------------------- -- This process assigns the internal address to the output port -------------------------------------------------------------------------- -- modified the process to flip the bits since the address bits from -- the srl16 are 3 downto 0 and Addr needs to be 0 to 3 INT_ADDR_PROCESS:process (addr_i) begin for i in Addr'range loop Addr(i) <= addr_i(3 - i); -- flip the bits to account end loop; -- for srl16 addr end process; end generate; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- GENERATE FOR C_DEPTH GREATER THAN 16, LESS THAN 32, -- AND VIRTEX-E AND OLDER FAMILIES ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ C_DEPTH_16_32_VE : if ( ( (C_DEPTH > 16) and (C_DEPTH < 33) ) and ( equalIgnoreCase(C_FAMILY,"virtex") or equalIgnoreCase(C_FAMILY,"virtexe") or equalIgnoreCase(C_FAMILY,"spartan3e") or equalIgnoreCase(C_FAMILY,"spartan3") ) ) generate -------------------------------------------------------------------------- -- Constant Declarations -------------------------------------------------------------------------- -------------------------------------------------------------------------- -- Signal Declarations -------------------------------------------------------------------------- signal addr_i : std_logic_vector(0 to 4); signal addr_i_1 : std_logic_vector(3 downto 0); signal buffer_Full_1 : std_logic; signal next_buffer_Full_1 : std_logic; signal next_Data_Exists_1 : std_logic; signal data_Exists_I_1 : std_logic; signal FIFO_Write_1 : std_logic; signal Data_In_1 : std_logic_vector(0 to C_DWIDTH-1); signal FIFO_Read_1 : std_logic; signal Data_Out_1 : std_logic_vector(0 to C_DWIDTH-1); signal addr_i_2 : std_logic_vector(3 downto 0); signal buffer_Full_2 : std_logic; signal next_buffer_Full_2 : std_logic; signal next_Data_Exists_2 : std_logic; signal data_Exists_I_2 : std_logic; signal FIFO_Write_2 : std_logic; signal Data_In_2 : std_logic_vector(0 to C_DWIDTH-1); signal FIFO_Read_2 : std_logic; signal Data_Out_2 : std_logic_vector(0 to C_DWIDTH-1); -------------------------------------------------------------------------- -- Component Declarations -------------------------------------------------------------------------- component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic ); end component SRL16E; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic ); end component FDR; -------------------------------------------------------------------------- -- Begin for Generate -------------------------------------------------------------------------- begin -------------------------------------------------------------------------- -- Concurrent Signal Assignments -------------------------------------------------------------------------- next_Data_Exists_1 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_1(0)) and not(addr_i_1(1)) and not(addr_i_1(2)) and not(addr_i_1(3))) or data_Exists_I_1) and not (FIFO_Read and not(FIFO_Write) and not(addr_i_1(0)) and not(addr_i_1(1)) and not(addr_i_1(2)) and not(addr_i_1(3))); FIFO_Write_1 <= FIFO_Write; FIFO_Write_2 <= FIFO_Write; FIFO_Read_1 <= FIFO_Read; FIFO_Read_2 <= FIFO_Read; data_Exists <= data_Exists_I_1; Data_Out <= Data_Out_2 when (data_Exists_I_2 = '1') else Data_Out_1; Data_In_2 <= Data_Out_1; Data_In_1 <= Data_In; FIFO_Full <= buffer_Full_2; next_buffer_Full_1 <= '1' when (addr_i_1 = "1111") else '0'; next_Data_Exists_2 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_2(0)) and not(addr_i_2(1)) and not(addr_i_2(2)) and not (addr_i_2(3)) and (buffer_Full_1)) or data_Exists_I_2) and not(FIFO_Read and not(FIFO_Write) and not(addr_i_2(0)) and not(addr_i_2(1)) and not(addr_i_2(2)) and not(addr_i_2(3))); next_buffer_Full_2 <= '1' when (addr_i_2 = "1111") else '0'; FIFO_Empty <= not next_Data_Exists_1 and not next_Data_Exists_2; -- generate a true empty flag with no delay -- was buffer_Empty, which had a clock dly -------------------------------------------------------------------------- -- Address Processes -------------------------------------------------------------------------- ADDRS_1 : process (Clk) begin if (clk'event and clk = '1') then if (Reset = '1') then addr_i_1 <= "0000"; elsif ((buffer_Full_1='0') and (FIFO_Write='1') and (FIFO_Read='0') and (data_Exists_I_1='1')) then addr_i_1 <= addr_i_1 + 1; elsif (not(addr_i_1 = "0000") and (FIFO_Read='1') and (FIFO_Write='0') and (data_Exists_I_2='0')) then addr_i_1 <= addr_i_1 - 1; else null; end if; end if; end process; ADDRS_2 : process (Clk) begin if (clk'event and clk = '1') then if (Reset = '1') then addr_i_2 <= "0000"; elsif ((buffer_Full_2='0') and (FIFO_Write = '1') and (FIFO_Read = '0') and (buffer_Full_1 = '1') and (data_Exists_I_2='1')) then addr_i_2 <= addr_i_2 + 1; elsif (not(addr_i_2 = "0000") and (FIFO_Read = '1') and (FIFO_Write = '0')) then addr_i_2 <= addr_i_2 - 1; else null; end if; end if; end process; ADDR_OUT : process (addr_i_1, addr_i_2, data_Exists_I_2) begin if (data_Exists_I_2 = '0') then Addr <= '0' & addr_i_1; else Addr <= '1' & addr_i_2; end if; end process; -------------------------------------------------------------------------- -- Data Exists Instances -------------------------------------------------------------------------- DATA_EXISTS_1_DFF : FDR port map ( Q => data_Exists_I_1, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists_1, -- [in std_logic] R => Reset -- [in std_logic] ); DATA_EXISTS_2_DFF : FDR port map ( Q => data_Exists_I_2, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists_2, -- [in std_logic] R => Reset -- [in std_logic] ); -------------------------------------------------------------------------- -- Buffer Full Instances -------------------------------------------------------------------------- BUFFER_FULL_1_DFF : FDR port map ( Q => buffer_Full_1, -- [out std_logic] C => Clk, -- [in std_logic] D => next_buffer_Full_1, -- [in std_logic] R => Reset -- [in std_logic] ); BUFFER_FULL_2_DFF : FDR port map ( Q => buffer_Full_2, -- [out std_logic] C => Clk, -- [in std_logic] D => next_buffer_Full_2, -- [in std_logic] R => Reset -- [in std_logic] ); -------------------------------------------------------------------------- -- GENERATE FIFO RAMS -------------------------------------------------------------------------- FIFO_RAM_1 : for i in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => FIFO_Write_1, -- [in std_logic] D => Data_In_1(i), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i_1(0), -- [in std_logic] A1 => addr_i_1(1), -- [in std_logic] A2 => addr_i_1(2), -- [in std_logic] A3 => addr_i_1(3), -- [in std_logic] Q => Data_Out_1(i) -- [out std_logic] ); end generate FIFO_RAM_1; FIFO_RAM_2 : for i in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => FIFO_Write_2, -- [in std_logic] D => Data_In_2(i), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i_2(0), -- [in std_logic] A1 => addr_i_2(1), -- [in std_logic] A2 => addr_i_2(2), -- [in std_logic] A3 => addr_i_2(3), -- [in std_logic] Q => Data_Out_2(i) -- [out std_logic] ); end generate FIFO_RAM_2; end generate; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- GENERATE FOR C_DEPTH GREATER THAN 16, LESS THAN 32, -- AND VIRTEX-2 AND NEWER FAMILIES ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ C_DEPTH_16_32_V2 : if ( ( (C_DEPTH > 16) and (C_DEPTH < 33) ) and ( equalIgnoreCase(C_FAMILY,"virtex2") or equalIgnoreCase(C_FAMILY,"virtex2p") or equalIgnoreCase(C_FAMILY,"virtex4") ) ) generate -------------------------------------------------------------------------- -- Constant Declarations -------------------------------------------------------------------------- constant DEPTH : std_logic_vector(0 to 4) := conv_std_logic_vector(C_DEPTH-1,5); -------------------------------------------------------------------------- -- Signal Declarations -------------------------------------------------------------------------- signal addr_i : std_logic_vector(0 to 4); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 4); signal sum_A : std_logic_vector(0 to 4); signal addr_cy : std_logic_vector(0 to 5); signal D_Out_ls : std_logic_vector(0 to C_DWIDTH-1); signal D_Out_ms : std_logic_vector(0 to C_DWIDTH-1); signal q15 : std_logic_vector(0 to C_DWIDTH-1); -------------------------------------------------------------------------- -- Component Declarations -------------------------------------------------------------------------- component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic ); end component SRL16E; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic ); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic ); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic ); end component FDR; component MUXF5 port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; S : in std_logic ); end component; component SRLC16E -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( Q : out std_logic; Q15 : out std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; CE : in std_logic; CLK : in std_logic; D : in std_logic ); end component; component LUT3 generic( INIT : bit_vector := X"0" ); port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic ); end component; -------------------------------------------------------------------------- -- Begin for Generate -------------------------------------------------------------------------- begin -------------------------------------------------------------------------- -- Concurrent Signal Assignments -------------------------------------------------------------------------- --buffer_Full <= '1' when (addr_i = "11111") else '0'; buffer_Full <= '1' when (addr_i(0) = DEPTH(4) and addr_i(1) = DEPTH(3) and addr_i(2) = DEPTH(2) and addr_i(3) = DEPTH(1) and addr_i(4) = DEPTH(0) ) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "00000") else '0'; FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay -- was buffer_Empty, which had a clock dly Data_Exists <= data_Exists_I; addr_cy(0) <= valid_Write; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); -------------------------------------------------------------------------- -- Data Exists DFF Instance -------------------------------------------------------------------------- DATA_EXISTS_DFF : FDR port map ( Q => data_Exists_i, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset -- [in std_logic] ); -------------------------------------------------------------------------- -- Valid Write LUT Instance -------------------------------------------------------------------------- -- XST CR183399 WA -- valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); VALID_WRITE_I : LUT3 generic map ( INIT => X"8A" ) port map ( O => valid_Write, I0 => FIFO_Write, I1 => FIFO_Read, I2 => buffer_Full ); --END XST WA for CR183399 -------------------------------------------------------------------------- -- GENERATE ADDRESS COUNTERS -------------------------------------------------------------------------- ADDR_COUNTERS : for i in 0 to 4 generate hsum_A(I) <= (FIFO_Read xor addr_i(i)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(i), -- [in std_logic] CI => addr_cy(i), -- [in std_logic] S => hsum_A(i), -- [in std_logic] LO => addr_cy(i+1) -- [out std_logic] ); XORCY_I : XORCY port map ( LI => hsum_A(i), -- [in std_logic] CI => addr_cy(i), -- [in std_logic] O => sum_A(i) -- [out std_logic] ); FDRE_I : FDRE port map ( Q => addr_i(i), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_i, -- [in std_logic] D => sum_A(i), -- [in std_logic] R => Reset -- [in std_logic] ); end generate Addr_Counters; -------------------------------------------------------------------------- -- GENERATE FIFO RAMS -------------------------------------------------------------------------- FIFO_RAM : for i in 0 to C_DWIDTH-1 generate SRLC16E_LS : SRLC16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( Q => D_Out_ls(i), Q15 => q15(i), A0 => addr_i(0), A1 => addr_i(1), A2 => addr_i(2), A3 => addr_i(3), CE => valid_Write, CLK => Clk, D => Data_In(i) ); SRL16E_MS : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => valid_Write, D => q15(i), Clk => Clk, A0 => addr_i(0), A1 => addr_i(1), A2 => addr_i(2), A3 => addr_i(3), Q => D_Out_ms(i) ); MUXF5_I: MUXF5 port map ( O => Data_Out(i), --[out] I0 => D_Out_ls(i), --[in] I1 => D_Out_ms(i), --[in] S => addr_i(4) --[in] ); end generate FIFO_RAM; -------------------------------------------------------------------------- -- INT_ADDR_PROCESS -------------------------------------------------------------------------- -- This process assigns the internal address to the output port -------------------------------------------------------------------------- INT_ADDR_PROCESS:process (addr_i) begin -- process for i in Addr'range loop Addr(i) <= addr_i(4 - i); --flip the bits to account for srl16 addr end loop; end process; end generate; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- GENERATE FOR C_DEPTH GREATER THAN 32, LESS THAN 65, -- AND VIRTEX-E AND OLDER FAMILIES ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ C_DEPTH_32_64_VE : if ( (C_DEPTH > 32) and (C_DEPTH < 65) and ( equalIgnoreCase(C_FAMILY,"virtex") or equalIgnoreCase(C_FAMILY,"virtexe") or equalIgnoreCase(C_FAMILY,"spartan3e") or equalIgnoreCase(C_FAMILY,"spartan3") ) ) generate -------------------------------------------------------------------------- -- Constant Declarations -------------------------------------------------------------------------- -------------------------------------------------------------------------- -- Signal Declarations -------------------------------------------------------------------------- signal addr_i_1 : std_logic_vector(3 downto 0); signal buffer_Full_1 : std_logic; signal next_buffer_Full_1 : std_logic; signal next_Data_Exists_1 : std_logic; signal data_Exists_I_1 : std_logic; signal FIFO_Write_1 : std_logic; signal Data_In_1 : std_logic_vector(0 to C_DWIDTH-1); signal FIFO_Read_1 : std_logic; signal Data_Out_1 : std_logic_vector(0 to C_DWIDTH-1); signal addr_i_2 : std_logic_vector(3 downto 0); signal buffer_Full_2 : std_logic; signal next_buffer_Full_2 : std_logic; signal next_Data_Exists_2 : std_logic; signal data_Exists_I_2 : std_logic; signal FIFO_Write_2 : std_logic; signal Data_In_2 : std_logic_vector(0 to C_DWIDTH-1); signal FIFO_Read_2 : std_logic; signal Data_Out_2 : std_logic_vector(0 to C_DWIDTH-1); signal addr_i_3 : std_logic_vector(3 downto 0); signal buffer_Full_3 : std_logic; signal next_buffer_Full_3 : std_logic; signal next_Data_Exists_3 : std_logic; signal data_Exists_I_3 : std_logic; signal FIFO_Write_3 : std_logic; signal Data_In_3 : std_logic_vector(0 to C_DWIDTH-1); signal FIFO_Read_3 : std_logic; signal Data_Out_3 : std_logic_vector(0 to C_DWIDTH-1); signal addr_i_4 : std_logic_vector(3 downto 0); signal buffer_Full_4 : std_logic; signal next_buffer_Full_4 : std_logic; signal next_Data_Exists_4 : std_logic; signal data_Exists_I_4 : std_logic; signal FIFO_Write_4 : std_logic; signal Data_In_4 : std_logic_vector(0 to C_DWIDTH-1); signal FIFO_Read_4 : std_logic; signal Data_Out_4 : std_logic_vector(0 to C_DWIDTH-1); -------------------------------------------------------------------------- -- Component Declarations -------------------------------------------------------------------------- component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic ); end component SRL16E; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic ); end component FDR; -------------------------------------------------------------------------- -- Begin for Generate -------------------------------------------------------------------------- begin -------------------------------------------------------------------------- -- Concurrent Signal Assignments -------------------------------------------------------------------------- FIFO_Write_1 <= FIFO_Write; FIFO_Read_1 <= FIFO_Read; FIFO_Write_2 <= FIFO_Write and buffer_Full_1; FIFO_Read_2 <= FIFO_Read; FIFO_Write_3 <= FIFO_Write and buffer_Full_2; FIFO_Read_3 <= FIFO_Read; FIFO_Write_4 <= FIFO_Write and buffer_Full_3; FIFO_Read_4 <= FIFO_Read; Data_In_1 <= Data_In; Data_In_2 <= Data_Out_1; Data_In_3 <= Data_Out_2; Data_In_4 <= Data_Out_3; FIFO_Full <= buffer_Full_4; next_buffer_Full_1 <= '1' when (addr_i_1 = "1111") else '0'; next_buffer_Full_2 <= '1' when (addr_i_2 = "1111") else '0'; next_buffer_Full_3 <= '1' when (addr_i_3 = "1111") else '0'; next_buffer_Full_4 <= '1' when (addr_i_4 = "1111") else '0'; next_Data_Exists_1 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_1(0)) and not(addr_i_1(1)) and not(addr_i_1(2)) and not(addr_i_1(3))) or data_Exists_I_1) and not(FIFO_Read and not(FIFO_Write) and not(addr_i_1(0)) and not(addr_i_1(1)) and not (addr_i_1(2)) and not(addr_i_1(3))); next_Data_Exists_2 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_2(0)) and not(addr_i_2(1)) and not(addr_i_2(2)) and not(addr_i_2(3)) and (buffer_Full_1)) or data_Exists_I_2) and not(FIFO_Read and not(FIFO_Write) and not(addr_i_2(0)) and not(addr_i_2(1)) and not (addr_i_2(2)) and not(addr_i_2(3))); next_Data_Exists_3 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_3(0)) and not(addr_i_3(1)) and not(addr_i_3(2)) and not (addr_i_3(3)) and (buffer_Full_2)) or data_Exists_I_3) and not(FIFO_Read and not(FIFO_Write) and not (addr_i_3(0)) and not(addr_i_3(1)) and not (addr_i_3(2)) and not(addr_i_3(3))); next_Data_Exists_4 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_4(0)) and not(addr_i_4(1)) and not(addr_i_4(2)) and not (addr_i_4(3)) and (buffer_Full_3)) or data_Exists_I_4) and not(FIFO_Read and not(FIFO_Write) and not(addr_i_4(0)) and not(addr_i_4(1)) and not(addr_i_4(2)) and not(addr_i_4(3))); data_Exists <= data_Exists_I_1; Data_Out <= Data_Out_4 when (data_Exists_I_4 = '1') else Data_Out_3 when (data_Exists_I_3 = '1') else Data_Out_2 when (data_Exists_I_2 = '1') else Data_Out_1; FIFO_Empty <= not data_Exists_I_1; -------------------------------------------------------------------------- -- Address Processes -------------------------------------------------------------------------- ADDRS_1 : process (Clk) begin if (clk'event and clk = '1') then if (Reset = '1') then addr_i_1 <= "0000"; elsif ((buffer_Full_1='0') and (FIFO_Write='1') and (FIFO_Read='0') and (data_Exists_I_1='1')) then addr_i_1 <= addr_i_1 + 1; elsif (not(addr_i_1 = "0000") and (FIFO_Read='1') and (FIFO_Write='0') and (data_Exists_I_2='0')) then addr_i_1 <= addr_i_1 - 1; else null; end if; end if; end process; ADDRS_2 : process (Clk) begin if (clk'event and clk = '1') then if (Reset = '1') then addr_i_2 <= "0000"; elsif ((buffer_Full_2='0') and (FIFO_Write = '1') and (FIFO_Read = '0') and (buffer_Full_1 = '1') and (data_Exists_I_2='1')) then addr_i_2 <= addr_i_2 + 1; elsif (not(addr_i_2 = "0000") and (FIFO_Read = '1') and (FIFO_Write = '0') and (data_Exists_I_3='0')) then addr_i_2 <= addr_i_2 - 1; else null; end if; end if; end process; ADDRS_3 : process (Clk) begin if (clk'event and clk = '1') then if (Reset = '1') then addr_i_3 <= "0000"; elsif ((buffer_Full_3='0') and (FIFO_Write = '1') and (FIFO_Read = '0') and (buffer_Full_2 = '1') and (data_Exists_I_3='1')) then addr_i_3 <= addr_i_3 + 1; elsif (not(addr_i_3 = "0000") and (FIFO_Read = '1') and (FIFO_Write = '0') and (data_Exists_I_4='0')) then addr_i_3 <= addr_i_3 - 1; else null; end if; end if; end process; ADDRS_4 : process (Clk) begin if (clk'event and clk = '1') then if (Reset = '1') then addr_i_4 <= "0000"; elsif ((buffer_Full_4='0') and (FIFO_Write = '1') and (FIFO_Read = '0') and (buffer_Full_3 = '1') and (data_Exists_I_4='1')) then addr_i_4 <= addr_i_4 + 1; elsif (not(addr_i_4 = "0000") and (FIFO_Read = '1') and (FIFO_Write = '0')) then addr_i_4 <= addr_i_4 - 1; else null; end if; end if; end process; ADDR_OUT : process (addr_i_1, addr_i_2, addr_i_3, addr_i_4, data_Exists_I_2, data_Exists_I_3, data_Exists_I_4) begin if ( (data_Exists_I_2 = '0') and (data_Exists_I_3 = '0') and (data_Exists_I_4 = '0') ) then Addr <= "00" & addr_i_1; elsif ( (data_Exists_I_3 = '0') and (data_Exists_I_4 = '0') ) then Addr <= "01" & addr_i_2; elsif ( (data_Exists_I_4 = '0') ) then Addr <= "10" & addr_i_3; else Addr <= "11" & addr_i_4; end if; end process; -------------------------------------------------------------------------- -- Data Exists Instances -------------------------------------------------------------------------- DATA_EXISTS_1_DFF : FDR port map ( Q => data_Exists_I_1, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists_1, -- [in std_logic] R => Reset -- [in std_logic] ); DATA_EXISTS_2_DFF : FDR port map ( Q => data_Exists_I_2, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists_2, -- [in std_logic] R => Reset -- [in std_logic] ); DATA_EXISTS_3_DFF : FDR port map ( Q => data_Exists_I_3, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists_3, -- [in std_logic] R => Reset -- [in std_logic] ); DATA_EXISTS_4_DFF : FDR port map ( Q => data_Exists_I_4, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists_4, -- [in std_logic] R => Reset -- [in std_logic] ); -------------------------------------------------------------------------- -- Buffer Full Instances -------------------------------------------------------------------------- BUFFER_FULL_1_DFF : FDR port map ( Q => buffer_Full_1, -- [out std_logic] C => Clk, -- [in std_logic] D => next_buffer_Full_1, -- [in std_logic] R => Reset -- [in std_logic] ); BUFFER_FULL_2_DFF : FDR port map ( Q => buffer_Full_2, -- [out std_logic] C => Clk, -- [in std_logic] D => next_buffer_Full_2, -- [in std_logic] R => Reset -- [in std_logic] ); BUFFER_FULL_3_DFF : FDR port map ( Q => buffer_Full_3, -- [out std_logic] C => Clk, -- [in std_logic] D => next_buffer_Full_3, -- [in std_logic] R => Reset -- [in std_logic] ); BUFFER_FULL_4_DFF : FDR port map ( Q => buffer_Full_4, -- [out std_logic] C => Clk, -- [in std_logic] D => next_buffer_Full_4, -- [in std_logic] R => Reset -- [in std_logic] ); -------------------------------------------------------------------------- -- GENERATE FIFO RAMS -------------------------------------------------------------------------- FIFO_RAM_1 : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => FIFO_Write_1, -- [in std_logic] D => Data_In_1(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i_1(0), -- [in std_logic] A1 => addr_i_1(1), -- [in std_logic] A2 => addr_i_1(2), -- [in std_logic] A3 => addr_i_1(3), -- [in std_logic] Q => Data_Out_1(I) -- [out std_logic] ); end generate FIFO_RAM_1; FIFO_RAM_2 : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => FIFO_Write_2, -- [in std_logic] D => Data_In_2(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i_2(0), -- [in std_logic] A1 => addr_i_2(1), -- [in std_logic] A2 => addr_i_2(2), -- [in std_logic] A3 => addr_i_2(3), -- [in std_logic] Q => Data_Out_2(I) -- [out std_logic] ); end generate FIFO_RAM_2; FIFO_RAM_3 : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => FIFO_Write_3, -- [in std_logic] D => Data_In_3(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i_3(0), -- [in std_logic] A1 => addr_i_3(1), -- [in std_logic] A2 => addr_i_3(2), -- [in std_logic] A3 => addr_i_3(3), -- [in std_logic] Q => Data_Out_3(I) -- [out std_logic] ); end generate FIFO_RAM_3; FIFO_RAM_4 : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => FIFO_Write_4, -- [in std_logic] D => Data_In_4(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i_4(0), -- [in std_logic] A1 => addr_i_4(1), -- [in std_logic] A2 => addr_i_4(2), -- [in std_logic] A3 => addr_i_4(3), -- [in std_logic] Q => Data_Out_4(I) -- [out std_logic] ); end generate FIFO_RAM_4; end generate; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- GENERATE FOR C_DEPTH GREATER THAN 32, LESS THAN 65, -- AND VIRTEX-2 AND NEWER FAMILIES ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ C_DEPTH_32_64_V2 : if ( (C_DEPTH > 32) and (C_DEPTH < 65) and ( equalIgnoreCase(C_FAMILY,"virtex2") or equalIgnoreCase(C_FAMILY,"virtex2p") or equalIgnoreCase(C_FAMILY,"virtex4") ) ) generate -------------------------------------------------------------------------- -- Constant Declarations -------------------------------------------------------------------------- constant DEPTH : std_logic_vector(0 to 5) := conv_std_logic_vector(C_DEPTH-1,6); -------------------------------------------------------------------------- -- Signal Declarations -------------------------------------------------------------------------- signal addr_i : std_logic_vector(0 to 5); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 5); signal sum_A : std_logic_vector(0 to 5); signal addr_cy : std_logic_vector(0 to 6); signal D_Out_ls_1 : std_logic_vector(0 to C_DWIDTH-1); signal D_Out_ls_2 : std_logic_vector(0 to C_DWIDTH-1); signal D_Out_ls_3 : std_logic_vector(0 to C_DWIDTH-1); signal D_Out_ms : std_logic_vector(0 to C_DWIDTH-1); signal Data_O_ls : std_logic_vector(0 to C_DWIDTH-1); signal Data_O_ms : std_logic_vector(0 to C_DWIDTH-1); signal q15_1 : std_logic_vector(0 to C_DWIDTH-1); signal q15_2 : std_logic_vector(0 to C_DWIDTH-1); signal q15_3 : std_logic_vector(0 to C_DWIDTH-1); -------------------------------------------------------------------------- -- Component Declarations -------------------------------------------------------------------------- component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic ); end component SRL16E; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic ); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic ); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic ); end component FDR; component MUXF5 port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; S : in std_logic ); end component; component MUXF6 port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; S : in std_logic ); end component; component SRLC16E -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( Q : out std_logic; Q15 : out std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; CE : in std_logic; CLK : in std_logic; D : in std_logic ); end component; -- XST WA for CR183399 component LUT3 generic( INIT : bit_vector := X"0" ); port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic ); end component; -------------------------------------------------------------------------- -- Begin for Generate -------------------------------------------------------------------------- begin -------------------------------------------------------------------------- -- Concurrent Signal Assignments -------------------------------------------------------------------------- -- buffer_Full <= '1' when (addr_i = "11111") else '0'; buffer_Full <= '1' when (addr_i(0) = DEPTH(5) and addr_i(1) = DEPTH(4) and addr_i(2) = DEPTH(3) and addr_i(3) = DEPTH(2) and addr_i(4) = DEPTH(1) and addr_i(5) = DEPTH(0) ) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "000000") else '0'; FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay -- was buffer_Empty, which had a clock dly next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists <= data_Exists_I; addr_cy(0) <= valid_Write; -------------------------------------------------------------------------- -- Data Exists DFF Instance -------------------------------------------------------------------------- Data_Exists_DFF : FDR port map ( Q => data_Exists_I, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset -- [in std_logic] ); -------------------------------------------------------------------------- -- Valid Write LUT Instance -------------------------------------------------------------------------- -- XST CR183399 WA -- valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); VALID_WRITE_I : LUT3 generic map ( INIT => X"8A" ) port map ( O => valid_Write, -- [out std_logic] I0 => FIFO_Write, -- [in std_logic] I1 => FIFO_Read, -- [in std_logic] I2 => buffer_Full -- [in std_logic] ); --END XST WA for CR183399 -------------------------------------------------------------------------- -- GENERATE ADDRESS COUNTERS -------------------------------------------------------------------------- ADDR_COUNTERS : for i in 0 to 5 generate hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(i), -- [in std_logic] CI => addr_cy(i), -- [in std_logic] S => hsum_A(i), -- [in std_logic] LO => addr_cy(i+1) -- [out std_logic] ); XORCY_I : XORCY port map ( LI => hsum_A(i), -- [in std_logic] CI => addr_cy(i), -- [in std_logic] O => sum_A(i) -- [out std_logic] ); FDRE_I : FDRE port map ( Q => addr_i(i), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_i, -- [in std_logic] D => sum_A(i), -- [in std_logic] R => Reset -- [in std_logic] ); end generate ADDR_COUNTERS; -------------------------------------------------------------------------- -- GENERATE FIFO RAMS -------------------------------------------------------------------------- FIFO_RAM : for i in 0 to C_DWIDTH-1 generate SRLC16E_LS1 : SRLC16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( Q => D_Out_ls_1(i), --[out] Q15 => q15_1(i), --[out] A0 => addr_i(0), --[in] A1 => addr_i(1), --[in] A2 => addr_i(2), --[in] A3 => addr_i(3), --[in] CE => valid_Write, --[in] CLK => Clk, --[in] D => Data_In(i) --[in] ); SRLC16E_LS2 : SRLC16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( Q => D_Out_ls_2(i), --[out] Q15 => q15_2(i), --[out] A0 => addr_i(0), --[in] A1 => addr_i(1), --[in] A2 => addr_i(2), --[in] A3 => addr_i(3), --[in] CE => valid_Write, --[in] CLK => Clk, --[in] D => q15_1(i) --[in] ); MUXF5_LS: MUXF5 port map ( O => Data_O_LS(i), --[out] I0 => D_Out_ls_1(I), --[in] I1 => D_Out_ls_2(I), --[in] S => addr_i(4) --[in] ); SRLC16E_LS3 : SRLC16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( Q => D_Out_ls_3(i), --[out] Q15 => q15_3(i), --[out] A0 => addr_i(0), --[in] A1 => addr_i(1), --[in] A2 => addr_i(2), --[in] A3 => addr_i(3), --[in] CE => valid_Write, --[in] CLK => Clk, --[in] D => q15_2(i) --[in] ); SRL16E_MS : SRL16E -- pragma translate_off generic map ( INIT => x"0000" ) -- pragma translate_on port map ( CE => valid_Write, --[in] D => q15_3(i), --[in] Clk => Clk, --[in] A0 => addr_i(0), --[in] A1 => addr_i(1), --[in] A2 => addr_i(2), --[in] A3 => addr_i(3), --[in] Q => D_Out_ms(I) --[out] ); MUXF5_MS: MUXF5 port map ( O => Data_O_MS(i), --[out] I0 => D_Out_ls_3(i), --[in] I1 => D_Out_ms(i), --[in] S => addr_i(4) --[in] ); MUXF6_I: MUXF6 port map ( O => Data_out(i), --[out] I0 => Data_O_ls(i), --[in] I1 => Data_O_ms(i), --[in] S => addr_i(5) --[in] ); end generate FIFO_RAM; -------------------------------------------------------------------------- -- INT_ADDR_PROCESS -------------------------------------------------------------------------- -- This process assigns the internal address to the output port -------------------------------------------------------------------------- INT_ADDR_PROCESS:process (addr_i) begin for i in Addr'range loop Addr(i) <= addr_i(5 - i); -- flip the bits to account for srl16 addr end loop; end process; end generate; end architecture imp;
apache-2.0
db9c3aed4953f5a37131c098469be00f
0.361888
4.365
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/cache_valid_bit_detect.vhd
1
20,140
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mVnDFBSwhXWs0WDRJXjK5G3UsuyCasS2/eM7PrMrFdHMdkcwtXJzn9RgLer2cTk85MSlR7orJvgz SNIx+GiDOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block L2mtxxiFCSEunShGBvS+ELErLnrE5GoCnXH2PMQ/cZgvOsJdfIRo360jfpzGIXOkY2cAHuLkHnIO eVn74UEjqE2/0IDkWWm7obApHdie09uMv6hHbuZEW1kPIy7z2p5QHbsLH+RvYstJJJci9MSfObn7 WZqiIuHV1kzK82hUvGY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kY+x38SecWmP1UcmsDwXfsULSMClctaIKhZcuLG0CcaaZ4kys87o95n/CgYMGQGakP+KW9bYy/E3 qCkT/tG9JNYV6QYhmWPsw1crlDl1eFxods0q9Y0YBo8qFx+3a8t649uqsBdOWXuBwmRCKK+uyQaB 0Rn7fIWg9BPVZpiw1pzL57u6TI7GLS6gU4T1tfEtzp7/u5iAR9EngPdXF/REQa9KMtzD/xHrQWfb p595tlMyZtsqGlsSdkPQhjbErx+Zwlc1+qMBw4jaNaVOIRr3fQs4s/8LZC6IZdMDO6aueBceL5+3 ZYhNDlCIjYuKK0Tv+M9YnAYhmgAu2wNiYVfZ6g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tv7k3ONi+4UpKdjNkJxBQSE0fYQ0pJ1UUdYaw8QfiKORR8GeviHhF6z8zYEWp2jYAIk7QBj0sN0h GQWgbbVEiUt0YM3Rfgx+p7eSITyxbIKpGJ5AVkA/4e54rvvdtnHPobH/WAGw0FJVYox1auiPrvMh cOYjt33o7kcCxaLtZoc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q5ZrfpY+O5PMKmDBxWJZbTMdoAjGvM+mBiru9QnmgxccEi3DRygA5mhrTiWh+OjUiHBOJI9enax5 SYnB+ajVDeuEZftOdUpFP3I4l0RDgZKHjFB65SkuADa+Tdnrp0JasTPN4mG/2qFLkQmAjXuKHMqS alcJfegYGgrqVttliRhiimPqGckLlVc7iaPLigaz9revZgs6Q2NWC6yxrvnBbZv2gqvHMObTMKb2 lTymGN/1CLmYBPZZDJFeE4QlZH/3y4Q9qzRVamcIv4SNFbb08pQo0fe9gXFI8pjnjGWNv9xhwyBN 51OrI8SDZEX402rt9LS719Bj5joZaUL9opPopg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13168) `protect data_block OsdWoirjKEb43CSMe6B5HUBNxnPAuThx+R/RBtkh8dKYt/2v+5f1AzsIvkC40seEtPllsLSKuSV5 /X/m3Ybh4hlDDrzUQZ6A68xGW63TKMmvsvC8ptXwf2VoCIT6umNwH9F5x8JHcz5hYGEuEpi9h6ZG cN3xuGbNODahj0WyEjiHKZad4QFTWWyJqrQIHGNE0bxY4qt1pLCLhh9VpyiLnoH6rFLd5O7g3Wq6 fDjg4To+QncnjaaGfSqYl0STI4K3g9HSYg0HrYQCFejiTD2Yl01ELZxJy4q9w/OcaXA8aO5yImJm WNgeXA1i+6uGGUV0gq7HNqxhL3pyTP8RtUDPQ6EeHYsGhQTg4nvP/YuB4xcgIrwOkSA4kbAqduTB aGBWeII9HIXUn1EWgX4hInozVqqAFx+LbjGm/AFzRGUWe286630p7IIyqnqJm0beqpnxGqonmXrj HhFlVwi7Su1UpmJ3DrhFO+ETXCgvAkyi3qQzXwClzHS9emwMBH425YYVh4a1feGvZVXCTeni3rZa hgkgTXKKFmYbV1J6j2bkMXOxCg+XjAT5PmtQlp4NB+qhMgt//VR8sm+AaEVNnMQ4dXo7Qg7ZH+F1 1DDEv0TZ7/Y8B/CMdX9n5HFp2GxSZUsaiagF/xmwcjYPNymW3NcSqOJoGr3CztKGcp0yq/8UGblc TSCvAxmbY3S4UI5/XYisfe71AHSeKLHqbrsxyb3R1oVlaSYeGFO5wYrnhIF5ur3EaH7tNGgxFSzt 9roJ3PTTjJ3Dz7uS9z75iToJFq+8530jEwF+tl6JNbRTh2Wto9iNLeJ8Paz5bLv7mrVAAk485KiD tdzh/adpdWO55CMNxY+j3SuF27Z3rJhK4LLlEre1o/NeJ/vlyTzCKbhFkDnGtCONckin32dvB/Vs gWPUPa8VdEkhHl46AxFa3C9ZMtWs5UlSQBFzGY4+06qiNBevJW3Zmmw/3Qi85NF/UXcE1TogoZ5t 5QSpPThOIBgZ1FGhBo69AtrIe8fdD5VqdT/I5eBq+6Kbuf4v0p1NExBnzJW9wUZh0fO1F9MxMoaD Fz4PdoYCj/f/J9z8e4519guiScSCHbwaaJ13GfNcJW35HX0kGPlb1FbtjkyMF5QRCLIrIiWGOPH5 iKamLlgy37E7ymn0SHfTXFIAUdVuiPrZxlpDpNjayvDCO40j2d1cdcPMhswul9g42ZInpjkudDEY OkiXhrYTSUwvQ4WWbMnfyx1jnvdDmJ7A4hpLpfHowbwZl9KCIaJ1GidK2TWHjtdMKLciWBEPQUEs r008Ya6TeQ2Ab482B3BURCKiP8DwYzyuB+7/Pu42d6PzzYb7AWRz45A4EN9ucCFO4pXVlXarHveC n+gFZ/5qSrWhdxcdMmHvECONlLiu2EZZ7JzvG6oRMMtM0xw4laYl9piMlb2mRH4t/VpSw0JBTiBQ z5N1AMDp6W/EPe7yRyGARTjBnlcYLPDz7aB2wz/GkSuhmEKFyKJNVONQvJAK8CeA4M4kHePTOY44 4wciJXGT80+Npplo5rALbOcpq14AiatD40fJoVZUv210rfGZ0JowPhWrH9Lo8+qjcUl+edLy0GFV IvTTLwXZ/2bowwIMbyBgJj03onLWpJbS13RwWfy/Dvwcpkijh2jt2senYNdFwMY1t3Al6vPEkYLF zErtsHylQe4JPtfSVlRNspu49BvQMPEMm+7Llh1XhcWZtkr8ZHLdKI8KpFgmD/oNkT0Dy3KV+CdQ YyjpDDYEryPFgRSaoeZWZKXOhXMqpD5NQFRzxTSyGdthMf0X/89m+U6RcvZYWDNngYzsIZ15hHWu /o91GxHeESb9SA8oA2vNvEG7OK8MPehVBeFPWxKUP8B2ePFuOggbbm1Gy8ecYOvzFwMdevHsQK0W 9XyMJWnOuw1qhQc+ZCoIp5rAw1YQMLYAhNj1P5q6Gu2qw/CfHHMwyzg3YtArBtBRwuOU8yqOxhPn W0E3Kpe49YfAGsVvZVL/DIV280pafztABv8UpdZc5TpiGBSL/TuifjNG5Mkl9rijmPDyVmF/Crc4 1M0KBD4HBW+/lCDu4wUWackFACDdBSZYUHHV+QLosaxHpMYAn3dWWyivg/ikwVcChpeeYNdyT6c5 7Usi/yDovOfVBlx8AKuVZkwYtXcJ3TU8cShlfxMd8y/ZIkAkI+F5VQFu4x1f6uEJXJ4vQuBwiu4G u8dsz4u+XUmzKXePwILjjOtsXdi76+Pd/b8xk/Lw/P/ah++abhB+tsaoTy5hHOlD2s/UylmbiFXG NvT1dhw4FVoTUgB6qdi7hZC3CWJBnGb+UxtoMJjxwqlX4eQgqrNmPKT75scRcp/CLsUNFKASkN7o Z15MXCPIjDUm4JajYASfYSfw5Z/m+Z/8e61RUBmyU+S64iJsaCScEa8RZ/me11y9C9keRvoNfwMi 2ryCpaNfDuZr1SmK1dWQUFFoMyGJ6VsZFBzQJcBFZK5pCD0qy4bZ64MbsjkS/Vvwyv4bdlXgppUl zrc+AVQu8Pd+hUha9ISg89KUmlV+USH+TUV6rb9ZdAxvccfeZVnnLPOV2snLxkBECYxT23mymeDL RNp/SestmJ7pai8pPq03WtVdc6DK5cFkqkYYaKPmPpC+eJNPlE/iGjIxXv5r8Th5H63Z0u/7M26e E8cpMNjn4v/7Cyd0yhCcScWoQ0+sWqWXrq/I9D/gzUjL/iNmDhdygeCsPksbSe0wOxCmH4vGwJlo HgWAoYs8bUeHQ0Hu0HVmxwVnr2cs2P2HDLLU3YPPbaEDPkFIG/PzMxdFJ2BaCv+VphS7guWOoCF0 DInn4MAoeHm3xnn6GeDafyNgo1+NNDu2Z85IKlYuT3u26dyf35JeD16k8fkzAlCQS8owjE6E82Ts 9KQSNXimsi4oi2IO5D3dFubr2IjsR5dUFcD/uudQwKIr66ydPvgttktWoQpx79WUMyswjyIWuaVW O6rpYhZp6kCu25Dw55kb4d+2eNBoHiWG6DlrNmTT7EF5TA8b4Tlx3HzQzwHVMyXfCJYs4e4Lm6Na UQKjTsNRalf2c9cSNX2fIhKx/77fLINfivwaZ5MxVMlxiBG++KeJmPgyMwRw3t/GjH7ZssW1gDZU Yhq6pM1rdiKyoJbrZUExPcqD/GcsbkoPCA8ivohb+x8NRF34xHe03dzU7sP9JKWzlzPJ0KQS5P4H HlIP8t33+KbfCcsQtD0IP4qZxWbbQU4+YqZuZyYMlxUm178UOSWROhzWieuqnVKohjlAG6k2Fpje vGoE5hVkQVLyKvvPrJgWnAZMNiUcpbMF7nGZl0cIWoammtNero1zVVivnBbZOd5D5OrUiecj0NO0 ucmDDNgIni9/a7dnL6ImOjgqNHLLKKNlkyIdRo6C4FrZx2tNOK73Gk59kVSWj4yn3+u7wTc8EL2A dhGx5xQYb/okmMoSYT5snTw23tOhVU39TjsAdxPhScJD19O/kmBgI4j2+1dpAAiM9I7ZhUNaYNJf ppa+kW4eWJI+pXIXMWHNeDx0XJFFK19Yg/IbsUrLHo5OML4FXrcI0+JgYsptyEf6jFWHiCpgzO9c n8qDpM27bdveEN8kFV1Y/5RoXxr+nrq2EyXGpNzWTVC+szzAzTbIZL4/f1s9sHR9BP73pQKkUdpS mP44oOtTaV/EcG6YmTKzXFuxDjYdin3r4yrycN54Zh6bvqMXyPTRpP3NW5mRxZmatE7UuismKMoY unTbMoDhXazv/JCnxPD1nZpycZAvO0Sa4aeoCQ7cIHxCVOZ5LaQQp1rwVMRVx5DQGzKAo8P0PW76 yjiC0wG8l4KPnWRn1X52UcunPAVnHAEPjeJX93MqYKZLBVTpw0OI/OV5/++x6oJCgNKWEWK8V0NR ilYIe/ec+3Ox2eWESzxvDSlAkFO9vutDs2Kzj2xDfwNI6FQZHtT3f2JCsxMCfyz01N1OdXg1FeGw xGJlmqpI/7sn2Y4iLrov22eNCrg4RYdqqr9wv52tgF1LaP7QnhQmwlfmFhltkq5niebYQGLwb8WC Y1gvg+CL0cTxYTH4xUBRxfLesNbs77RwGmNC70SZdLNtegAIsY10iu8iRLGo8U3Z9Qb2G3mHOk5E BY/zgMlB3lGNrrFGpYEZOpIM75Hc0UNPsjMq3nDjytZ233KD5m7YVk988xtCthZALtsT1nv/NXUN ddrEA6CNk5Bi3fisJqsjMHCAngR7zRLQiQpHOiVb6l4xx1sLuwWdxGMFIj+2vGKm1hfOkaXOL7HT rV7q8dJgNl0YIUObtUe7GwlMWUMvi70TtGpSA4U2D84tCePVKZUdUarKHm7AoAvGewbMkcWLuDyE Fd0eRsl1WrdAZeFDpHsfYnULJI9dqOlu0yoFXHSwVLjEm5xGkXXbsJ1NjafMjVczyziSXPSJDRMs lqu6EiI14NZrge1bVoViwCUzc2YxezrBi2RPuaQDs8TyjymFeAGfrK7OFD1N9oDIAt/Z49E7dDwn Ob6zgbc/NC1ey9gpV6hHilhZ/ePq8expIs1egcJlbMdWDakDXNiS/VLMeSemiIkapW+pvEvPlnCh YHtkmaJVUykfpl3KnET1unh8e8KZNAlz2dAA/YhpTbiUDp+71sEkSqazvZH2hAjX0bhrXL5uUs9/ L3Gsjbw2d/QZyw9fjMOBGkPiPJhzrccW3xiDm+e76suP5TDUT0Sg/D2DEjyedkPlMOzXVxDr8QIp 5nUQ8p2BEsWagx5E02yhIo0+FiJ2XXJvPuaoYGqsios/OECF/A5JOdlfGeCAeo8sQdBZlN0GYYtl PJfUz0wmMkw2Hqgy5WnniTPcSbAEGTDRdle2TCdFA0ZUMThZd/uAhsJK63CwnjbjgJxkcM5q2qfO wHssRT6bAkSfj/6YNhDtgK6MXE727LyBQyQgpWZN6jwI5NXY6ycPi+yjGaTZBRUGGGFWpHbKMXre FCQDaiiu0Nq3zZVo9toj1LjPX45btN5Dz/QPMkn9rIDGPqY6Q3ACsXzw+4X5IwZubM328GqK/zQW StxgOyH/OV2cy5b/gSnUK7J/WwJ9IjkElNsb5U73XTNJHCP0+yF2FKfxN3XzJcTZndb6z+WcFd1g 1lY1hijOlo2sJ5K38n1eQOK/QkgmkwFAxmQ4cIv4Z88kDiPWWqMB8TKzmVhdYoX3IYF9nyzwFPrI RbmLxA74dlQS7450zVWXGKYN6TWzlgaG09JoDNphndW00zi/JswM4cCLjGr3ZlJmVfS5LkC6P4a3 ZkDxLY4cIpQtkAGxs1P61U9CgsJhiXS4jr1EcJst/mRB72HxH3qp40RYHY51qtdKZkIRdlialNHH Vqlueo0S1wVAXFVrcJPbWSCb9pQ0kI5Ye7S+7OSO/1qds2H0MtQIq3tsLEyNFPAW0XlUiUVEjAv8 J8RBq9iKq1S1VJSnLFWyiQw52DQOD16FRX7ZDihb9B40oupfVp8p76FxnBs+cV2fq/1v2oGqYpQl jVd9KkL0ejJwV+WsMyVzOePLVKCruPvJhmHx3CHvitc/q4Rrhf9W9JxikOkKUVrSn/9kwEogC7z6 Vij7vN3RF1C+kIkWIjl5ZTiwSZEJ0IDsovXx2GuSZoZQSXUdGzFm9vABrRm3elb6dtUFD1BqyQQS Y0nMkN0pPNHZh5g7hz69mbAoj9HTLLxHnOmu6zgDADlSW20Ufcto2OsrrQKr217hcTbc6tY2Q44I XLp6waQLvvV0xDlVbGE/Zo1MOuoYnEJu0zajKzHUAoNLqhEfrMD09xK2l5wjRwAMkqZNO+pbYxEb 4s7lo4WRj/tMxWGgwJ29mXXT7kvPH5HiuCU2FgO0jyqeOQOPNx/tCPscwQU4Veo0xOTcW+069LWz +ZtXDT4YDWbITfNU28cFhXaLeB+7gPQMjBML8D5GQFeZZELqGIgrcU//q/JTsQjzU6huA3/AmMyO cGP40sL+zJqFxFHquo610HJJCzueG1uswLzbBQxW/ZcbU2DM5mHe8NyB2wYoplg7U4az9MOi5F2b yjVBGJAsoXtblsg1gbvn/qWBV7BwhFhg3A4if4uT7iyRbJVFM5lN/OSvI4KaaQQI8LovnHoqJ4hQ 5x6W+d/0tHBtudTYcvTUVEFEkr3IelzuG9I0vRIZ7UcRgcgy/bEKJTa6w2VVfxPVgZ7wlG1oSNxf qdJpRsf3+S1Vyu25rXLTGX70XZxg77CRxl4yVIqCAdUCzQTBttDocYXszpRjUx+q0HxsN3nU3Hf8 ZYoFc6RBTKmqnIlo8yHDVbPMD5apAAlWTLskA2wfD6zgtOGBeFcC7WBx9C44kkM0sUMnWh3lvS92 QYgSSQUAzMIedz61gYwo7rue9x4gj1NSMtDTk19UMo4+6ZanxpcFFiuutJVxYShQAPBKiJXNVuSX oiT+yHCEP3MSEtyZ3HRN9SSDrEMydVJxDv0XxpVnVkiYr3S6jd1qAsvTg8AN8qCj/VkDML2a+4Qi SwXVXiNd9BTdF7zUPBT851GNUAgu//zG/x7uqZXWiwBQPI1d4kYJgr4EHJhwszEHje+SO1zckQB3 R7ebMT+8euSfm83SLDvo7jIfr/yt4h0ohkgXvjHlwiXsEnDG24gbqGEvcz0eiMg3mwPbxXFVp5Ej RjjpEQs1w74R1rN6dJtT3yykBzKn5y4osQZsvipvxWA9Svgb6iP6fAsILuRoBcX8RraH9MOnZDIf VOE7fcOBdasAtacF2wYiYEgzePaNha2Z7nsoK3JCv6weggaAwh+ye2BgbPOSw9gR6/Z74fX4Aun1 XU1JbymriR0guGSZa4SFG2ekOC8FBrAxkAiJjd0jBcLsZTiMN8A6Th3BKBFM1RDln5iAvmNDmhra R2UOfs2XfDDM/dP6PHS09jOM6V+f/U4h+0GBPsZu6mPgvZAkmoaJuUN8XEzN1ww6krsQpe0vYmTF naZAVxso41dUN+eL66yI0aAMLYvqlzDD0uwIhu/hlP/LN4fqca6XlwspJOQX2MaknwIPhTqV+GWq DoIi75esPd4AkBQV+9NVUcjrJXFLibk8ncAt+MogXSbGSU8c9rIO35vI0DhQo0IrJHxM/06zGx6H rq/Y/lH8oZaqsGoMPDgjZyfkGNW1s9siKku4ZiA1AF8WRHa3pjpyr2MwP0QeO3Ejq7wcGtmAMhCS eJ3/exJ85hzXnqxvo6YVNf29+ShBT3C5yUJWZLLnGcc96IT6vWqAwyOSQ8ciCXB+BAS6i422qbdD hU7mvABNgU7MPKqKtykbRsYE03xguHPsaZ0Gq8E4iLsABRuvCR+lzdv+XQzknKeVKUipLJ0aiQLk W/i+0KOAJ57kPi+8tu3D3DvtYTF04t+gqxU4RoxAOO7Fs5WvmHuViuJVz93iqWDgqISsALM1qqh0 lLmK96zzvvrhx9Vcmvc6WYf88oCk0XoRlXcuXn7m6DHBbDEI5RJDlv11q1tUk6cZtFn1u78MUBVe FQegma4UEsBHq7oEa2ZDKk7ShIWcWpQb3DU0F+kt3Gj6GVmU+PEL/jrag+eiQeTzZNrWK5eXmaOY phtv+PTqRfpkpKu5o0LD8k0C5kb2lRumb6xh3mVKfEkNxJsay+KkZQpTdRfu0md2HO+rxMfSGOuR 0mOy72QlescIRKQgEs7D1w4TqlDREfm+pphJLqvG8OtUSCxtdwjVF5Fvd/k8Wd8JcyTaeu9gdV8q iKlvIEpMmCorD0gpE2Kv8QHbqbnX12JdF/tXAJz4UG9d/BI4+SJuAy5l4HvXPY5ZJZuBa2fuSasy n3meq/2ax2FuJPJesnAXcAYl6ImmmX95PHQBDiRv/D06KR+DybgrHLrglyb4ah5A4z/48nBhf+AD Z42MvbsAKQc7jL/O6nPIPycdCJu9nTpDsJrgmA5zoE4iChMHXQImaxPY3axiQjIhebBZquJNkI5P MobLJc964kfEJZbH8qOS5TICClWlz23FPOxrH1odqepl1Lw5Z1oJ1BdcLg4obzPt/UdUs1uyz/pK o9fD/1G3I3t/CcAlTvvFM3PcyfADMZWUpwhfzYYKP7dWRaCEo0YE44ozb4RpljaZHlhVBhKuCjb5 AZSCqJoi+tcGogtM4DTzNTpx8CLqH0lt2WvlbvoBNKT9SWE+ZOxZ3C+DzHGnWwmxF+B8IKEwB1Ts tgUyh0tv86Vz3RVd69M0zAscHDREANXvENn3t7FJ1ofVwj7m9Xxd/EdMSWDr4x0RzKo+xEvU2dIO xrKHLvu24up72z7235z4074sPEaE6yRMHhis+AS3pwqVxN75FBbV7TX4QhAuWSYqfw7Qv3RWb/cp rRiULs4M1RWjkCF7kaSCa77IMgHn4KbhEOBzjPr71ek6Wb3gaTqDsg9CuYmbNyxDjh1Nfl//hRcr aouYiG7L0Dmm5XhxMUYfBanxIFDHSIhr3uZ1qjxwNFAoOdS9L1QOaCHevf3xSnjeO31BEwoCrQ9o WowqjAvm5UZ8et/AR6SGnllbJUZmlM+xojYntLq/N4ft8IAmynuNlYu5VNdK7/rbF/313TltB7Jh bEiIEDp7vzU7YPK6FlRa9CZDxfiSY6cSb/6QoD6ix6VdXFNrb9fPPjn+WMrFE6aI4NYM6lybCu9J 6wVdmD5bqpSg/HjKC1KJWCubrTRmyYNlOlbI0/JhSpIBdMOsqkcemy46zefVclTsRK9+ki8+6tlQ NRUygh+J262c6ERrR6ZbJyz/JwkC9RPz7iMW+I9piule3CdbxINqRor4GaRptsE10i/nJmXSqyk4 JYo3ZUkbt3R7QPGeJ3yijawCnHu4kx8rDFtjGjIJNj8gmoIJpzROu1XAGlJm4LSWuHtrwXioQ0r7 rWlXjSSGTgeL4gRZ3FbsUcGCzjufF9VettvwDmea1qAhBF5yrIWVLBCQ4hWNrVR54TGyg81sUFDs XWfz9x490zuUzlDYkgaB3cppjOAGyx2CQgH0SP0In065DXUWOKl4KUIUC1OTrzp+xCvt5dW3R1PW OsuVUYBIraEco4Bn5ucUbURu3qbbDufqJiGQ31jM83ia3KzrDklRtKdJ0EY8qWfBKzRPdx1p6+4N 4A8VHxZTJOIJdb0meEloRTjfsNN2QmMo26MnDYMrPls807nbYeDrGtB4g8Kto4zNqfT03JEzETs6 x7ftfweMqOGpsJnld9qi6IP1YKl+Gf5dAIv+QdnOJy30l0KoIZC2oUVX5ABPdKjAE5MU3L4ZOvQp qQI3XjPcPboq9kH5NDu9kOaOo+BWh/nAX2Nk3h7ougBj9/eww2/XYeFR2lmr/yhBc3DY+PwJIr0T jY+1E7roiOgU6/ESvMl5u1wLYyuOgdoGeTUA9ZgnM+iVYtRGBSQn8iya4KmK2GLfN80SQ02Zo3st OzhjTIxgmndiraC5Ww2lL+Aw41xYi+/TIM99i50CvLqRU/4grGnfBFTGhU/iN8SKL6pdfh0UDwz+ hO1myakFx5xxlLkTSf5o5n/Y1FdTVKN5CvVWbwQ+CDTHvDH6PsyBOLPVNv1jEY3MIRHotAFkzZCv wbC/QjNwvAVAs9mwVbt4zkqY6q0462fmRI2U2GfBB7vEsbs28tGxEIVq/nxFY2vn8MwoPv6Pg5vi wmebbKESWodD+7Gmqairc8kBifePbAhBoFS23X6QgYKpnB8aLlMTWG09ZC/BOMabs0Au96hJBMeQ mNdGOH6ieYWC6ShEmAHticrOL6oCvCc+Az8LUffitN9WSZyf2yfQmsAL7Mkst6kDYKJvF7+PFUnS Jc1ho94JSxpMI31TjIaAD0W0FRJj4OjO+W77xoQXM+fBHkLbmmn1WQfuNcn5Zr3fMcnZFmvqwf2d i7Ir+GsANZ8Bcp/JQz6GblAzgxYQ2zEKROLyNKUhf1/81dzwAIoHhoY5stbOSRrPvBVUxSGtr9sb scSI//YFtli/SPpUv25m0c7pajdo46+OnNib019TRzssOTeyot8Tjs2BAT1iBL9X27B7u1VKlM4D xoGP6CXlOzZ4QMeuTBU643vUlrtbVHTmKj8oNI1AiPM6gTQX78vksgZ4hJ56ZX5on/mFfVoMWpf1 4PDGqSySXj9M1fG9SGP4Dhgw8WQTyPB5VJYXGAhQy2HxB1R+uLTDnI4U8ewNQVZ9Lq+GO8K+I+lB l9VFftApKHbFWenUgAFbQMVM5bugbk2zH4EJDJaAF3+ULka4yEOotU7a9kR6nE1bGQAzBPfjoc7I AVAVa5QXdCeVxS5jNNnqRf9XI1oQTfL6uRb9zUKGcpRgCHZLFffvQ+yCa7Z261Oma2+POlvVOqxW LjatgjHHVfFNoNqfaeJBetrRhf3guV0bpbwWg1nzL5jm1PZ9Jzd5QqIpzC4nQPKkbAsgUkUC9g2M zO+sO+UWtiAXW+C5j52+6ktDrGsviovertZvLvoF/gCNSgf0zp/uZRyHd/8F7XL88Egky2pRL0fu vJx9wKhCQ7Yxm85J6vFRm5OxCC54SNYmrZxWkMJrbviQn6VRpR9/75uwZWqkvDnWukw6VsoEnbRf LfTh2LI0BKp8zQRFfl18cBBiKrlPNgcjZWwuQiZLOGJ6eVpVgnRuLjeUcINvpt4AQpKFsIQOgAsn xuJewSjDwgCS3Mqkq4SDj0+Zd5RUoGK+vubdeG/+va0BJmMNDF08J8UYcVKQVDUKNJ/zly5xLoYq il8A8es9NIpIuBl4DFrwOaUy19VmEJbqJkaMypFppPVBBpXzKwFN1Gfb409KAN9RN3KA6RbIl/Cg vaq3X0OiqPpUtyWrKvB+Ru1LvS1+Kg27xzwJYqEBQXoF0gXUTwZJnDX1zOmCRXKin0ZpMXj0Qd8m KkyXXLBqbTi+WgE/CpzISov/b55fLBmwda+FbdxCgPiJRIK0pdYS5YCVUOweAPfdZL3U14NPka8L C49UVkR0LSwSODD9T9Uu5AXpOEE7mOaHPh98lNg4m4u9Yf2RU6ci2EmYxip2w4HKVcmJ95KY60A2 Y6HGQLwP/r9Bd6j2y9KeMoq66u3WOMS01RNWxmj+iR4h9KFRSn42O/oO96LHBZLDmkUsjrO67RIu Q0KgXbUY1EFB/ST6xiXhkcRk1B0gb1XNun/9GWTEvCHWa31Z22GY4rT1JThhW1UbVQXexS0XiPX1 INNFmONaAE3avkTw1viATTS2SFeBBISjqF9/pi6vFm+tC3Tx4Nn/Vnc/5qSRAc+0d/hhRYAodYf7 fq0lZDmbiK06rGdD/44jQ2jSz1kGPXvbthk9f0q7e7A+qWN/D6TSRjgzX+U+2+Le1hg5gLDhpwBc +iHwPhDFeBo6MUuyar9ravtLyRQfcEtQa07hSOxIqUMuqEeCwNb9i8wpJ7ni5p3f5fHNCAukj5PZ QDiIR+/LjOk1FA1ANwNtOjVf7OaLd2ts+t94aaZ0uOKZxjty15kgx6q9knOogwAPSiH3oVNfhq8o 8Ft1AVsSrIQmQLwEeQveUdBwtQZXrLqw2VU4VASyPH5j/hSjSnR56QOxwyEYKbIIYGg4W8ML9dSY MD6Tt4N9MYTEXGRGGV4FzhShozEeI4hfgYq9yXoimYbd53SZZBxInCwrv7rTgKgpUCWMCApYWToW obUBkpHp1apEpYx8lQGCLmbWXSW+p6WWzcdpnHSAdIQ9Nd/RVKM/M8qQAHf9PgmAyHIoK0ae1vBF RfPpGxyCCo1dV8a+XF52/IYx+D3v37JNZ4oy7w+tDYBVXEAgl4UAX9eVjHVkvA+J7lxPiTKd32Fa S9Ph5H28dnsn8jH2U8ft4rAToVdmh1ZYr+e9F//V5RmtiWNNj4uK1+PFUBsOhrwHvnie5tARGDI/ LfVvgkPHy571Ew4x6edmKowJ1aINJDhDINr/86WaqbtAJJB59eiO8PXVrlAlUqMTLQ+pRiBjZU5T Dr2hgrpyvHipWYwXMZkrxc59cQwr6WvklQctSPZJfCYu1vGQ4mKKw8Mp7O2PXR09SV/6G/+xjrTH 8ELHRoMT4irBupyDwilnUw0wJJMXFS50XxF504JY5AVWon2PaEHRlNQIs6v2NuMHfmE6PgCTCguO UHuvmaszISKxfNRhKkUpI4W3Fj8su+yJ2NXYsiSE4IewwWoUT3YrMRHnAgYWuA1j58hWSpBSSzHo xP1HoBssxHf9SKeSG1p9DGx7sev9ugekgAymCXFo6nBn0vqpU7VngiH6d2CkFQsYZx5y2WgFeh3p PHtzzyJpIp+u5myi0sOJElGJz3or8yy5IMEzsizbU4fFSe6bvFqwmpQMFC15HY74UsJ3vGE0qrZN uarhhiW7OyBu400okjxgdfHE33pu04sGgJHshrWwnaaVpxbQYxWpwxsNWWpANCi3eHQFJ55Mrn8e N5679lRG9tHYiWq88pkTJ+5hWZjLnAqyhPoL9LvPOXJQ2A4wdBrLuH0acbTyXbP8qg+MMOTtz88e eqtlyNINbX1FTL4hBXGs6nv9OPeiuuWS5S+nCDN33CqBRNHdAT2ZuuWQqjMVGKM6VAb9CGPRlvvf uXldLG95wtRROVzc5zkacPEzBuRDPurSRuTBV107sl+pkwGI1URgg88TRs/xoobxZPmP56FCCvCf 1JqCo5wZpC10y8Mw6TA8a9roIsFLPJPj00J9JEcc8oWAQhtIG820rq+/FimUBW4eprUtkXtMM444 89hyAmPqMcVxX6J6ktYQKL12AA9D5kB3YALUjKGMGtlC6dSk8K/bmHVxYE+jUHPDhQu1VcTv/vFA mmuKvxHoSFpHEOWqDNpFPfoegTmFYhLQg/ZXqDlp8ebjGdxsLRVzUT9yFADiJAhX/zCnptDjwB1P rJGsJQhXEvVwIfIQFN7OhvhQ/UXS6bXw4VNF6j+3+CFnaCO3OJrKdwxkZdbMT85nTeaN1BPgbJ80 Lbq6kbYnB7m9MFTqs9ic2u5S6Am5lpbzeYbXSz6nLRihNWMbVSN0Ci8+Z/8xJmBaUF26d/iTCjex 7h2KI4GJErxO/igQpOcaR/rtfhl26DAULb+5N+e6GMj79WMW9nolLSkw2BLNRvMfPdF4LMknXfZK +Bokaiv6dPSYPJeYPDnBsixXXXXcMp7F2fA3eBERfTvaHdZ7dHTgkOcNza8726iIBOxgIxX7/RGY +RIULUqFYLAZHR1M/ofufn1W7MiDp40U1FGSRXv+rW2bJ/un9Rt07IRl9q9qTrCkErENlc5J1Upm g1iO65pXOrlJL3sUUdYhHJ1VSqZoiGSA4JIwC6RX//s7xk0jYvI+ca2SOLm9y5wdLcODfvVjwY1p Hk8CUOSbt4nJlxWiAbghkgcEvx36eJisEU8gwoCBoq8CPM7jNEg3dmHHaahF+ORH271dg/2BMUy0 ifMPbej+idFIW18zFGnogze6y6pcDQnHmO7vtIKFUjfDaxzVJR5mkypmtsF2vDQ/oLpjjs4OFy6v aXa6NX3sQ2jRTmBcFlZAm0sT+zxA3+Z/H5Nouo/LfJuDypK1UfQhnHJl6pOU8EavYr2H6phy9fZB YMmOsRF6gmpbJ/LfN9CfeFMaA9SYAxLYSozb9tj9KRWL0W5Ai2QCl8lvRS8UOXDPCYp7aWXMET8+ rWvonun8yeLYKCYr+VvA8Pl1n8AyyFyW1rr7r1dx1zfjdTWbV16/nDhBZAIgtUKn+G5mf9U9F/pt WoQTLPx3GUnZix0wAK3i34mw4/mrjr4N0l4Z2/XqXO6ordfMHg4gXpOJ30oAatIyb0N8HAzkRMgX 8Hy9zshmvFj7NOdVYm9Ztl5g5SX7XX6kquzC/r6uR2wXnRHwsk7jJqumlFMHbNNcQrrAk40HwJ/s 0zR+EZHsg5QQKhZFPwnnDJB8oPjdGl7nn6ThJ+LkF7EdErqmX7Pv6XgaasP8N+MEfanrYkc/pS9p TSs1kjBbPvA4HGOeH910TgspnE1wb9ptBDpJLyDU/afKLgwLTgUwu4Pp9Rr96l9JJwNRAyW0BADj i9GBWC6IVjBy9Ld20KuVFEonnVl2v8n/7LnAqrgLwX2Sf8cJJdqQQVAQUmFmwVisJH2aERJKiXux xnqrWkm0cHiVDQ4QFcfbnLS/f9cifzI30ClQQjsVpT/uBrm4W3ywuaEUUQ7hDPyPfDKpso4D5c3N 88GxKwcpsENCCzqpo8SoOQFzLBrRh1egGgpJe63yB+1mTrnhVE3ptuayUF9tnGrlursS875HiAFt dm4qAnw+Y/4iQHf5QEVOn2qR0lkaynXJVQMYjnAvR9DdySy8zusr4U6apnSP20xQ8ss+/bH+b+zZ fkHDk5tlCoNNx3+yJz0mQ8YZBHgKoKs7EHAjLtCQpCeOKTRRJFNtl3S80D5+NJXfafF1LIeu3Efg 3A/e6rbI9ihrq7fm2iUtWpvVqoMf+IH9QtgglsksOttM+QDVoHy1iGfj+EGpoa5oq1yMcff9O/FF 0umFQCxTf2lAP19I586PXy+HZ3RBeMJ7fgVy6y9wd98nZtSkF1spsuX73hAG7L2e3pnGWl4tMWZz 06iuipAVrOt62keBiWg7UMbGNmBQFVdxGEhCJzYQcWK0PKeQfKPztWcnV1Wxfi7kKG4yv14U6zk9 i38TgB3QNJtRZjqgqe+CsAWVV3jqeM0n1+WxApEz0WhhmhorlXByA42fJwwCMnZ3luri5NU3jBek yB8gh+Xt5UiWRbzeFjWzH5Af4Ml5IJC7E+JwahsbgjihZ38qM1V++QFDfXR4jn4bbR/vZGti/JSW 2cc1RzsUXbOmBjAu3ESBzwtyqsWKuZ9DaGxyz7X8UcswzAqtuey5VqOu0CGc7/YO2UFiNJwQg/iT BGiEDpm4apLAKapGlyunmOPNTYpvF47BdIinZIJh7ewsR6MKvMNFBcuq/4MYTvPioL/s6aSIU9va e/a+2cVyu4LfZY0Z7lJU20jQq5l5evTAZmQsBzPA7EjjsoiBNdEuYKZZmaiA+wg89F+OJs4m9nTB w87vlZ+cwkIl2uo5tRWcnzwoi4umr+zxQQJ3pssEqkLDi89IJPQkHbZtHLL9jtQxjr2eCiCS1ehi JH9X/nd+fiQ2O40mVBPpkfZtBPJ7zugns98iF2Lv+qThmDuQWQIlZ37dE1FcfY3/Pj216fbiOEaW 0KjZIdTkuTPW4oroXNh5rr/WgutgN9sbIsHwNkuFzXC02D/Dn7i+Hf594JtPG9D4n0GrYk17K1YA yp8gwxXxE2lfh+wjUXF/HsPIDIqgdObTJ/iKf+VjoAOMwKZRBeF/qzmeZ+X6dCPvSyzzhFDf1mol eJmnush+trtM7HO1Nvw7zIyke1PatUNm3yw3svq+SBqJmf3o1qWR+ebNyGBApDrRg4yynlRDaQpe FpNiwHmjnAHLC4c5O2ORlFkRIbWymlTiwg5yJOvIi8JnQaG9N4sDzzu/YC6j7MkgYVUOwSRPf5K5 eHmgiuBSr97izQo3yvdlTP6eTSGkeVL8Gmyk1GWZGebWri1ui01wjtRnYKBxzcUTjPi9LA8VcyFC F0xdi3IUrcq+f13T6IjwcX8gjRFCwqO+jV3DZQhNX3pFSS43v43TyKOZ5pCtKGail9wZ9JwgM4tg BLpTm6eSaMygTkzl+IV3/kZ4FVUCXwuKOBJXFgKEzDvRYwL5gczpExxBK7ttg4tb/CT8km96IjCJ H0qQA5a6TauOwJ/rJNKrd96tzkhlDm4Rov0eFY1E2146Mt6bn2zs5/UMun3GaV1/8GnK6ouH+LN8 KnNtT7/7M6x7x6fGCxP6CL/XFIkFG7C4cu9EUk4fh44uGNFSOqVg81TyszC1Hr57I1wyg6UtPgxt qAqpfOiaFhyOTL8mPmQcp8Dd8w+wibulYM8/RB8DQAT9PS/HSmEFywqJn1lk2paoaaviKGDpVyPc YLkvS0OFFuuH3iodE/3HinYlODI3q9O3qm1j9LBQSW9BEo7c9ID9kPTrN9E5Hp+OX5BYB/ykUzAr sBUla+cvX0AgA7J6EDUMgzpDV7PQOawHdSeumUFmy+/wCaWllxx1/OrSl+M1GsMuJCy/7O+ZVRkp 7nckf+7Z532VYECTwmx8AnDi684Kwbxml96lKMyzPHykV07VRtniYveFVnxTIDL2YCQqGUHV/CIX dKbkO1x7c1BsTW3oQBTGXL06bgAiShCkC/VklYgVZoYILS04ytLZqDCgSnx6MA3KpKha6KNUPmO2 KwaSUMPLAq11YHHoj1HOlpvmNltu15EceByL56xMTJrHkTtv2KwRQE9nAwPBePKaCQz2P+w/I3d+ pFkTLQXuMVJZvKZ00FopILSr3vj+HNUYcRh2P3aAIPcv28B9Tj3veGkvG1bm3EAqis2hyUdrFyBz 08ONlwRP0pInnWzQ47mLk1xMSF6mIIBuKNGa6Mx1epMxhfJdMMW3MmNxDUuiJU8Y+iwph4YH7A07 xwzeqdt38PdMm/GZtF31oEV6dlJ+3Jn6IrqGy7OEm3YmxlqH3tDUXudpN5ylXnd3PhrjXXiab8j1 eG7V7pvJi7yGL/B8ny9qizbdATbAF3fd5TUQ9ghmyeE9eZRktmQFgohBfE8ZshAXnCZJbccVX1tV vFE7Sg5/AsYjs+1C8ciT4j6KH+pTp7ankLvPUkRHFtbyZ+PxFoII/FtrJOzp91NpJx36VgFq76Gq 65Xvl0GLgWyKC20JinjgArKwajSZj5TUMJlftG3CydR0Sat49T0WYgmmnWKfGmOiJwSJqoUU2XsK 8q9lXC3KcpGz3DX51mrLor8ffY0Ew3/LK8snVsfgkEtP8ds6EG9GoyH2ybuP9OoY4AayEPXmyY7/ ZPzrHa0axcdOSv7UcRZiiN8xcjwk1eObwNePZ8ZD0UsuX7ktpDIQ5yyJ/In1TRDF6nT5imLVre3Z YMdAHSxnqHzRGmm7gBlr8BJX4Vp0tf+wGfZxOVhx59oFwVJ5kmfe68yiZ/fbdHMW1Q5d5L2JDo+k KzLrDPR0bjcPChK8Dg7a7tnhmHcbbUQcsh3P/LD16hv76l5WYVISXpHVdQ3XNHv5YQcbEF+YLMWk WZ4vXEJRG5VpzflbcA4prJl3EAY121mIB2KZJ+cfx3a1ju9EwtzX5Ecyi4xKhK1wFIha5C/EWF6X ppDdEDaAo5CzyyBIZzkqf8+yWRIDg1YTUISCZFG1JzbrW0XY/9j1fOw2tbJDLXVzma/aMzCUY/i6 xlwcH67QWsHYuWhBT0847+v5cOP2Pefrn1kyiCk6CDvnDru2ITMj34rXXUqugv5wH/H8yknT3N4X CUoqw7a7HBNkLfVF+j/CaSWuMJAqbsEfvfxQy3a3xpzLaAGbkTGioFXNh/4BeLwYhx+dzzYo2OBo +nRKoiDkLkJJ5gRQtsT8ODFBA9v+Xz2250oWjnYp3iBoqqO7sFbtv44He6C+gyEgnA5XK+2xNFcq UZx3QA//1/UhksY6eAzQBU0aqluohEzxvc6+w7WjSuyA1INfmq/1ZADRrpzWUiND0lijIjIVtug4 OMNgFNztMnpEtFIfddSx9pzv5Thy+0mUak+ahNpp1Q0RD02Y3qZO3TlCQslOo4puMgGCWMGevvpC EFFF2g8b6G9EXIXhrTv9Dx8UFYWFow2t7g7lKAEeKDGtriYSfMh536Qm2msj5tKXELEipSwnZ+w1 0A== `protect end_protected
apache-2.0
96c18f3ca9fe9a2fde5f4b344a90cf39
0.93997
1.849913
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/metaheurísticas/mpegmv_femo.vhd
1
2,927
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:45:36) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_femo_entity; ARCHITECTURE mpegmv_femo_description OF mpegmv_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; WHEN "00000010" => register1 := register1 + 5; WHEN "00000011" => register1 := register2 + register1; register2 := input4 * 6; register3 := register3 + 8; WHEN "00000100" => register1 := register2 + register1; register2 := input5 * 9; register4 := input6 * 10; WHEN "00000101" => register1 := ((NOT register1) + 1) XOR register1; register5 := input7 * 13; output1 <= register2 + register3; register2 := input8 * 15; register3 := register4 + 17; WHEN "00000110" => register3 := register5 + register3; register2 := register2 + 19; register4 := input9 * 20; register5 := input10 * 21; register6 := input11 * 22; WHEN "00000111" => register3 := register5 + register3; register5 := input12 * 23; register2 := register6 + register2; WHEN "00001000" => register2 := register5 + register2; register5 := input13 * 24; output2 <= register1(0 TO 15) & register3(0 TO 15); WHEN "00001001" => register1 := register5 + 27; WHEN "00001010" => register1 := register4 + register1; register3 := input14 * 28; WHEN "00001011" => register1 := register3 + register1; WHEN "00001100" => register1 := ((NOT register1) + 1) XOR register1; WHEN "00001101" => output3 <= register1(0 TO 15) & register2(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_femo_description;
gpl-3.0
d430a69edc4504b008b462867eaf0f37
0.673044
3.25584
false
false
false
false
BBN-Q/APS2-Comms
src/tcp_demux.vhd
1
5,203
-- Cross from tcp clock domain -- Demux tcp stream between AXI memory and CPLD -- Packetize by adding tlast to stream -- Adapt to 32bit wide data path -- -- Original author: Colm Ryan -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.tcp_bridge_pkg.all; entity tcp_demux is port ( clk : in std_logic; rst : in std_logic; clk_tcp : in std_logic; rst_tcp : in std_logic; --TCP stream receive tcp_rx_tdata : in std_logic_vector(7 downto 0); tcp_rx_tvalid : in std_logic; tcp_rx_tready : out std_logic; --rx stream passed to memory memory_rx_tdata : out std_logic_vector(31 downto 0); memory_rx_tvalid : out std_logic; memory_rx_tready : in std_logic; memory_rx_tlast : out std_logic; --rx stream passed to CPLD bridge cpld_rx_tdata : out std_logic_vector(31 downto 0); cpld_rx_tvalid : out std_logic; cpld_rx_tready : in std_logic; cpld_rx_tlast : out std_logic ); end entity; architecture arch of tcp_demux is signal tcp_rx_long_tdata : std_logic_vector(31 downto 0) := (others => '0'); signal tcp_rx_long_tvalid, tcp_rx_long_tready : std_logic := '0'; signal tcp_rx_long_cc_tdata : std_logic_vector(31 downto 0) := (others => '0'); signal tcp_rx_long_cc_tvalid, tcp_rx_long_cc_tready : std_logic := '0'; signal demux_tdata : std_logic_vector(31 downto 0) := (others => '0'); signal demux_tvalid, demux_tlast, demux_tready : std_logic := '0'; type main_state_t is (IDLE, LATCH_COMMAND, COUNT_PACKET); signal main_state : main_state_t := IDLE; signal cmd : std_logic_vector(31 downto 0); alias cmd_rw_bit : std_logic is cmd(28); alias cmd_cpld_bit : std_logic is cmd(29); alias cmd_length : std_logic_vector(15 downto 0) is cmd(15 downto 0); signal word_ct : unsigned(16 downto 0); begin --Adapt up to 32 bit wide data path axis_adapter_inst : axis_adapter generic map ( INPUT_DATA_WIDTH => 8, INPUT_KEEP_WIDTH => 1, OUTPUT_DATA_WIDTH => 32, OUTPUT_KEEP_WIDTH => 4 ) port map ( clk => clk_tcp, rst => rst_tcp, input_axis_tdata => tcp_rx_tdata, input_axis_tkeep(0) => '1', input_axis_tvalid => tcp_rx_tvalid, input_axis_tready => tcp_rx_tready, input_axis_tlast => '0', input_axis_tuser => '0', output_axis_tdata => tcp_rx_long_tdata, output_axis_tkeep => open, output_axis_tvalid => tcp_rx_long_tvalid, output_axis_tready => tcp_rx_long_tready, output_axis_tlast => open, output_axis_tuser => open ); --Cross from the tcp clock domain tcp2axi_fifo_inst : axis_async_fifo generic map ( ADDR_WIDTH => 5, DATA_WIDTH => 32 ) port map ( async_rst => rst, input_clk => clk_tcp, input_axis_tdata => byte_swap(tcp_rx_long_tdata), input_axis_tvalid => tcp_rx_long_tvalid, input_axis_tready => tcp_rx_long_tready, input_axis_tlast => '0', input_axis_tuser => '0', output_clk => clk, output_axis_tdata => tcp_rx_long_cc_tdata, output_axis_tvalid => tcp_rx_long_cc_tvalid, output_axis_tready => tcp_rx_long_cc_tready, output_axis_tlast => open, output_axis_tuser => open ); --Main decision loop main : process(clk) begin if rising_edge(clk) then if rst = '1' then main_state <= IDLE; word_ct <= (others => '0'); cmd <= (others => '0'); else case( main_state ) is when IDLE => cmd <= tcp_rx_long_cc_tdata; --Wait for valid to announce start of packet if tcp_rx_long_cc_tvalid = '1' then main_state <= LATCH_COMMAND; end if; when LATCH_COMMAND => main_state <= COUNT_PACKET; --For reads only have command and address so mask out word_ct --normally - 2 for zero indexed and roll-over but count cmd and address --TODO: change to when/else when I get VHDL 2008 working if cmd_rw_bit = '0' then word_ct <= resize(unsigned(cmd_length),17); else word_ct <= (others => '0'); end if; when COUNT_PACKET => if demux_tvalid = '1' and demux_tready = '1' then if word_ct(word_ct'high) = '1' then main_state <= IDLE; end if; word_ct <= word_ct - 1; end if; end case; end if; end if; end process; --Combinational AXI stream signals demux_tdata <= tcp_rx_long_cc_tdata; demux_tvalid <= tcp_rx_long_cc_tvalid when main_state = COUNT_PACKET else '0'; tcp_rx_long_cc_tready <= demux_tready when main_state = COUNT_PACKET else '0'; demux_tlast <= demux_tvalid when main_state = COUNT_PACKET and word_ct(word_ct'high) = '1' else '0'; --Demux between memory and CPLD memory_cpld_demux : axis_demux_2 generic map ( DATA_WIDTH => 32) port map ( clk => clk, rst => rst, input_axis_tdata => demux_tdata, input_axis_tvalid => demux_tvalid, input_axis_tready => demux_tready, input_axis_tlast => demux_tlast, input_axis_tuser => '0', output_0_axis_tdata => memory_rx_tdata, output_0_axis_tvalid => memory_rx_tvalid, output_0_axis_tready => memory_rx_tready, output_0_axis_tlast => memory_rx_tlast, output_0_axis_tuser => open, output_1_axis_tdata => cpld_rx_tdata, output_1_axis_tvalid => cpld_rx_tvalid, output_1_axis_tready => cpld_rx_tready, output_1_axis_tlast => cpld_rx_tlast, output_1_axis_tuser => open, enable => '1', control(0) => cmd_cpld_bit ); end architecture;
mpl-2.0
aa1902f0fcc48fbe96f5ef8267fec2d0
0.660388
2.815476
false
false
false
false
BBN-Q/APS2-Comms
src/com5402_wrapper.vhd
1
8,739
-- Wraps ComBlock 5402 server into something more AXI compatible library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.com5402pkg.all; -- defines global types, number of TCP streams, etc use work.com5402_wrapper_pkg.all; entity com5402_wrapper is generic ( SIMULATION : std_logic := '0'; FIXED_IP : boolean := false); port ( clk : in std_logic; rst : in std_logic; tcp_rst : in std_logic; mac_addr : in std_logic_vector(47 downto 0) := (others => '0'); IPv4_addr : in std_logic_vector(31 downto 0) := (others => '0'); subnet_mask : in std_logic_vector(31 downto 0) := (others => '0'); gateway_ip_addr : in std_logic_vector(31 downto 0) := (others => '0'); dhcp_enable : in std_logic := '0'; mac_tx_tdata : out std_logic_vector(7 downto 0); mac_tx_tvalid : out std_logic; mac_tx_tlast : out std_logic; mac_tx_tuser : out std_logic; mac_tx_tready : in std_logic; mac_rx_tdata : in std_logic_vector(7 downto 0); mac_rx_tvalid : in std_logic; mac_rx_tlast : in std_logic; mac_rx_tuser : in std_logic; mac_rx_tready : out std_logic; udp_rx_tdata : out std_logic_vector(7 downto 0); udp_rx_tvalid : out std_logic; udp_rx_tlast : out std_logic; udp_rx_dest_port : in std_logic_vector(15 downto 0) := (others => '0'); udp_rx_src_port : out std_logic_vector(15 downto 0); rx_src_ip_addr : out std_logic_vector(31 downto 0); udp_tx_tdata : in std_logic_vector(7 downto 0) := (others => '0'); udp_tx_tvalid : in std_logic := '0'; udp_tx_tlast : in std_logic := '0'; udp_tx_tready : out std_logic; udp_tx_src_port : in std_logic_vector(15 downto 0) := (others => '0'); udp_tx_dest_port : in std_logic_vector(15 downto 0) := (others => '0'); udp_tx_dest_ip_addr : in std_logic_vector(31 downto 0) := (others => '0'); udp_tx_ack : out std_logic; udp_tx_nack : out std_logic; tcp_port : in std_logic_vector(15 downto 0) := (others => '0'); tcp_rx_tdata : out std_logic_vector(7 downto 0); tcp_rx_tvalid : out std_logic; tcp_rx_tready : in std_logic := '1'; tcp_tx_tdata : in std_logic_vector(7 downto 0) := (others => '0'); tcp_tx_tvalid : in std_logic := '0'; tcp_tx_tready : out std_logic ); end entity; architecture arch of com5402_wrapper is signal tcp_rx_data, tcp_tx_data : SLV8xNTCPSTREAMStype; --sof/eof signal generation type SOF_STATE_TYPE is (IDLE, WAIT_FOR_LAST); signal mac_rx_sof_state : SOF_STATE_TYPE; signal mac_rx_sof : std_logic; signal udp_tx_sof_state : SOF_STATE_TYPE; signal udp_tx_sof : std_logic; signal udp_tx_cts : std_logic; signal udp_tx_data_valid : std_logic; signal udp_tx_eof : std_logic; --Vivado doesn't properly support reading from out in simulation signal mac_tx_tlast_int : std_logic; signal mac_rx_tready_int : std_logic; --CTS / tready handshakes signal tcp_rx_tvalid_int : std_logic; signal tcp_tx_cts : std_logic; signal tcp_tx_tvalid_int : std_logic; --AXIS to Comblock tlast conversion signal mac_rx_tlast_int : std_logic; type rx_ifg_state_t is (IDLE, GAP); signal rx_ifg_state : rx_ifg_state_t := IDLE; begin --don't leave mac_rx_tlast hanging asserted otherwise Comblock gets messed up mac_rx_tlast_int <= mac_rx_tlast and mac_rx_tvalid; mac_tx_tuser <= '0'; mac_tx_tlast <= mac_tx_tlast_int; -- seems to be no signal from ComBlock to apply back pressure -- generate one to ensure an interframe gap mac_rx_ifg : process(clk) constant RX_IFG_DELAY : natural := 7; variable ifg_counter : natural range 0 to RX_IFG_DELAY := 0; begin if rising_edge(clk) then if rst = '1' then rx_ifg_state <= IDLE; else case (rx_ifg_state) is when IDLE => ifg_counter := RX_IFG_DELAY; if mac_rx_tlast_int = '1' then rx_ifg_state <= GAP; end if; when GAP => if ifg_counter = 0 then rx_ifg_state <= IDLE; else ifg_counter := ifg_counter - 1; end if; end case; end if; end if; end process ; -- mac_rx_ifg mac_rx_tready_int <= '0' when rx_ifg_state = GAP else '1'; mac_rx_tready <= mac_rx_tready_int; --Create start-of-frame signals --TODO turn into procedure mac_rx_sof_creator : process(clk) begin if rising_edge(clk) then if rst = '1' then mac_rx_sof_state <= IDLE; else case( mac_rx_sof_state ) is when IDLE => if mac_rx_tvalid = '1' and mac_rx_tready_int = '1' then mac_rx_sof_state <= WAIT_FOR_LAST; end if; when WAIT_FOR_LAST => if mac_rx_tlast = '1' and mac_rx_tvalid = '1' and mac_rx_tready_int = '1' then mac_rx_sof_state <= IDLE; end if; end case; end if; end if; end process; mac_rx_sof <= mac_rx_tvalid and mac_rx_tready_int when mac_rx_sof_state = IDLE else '0'; udp_tx_sof_creator : process(clk) begin if rising_edge(clk) then if rst = '1' then udp_tx_sof_state <= IDLE; udp_tx_sof <= '0'; else case( udp_tx_sof_state ) is when IDLE => udp_tx_sof <= '0'; if udp_tx_tvalid = '1' and udp_tx_cts = '1' then udp_tx_sof_state <= WAIT_FOR_LAST; udp_tx_sof <= '1'; end if; when WAIT_FOR_LAST => udp_tx_sof <= '0'; if udp_tx_tvalid = '1' and udp_tx_tlast = '1' then udp_tx_sof_state <= IDLE; end if; end case; end if; end if; end process; udp_tx_data_valid <= udp_tx_tvalid when udp_tx_sof_state = WAIT_FOR_LAST else '0'; udp_tx_eof <= udp_tx_tlast when udp_tx_sof_state = WAIT_FOR_LAST else '0'; --the ComBlock UDP_TX module can take a full packet when CTS is asserted. --we could add a frame length check to make sure we don't try and send more than one frame udp_tx_tready <= '1' when udp_tx_sof_state = WAIT_FOR_LAST else '0'; --TCP stream interface between Comblock CTS and AXIS tready For rx CTS is more --like a read enable so use a small FIFO as an elastic buffer and short-circuit --the ready signal. When ready deasserts the Comblock stream will continue to --flow for two clock cycles which can be soaked up by the FIFO. When ready --asserts then Comblock stream will take two clocks to get going again giving us time to catch up. tcp_rx_handshake: axis_srl_fifo generic map ( DATA_WIDTH => 8, DEPTH => 32 ) port map ( clk => clk, rst => rst, input_axis_tdata => tcp_rx_data(0), input_axis_tvalid => tcp_rx_tvalid_int, input_axis_tready => open, input_axis_tlast => '0', input_axis_tuser => '0', output_axis_tdata => tcp_rx_tdata, output_axis_tvalid => tcp_rx_tvalid, output_axis_tready => tcp_rx_tready, output_axis_tlast => open, output_axis_tuser => open, count => open ); --Digging into the Comblock it appears tcp_tx_cts asserts when the buffer can --take up to 128 bytes. Need to mask out valid though when CTS is low because --AXIS thinks data is not accepted tcp_tx_tready <= tcp_tx_cts; tcp_tx_tvalid_int <= tcp_tx_tvalid when tcp_tx_cts = '1' else '0'; com5402_inst : entity work.COM5402_DHCP generic map ( NUDPTX => 1, NUDPRX => 1, IGMP_EN => '0', NTCPSTREAMS => 1, CLK_FREQUENCY => 125, SIMULATION => SIMULATION, WITH_DHCP_CLIENT => true, FIXED_IP => FIXED_IP ) port map ( CLK => clk, SYNC_RESET => rst, MAC_ADDR => mac_addr, REQUESTED_IPv4_ADDR => IPv4_addr, IPv6_ADDR => (others => '0'), MULTICAST_IP_ADDR => (others => '0'), SUBNET_MASK => subnet_mask, GATEWAY_IP_ADDR => gateway_ip_addr, DYNAMIC_IP => dhcp_enable, CONNECTION_RESET(0) => tcp_rst, MAC_TX_DATA => mac_tx_tdata, MAC_TX_DATA_VALID => mac_tx_tvalid, MAC_TX_SOF => open, MAC_TX_EOF => mac_tx_tlast_int, MAC_TX_CTS => mac_tx_tready, MAC_RX_DATA => mac_rx_tdata, MAC_RX_DATA_VALID => mac_rx_tvalid, MAC_RX_SOF => mac_rx_sof, MAC_RX_EOF => mac_rx_tlast_int, UDP_RX_DATA => udp_rx_tdata, UDP_RX_DATA_VALID => udp_rx_tvalid, UDP_RX_EOF => udp_rx_tlast, UDP_RX_DEST_PORT_NO_IN => udp_rx_dest_port, CHECK_UDP_RX_DEST_PORT_NO => '1', UDP_RX_DEST_PORT_NO_OUT => open, UDP_RX_SRC_PORT_NO => udp_rx_src_port, RX_SRC_IP_ADDR => rx_src_ip_addr, UDP_TX_DATA => udp_tx_tdata, UDP_TX_DATA_VALID => udp_tx_data_valid, UDP_TX_SOF => udp_tx_sof, UDP_TX_EOF => udp_tx_eof, UDP_TX_CTS => udp_tx_cts, UDP_TX_ACK => udp_tx_ack, UDP_TX_NAK => udp_tx_nack, UDP_TX_DEST_IP_ADDR(127 downto 32) => (others => '0'), --ignore IPv6 for now UDP_TX_DEST_IP_ADDR(31 downto 0) => udp_tx_dest_ip_addr, UDP_TX_DEST_PORT_NO => udp_tx_dest_port, UDP_TX_SOURCE_PORT_NO => udp_tx_src_port, TCP_PORT_NO => tcp_port, TCP_RX_DATA => tcp_rx_data, TCP_RX_DATA_VALID(0) => tcp_rx_tvalid_int, TCP_RX_RTS => open, TCP_RX_CTS(0) => tcp_rx_tready, TCP_TX_DATA => tcp_tx_data, TCP_TX_DATA_VALID(0) => tcp_tx_tvalid_int, TCP_TX_CTS(0) => tcp_tx_cts ); --std_logic_vector tcp_tx_data(0) <= tcp_tx_tdata; end architecture;
mpl-2.0
e5d42616c82b6b8bbaca5c6df583275c
0.644238
2.660274
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/4-MPEG-MV/metaheurísticas/mpegmv_spea2.vhd
1
2,727
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:04:20) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_spea2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END mpegmv_spea2_entity; ARCHITECTURE mpegmv_spea2_description OF mpegmv_spea2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; WHEN "00000010" => register1 := register1 + 3; register2 := input2 * 4; register3 := input3 * 5; WHEN "00000011" => output1 <= register2 + register1; register1 := input4 * 7; register2 := input5 * 8; register4 := input6 * 9; register3 := register3 + 11; register5 := input7 * 12; WHEN "00000100" => register2 := register2 + register3; register3 := input8 * 13; register4 := register4 + 15; register6 := input9 * 16; register5 := register5 + 18; WHEN "00000101" => register2 := register3 + register2; register1 := register1 + register4; register3 := register6 + register5; register4 := input10 * 19; register5 := input11 * 20; WHEN "00000110" => register5 := register5 + 22; register6 := input12 * 23; register7 := input13 * 24; register2 := ((NOT register2) + 1) XOR register2; WHEN "00000111" => register5 := register6 + register5; register6 := input14 * 27; register1 := register7 + register1; WHEN "00001000" => register3 := register6 + register3; register4 := register4 + register5; register1 := ((NOT register1) + 1) XOR register1; WHEN "00001001" => output2 <= register2(0 TO 1) & register4(0 TO 2); output3 <= register1(0 TO 1) & register3(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_spea2_description;
gpl-3.0
b8ef4664597bf3493538acbbb29b0a65
0.657499
3.053751
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/4-MPEG-MV/asap-alap-random/mpegmv_random.vhd
1
3,134
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:37:23) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END mpegmv_random_entity; ARCHITECTURE mpegmv_random_description OF mpegmv_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register12: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register13: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; register5 := input5 * 5; register6 := input6 * 6; register7 := input7 * 7; register8 := input8 * 8; register9 := input9 * 9; WHEN "00000010" => register10 := input10 * 10; WHEN "00000011" => register10 := register10 + 12; WHEN "00000100" => register9 := register9 + register10; register10 := input11 * 13; register11 := input12 * 14; register12 := input13 * 15; WHEN "00000101" => register11 := register11 + 17; register3 := register3 + 19; register4 := register4 + 21; register13 := input14 * 22; register6 := register6 + register9; WHEN "00000110" => register2 := register2 + register11; register4 := register10 + register4; WHEN "00000111" => register2 := register5 + register2; register5 := register12 + 24; register1 := register1 + register4; register3 := register7 + register3; WHEN "00001000" => output1 <= register13 + register5; register1 := ((NOT register1) + 1) XOR register1; WHEN "00001001" => output2 <= register1(0 TO 1) & register6(0 TO 2); register1 := register8 + register3; WHEN "00001010" => register1 := ((NOT register1) + 1) XOR register1; WHEN "00001011" => output3 <= register1(0 TO 1) & register2(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_random_description;
gpl-3.0
1d818316eb730a511f6d89c0715e6f0c
0.66305
3.137137
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/8-JPEG-SD/asap-alap-random/jpegsd_random.vhd
1
4,746
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:39:49) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY jpegsd_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END jpegsd_random_entity; ARCHITECTURE jpegsd_random_description OF jpegsd_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register12: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register13: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register14: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register15: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; WHEN "00000010" => register2 := input2 + 2; WHEN "00000011" => register3 := input3 + 3; register4 := ((NOT input4) + 1) XOR input4; WHEN "00000100" => register5 := input5 + 5; register2 := ((NOT register2) + 1) XOR register2; register6 := ((NOT input6) + 1) XOR input6; register3 := ((NOT register3) + 1) XOR register3; WHEN "00000101" => output1 <= input7 + 11; WHEN "00000110" => register7 := input8 + 12; WHEN "00000111" => register8 := input9 + 13; WHEN "00001000" => register9 := input10 + 14; WHEN "00001001" => register10 := input11 + 15; WHEN "00001010" => register11 := input12 + 16; WHEN "00001011" => register12 := input13 + 17; register10 := ((NOT register10) + 1) XOR register10; register1 := ((NOT register1) + 1) XOR register1; WHEN "00001100" => register13 := input14 + 22; register11 := ((NOT register11) + 1) XOR register11; register8 := ((NOT register8) + 1) XOR register8; WHEN "00001101" => output2 <= input15 + 27; register5 := ((NOT register5) + 1) XOR register5; register9 := ((NOT register9) + 1) XOR register9; register14 := ((NOT input16) + 1) XOR input16; register12 := ((NOT register12) + 1) XOR register12; WHEN "00001110" => register15 := input17 + 35; WHEN "00001111" => register15 := ((NOT register15) + 1) XOR register15; register11 := register11 + register12; register12 := ((NOT input18) + 1) XOR input18; register7 := ((NOT register7) + 1) XOR register7; WHEN "00010000" => register7 := register11 + register7; WHEN "00010001" => register7 := register7 + register9; WHEN "00010010" => register5 := register12 + register5; register9 := ((NOT register13) + 1) XOR register13; WHEN "00010011" => register4 := register5 + register4; WHEN "00010100" => register4 := register4 + register10; WHEN "00010101" => register5 := register4 * 44; register6 := register6 + register9; WHEN "00010110" => register6 := register6 + register14; WHEN "00010111" => register6 := register6 + register8; WHEN "00011000" => register1 := register6 + register1; WHEN "00011001" => register1 := register1 + register2; WHEN "00011010" => register1 := register1 + register3; WHEN "00011011" => register1 := register1 + register15; WHEN "00011100" => register2 := register1 + 46; WHEN "00011101" => register3 := register1 + register2 + register7; WHEN "00011110" => register1 := register1 * register2 * register3; WHEN "00011111" => register1 := register5 + register1; WHEN "00100000" => register1 := register4 + register1; WHEN "00100001" => register1 := register1 srl 48; WHEN "00100010" => output3 <= register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END jpegsd_random_description;
gpl-3.0
a229ffcf5c68b51efdbc6531c804410e
0.650442
3.204591
false
false
false
false
jc38x/X38-02FO16
benchmarks/LEKO_LEKU/leku/LEKU-CD'/25_16.vhd
1
9,656
Library IEEE; use IEEE.std_logic_1164.all; entity testing is Port ( A302,A301,A300,A299,A298,A269,A268,A267,A266,A265,A236,A235,A234,A233,A232,A203,A202,A201,A200,A199,A166,A167,A168,A169,A170: in std_logic; A43: buffer std_logic ); end testing; architecture testing_behav of testing is signal n_32,n_33,n_37,n_38,n_39,n_40,n_46,n_47,n_48,n_49,n_55,n_56,n_57,n_58,n_59,n_60,n_66,n_67,n_68,n_69,n_72,n_73,n_74,n_81,n_82,n_83,n_84,n_87,n_88,n_91,n_94,n_97,n_98,n_99,n_104,n_105,n_106,n_107,n_108,n_109,n_114,n_120,n_121,n_122,n_123,n_126,n_127,n_128,n_129,n_130,n_135,n_136,n_140,n_141,n_142,n_143,n_149,n_150,n_151,n_152,n_158,n_159,n_160,n_161,n_166,n_167,n_171,n_172,n_173,n_174,n_180,n_181,n_182,n_183,n_189,n_190,n_191,n_192,n_193,n_194,n_195,n_196,n_197,n_201,n_204,n_207,n_208,n_209,n_214,n_215,n_216,n_217,n_218,n_223,n_224,n_225,n_226,n_227,n_234,n_235,n_239,n_240,n_241,n_242,n_248,n_249,n_250,n_251,n_257,n_258,n_259,n_260,n_261,n_262,n_265,n_268,n_271,n_272,n_275,n_278,n_281,n_282,n_283,n_288,n_289,n_290,n_291,n_292,n_293,n_298,n_301,n_304,n_307,n_308,n_309,n_314,n_315,n_316,n_317,n_318,n_319,n_320,n_321,n_322,n_323,n_328,n_333,n_334,n_338,n_339,n_340,n_341,n_347,n_348,n_349,n_350,n_356,n_357,n_358,n_359,n_360,n_361,n_364,n_367,n_370,n_371,n_372,n_377,n_378,n_379,n_380,n_381,n_382,n_383,n_384,n_385,n_386,n_391,n_397,n_398,n_402,n_403,n_404,n_405,n_411,n_412,n_413,n_414,n_420,n_421,n_422,n_423,n_424,n_425,n_428,n_431,n_434,n_435,n_436,n_441,n_442,n_443,n_444,n_445,n_446,n_451,n_456,n_457,n_461,n_462,n_463,n_464,n_470,n_471,n_472,n_473,n_479,n_480,n_481,n_482,n_483,n_484,n_485,n_486,n_487,n_488,n_489,n_490,n_491,n_492,n_493,n_494,n_495,n_496: std_logic; begin A43 <=( (not n_496) ); n_32 <=( (not A202) and A203 ); n_33 <=( A200 and n_32 ); n_37 <=( A202 and (not A203) ); n_38 <=( (not A200) and n_37 ); n_39 <=( n_38 ) or ( n_33 ); n_40 <=( (not A199) and n_39 ); n_46 <=( A202 and (not A203) ); n_47 <=( A199 and n_46 ); n_48 <=( n_47 ) or ( A201 ); n_49 <=( A200 and n_48 ); n_55 <=( (not A202) and A203 ); n_56 <=( (not A200) and n_55 ); n_57 <=( n_56 ) or ( A201 ); n_58 <=( A199 and n_57 ); n_59 <=( n_58 ) or ( n_49 ); n_60 <=( n_59 ) or ( n_40 ); n_66 <=( A170 ) or ( A169 ); n_67 <=( A168 and n_66 ); n_68 <=( (not A167) and n_67 ); n_69 <=( A166 and n_68 ); n_72 <=( (not A166) and A167 ); n_73 <=( n_72 ) or ( n_69 ); n_74 <=( n_60 and n_73 ); n_81 <=( (not A169) and (not A170) ); n_82 <=( n_81 ) or ( (not A168) ); n_83 <=( n_82 ) or ( (not A166) ); n_84 <=( (not A167) and n_83 ); n_87 <=( A166 and A167 ); n_88 <=( n_87 ) or ( n_84 ); n_91 <=( (not A203) ) or ( A202 ); n_94 <=( (not A199) and A200 ); n_97 <=( A199 and (not A200) ); n_98 <=( n_97 ) or ( n_94 ); n_99 <=( n_91 and n_98 ); n_104 <=( A203 ) or ( (not A202) ); n_105 <=( A200 and n_104 ); n_106 <=( A199 and n_105 ); n_107 <=( n_106 ) or ( n_99 ); n_108 <=( n_88 and n_107 ); n_109 <=( (not A201) and n_108 ); n_114 <=( A203 ) or ( (not A202) ); n_120 <=( (not A169) and (not A170) ); n_121 <=( n_120 ) or ( (not A168) ); n_122 <=( n_121 ) or ( (not A166) ); n_123 <=( (not A167) and n_122 ); n_126 <=( A166 and A167 ); n_127 <=( n_126 ) or ( n_123 ); n_128 <=( n_114 and n_127 ); n_129 <=( (not A200) and n_128 ); n_130 <=( (not A199) and n_129 ); n_135 <=( (not A268) and A269 ); n_136 <=( A266 and n_135 ); n_140 <=( A268 and (not A269) ); n_141 <=( (not A266) and n_140 ); n_142 <=( n_141 ) or ( n_136 ); n_143 <=( (not A265) and n_142 ); n_149 <=( A268 and (not A269) ); n_150 <=( A265 and n_149 ); n_151 <=( n_150 ) or ( A267 ); n_152 <=( A266 and n_151 ); n_158 <=( (not A268) and A269 ); n_159 <=( (not A266) and n_158 ); n_160 <=( n_159 ) or ( A267 ); n_161 <=( A265 and n_160 ); n_166 <=( (not A301) and A302 ); n_167 <=( A299 and n_166 ); n_171 <=( A301 and (not A302) ); n_172 <=( (not A299) and n_171 ); n_173 <=( n_172 ) or ( n_167 ); n_174 <=( (not A298) and n_173 ); n_180 <=( A301 and (not A302) ); n_181 <=( A298 and n_180 ); n_182 <=( n_181 ) or ( A300 ); n_183 <=( A299 and n_182 ); n_189 <=( (not A301) and A302 ); n_190 <=( (not A299) and n_189 ); n_191 <=( n_190 ) or ( A300 ); n_192 <=( A298 and n_191 ); n_193 <=( n_192 ) or ( n_183 ); n_194 <=( n_193 ) or ( n_174 ); n_195 <=( n_194 ) or ( n_161 ); n_196 <=( n_195 ) or ( n_152 ); n_197 <=( n_196 ) or ( n_143 ); n_201 <=( (not A236) ) or ( A235 ); n_204 <=( (not A232) and A233 ); n_207 <=( A232 and (not A233) ); n_208 <=( n_207 ) or ( n_204 ); n_209 <=( n_201 and n_208 ); n_214 <=( A236 ) or ( (not A235) ); n_215 <=( A233 and n_214 ); n_216 <=( A232 and n_215 ); n_217 <=( n_216 ) or ( n_209 ); n_218 <=( (not A234) and n_217 ); n_223 <=( A236 ) or ( (not A235) ); n_224 <=( (not A233) and n_223 ); n_225 <=( (not A232) and n_224 ); n_226 <=( n_225 ) or ( n_218 ); n_227 <=( n_197 and n_226 ); n_234 <=( (not A235) and A236 ); n_235 <=( A233 and n_234 ); n_239 <=( A235 and (not A236) ); n_240 <=( (not A233) and n_239 ); n_241 <=( n_240 ) or ( n_235 ); n_242 <=( (not A232) and n_241 ); n_248 <=( A235 and (not A236) ); n_249 <=( A232 and n_248 ); n_250 <=( n_249 ) or ( A234 ); n_251 <=( A233 and n_250 ); n_257 <=( (not A235) and A236 ); n_258 <=( (not A233) and n_257 ); n_259 <=( n_258 ) or ( A234 ); n_260 <=( A232 and n_259 ); n_261 <=( n_260 ) or ( n_251 ); n_262 <=( n_261 ) or ( n_242 ); n_265 <=( (not A302) ) or ( A301 ); n_268 <=( (not A298) and A299 ); n_271 <=( A298 and (not A299) ); n_272 <=( n_271 ) or ( n_268 ); n_275 <=( (not A269) ) or ( A268 ); n_278 <=( (not A265) and A266 ); n_281 <=( A265 and (not A266) ); n_282 <=( n_281 ) or ( n_278 ); n_283 <=( n_275 and n_282 ); n_288 <=( A269 ) or ( (not A268) ); n_289 <=( A266 and n_288 ); n_290 <=( A265 and n_289 ); n_291 <=( n_290 ) or ( n_283 ); n_292 <=( n_272 and n_291 ); n_293 <=( n_265 and n_292 ); n_298 <=( A302 ) or ( (not A301) ); n_301 <=( (not A269) ) or ( A268 ); n_304 <=( (not A265) and A266 ); n_307 <=( A265 and (not A266) ); n_308 <=( n_307 ) or ( n_304 ); n_309 <=( n_301 and n_308 ); n_314 <=( A269 ) or ( (not A268) ); n_315 <=( A266 and n_314 ); n_316 <=( A265 and n_315 ); n_317 <=( n_316 ) or ( n_309 ); n_318 <=( n_298 and n_317 ); n_319 <=( A299 and n_318 ); n_320 <=( A298 and n_319 ); n_321 <=( n_320 ) or ( n_293 ); n_322 <=( n_262 and n_321 ); n_323 <=( (not A267) and n_322 ); n_328 <=( A269 ) or ( (not A268) ); n_333 <=( (not A235) and A236 ); n_334 <=( A233 and n_333 ); n_338 <=( A235 and (not A236) ); n_339 <=( (not A233) and n_338 ); n_340 <=( n_339 ) or ( n_334 ); n_341 <=( (not A232) and n_340 ); n_347 <=( A235 and (not A236) ); n_348 <=( A232 and n_347 ); n_349 <=( n_348 ) or ( A234 ); n_350 <=( A233 and n_349 ); n_356 <=( (not A235) and A236 ); n_357 <=( (not A233) and n_356 ); n_358 <=( n_357 ) or ( A234 ); n_359 <=( A232 and n_358 ); n_360 <=( n_359 ) or ( n_350 ); n_361 <=( n_360 ) or ( n_341 ); n_364 <=( (not A302) ) or ( A301 ); n_367 <=( (not A298) and A299 ); n_370 <=( A298 and (not A299) ); n_371 <=( n_370 ) or ( n_367 ); n_372 <=( n_364 and n_371 ); n_377 <=( A302 ) or ( (not A301) ); n_378 <=( A299 and n_377 ); n_379 <=( A298 and n_378 ); n_380 <=( n_379 ) or ( n_372 ); n_381 <=( n_361 and n_380 ); n_382 <=( n_328 and n_381 ); n_383 <=( (not A266) and n_382 ); n_384 <=( (not A265) and n_383 ); n_385 <=( n_384 ) or ( n_323 ); n_386 <=( (not A300) and n_385 ); n_391 <=( A302 ) or ( (not A301) ); n_397 <=( (not A235) and A236 ); n_398 <=( A233 and n_397 ); n_402 <=( A235 and (not A236) ); n_403 <=( (not A233) and n_402 ); n_404 <=( n_403 ) or ( n_398 ); n_405 <=( (not A232) and n_404 ); n_411 <=( A235 and (not A236) ); n_412 <=( A232 and n_411 ); n_413 <=( n_412 ) or ( A234 ); n_414 <=( A233 and n_413 ); n_420 <=( (not A235) and A236 ); n_421 <=( (not A233) and n_420 ); n_422 <=( n_421 ) or ( A234 ); n_423 <=( A232 and n_422 ); n_424 <=( n_423 ) or ( n_414 ); n_425 <=( n_424 ) or ( n_405 ); n_428 <=( (not A269) ) or ( A268 ); n_431 <=( (not A265) and A266 ); n_434 <=( A265 and (not A266) ); n_435 <=( n_434 ) or ( n_431 ); n_436 <=( n_428 and n_435 ); n_441 <=( A269 ) or ( (not A268) ); n_442 <=( A266 and n_441 ); n_443 <=( A265 and n_442 ); n_444 <=( n_443 ) or ( n_436 ); n_445 <=( n_425 and n_444 ); n_446 <=( (not A267) and n_445 ); n_451 <=( A269 ) or ( (not A268) ); n_456 <=( (not A235) and A236 ); n_457 <=( A233 and n_456 ); n_461 <=( A235 and (not A236) ); n_462 <=( (not A233) and n_461 ); n_463 <=( n_462 ) or ( n_457 ); n_464 <=( (not A232) and n_463 ); n_470 <=( A235 and (not A236) ); n_471 <=( A232 and n_470 ); n_472 <=( n_471 ) or ( A234 ); n_473 <=( A233 and n_472 ); n_479 <=( (not A235) and A236 ); n_480 <=( (not A233) and n_479 ); n_481 <=( n_480 ) or ( A234 ); n_482 <=( A232 and n_481 ); n_483 <=( n_482 ) or ( n_473 ); n_484 <=( n_483 ) or ( n_464 ); n_485 <=( n_451 and n_484 ); n_486 <=( (not A266) and n_485 ); n_487 <=( (not A265) and n_486 ); n_488 <=( n_487 ) or ( n_446 ); n_489 <=( n_391 and n_488 ); n_490 <=( (not A299) and n_489 ); n_491 <=( (not A298) and n_490 ); n_492 <=( n_491 ) or ( n_386 ); n_493 <=( n_492 ) or ( n_227 ); n_494 <=( n_493 ) or ( n_130 ); n_495 <=( n_494 ) or ( n_109 ); n_496 <=( n_495 ) or ( n_74 ); end testing_behav;
gpl-3.0
83eb54d049c6e6fdf41764c37d51710e
0.502693
2.056218
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/6-FIR2/metaheurísticas/fir2_hype.vhd
1
3,427
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:51:52) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY fir2_hype_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3); output1: OUT unsigned(0 TO 4)); END fir2_hype_entity; ARCHITECTURE fir2_hype_description OF fir2_hype_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := not input1 or input1; register2 := not input2 or input2; WHEN "00000010" => register3 := not input3 or input3; WHEN "00000011" => register1 := register3 + register1; register3 := not input4 or input4; register4 := not input5 or input5; WHEN "00000100" => register2 := register3 + register2; register3 := not input6 or input6; register1 := register1 * 8; WHEN "00000101" => register3 := register4 + register3; register4 := not input7 or input7; register2 := register2 * 11; register5 := not input8 or input8; WHEN "00000110" => register6 := not input9 or input9; register7 := not input10 or input10; register4 := register5 + register4; WHEN "00000111" => register5 := not input11 or input11; register8 := not input12 or input12; register6 := register7 + register6; register4 := register4 * 18; WHEN "00001000" => register7 := not input13 or input13; register5 := register8 + register5; register8 := not input14 or input14; WHEN "00001001" => register5 := register5 * 22; register9 := not input15 or input15; register7 := register7 + register8; WHEN "00001010" => register7 := register7 * 25; WHEN "00001011" => register5 := register7 + register5; register6 := register6 * 27; WHEN "00001100" => register2 := register2 + register5; register3 := register3 * 29; register5 := not input16 or input16; WHEN "00001101" => register2 := register4 + register2; WHEN "00001110" => register2 := register6 + register2; register4 := register9 + register5; WHEN "00001111" => register1 := register1 + register2; register2 := register4 * 32; WHEN "00010000" => register1 := register2 + register1; WHEN "00010001" => register1 := register3 + register1; WHEN "00010010" => output1 <= to_unsigned(2 ** to_integer(register1), 4); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END fir2_hype_description;
gpl-3.0
ef744c38e90273b4f8f754022103b4cd
0.667056
3.190875
false
false
false
false
witoldo7/puc-2
PUC/PUC_34/demux1_4.vhd
1
905
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity demux1_4 is port ( -- wejscia we : in std_logic_vector(3 downto 0); adr : in std_logic_vector(1 downto 0); oe : in std_logic ; -- wyjscia Y0,Y1,Y2,Y3 : out std_logic_vector (3 downto 0) ); end demux1_4; architecture Behavioral of demux1_4 is begin process(oe,adr) is begin --gdy '1' na wejsciu oe, demltiplexera -- we zostaje przepisane na Yx w zaleznosci -- od wejscia adresowego -- '0' wygasza w 4 segmenty if oe = '1' then if adr="00" then Y0<=we; Y1<="1111"; Y2<="1111"; Y3<="1111"; elsif adr="01" then Y0<="1111"; Y1<=we; Y2<="1111"; Y3<="1111"; elsif adr="10" then Y0<="1111"; Y1<="1111"; Y2<=we; Y3<="1111"; elsif adr="11" then Y0<="1111"; Y1<="1111"; Y2<="1111"; Y3<=we; end if; elsif oe='0' then Y0<="1111"; Y1<="1111"; Y2<="1111"; Y3<="1111"; end if; end process; end Behavioral;
gpl-3.0
696615e1f0a0e060aefc94bac9e0ca3c
0.60663
2.350649
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_dc_fwft_ext_as.vhd
5
13,630
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZXRWDLkqcwei7g2aXJ6gpee67Mcuv4YxaFJpGb/KlrUC+tNGJZI9+bAL5C2O3n9sxUilqldWdCaX 76bcgq4rtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bIX7p5W0I/Dj6HKJsQQV2A4WE7INKqL/CDQ34jxRNZL49Elv4FSz9I+/XqAO57jVcknS8kQ8Zk7h XMbvTVpgniAnpTjl0S/2OeEd9OTrlQFveviS6zr4qc+mDwtGCytVPzUIoAZmv2IEGp9udC9KG200 Tdi0mpponsB4LTj0EM4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lkeb9OfU+oB+VihKXbOT1EUypxzPnDUvCiRAB7abHy5b3bhU8yaiw2Llfh8I2DJ6+zd1H2Ca83TT Jjv5xSEI+YFjUXExP46RZfUM80A3Wo9Uf7XXexhkiyBoSgcDmYFHOoqZLaQtGnT9DtmvpkJ1Zrmy VR5vapP5v7BIEdpaW4bfkpH/2X6gJiUYrsRRv9p5wm6iEHODTsn5qjk5RQld+1f0XjGjHig5FMrt ho1R5DcYxeBhUvYqc6JYXzu38eh1tFDPqNTasXQLCCVM5MOuh7tR1wP9W1yv7WDWNvaWFYgaXUnM VcUcNHrXSBhZwyDUG04ggRKca7T73OUCxwi6Mw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EM/nhmn0u0CaU82Y1a/YlSGWI0cx8Ig7gbT09AiORzyGGIaYovQMwBcZwBYuKUo1HtXIyDCKTzwm 05/1R76hxOJWMnwMEUZlb9y8PDAl7+rWLT6vsUtlMK0bisdPJO6ho9qJiS+vW+RU38HM0EOvTBIR IGN3Si1tvJRH5NZBLjs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block h+HI/g8gdGVB+qszmHp4/4taDR5pSlN+V4dLt7laC8AiHF3mzb7VpWQvlK6xgsNzRaqYSeDKjPNs Kybh0qPbPa9pJjzu+8Xolt94/92CMQIhAHfCVV6Ftj5tjGAACqL7orzWkRoIqkIM4WJgfObOYs6p 6/HfDGVTWr6RSFMcBd8HGzGXHRkcBg3txkFwMfvCDA1TZpGfVf8ZTPYWfU2PDUCLvRLgLcE8n9Bi af7wdkW/JBc9UXh5olTdH+F3s0q/gVtxUWVHDyH13hNDTDhxP35jnN5y93y/UiDCaZkzzVt6mJWC WfcVfZgnlDIZW9YN0ux6k1fMOdsdPWm2FPKnfg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block ox0ymm7zJbqKwGNNOTb7sKPBVaIvx1SNVkPCYG2sbHyYCJpCMmCYD+HgrKKhzbdHW/32Ip9O0RAD 4LCe5SaqVRDXUaZ+NV8Ffwt4u9yMEeZ7gGLcMyxY6Qgunt/DQQyy4Qv+yKOiOMVseItamZ70qE2T J5aFM8MxmGd/mnNXAP2X29W8oYi6/xGc6blY99LLJrDhY1za6I/HBGFx4WPgfina5F4cf/NiuPH+ wKK8VXXufIz+xdZ3+7cVD8eZVFkmr6GphrenYlFl/IvpLLQy/2P4rStLTe7+MPkQ8ThO87delJAr pkeT5dxrAZ0pG8TRsRY1V7uhMHAeWSCV1IEyfl1Akpu3KeNf3e4GBqQlvU0fdbhz184BsLf5Ry5z vdg86Lf9GbRgz6Tm6IAiWAotHxR6iaosUt4BzCt8KNXUD/7WZiF6RA+W/nEwoBh+TwAB+D0ucYO/ Vvr14kY4bX9Ju00rzXbLMgzhKSeRx1QYBmIheVDf2j+QTitdVHPrXc3Cu4t7HJv5AjYt3uA6R1Ir HjLayOGR4nwVEoHfU71hyrRyPO1J+Qi1aesm8tprpbhJQ70BhLMrag/JmsPoBrhHX4K4g7TxvYEF kixGclUtcIg/9GBIo3+e3azUdk+MlAGLEr/oD659duFLY1WW0tqstiqThRONdpJiU9tm52o73Spg +flGmZrcrhw9WpaOOA/VLp1u4pldhCf3/JBIViUhfcAOskpzCQqvMxBB9RCfpTP5/nu+c01dbhh8 ASJlgR//IKkYsFogvpQQFy9+u3cym34o0Qg9eIa+7jwH2JycclYh7sl6HEwlatC0fsO9la4SNvoN kXsXwpm2727hGv3ze5NGc4iLkhwWpNriFJTSIiq9LJSrqwRwTN3auqVcu9pZFXKpvy4SBmzrlxeF ErZUskptDttdiUuGqp/0tYEyV09A9fK8DmNoIpj4wlPOhtkmeibcnItQqo1aSHSDo31yIUqRJfbp oJ0VB6QTkfwcRvBFIkhalm4LPherAWRnzpc3+b30uYatHZvpwWHI6RK6ISGY5YtXl6wluC2fv9VI coTZqJ7aGy57gJbyCDoyTu9cdpab41Isfj0sS0KBj9UQH5cN/xg0AVqdOIHI3uPjIizFuXKuDBKj XkyxyAP/5ZvRNcbxdeI4TX5cE5m0fWB35cNdZe7BVDVEMk33cOxeortDebBxwZrICmd9AGXtrWrL pxU0Nsrt2aGRQOMFj3wOfxWF4vBqKoExWMrWT4UG07ZEuDm83KpC6RAfWlWueycEMSEElc7IgH9z 9exTFZwVXnDr+1JMY03SugK99WTlp6KWMzDFJ0ngxFOYqsIvzgK35t3sPQoutWGE19xSMvo+jGv/ MpWcmi9USJTNvTFLWEfSFp4ZEcQ2Fzwcy4W8UjGM7vpYEv8wTk0zm7bAHGj37XJC7VNo3VtAK1+q XxQUBSvET+LBAjOTxgN3Vf0wVw6fytbPHhURTRcElsHSZg8O3K1EtDeMWm1j2oqkMmdJsbOo8mRA CAuOcEu7amt0JLADJSo9q8BbOTQaJasQ2SixmBaTBVAUEn9CJm80RLArAd8licLLBnYWXsYAKV0M RTVy0rrJu7Mrdk/QMj8s+oHHcFKuujuYXLRs26gUquCw3s3RsDr+CuGFF4ibMOWsQ3FrOZW2Lt9i NTAQj1IE0k6q3tgrOXwMCOKfae2WmDaSw+CuQruJd4U/21iCe0cjwQUjABkBWm9nzUHTcSaMDJrX mJifh45vPi87XpxxgfsSU708NWksTmZljSKVBFW7PRNv2JvdNlMdQBddEsH6cXqTQqP8c5ZXO6Av PJeWs4D9QcwzMEx7y2+yqIObsP7dermGUalmtsDHnIOhqe/Hjd80hKTOPi3PrfG+cderolOQijgc C+tlhrhhTAjU1sYU1wJlv0y3wGMOEsP+ZQlTPIvsIjVJeg0d3a59iguBqPgyOizBTQnBvxLQ1IIn JrBx5WCChBAi8Hh3eQeO0fGuMQlyNQuhzR+egMOgIPLJWGYueHaNxe71BEu2rSZ5mdQRB9hPrFyU 9DwFttrvi5QUmaWRkaPNXAzck7SgxFM77OHq1aId8vxt7577RrRF+x9o+SshIYrIjik5cKVU8H0g EpErWijkkXH4498GE0waJTv+bFVcKMKhtIsGVQ7tEkpPDdVugnUUywy+7t1z8PfMVN0MypWb7Reu TYhfyIWjHjhbdo8rJ8q9atmENW3rCfLfL2ibY0ykyvQ5YWlYA4b76iSDeAMQoQ4SQKaLd03DW58p oGdzMHfz0I3j85Y5QWZ7SYYki+5V+jl537GmTJuHzM2870geAK8yVMC7VOiSPwrWkakMOcaWgQkw 9FRAO+Pw9x7CQWJ/5/esWrL9ZCPvf+b9fGxuNSDecV9Q+D5SaNcu37doeOo2+l4JpZI83Iofsfrw 4MY8BikkNPEco1HTOn4oNSKTwksf8elmXheP5gSZOdjzXFdAUksES3Bi4iJ6hEd7UcpoGCYP23MA hl8Sf1Kh7xVj4KS6N/jlxQTFcZCzWWPrRqJn4Jy5WLEEj9owKYgYjcyumG6iV+no1nUdt8MV4cfg 0DTLXL+XN9S5alluPd05KbPW8qJ9ldIR1beXnww4Sf9M3+JVEdRplCWxbzg/WJElCNFDJUIKlecZ IIvvgw2ZhtSsK5229uPPqTi83WHauTiI10cXhL+uPM//lJVL1467GkYwiLNahHxfTY2zLr5V2+SC 1VWvEKb9rPjo2mkE458+tfWUDMxZENhn9Ou5sJz/GqTyyLVUgfCWyW17hvTw3uwgq9Sk322rKBlA 8HVKvgew5z6J5rvoJ+ccAA9RuOm4iopS9TV0iIT13aurj9gdxmf+jUC7Y7NHTpbm8ksJm2rCacX4 aXED5mZY3Nn4Ddpro1Xm/uqeftKm219+NVkVrjouPWHl83lAhOsYqQnYpLeQW74wFWgoNaazYkCy O2jfuxXfO+i3fk/HQ7QmY7VAcHqX1Ic0bYnih7MCDZm5HAoySyYEikvCxunDLpxH2XjSZs+KY04Y QNUL3+kS5Gbo9krjRWzhb7LTWukfO17BCIrQYhkk4GJxcBeLgWtUsD94s35+zU/6i/APae1pgnTP slKM+nZ5kYuo2AQXYxDgQ8XUWGsuLLMW1ZCg5Jh4maKeiyT+RHdrmmliv5OsWB8DTh8MN3RYAoHd k3DknL3+Wc9hpJbLN74o7BM0H3B35QdYnvvs4LB/YjaKkH8yy++C95vdwto3C9d7F6JFoRh1IMN2 HFphCwAmf4BbvK5Uc4l8+S68H3GjdVLAxHnFNB/SNSZlSQhRj42ugAt3WLT+nZmgsLN2THheSSzv c83RrnFga8J0NPQjfT3vErhRFnYR+lYPlmaOlpwdkm5T7LVsP0R0blBd/mtS1m5VEIGiaCCfNaDn zWnTXUdSFNCUXnLLO4bEx3AFGGlrG2AzJYdxOerkdgvZ3kQp5sTAfMeLuXIEYQueuy1VSTAxIANP uDpjhdGGn7WJ7HIeXt+UY5gcPIwxR1+K6+jSPR2uFrl/OPMJDU6ic0Ac0HYmPH9hg+oAdAV+bMSE adPphE8W4MhzZy0/auO0viwJPM9fwCFmR08A7vFSyllp8rSHTgau/bkndOnXBjL/9nf6+sYo4ssy bqw+U6o4qt+t0gEm5pl8/YausRcLgq4gAu3KUmJM7/DbZKlJPb4y4jZIzJ/tmrV7c75Jpbi6GfwE kwScdZsrsZ8L4Er46vPH4oP8zUXIYQMVhtmF6J7z5ToU9/7PCrVMU8FU80u9ElytBXlSNsCznHBs tjY8NZ+Ems/+yn3RGD7HkFa+pb4EubV++XepwuN9sZuyHpGlICUgN6pqxswz/zL8QRpOzg7AzbVR oQseyeBwrWIhwjIl2dyzRNkEJJrtSzUFNZ3FCG37zB9VDBAD4Jxm2zocE6jhz+dKAbJuoEQ/Jk1G XaEjKmaN7agQzSj9IaMc/CKdDZWcgVDB+INuIkqGpC8M5+YCehHKqYdSnI0qKoyGyyOfis6P1glL sN2uMMydGqs1jO9EgoYG5t7tz63e84j2+/IEogtoUmMUG8kzmasel8SGNS82KARQA5mLkFbFpYDo XtU4yB4/c51Jowov6cCliVSFl7QKd1eiQTeI7nhh63kTjMchcbOT5Fk5cYi1TDDW/Ep0K8OBVg0t NCTyduJ7xy/X8lTOPlwtDA5YQRqN4AfRGU9z/F+AX00Kc+uNrAhAOn9wBArxFUfDHV7EniFEY2cM Ve7mgbt2TK+MEWgyha2/g/kAeHQYC/DCYE1u9BuihuAtPA9GNaEGT6vGt11iA0BEp5+NYg7fpn7V onnGg2NFVsO0GvsvyLTLghxdjC7PHhBMCraNV/ORkACsYGnCnUEoxP8FpUhgVE0CGNbInvvhD4zX /X4kh0uesW9KTNXq1jo9j7z3dxemLuKEmnV3xuDC5VklNaxVSodgren/xctCMKjEM9wJlGcNoeUr WjF9jkki9m/b0PIpwdMa1HREYfc7ps87OXZ+aRaAaVTmvzeh9hPupD20S0Q6ClpxWUwOgHKsaF3f rcZe0VMac0pv2nEApY/WaP6EH6aL1M6JJzdKHZi8B5bAV1n84Pe7t2tsb2fiZQboj9Rbmk9hYzFH jgAGhPYwuQgdNokGDtiF+jNCVLEGNaR/a0s2n5LxGrYIAKa7Qv6BfUgx3cmgLjZ7Z3gQlo95HUOG Xb7Mv8Ig6kZilR4b3jzyg7jd1ItJFf0RD7OFTRLOvWGoi7FDk+FxUkIqaNve2simIEH9wXlGTlQV kgiXV9InhBhtJ5KmkvkO35hG8avaX3SuTENvWmvutBfSnmPA6nRfSQAgqVc66pe2wnBbvW8olaKy QeqmOAtxyxCXTI7dvJ/p8gD3ZcrRnRHHw/KiB3g9hxvkbOl62DlN2ulDPbEqJ+kggGx/8yM5I3m0 +6t284IWtShnHTiOMC44Iar6mtY+vuCugqbjatuIABtIPYwEFLJRIDHQBaXXGhDh9B8dnUTQLfwP JwhOTirClPrbGQ2IEdugE0eTLeO8KQCgILPDoVfiXpQjjtvVWAMbwDYgLBFDRrpesOC/yDj5nKSY L5Et3lodGWItLyDruWyYX0drvhHjrqrt0dUlEYVVI2KtjFAE5HQs11/OHaE64ZgM07KHV8hckaKR 7k0VZnZHxYY3q1XcjSwbCzwZv5jA2jPRFFEJkl67ay/kUy1Hk5/OaYQJ8GRsJ3+nl4/IeO6TA7YP xne5Rj2GGG4PkfCl7K9+CB4Q++SRsCs5Eq1n/nRJkVNTxhwsWNaahhkZpUlOYKpF/eOuqNTJE+Yw 10biUOVexG6PUFC7TvYlCLNkdxz7fMaLWO84swF/4aggj188pKJIgzSORY3FRLoFvFiUxHr86gdj twBU/Q3ayeTDvFFPblRH0JH7OSYEr+m6MzvhUgJ44HEzqaIK2yWqAp3Lw6ypILbjfLC5Kf99Rx4J hlT4u3vAHrist8RRkEEvXE7fNNl1gB360MCTLLjJ2IdXCZDq2lhi3o2bGycyMv5kxdtdlTnkO0VE MeLUMMEIm1Ja9dP7O1c9XkyoEmq5OBZ+6An4Ph08GhmzD66qvB7b3h1fHPZ9Si2v3Kfqy0uggdKj blWysoHDrLjUuvrFNRXp/spSzRWc5uwKbeiD03/HxAxHv+RyrQyNxDkM3nmxEmF+YCv8/iKa2Qx8 XsSl0n+FX0424wk9k/DHEvYEf8WOjnYfJwO769MlDBu4M7n1OIMFoWiXv7l/A0uC8FXhSUsh0kXP rW1X4zBUyQjt/1pZxsSdwAeOu1REu0Vb1N1sD74v+AfGgO7FnQWrz0cv6Cm2WVDD8KCuP1Zw4h7e kTmH5am3Tf5qHgoBvV/SLLVL0V2Hyv/i6zTp5x3M3AFOREtMH8jRyjMTgTW1mIcx6vHfNYCttatL y2haLczrkSJImmFfTj6COHNMEVRPVvJ8N8gY+Ii15EoqFHRvt8xkbQopXCybgnbktj1xVGtpIUIs ZcUcKkmfOouFKlhmQCR7vJzV9hLYZEI6vamW+ll0OgCPzRxdSj3ENT5NNkDWz64l+G7Tt2V1qjdG uoiedakN1BwmIdkRtKhduvnPCiVQ/Qf1bjR0dCRJjp09teRJuo2DLIGIaI38TuPZk/CcdpYxU9A2 1N0pg8G6QHntLIjt05LWO1qh03k75taxACucM8TmxDysAxaaB0C8Y3s0CldCfTk1MT4XW5pSwX4K 1hXoGomH5uvrPi6yGK2Ke8briff0T8CgUXuIZHKBCdolrIiKBUCCJiOs0kWfr6aGMIz7gj0LwPsK Rs2t2mcC/Q4nWJP7zKifRyJNEVi8x757GHzYUPkc8QGfh+3lF18n3UfMkbrfZk04F92/5g+2059t oFiIve4Urg6y70SrtSZ22294q3b4QGJzmz6v/D8azGnMnX/JcbS8+pNFyBC1bhdKWX4vTspn18Nu w09ldXuGGyL3hi2Y89Zh0OZev/PyK1sEVcgRSr7C3W4Hxo0CmzltYiv+ffRSRU3UbSCj9wf8qcyu rtRkW19bkb2D9KAdPcAx/VQqkaR1eeGJyAKM6HDd++s0m45e5N9QRm1qwu2mETgYqh6FS2/vQ/ME newTwGsx1UG8K+g9lJyCRsQ3m8Q92eTAsbm5ULNLf2i+DqngnoIp1QWHPxs6qbx8/m1+T2s0jOrE U3YYPRtaqEViCaw3LIVKAzw1I0yr+TkPghCREdim0t0L/0r3bJknute40fimnKu1XG8N33708lTu Haa3xJT6O9p5SU2Yp8urPu/GezuIq+NMN8EMBenfiYtGqFN30mgF8oaVbiQ8djAe0GgtofNf0TC0 k15CUBOU3axarWM4pXBX7Vm4RpLVsCuZtgtrK4TcioBgnt4J3yz/z78vEL4jnKoNRPsTMZo5IPnk c04blhPM4no1TK4BZYnus2LfQ9/ui1AxAeVc8H7QLpugTgjh9jZISQ2k2fXgxJdzAgtoSOlP+91h Ok7Y8KIrOZSSQQwABzp46eXPxbC+VRvTVOXhuK6u8oD3m1CwzP0MDJwzGYcbvIB8OtbZk6LAvck1 3TJ6ptUkBklzcIy2+YCwtm151TbH1W//c7l4vdbqb7q5FVaHrRb4kpOPYtGi+NfhCOxCYKX6hv1y 7S5A7WStyGoqj+8g3aMNFrS4RioyEbCdH/qvMN7H8EDLLx4v8j5X0eKCV7bnv6ZWwEkepb5DQ/v4 Poo6CNaOiQj0SQ61RBG/upDwUWt+3I8xX/CHsYRiy2Bu05Zsd+aqQDlugVJdBWRs5gvDb2VIFcWE Dk6ljzdLdZskf31yIOERjy2zUZXRHcFJWSXKr83t5IJ1jVZyaCpgRCQTb12Xd4hsMRVGocy9p9gr PB4aF/e/lY1tyarOrD8eSh60xjU0iMU4WOiD9VsgakmKEa+08jtodUTKTyJfYuNUBimCnki9kdsC e2JA7fKe2mf8dwC6K1Qkt6Z9OEBRbatetD8H1LuyP/Kmzuu49wZQFj7zR2t3qKY+XObjZ5IlYldd Nf8ztVFeWtRSFBKoTIud7VQl8rxNum6rrn+6tB8YTjskpDtuhAcBi4CdBaQC3U4CYVh7uGW+vhoR K5UnJ0Ido8hvelLWOTPL7uwM2IMNI248L0YoSOoijCUsjAtR6MJmGZwpZa0UFWN5XZoK/uibHP5E I8mGSr1dt3Rqr/1kntuCylbhEhLwZV2InXA4xY4JLnl7BItWWTGqEVt3FNuQOLpnSzj76oh38q6g u1/VNtEiJmLi89rOLPQeBRqsCzSyqZvDn2yvYzIk4DDIsGCrVuvP2UIooEPknTGmaOEVCUMHEhbr 049Kq0DR6xOTawwCVDU0B7xm3mmwtuntjedhJ7vyTqn3EMZjVXltZs0e0PZy4L93+3+EY5947oP2 II9d1spc5apsOhS17F2Au7rJ/QLFuSgacDl+REdhzp03ZfguxLXUkQrg/ur8eSkcXUxVtg39Cio6 l1qpulqeB/3llxmbElbVvpsSxMC9isAvDdXbNVjMckz0vN4ewpsMzR/sE4Q9tGn4n/qn0aqTTRWj 3whT7U1wetB6nAVxE40lycok/zpwpShiaP/GO3F+3Of0Z8GKtWBF63hs8J+eC4sVTVcuAiAkfzjx jwaIHXETnpySVPueJldiUzKdijLUFezvosgyIPVO0JN6RHYAzee4GqknUcAgrLgr0v62+3TA5Pm4 PdeQkiryUUVHztqc2BXZqw9hKk+Iqe9S5sijsffYavS6eRzd2UsGT2axLyT0PU1C0FgGpY2gU7Jj Vv7oHy440iI2vrwPf3iN58pvazFnGK8pigRyJqzmiqotSpfp3qqeKVeo3lD82L7JPk2g9VuxRbM6 4auibEMJuJRpm19wi3pnvusgj7RdBuP+loXf/Ult/IAjH55LugjI8vAn62vMEdRafM/gRvAh5zva jL/EZXWAgd4/zGw6yQ7JpgaVQc2dj7YCt/k+SUqDfA9M/pLzJcfQqDAjxvBFs2wHUN3knEzspFHa x2P8xc2T2c/a4ZWqJM3IyGX4rgu8NZaf8/Z4+B6PWgC3uPwdCuGBKuLraGc4Qgl9UObsWHMOldPT K/WBUVRhTexEt9xBFaqsviArGybI813vFQvuwucdN5fVkoxQHGOyZLQ6iLqYXSSiVYReVVvqMQ9Y U1k8LMlhdBS72QtZuIqcuaqDefJDCUkzzDYei5NAY/+J18ZmkIMpmb/jV98cdhYONhAi1E4bUlr+ UfdLlbs7/5wMhNcHwWSaiZIjVyNVP1ZiuDEHIekAyO90SE9hw1h4iU1oz1BY5LJ/AAjZdK0zDz7T FNzrDEWAGggsH5JdCIQWEfa51ZwN+MPb+FTiSGGR5Rtd8mcgl9E00uk4aSYSLBmgJpbB5jGx8F79 wjs4b+Y89Vaeitoydz10bpZqDJDZDIJ8V6Olr4vkn0WnhHosunZDQqElkKnunbAmt50LFIA4VRFe hfJQeo3c7SKft6c1Gy09lk5MNl9rwLRkaBkmq8QM+MF0vpw3ycZugUhH1fVuDfO4sA1OmX25khur m1Z4UEFbp6RcErrBcGBOzjvVvRtiPNHj8KkUWJNsTDC0NssGywXavPA5c0qNDpOt3SNpeptV81yD k1SsE5Sokz2+qyg0TMUC/PYzK8zE8oRK8SRZEIshYmRIsRb2BnWEFr3btd+LCdgN+EdoHR5mMEUo 3pukpgsA5e1rIYO4duKEscfiGRH4SGaq5FAlQaQiZ5vHd/LCFGKzJMWek8Onx8MsyKgIfljYH/4n I94uXKFca+lMSR2gb0tGqiU+Px3smlOxWfjmiXHYlMtz4rb7C6f7xJJBVKpL5VgzSKvVNjJwo+u3 Gl3ae0Tgc0d1wxLT5hby/z4HIr8s48tvQ00g+ZF+GQfm8SXTmESiOfNqxpQHbAAk9LUGZCN15cOr 4168zDyo2eTGtt5VNLSXimEgCnm7/6LpeGJXMrS6MBdDxENvi5hLvZZVbGAr5ZFCWElZL/CvL24i zbs1mPt8ItwNYLVY5VzCn3nF9Mg3EeH20g1/k/hAGj51xhTYQMEsWtpkeCk6YHxmecxqg9pCLLY1 +exyaUKSjBfY6zvMIBzvaWOFdjgC70tCEbPrWgBgIe2C/0ad+AECfzSBk4Lr2xsQLkTciEDISfg5 ydh2ZlpXAtVY/kqEQLsCCH94XHPcOsRwNLOCwbHuGxg2xFXtvTEqe0+KUl/UWQWKRSEd2z99xUJf 4RB+0ZCMmKEUgpek9R189RBCt8QC8PV5GKBGmQqR59xo/Adik0LIDMisg/GaDlZ2EDeVB45benCk lGNtHV/zziRsd1umGN9NjCRmLxrvOVW4oH8W2EzUqlwk41nJ/hRCvDUs39n6hj8y+mO3HVWKTGwV sx0sCDFcuLGUKouA2JI4wiU4u19OoK2RWMLqcyODkrqpoHhJFsmxr8Bcf7+Ebi8vfNzaYk7uJHOj sAEdeuabr3vDFELBZ16IWfKP/vaskRzKypif6Ie2fx0ubJGRG6EQibjWgkFX40E/4YV1nF54nx4O DYWr82A9sq+eSzkYmQ5pGTZX1YsFdVocofvup2Jz9uDie/VOXtgn4C5pUF8P96Vs85i3sJv2cUGF wsmU8HykRnrkQNRQ8fzmRsMk8gPYbCUTFbd2RIG9InRATDLy2bOU3sSVp8ws4vCH1Zdmq/Hyffwj fli9eBlA1YitS+jCdA2TjBqUuPlX+RTiujCTHs2h4/OSxd7i/QoIwQJdF7QPy7XF4ZBp3C8Sf9hl 4r8NyHBZIYkAIRzczcq/vhru4N11RXdwii3VUnQ4xZiL0/4Cca/jZlaK/kKHxWRl5BMAjhZStFqo U1EyFYWn0Q78ZTGk7wjpUQyxpIAwv6olBPQeUYN4CsB6octMWjax1moPeN+qYz6JUz2t2czapR8T 82QPVc5rfralLigkmVoOMBLme2t2wTQM1kSEADqqU7OhK/BRtlB6d2mijYiAKtodLEHZoouIEhkM nR0kylQWldQn2XMhL+otn1rkqhETfJdMLkjhReBx/cY4B2pyxSlB97RYGY6KEc+czGW4m0iQ5Dt/ /lyQMFOqmHWBgpvvhCJXyzuPPcsTThRIVojVznk+ccQWZUTzxIeUT0BjFNtqznggj/tzMauZidOt ekd57GRyJuaJVdz8ejJpdjSxuihz93rbAY24oBRxdRJdnxHNU+iutBSLlTXTUepb+hIoaTvfTvs0 LcaGvhY1Z2WjPhrj+2m3aAMOM3L/4tjFXuVL+jyrB8jaEpADWTHKuaXoAzqEABhk6WlOq9EcwxEr H8SCxn1MR/Nxm0nqs0DVxwiTQSRyvJtGuayN8GeM6bCPjgOwYXs9DPCi7oee541W5wZn/CER8N8I d6GeoC6j86Ijcj632NuA1fBqi1Vx3pjm4bayAEBFQqFqk8errEYWWlxR351rR0pvz183Al0xutie 9YKmenggEH/UEnjxvSuLRE/asUje34Dg/akW/IPPJNFjGFJIxe755fEwU8MrppUsCnuyZrm/JVze jKloT8h6W4vjWEPI40l00zOcn+5Sj/RJ4/XCvrTyhp8vROifSFpWY5XkXJrgLJXei1vnPpko9slX SSGlOv4sP2yznxbpXqYz8kxJfvYYg9XoN2iefN2S `protect end_protected
apache-2.0
16170060455c25595719341c3bf1b583
0.933749
1.872767
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/addsub.vhd
15
10,861
------------------------------------------------------------------------------- -- $Id: addsub.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Either add an ArgA or subtract an ArgS from an ArgD. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: addsub.vhd -- Version: -------------------------------------------------------------------------------- -- Description: -- Either add an ArgA or subtract an ArgS from an ArgD. The -- output, Result, can be optionally combinatorial or registered. -- -- When C_REGISTERED is false, Result will take on one of -- two values: -- -- ArgD - ArgS, when Sub is asserted, or -- ArgD + ArgA, when Sub is not asserted. -- -- Cry_BrwN will be '1' if ArgD + ArgA produces a carry -- and it will be '0' if ArgD - ArgS produces a borrow. -- -- The signals Clk, Rst and CE are meaningful and used only -- if C_REGISTERED is true. These may be "tied off" to any -- std_logic value in combinatorial instantiations (e.g. -- connected to '0'). -- -- This table details the operation in registered mode: -- -- Clk Rst CE Sub <Cry_BrwN, Result> -- --- --- -- --- ------------------ -- _ -- _| 1 x x 0 -- -- _ -- _| 0 1 0 ArgD + ArgA -- -- _ -- _| 0 1 1 ArgD - ArgS -- -- _ -- _| 0 0 x No change -- -- _ -- not _| x x x No change -- ------------------------------------------------------------------------------- -- Structure: -- -- addsub.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 08/14/2003 -- First version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity addsub is generic ( C_WIDTH : natural := 8; C_REGISTERED : boolean := false ); port ( Clk : in std_logic; Rst : in std_logic; -- Reset Result and Cry_BrwN to zero CE : in std_logic; ArgD : in std_logic_vector(0 to C_WIDTH-1); ArgA : in std_logic_vector(0 to C_WIDTH-1); ArgS : in std_logic_vector(0 to C_WIDTH-1); Sub : in std_logic; Cry_BrwN : out std_logic; Result : out std_logic_vector(0 to C_WIDTH-1) ); end addsub; library unisim; use unisim.VCOMPONENTS.FDRE; use unisim.VCOMPONENTS.MUXCY; use unisim.VCOMPONENTS.XORCY; library ieee; use ieee.numeric_std.all; architecture imp of addsub is signal lutout, xorcy_out : std_logic_vector(0 to C_WIDTH-1); signal cry : std_logic_vector(0 to C_WIDTH); begin cry(C_WIDTH) <= Sub; PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate begin ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ lutout(j) <= ArgD(j) xor ArgA(j) when Sub = '0' else ArgD(j) xnor ArgS(j); ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => ArgD(j), CI => cry(j+1), S => lutout(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => lutout(j), CI => cry(j+1), O => xorcy_out(j) ); ------------------------------------------------------------------------ -- Result, combinatorial or registered. ------------------------------------------------------------------------ COM_GEN : if not C_REGISTERED generate Result(j) <= xorcy_out(j); end generate; -- else REG_GEN : if C_REGISTERED generate FDRE_I1: FDRE port map ( Q => Result(j), C => Clk, CE => CE, D => xorcy_out(j), R => Rst ); end generate; end generate; ---------------------------------------------------------------------------- -- Cry_BrwN, combinatorial or registered. ---------------------------------------------------------------------------- COM_GEN : if not C_REGISTERED generate Cry_BrwN <= cry(0); end generate; -- else REG_GEN : if C_REGISTERED generate FDRE_I1: FDRE port map ( Q => Cry_BrwN, C => Clk, CE => CE, D => cry(0), R => Rst ); end generate; end imp;
apache-2.0
2ddd4618b8e456eb7792250cffe028b7
0.371605
5.366107
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/metaheurísticas/ewf_femo.vhd
1
2,924
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:31:09) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 3); output1, output2, output3, output4, output5: OUT unsigned(0 TO 4)); END ewf_femo_entity; ARCHITECTURE ewf_femo_description OF ewf_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register2 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register1 + register4; WHEN "00000101" => register5 := register4 * 8; WHEN "00000110" => register5 := register3 + register5; register6 := register4 * 10; WHEN "00000111" => register3 := register3 + register5; register4 := register4 + register5; WHEN "00001000" => register6 := register1 + register6; register3 := register3 * 12; WHEN "00001001" => output1 <= register6 + register4; register1 := register1 + register6; WHEN "00001010" => register3 := register2 + register3; register1 := register1 * 15; WHEN "00001011" => register2 := register2 + register3; WHEN "00001100" => register2 := register2 * 17; WHEN "00001101" => register2 := register2 + 19; register4 := register5 + register3; WHEN "00001110" => output2 <= register3 + register2; register2 := register4 + 22; WHEN "00001111" => register3 := register2 * 24; WHEN "00010000" => register3 := register3 + 26; WHEN "00010001" => output3 <= register2 + register3; register1 := register1 + 29; WHEN "00010010" => register2 := register1 + 31; WHEN "00010011" => register2 := register2 * 33; register3 := register6 + register1; WHEN "00010100" => register3 := register3 + 35; output4 <= register1 + register2; WHEN "00010101" => register1 := register3 * 38; WHEN "00010110" => register1 := register1 + 40; WHEN "00010111" => output5 <= register3 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_femo_description;
gpl-3.0
6be3a4a786643b53d26f56548ae84f5d
0.646717
3.157667
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/direct_path_cntr_ai.vhd
15
11,358
--ENTITY_TAG ------------------------------------------------------------------------------- -- $Id: direct_path_cntr_ai.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- direct_path_cntr_ai.vhd - entity/arch ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: direct_path_cntr_ai.vhd -- -- Description: Direct-path counter with arbitrary increment. -- -- This is an up counter with a combinatorial direct pass- -- through mode. The passed-through value also serves as -- the initial "loaded" value when the counter switches to -- count mode. In pass-though mode, Dout <= Din. -- -- The mode is controlled by two signals, Load_n and Cnt_en. -- The counter is in direct pass-through mode any time Load_n -- is true (low) and up to the first cycle where Cnt_en is -- true after Load_n goes false. When Load_n = '1' (load -- disabled) Dout increments by Delta each time Cnt_en is -- true at the positive edge of Clk. -- -- The implementation has a one-LUT delay from Din to Dout -- (via the XORCY) in direct pass-through mode and the same -- delay plus carry-chain propogation in count mode. There -- is an additional LUT delay (added to the Din to Dout -- delay) from the Load_n input or from the clock edge that -- puts the counter into count mode. ------------------------------------------------------------------------------- -- Structure: direct_path_cntr_ai.vhd ------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 12/02/2003 -- First version derived from -- direct_path_cntr.vhd -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity direct_path_cntr_ai is generic ( C_WIDTH : natural := 8 ); port ( Clk : in std_logic; Din : in std_logic_vector(0 to C_WIDTH-1); Dout : out std_logic_vector(0 to C_WIDTH-1); Load_n : in std_logic; Cnt_en : in std_logic; Delta : in std_logic_vector(0 to C_WIDTH-1) ); end direct_path_cntr_ai; library unisim; use unisim.vcomponents.all; architecture imp of direct_path_cntr_ai is signal q_i, lut_out, q_i_ns : std_logic_vector(0 to C_WIDTH-1); signal cry : std_logic_vector(0 to C_WIDTH); signal sel_cntr : std_logic; signal sel_cntr_and_Load_n : std_logic; -- AND of sel_cntr and Load_n signal mdelta : std_logic_vector(0 to Delta'length-1); -- "My delta" -- Delta, adjusted to assure ascending range referenced from zero. begin mdelta <= Delta; ---------------------------------------------------------------------------- -- Load_n takes effect combinatorially, causing Dout to be directly driven -- from Din when Load_n is asserted. When Load_n is not asserted, then the -- first clocking of asserted Cnt_en switches modes so that Dout is driven -- by the register value plus one. The value of Dout is clocked into the -- register with each Cnt_en, thus realizing the counting behavior. -- The combinatorial override of Load_n takes place in the LUT and covers -- the cycle that it takes for the mode to recover (since the mode FF has a -- synchronous reset). Use of an asynchronous reset is rejected as an -- option to avoid the requirement that Load_n be generated glitch free. ---------------------------------------------------------------------------- I_MODE_SELECTION : process(Clk) begin if Clk'event and Clk='1' then if Load_n = '0' then sel_cntr <= '0'; elsif Cnt_en = '1' then sel_cntr <= '1'; end if; end if; end process; sel_cntr_and_Load_n <= sel_cntr and Load_n; Dout <= q_i_ns; cry(C_WIDTH) <= '0'; PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate begin ------------------------------------------------------------------------ -- LUT output generation and MUXCY carry handling. ------------------------------------------------------------------------ DELTA_LUT_GEN: if j >= C_WIDTH-mdelta'length generate signal gen_cry: std_logic; begin lut_out(j) <= q_i(j) xor mdelta(mdelta'length + j - C_WIDTH) when (sel_cntr_and_Load_n)='1' else Din(j); I_MULT_AND : MULT_AND port map ( LO => gen_cry, I1 => sel_cntr_and_Load_n, I0 => q_i(j) ); MUXCY_i1: MUXCY port map ( DI => gen_cry, CI => cry(j+1), S => lut_out(j), O => cry(j) ); end generate; -- -- NON_DELTA_LUT_GEN : if j < C_WIDTH-mdelta'length generate begin lut_out(j) <= q_i(j) when (sel_cntr_and_Load_n)='1' else Din(j); MUXCY_i1: MUXCY port map ( DI => '0', CI => cry(j+1), S => lut_out(j), O => cry(j) ); end generate; ------------------------------------------------------------------------ -- Apply the effect of carry in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => lut_out(j), CI => cry(j+1), O => q_i_ns(j) ); FDE_i1: FDE port map ( Q => q_i(j), C => Clk, CE => Cnt_en, D => q_i_ns(j) ); end generate; end imp;
apache-2.0
b633d1e3b56f36fb9004fc029b11c6d4
0.429477
4.953336
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/builtin_extdepth_v6.vhd
5
50,137
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j988rQGPJkSc3p//+LZwMCu3Wy2jaN5CprVWWNza1QWYGjKyfmaXOFjwEt/qwbjuzHbjjAfjGbw6 Tql7AHe/og== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j2uDSRzUbf/Lv3j4SMAzi4tR9lklbNWs5SLm2h3shtrZo60pOO2klDsHaE5bKeyJ6bUnYWcnHoHZ FCxbv2P4AP3MdSC/np1f+WsjY64QkepPYJwjmJsmBY5lgcjdRkYUfgCYW4e1yOpog/eI+krhAT3U Z9oy4o2E/NIU5n/cW1w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Eksap/1cFJeLg4uPEMslnAPBTHpLZq1N8tuPwR/Hcf838RQ2Sx/f5Hb7ZWTJUpMd55t45TKqiupC KIjq2EIDO7qRI6rygjFDjUDidh8QtXdfBTa/SPQ/tS/P9R/tMb3v8eiiwti34bQN4gtExHd7SjuQ u6xXMrNLbI/fqTssUaDunpYLPgACrPKIKpfMTc0H5WnAgi29xvwoUNvUV6NIBO9pRj5BSBpf3KjU BM7eE9xKo4/XsY9t900HT3ZxttDYJDvGTN0BB4MX2AdlfGRKwCEn7LJ3GsVFa+EN3m/m+aDZAL1l WF+MxJdqGEVMTFJrgwCJG6iTFRUhTLsGVMIMMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UIjIqhUqlKP93ALs3sqYQNb4DqeDY0JMxPMrnLsjpprLA9IggvJuqLiuHT8RD366ieAgCIQ2Ooxr h3wIIuw/rp9Isrpqag4fDBcX/QOuJ+T6N36CUjG6m7zngqRqA0oQ9Iw5aRYOYiYlUiVaSdL77JZ3 QIWZgPkky035XImNqzs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Zb3mEJvC1D5ZW7MTHXbwlIgCtA466qg5KcnOUvdWJrwOWBj24wG4gVt9yUNrd/uvHMTVvwkb4LAn Ksdx8TXZNSQ919tg7lRcg+Nl4/va6jkqNPhYBEcpDv4Jiq2w3Y3wDmfIwSQF0ZJ2y7CGjaGyRM3U CQhLre/ksPLlXyuUj3WuCXaKVHQL5eRVPNBVfKr8vFWroq6mtH57slhC9ezuRch94hSqyXnWl5Gu inAv3QO1bOKRqrEZ1p0EoGeSHvVGq56emiutlfcePugNZPHZoZm5cGX+UqNB06dg4/c1SKsdNNcz r7HevA+DkGwWrbS5G1ByJEVaKdncb0RaUKS9/g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376) `protect data_block +8y3FDYQ0e7z+wyjs7wy353MdJYtQqaOm67yVCovEUTYCDfjLWhNtrBdttiPM3sDWfHo3nikMnhR NKOvwPzfpBpuovE653Lk+DaRj+FYMd2riZxL9zwu1orFI2Y0zSNfOAdqM8tEukOb2UikUpPoGfsI wqXWQwUwJAwwvTT/iiEKUBYxk/YhtbA2N+T6lr3ZJUalraBofB/+rYIohQ+07WoYt9JNpm8LXVrT JDFo1ggubqtcGgCYlT3V1WjH/0LAruiwTFoANqpDCidRGufXrLHyYXdrO/hmfi2IBu5F5tjcKy2k zjsGHW8pdPaIzAG2KwK0vVZpMleu70R2Up3J4th3xsZjCv29wl9KT3Oei+1pval1cqibUIL7y/AP YyfYCNo2jNDdbWcV6XmP07sr+teE4fmqnEzDotAAiDC+pix/VR+adIxM0ywcjznt1D8fx6m17lMS MNMH/q5KevPqC3PTMiYEHSIevVpUFVa1T+2fZ3EuCyyqdXeThuN/3uXcdR5DmpDoDN9LvsOQ9GCU +6USCKtsnZQj6dWcx5ltrlir4/qvMFVLIEjor1+DajMk/e8Dr/3RJIm42zrRCwtaDCPLRBndVaIS x/QG/HQGq6lPjZxRnHqYDI6xACWY0BWoDqk27/GEPdo/zHv1h46DczNvXGfZUFZylBOorsmgdXp9 UIIJES+nvRSQTOkSJ3c4gg2gf5LnnbAVGyPwBco1z9A3weVcqi8jSJq4YrsWsOQZE/rsh7QebFw4 U2NGwFG/Hzq93+vazU4N+1DNiA3IjiMgTYAFR1U9O0kX4bGABKdw2Gdgr7es7sVgXLPhO8LJQkw7 FQcafu4bMOGgXZodnA+OG7umoHaiZnW2JeC/LgSDpuUBcf2v4TOwhYFrYlHeER7WMWocKLzIF4jQ iBPuK7xcjRA1Oyv4UOx+aNqpZaKOpQNwOTeKBaOoqQshBf/JM2zlN43OtMh8Ty1fHGIG4lx58g9h cAUHGmtaAh0CaISqInNEk3Xzrh+nDkt7qVEwL0k4hmxJCW/lTaUdLjK4QtV/H1lYOqD9DbMkEN55 DoF4z7Xr5r9YVl50Eo14cc3MO13HAHuykwQLlZwaw3E9LO9B2qkNVZQzu2EEw4BxiwlZNjazAy0a ChRNDQwxPesHhVWvxZfb632ZzwlV7T22HobqgMEzPXcxRJHLkiKQoj6GvLzfbRbykxkey0r2b/eR bPI9Jah2o6jCN/QUAj0zzqPqes5IX4vKTgbKa6GJ6ddgclhZl6JNwQlmQysumbVg1AzmJyCgre8E t7aKA3GsTUhJV5QgmikV9KNX3PiGwor/LV0PwTDLqGPV3lylS/7ltvGyK36TylNE/8XW16Q9ogHq iw2km65v3v/+Pcrhp1/dfCgppdwQyVBq+aigyg9H+/v9y5LtGAWAJeUe9sYewzNAhNskFHK0Iycf iOSuPMoBrSBtQBvnlNPSkSbdkSb517Idx95EHBn6nHnK0E9x1K0BRnk5COoEpN6bZnDoae4H5/A8 XmMIOOv/UYn7bVIaSOh0Cc0JZt+yBKqKV/K23HgivUucVWEVFe4cwHtFxNuUpaqknmmvdgHG5ems 5GB6+Qh5uu9d3u4QuRGsqHp49Y4p42t2TK+hy57GkEsUjhucYGBMvZgEhPK5ng1/3aUc3R3SPrFR QWeb4OMLFA42Ve0bZbaREC34rPQRu/BYn1aMxgh/mE0PTl5PiTZpkpViP0xUx1sqiIS2isRpzHAk ZSmoJw+1aY+sWj5ZtVc9TDSnShh5HusEEmSCuvmub/zRjMgGuqYbUkMs1yu4Dmhln6C7AvLv656J y+vpBj1FpsEHEhxCmL9alPoPTmEOEjssDE83eg+lkn49hB1hkIxctsaMNNC6VPi6zMpRUClstAgf sitXR7FVNPVcJCUxKgr6cHmWCejg3eC1AYreJB7i782TW1VQl0C0W+D2IXAA14ugDFHlzERp7KM0 8rxLlZNPrIBppeESm62cv92VSmDhi8Zz5FgxMNpGDOMLRHKNLBdX/MFcj/dbKI915SWvSH8A7Os7 CxUo9r3vbO7vcMm5ih5NGsSRLGKfiXaa2bgmPYnCAh+7gtda9tqgEBsMhvF1DSxJTZEOlqJBkS8M 6V5vwcC1gTcOLIjxOxx5p6OAZ1hwKNIqozdsI0VBpCwDHgHHBDYBXB/4gV5LYYO9SSLcBy3NdMd/ Yps+HlKj4FW92R6mWYh1n7biS7oZL9Dy268woA+PXinrABaFBjXZv/xns6mfdFmPRsgxsFp7+c71 jYygTrofUx8EgOiX4JDTGAeIPLOH0UiO5kI9mfJsG+P81yFzrcZOHlkUQujaqmXDo7w9OjPFR8NU ZV9jj33XzivacATI5k9wi9CR+NDO7rhxHq5g9dqdD2/URCmzp35BjLZ0KHh/KRluTc6uJM1LyNo1 UvQE2KAQF2B/Jx4IuVUk3meeUTlcoTA8Tg9dAptO/NnfGAAl2mA+aoj8oPgrw52nRRvZGNLz3Oul rcifEKjbNzdgafPWzhv+zrJLItge8R21aGYkta5eIX0jN2uJxac2yMYU6QQpG+yjDO9oSndT3xL9 rGQbOOKkmI4VEM9vZKjEetOW/ryWPCvlQIQ4MAvkaTPIQQPAZn4hTWxWJndpVFm2musWeO3/R2ed L0HsGybfi95f5TQEtslZ2di6dRKeIh79q4vIuNuMnFMeTKBU8JtTrcBf21ucYkowik0jMaoNSVJg HIJNx/dXVp9i5a2vMxtNz/vOObBH+6nYpx+e1KRLun8UT8NmagbqF3Q41tMFe3fpOkS0Y1MEkchG xp0qHNPHCsFHblTdeRdl0pbx55HzkEKEz+Z41qAYTn2yg6R+LOQyfxdhfw5YGkxrvMUj+8dlobOO QTCyxbLZQZEe0120CyS+2H1k2qrSmLyPP6EbtXjAlPMCw96SUbmfk93LQ+3oOrKQlaZLX2oix7N+ BEDMgsu7Jc/E4LIyWZ/XzwkxuRQbtMmOQsnItz+JaM6ULSVugwssuP6frvA5RQzpz8DJIg/MmJJS W9+qKC82aTiPsjpjwWq8DoddiSUWSeUreSqxrOfT14b2NI6Ou2NBuJ85OJ9V0Fho1chUGbDYSxPE NI3W3YW8GfjBmUWStkFrIQnGLwP56jldlhypQQhyTHEcYUWVJZgKVN2F0tIYWQp5ZQt1VOa0KJer x2reCRuUC5XhyqGW2sGWzbTW6dCwM5Frdsn0qHhA+R7HCpMRmGGbv5mMMsRcdTCFYYI6EJH5lMki M+l1krL/KIEa4U2liXX9187BPhE8icdp/tSXB/JhjYGAZVtVWp86zC3ZLUFLwpSFvWjkcRqdLQWe xZqG8SUwgXbp4+fT/gFCtm4OfTdXSfzAosRSMaK9CEir1TmiHZsK9f6LxD22xGy23XM4u3a6Pmbf CEz7eKvN8oJhAPKSsmv31p6LihMrxNGff63lEmnWUee/LNcrlLF4KuainuEydclu3vY13V0XJHOj zFi5fx8rJNYG4abx2ImhPw25fnkAeDpm8+d0w4fCzO+MqbTcMnMQGoip2mIiDBvqOWJ2siQHeE6Q dyWk/zXnO4WE5+TPjbXplkqgyqU1cEeXvfMY7wvClvkLTSry2K97YEiehqmsi7Iq/Zf9+ChRiCzu DvAPF24a1dsn+AyPbawJMJeffBqARWOReHW8mRHZB2mzVFiPWUW2d3nwy4yihhb4PVYaUHjuiN6M rELzEt3CK34+gXsyk+oKaPU4JSrR/EH5F6BRtmVwHK/vcggbITt/ehLuMCNknC7zWvFGT4UWDQXW 8QeH8s3qEIjF4L/UzDGBJMz2OqC83liCrmwXkvlVi3Yc7uixK2MgAupbX+qlI2TEFXiYJbHbh0jG 7zs8Z3sqk2K2SJfpfktG9qiTsNBp4QeOy5zrtraSs16foCKq6N7AW3eAGo+wMxrLyXmGtjwuTV2E G/EBlLGPJeBba3I7unqecTKDXIC96uo+8mM//ba7OrEC6LJmhscpYYNr6Bs13+Frr38/JEw3JkYi LKURJTKWE3X1BTQHOPxC3bBQuWtqJeukg5fZbEPSuYcK4Pja027PLrwB0Fiprdcq3XhB7rggbVWA DvG2LSSQId2kPAk3C54rKBqRF+Y/HwQpL1vnutdQN/4G30Ro294iGnGVIINHkDwSrAuK+QefzIF7 ayskj4PEFglq7bK8h4xRmNSUnj97uyS7n1yqZf2Kf5/55inZpf1DHM5oB6vSRcF+vgFB8tVdAgTk npFWp13vu0bOTZW3JjOkcmsCfCu65ZZMuDSo8jEKLQdb3ciSOZ8RSPi3riZULEv/bdMFYpkykCQ9 vL1vm5HKXu4byffgDR7Zp261P0KlOAvN6tZVCFXoNA3UV86sEI5nqaN+zZaM4+7wNjybvse0j0cE ZI/xyydDTOwtkxrEJqlNk3ZYIbTx3rtgRBboJrmyUakMajNL19pvg/Ig/TzmkJxRhAN0kgrmIZ0U 3o7gWNJaGofWNA4wO+R3lMG6giyP2X0nkIvIBXPZsnNdBcHKtSitwBaMI+JPWaCIMsk8Az7Wjp2q a8YyxVE/ZR/glLxPJKM5i4U/0kRFMhEUQxRIuAHbji+N0zkPt6J684yjWIyVYpUCrR+NtKM07nDu Hhtc1gOe4knMTmp09Ld3YfwHJUqpNmUygvEjwhZAE2/46U6jy+yDhQWZuT5HC02l+upKLa2YpLcn lKjRJ+NEh64FqMKlUUy2cszlWe9wor09hEc3DRCiD/qji7WM/mKIvJfrXvpuh4/DlqNOVrPQD/dA WSQWZEV+3IGKVeuExak4AgTMhme628ca00RBDlkL2/dGiIlTYIA1/iIJTcw9QZdtUg6Pcp9o8dEQ A3wyFw0r5WI/Ct72mpUCiSoGno7NttXFuJ8QqvyRcmK748gyrYvyIarA6Fn5Cvo+1RWt/EDLNY+5 QF+r3GbTLI3Y1SoA60vWpsGKJIhEDDWuKWUVfdeUxjSu1kXLnsur6TDHCKRr8phn0JfQd2lv3+tK rLHyKEkNdOeFgladgIHQgD4ze5Zr7LJ/Ef//ihptgLr610Q+sOcAnFFX9UGdGjiM9fc9iwWVqwmu Wzf2Z6RuIERAbV6BXaebelqRnyrS2F4hrueUAUgMJ+RIuU62FpCwJKhUPnzSS/dwM4/ogz37e+1m KkpTsHql57x5xIT7Y0MKgB3BrAB376Em5Fnv5Ocxvt/S7+d/CxcOyTK7yYUyjZZlNqYdKkqXgYCo B9P30FVZgN3OdA/qNuP8btQk9U0x8sgSkHc8NN37V5rP+OW7EhwfDRyUJYAjabQLYMoBAOmtDYTQ IubY/9xX1Zf5YXcmJdXPL6pgnkCM205jLuR4a54YfLHm1OwUaXuGXX1w5YKeFc8SAMAhTyCJ80mK fmmjdKx9pWyhiE+s7DKUUaiZ5Wb3zEmx1aqaMiLC4dkzhXaVLBjFeklJSzr57myGpXjFXCzpoeuE 1crUUMT/R3B9RoLUyixl2p10xG0Zcol55siukQXdST60ZkPKVDFWgB57AoAW7ExIWSTZoOo4ronE Bk2+4GNQrk+HQPKIpuJ6RlHVLwNFxUcPjnGTn6i21LAN/CbtCDvnsjRhrAtYGFSTl2Xd0CNeIPBJ ajuHrq4x0VpP7eeFMQhYUdfED3foxhSaIGtzUWTDDQSWU3xYsdQyJAP+55pyhF/YSumZbuFU4PoK 98u7pEfbSvxRrYOXVLCQBVPIFucFKlyI2bN9wCW5Ds2Jld6rB+zCeGUM2jep3ITSNrb+UQbPe+52 wmGsGdnyvMuYAChLgCVZYZz8HD9Vzw16rOmt1H51m4cyKBFWZ8XD76RB7dkKdoxGuLbj3BJncJOp FtgCGGYlzqr4M4td7v6+Uip+0Xv7Ynhl78n0OkpsV6PMWtSCb7tr4Kh9+SvCOWJ2IRYaueV696zg 9t4vyHlvXNtNcEtn5XMK6mLXVyYI9qcXX3EvJwdmihDMzqB9wweFIkilaPmvjFp+L0j8i/GhwTz/ ZbS77/2QluvIHiZlR6BbjJLbXRa9UMT+ezEiFamNeJUxSdGfyVlI9RCjMZutvMrl+vqENFcvWYLM Ib4wcMdwOtMZ7igNOv5qsPnJBy1ZEWQVuaORLpjfJw1DMoL1QWj/eo94izHPc8lzUmz434hrgOFR 199irJ8b58bKpDm3B1qx1oRwnPz19cZwnXJTD/RBlEdrUwt0z9BDY3LglK1h7NZhi8pMPGSmePIl Jbj9BX9BXSdJMfGxEO2S6T4A8cj7kKGLkMxsutcdGbvrj0lQS2nX4TXnN2lXcK7m3YSJNtb9pb44 sDu/JzU+vvPj0GLiu+M986DZO/wB+ah2vffnkVP0oX2yFYDHhmGDLIdgYq6/5KFHcPgP9X6SBuyq SaJwtx1/J1xSA40CgRty6beEHUPKPA5E72XQB6IQWpIBrQ5xbiEKWj8fXSUNev0KnswQxqZ8YcdD pCRsHLojW+3UWpZfoc3GvkLVesfF209LkLwy8qlTkPTqG6TuQ7D34JVHOJDguAbsJACH+NF01TV9 7cPf92yG1gvv0Qkxt7dONwyR4U0VmoTyuXRkr5e13f+PoE6NBzoSr4aUdvZ4tCg9PRc544e4Jp14 szchIDd4dW+xyTquPu1Bc21usOcMINNlPBYuH/JWs28UEekunMIYOz64Jocims6yxxjeBYBKJm4R zacIw30W/AZtw6O9XrV0RamMvSxhvhjrOqwRcYB+ESe9iNmelwXp40+xs/7S8HBYjRdjSwit75iT EM2qLvpCcN5rkhSbiYKad6mhaLof+BN/4EsGP40deWyFScXjDAdbOX8xV/FXFmuoUtA0Khc6nmok x89FAJ0EYqpAKmZpMd1qDau3w96jCSy6CfsgtZEwc0YLlD2bZEYQ3VDSrCOfttBo9OYQp5Qzdf/9 2gDfQzwFTAUZlSCzdfWFh69W+jTVvdqtigOHLn7kdL4CDAK5AxesW/n70zQ5c5HpmrRkU5xcf7P+ H5IH3fHMqyrZxCUslfifUbFD/9labNPgRFJ3tnU/XL/1U8URkth6h8Wp3hNWtVDXl2IvHwVKx9y3 XYs417caPYL5Gul6ZoE7rRnWTsdy4w9KjNGBfFKD7XzJFn0TOXloN6uPsdk5/lQdnfx0NQH4uKQT eXyn1Tg3uN2loApU22cxsfqxaXcm/25sgrOStWbp0mTLHDQ8/WNyXzZCvgs7p/3xduJAa1QdH+vV 5eXYCzkISp5AENXPq7x7h/hn5++DwcnTUcsJA1MHAo1cvgWsDGY7ZjA84v3fCR6Ck90aonYkm6CQ TMcP1KZsU8z1z3nDXoEtGcsejm5bAVYpD2hHXw+EtrYja3trPNn3xKHNJJtVQIoGR1xQFB5Dfvmx SzCY93FZlfKC0AWoIz/kqEAJUq8CW0tSCqr5hqfC15RjDqLBvnYPh99YTGYi/vVjQY77yADICHgV vFhAL0ImEeQ7C+aFtq/1n3dMWazWR8dK9AObM260nbSgZ4RcXUQxDSJ/cS1iw29n2nvNY+DgiJo9 qrpFrn6YQA67w6esmGVg0BVxov9v+syh0SlPq1qhEW670camga/+VkeKFNVsqctJhs+Mcu/QB1Lp RYlYg0YCEmj3i5c3/q9kGJl6AMgNlhQmAwEcTMpkniJb3oCDm+Du0nH7qfECJ1YLANQBEvWppYVe 6Qf1x6uq5XBm3jt9zrth4hezQZHheQx6ZEHbE/UwvfyBbRnFWG73UWpH8rHRaZhX0UX9epygOMmc qou+HIy3AaDIwDUtLVm3oe8Y9k5n4mwgXPJO3vQolBawZkPijqo6vSnseHEO81zM1SKMDvHC1/0b 5+vDnqSTccOs9PeLfvaAI+2MQYWMKWQh4b+o2VujcqOMBNVO3tV32pVq8miTk3W/kQVpjWh0hobz EGAOal43eo0kpWS5SHTS3vdCE6pDTt06eMo5YTz5pUF4RYXI/VZ9jaObVPM4JCUqQYI1P1MKPd6A FPHA5huSaeXNaUh6UDNA5uVDENKb3lhosTgVn6OeJEUozpWtE3+FkvO8K9fEEQjeOiGGie5zOzc2 7yAUqX3hDSj04Yq6TTb+ifTJ5zMLDPO1iWfXZ5uWML7szwfn24cItSrdGFbjnn8WnFfc8nV+WL4S KA4HWt8C1AKbTDHKn3bkBfSLob7QHubhywQ+QMzCYNUZqpPPwQA2ijC+me7ZXUDTiYbMVFGbH33f q9r60PGK4Ex3KizEE/RfIfZawApUD4DYwoSY9VLIWoY4YeZGSBS/+9/QfqoGfFDMPu2jxwjTq2u4 ShH+Y2nncDSwLQ4IqCaSzxpfaHBKp9PDO8naCk6FweuM7XHpwh2lKWnZ5tkNjgeBfuFpkUYPRptL reN/NV7mjbyKiWsyKtzsCEqZ+O9h9YqhzjVqLE6mFr5AQjcOI26fN+BVB9pTUl+enlO8GdUPdG2O /WCgfCZdRuRriKkP6YvKNOA7yJ8lhA5lTRzrp4BFBy/WjubmOrgIEGV6XQ/ptG4zdwml80iXCEzz jioeJthCmdpGva5B/ZUtipHy8F2cffX1WEx5mAIuZ54/cBk9TfOqIQVmp1Vs9Rs7OzB/TmEzm1r6 l+/dckfRxv3JGfCrNe92KH2dhdRZ/B4npYYcVx1qYfL0IASvukzNsXh8VKl+YhiWrqMER1TVcFyO V+BK/8AMyxJP3D/bW3Kx7olH0oHuAMtxiqSBdmTTsFiI34om8TdVVCcK1hlLGQsJrncrMFVyZa2s JfjoSfhQ9IVkQyW1A40GSleEERKqXjDpbon0CWiDPBOnlVUhsOCXxeeRMInlh4hkSmwDK/Gdgxow H6CoVP7QZCPj7VAyy/BDbFrWylLdZbHGVEDfUQmffZzWKWmdWz4BPpkHoYyraqXRG7e17PzZRHpp 0jj8JTwZTgffsFS2Nha/bdUxM/DZ9QnIUAhnW/kIMfrrc2XSfhyY+GtVa6HIUOwqfmM1GlGQcZ// zIRBhzcOOiF61MEWZW2Rw6qMJwd6Ej8i+xQSiQSaOzcPrUdqTwOe3qBIIJRPTzmrFaDOgTeckrlx fvN1rDZ1IBzRBfdu7BPX56Om0jJspTcFgjZs4ysHBn5T6EvyFXmhCUBSuoASwG0TZdJFbZuczQPw BGWAf+QqAC/r9+GORDLYVFR0TQav+h31iH+E7RZXTcjqQc5kr+NhSCGwT5bKC/zfXS2UOlfue0n4 +3ilwdO+eU4GEwuam+Es2WxRNwNdkvtSWc7rCpQxCGfxJpkfMORyRIyEccAxfvJVmaNMtYlvRmo3 5Nz9JG7w17Li+9y1gqFs1ajiSe1Uc6tnXbnJ/p9sOSXTB4QPHKa5r/iL89dLQV0jyar7uZgBDEhc dkQMwC2sOPgEFueq+BfRGMpahlGCeFhk42UT6CvplN5ZJAT4psZwlm3rpCACt7c/HRNDNRgli6tt 3wgEHABlqX/jtM5hMsFdmXxC7hGVcm3PFg34d8ano+TFE4RJJzuU1TUcKUP2zEJheWd0+nlrTWxA o+lnar6ZtT5wFGIEgjSVDDhiPakytCaKZKTbggeAlpqSm5RlpXppElmvVlpeFQlioTArhK/Tnh+q ff3Y9aBHlobetEaKWmMSSf+LTJeieqg37zkj1BMhF0QFuowTt0EatS+QxG6saxsquTxZIKsbkUat LKDRk4VWC6kyVFdQzXEyE/INYQnTW92Y0lnx6lSl5HihOWCpAoZXinCw/lx6GqmRwacmfwvHgkz/ xyueIKm66yWInNrn2xi9933+sF0jsTBXjtRTLalutvk7Q5924B5hnJ4MnFEghuy/aYuDaPhsGIq0 AVFACflDQF/SaKHYbU7XPjon9GKjirljGtd4vOyUVAzBIi7E8TkYOJEUmyGuFxKjdPYPHSgwwLY4 94xOyYpKXJcIEu5bzv3l998bJJzZAv6PtFk7cLYe8MYBUwwjLvsrGyE22pJzrJd+usLvJ4NSjNoa a1xBT1E6hhaPUhUz7+aPt0PqWUi9pAQWlXoFTm9XZJs2Qz2Cx5KAwDbhCz81DVEdoWkdo5GojCuj fk31MsPgsmDnJaqFB8xm98+HfDDRK7dynaj66znGhgECTRVZ5AKPi9zRS/ZkUTZrZAw5UTXWfGxr 8a0xviopagB1SkSicOu+a+sRBCN1DxM+IdEcCw600k7hXIrDCw+up1swCNRd33AK5FmW9KxYa99n 5Z7w7wIGHHZyB2chIpTHiB8VvnoO7USzTeNlB+g3PNBGFXwaL1rRM++n/yGd5RiHIaEQrAGPmfdp QyH3Ywq/1vz2N/pG4iwnezpdS4BXRDk90mEcjVycT3HLv3p+TX86SDVzkt5yySn04csIgi9CvIzl mtsvLIkLnIl5PKPmzsbfg3Zqt9m3IkxyxKgGN409PieKxZxUMgLKW+zdeZ1yBY3AdYCSJnu3u4gL CowEA+5G8SoMHP67XsawQoJ4wRrKXNm+FR7t0WU3FfDPBb48L/GAZiFrlfpBBYo9R5MHHuf+koA3 wDi+0z6vseZHhQ4DGapIbHNYk/OqzSTNYUc7beqnM7Jvt68k3keqA+EkBK0Zq5STqLxV2m8vwgFD QeJjojrr3BucqcdRfgx++6WTVSFlBqJmHiVTFv9GTjfT4TTNjPty7mjd79ffuV63uGr5beDoIyFI QISbOTjN2cODpUEDEntAoCmQNQi/9gc28JJAcJGqlY9CqJ1weu0zYl7gP/GXCOWejFNCgmijqe02 d2jaP1JJ9rsLczlaYjaPezO1/TdhpT2GjmoEvElykilhXfZz7FUK5qg+jBqZj/B85oqIGtfQU8mq yf2hcgQ/r5u+KORUUzQHypQ+opuZU3jTj+rbVqMeESN+w6m6lhA0U0YM+wY5FeMfqlfHDr4kOkfs 2CtyT8usAybUQ+u8ZbrHyt2BdDYPsAzQkJn8Lq7IhlUZNAnc+lM2IGpnRuJFQcg3FXDGJFeqU7uD LFv1O0C/8LoY775lS8zBjKDoHWEMLEE7rPGIIOgjiDaf4P8s0csqNCoGn4ioVfSEApcpo3o1kpe4 yTLtHb/fBN2VJpe8uPbXbiNHMBsEVDTxKIuyTARh51EDvGTPOmB2TMjE1Jl5Je9FhCHk3zZka+3z 6+R6XDVbn4u2ZP83GOXHsaAWsdGOg6vTf0YG9qpLeNeYiGTLh+r9b2hoqd4z3nA903/IOVlnCG38 YmNkpIqwtY9EvtAR6RYYc90qTx8Vu+jOqBIvfmlz4GAygQjVt6IIqQT6NuSM94YCizR7N99ocFGf 52KwpujatwVhNrxqyfw1lJsExy+3AFCxerHJ3tNWMHu2Sl9zVJB2zHIc75v1dybJAVeqLn0e0jCe VOM5in9nmwYVMLTvKm5OY3tf3wtad0xT+OlQOICxcH3DUlSyTZ2JAH2J6TOHqIsxj+cdsHV+gkRX eVh6qtImZOty2KrFT/dd0fgTHErVdA4r96BT19aDMvoWIKbeDlEDbXl1Em9pUV6DwcKRl2vMSMfm fctVS4qGXVzTV0ndeukSMCwXsH11f/jnb6rGqZvVEHaXPh9EOZcvyF5FsvlEiSFQA4kaZg8GqFMM koPtYnwxoA4hxa+1KGFPnHbmvMN3x+UXacx5T0k1PAyau4fTlhnaTDZ+M6pLvXGzM8nhHhLV4fHn Phrou1nabEN64U3Hk7QgHYOkalrf7Bv96NeJ051DWych0/NCiAS7fZ9uDX3xf0gDmwD/hBLXlLs3 6V3+g9a7TprygqKIzfJsMHCo53tuwfrbRkYjHb6/q3445CccM8Mm23xLewXV5sbTWWfru1DQuVRz RVvSO7aT2by2pdpJSrEIQfAHzKtN4R56eJLhdkID0KgNWYmI7lN2nbg5Z/ynJyI9sIZZ3t4eNRUo nEr7iNDDwS8KoLtSNKLOQde9DwYDX6pvat/aoAPYNQglyWhcQT53H1STWlfx9d/3+b5PffeksoqU iehaH8EovxcWg/jX6dBWgUXpbMRImdLg1VSxL2rmctTvx2B3fXKmAjo9OBcxxWOmfytxhHGhwuIE Z5vu2wUZnC2aXdKyD8qUdodSO66ZAapj5XNlGvHOidUBQSRL9kR9zkH7g5uU8QN3xgoNLjxfTfhE OLca76Op0QrO8gyDqJ8ppRdGgHjBab3xG6DeGbgb2hgI39wwerxA/7ZL2e9ej6FbFBeaVQbU3FX6 kK3bLLTXzSI/ONXrWhU34YhsHdC/M92uq75NxRjJ6Fy7UykkZ4RIl0zGS6ESjOXyV7OoG5aJNmQl BGU64GzTO0BQN3Yw/4e5YQr4ELYHWApM6BKxqkfmTIu9M04s8437z2Sa/x3o3oJQOPEJfsFSwmx0 enIAaxdXRwRsGyid21jGHIH5Z+MVoH5xe+XJ0KVCU503tSx/NP/tjcrcN3zHcRnuGV01MY0cRRs5 B+LHMRYrgAqkdsvWiWu0WxhAox9XJWuPIbt+A+yZLJmnSREskdr0uPBWWAVNaoKJW9hHXyIpK9mU Wa+j9gtQt3cefQO5Zw0TVedcYUHCqxlauIKbhB/8D6Gp9NrNcVU2jqz4y7mTmKyZ28L4tufHoqTn nqaKu1IeX9HXK3ZoHakKbavnz1/XzWrgthY1LvdOJ+5CvW/QMqyvcOB2nrNVdX5vir0kdoy3bmBA qsjx7NujSCxL81RGzlsu6Y4X8Co2zagTvxwyIqKRn2mBNEl6SPHiSwmpcEyHO6oiu9YmdV4kOYHV F0uhGDbBt0twNV6MfokhERaxtSDqY/8t+PlnPQ2jXW5bKhenv5bKorSmljgnlScNLAAQ1uKW039U mGEz1YA3/sHVoDWMAmPsxt0Zl83KvHxDGKiMhQ83+ICbw0X+p+2JUg0y7E2pX5ByR/umrEgZJYCm cwMvRatAXO8KD44H5xtwf5SAHidObiqy7MwnNDAJ0WJ5TDP1pS8UKL3wR/Y36rmgTbS9mI7L3ai8 l4ubcmoEhq3NuaqzfnBgaXUtLohO1ZiUV00Yn4jfgxMT1CYVEN8hEImoHA5nXoypm7oLvuB68VAr gOuEyP6PZTXQyFTZPapoKU8SRlG8k7hDt/Upud+MWEdwNfZTXb9w6qFgKUbGfrApgWMPWAVYgeYy Mb4/xExivBpkxNyvOOGcH9Bgyf6YUWZ6pKzLE0kXnBsxXmNAhHS9b/jbyn9lo8/1Ofjx5WfUXumm jZ+BSq7dp4HR+ZSrZGv4ylzd0aCpmollVW6Q5cgxSIxa+cW0p03zeOKvSGZAxUGBuetZKbJfQggN +dW/JELmaFRTiWiZz7OmNwus/2qSZwRgv5hnYmMYuRM25EYBJPvJu8yzDT7ZDBn6DTmk5A/I+9uM 6V2ehnYxJUXZlYudM1eNVshrvUczFX7r36wD9l1j22nkFlMm3TE0oBCJWJCnFgevxCJyPqX8tk8n bPrGhVC7MpqnAFFgqLtQPISCvsPab4u19eS2BUvgWOhyUIYGZ8GRqiwwdmcjkyUU3Zori0k8IVwQ FwLIRRdLWMQ3Soe1+rcMkr2kDAiIefThawqrq6ZeM0NESIXMNV0P5F4HI8Zv/CmstPtWUxD2qMuG F9zRacJ1uMHi4aewS5TGM34/T0vtCUICL30vjx1TwWQXLANdyYsjbJY+VNxIj8jv2IhXm1DQA2TC xynSahvwWxmeDLDg0zTj0JSVYAiJMjaZzxZ3Yd7fq0JmgtWD932lpigyyAXe9LVlsCJ57aca3/i5 aVSRGxIiXWHOSbQyOKAOeWckv9lS3OUXUgsOMCZXK5LndMFoTEaKbMf3arIPCMtl4+9of1AVP61c 7gMhxVsaRyYm8Qn8cwU6AGkv/4hrBe1/9GAWV8h1xr64zCxfdlYYi+knEBDVKpxTU5oN3NlyRFRS r8wH6hYVD0P0AUmDRYL6jMZEQho97RYsYBPdjq3e3YU+ej9jfyinPxRcs/tSI6dUxwsz9sWz8JU8 3ZOQatmHCq3iHc9qpyGtUYUZ1yUHV+3QR6T+dJCjQgg0eXmokhmqPZlW3J/iGy78dR06WC3RjBfT 2mkPchBjb26daLyikTBht6wNWfiWRdZ97amaWNy56WuEr8OKfwdDtGOLXDxC7NBtfTKqt9gw6q01 eXsefahFXKXRbIoVYhSuv+aRJA2eBzqMLzP343ad+BrYrr/Ci2b6vwo4oruPX9NBuyH4NlDBXmQI VWionE2cXsg2oG4v5VwNoubXwClxkbinwn6Wr73jeSzDfDAfre5pb48th3pAKq/YW9oDyXlXNfQR 0Co19DswHomfmogjm6xlcgxDU50vxDgsJ3nymMDVTlXfNk7X6yGCdm/CLSkV3nEkGQpulx3zbdWJ YUjbq9I/rn/XGomY4/pSPV8a99mwnBE/pYwdxdVG9w6EbFlS6ZuiYcBMyuQQbKz1oyIUJtDpEtD5 NBnQQPUVubriGxNMDGDN1MHGCBXVWujZhbew8wOMmHv6P3nGV43QjlvzJ1Iu84nIknjX2VOhNYUy TVvfgEyOzP//4t4sLPm92fYZ1qx9l13Ws5RpvghjZwgPCuy0Pdy2ELyunpLM1MQDCbCh1wMOSysS 8MaZNpl3OUMaG7eIlsJoydAHfcqIA6XjPBMoYBPhMSaDX23Gy8mNounA9R+YxB7JlDTxfQqjXyeO O+6OGsdZaJ7lrOqhL2PhpJgIUUj6N2G1itOLcBmgSaMbECSt+b/lgVGp4ngc3qcJ0ccuRMIdfrQv lGUtIWa7GLydSld8YZ/iYcEJBzMEFBqupthhA4rIB5Rg+Lc8JLrndgnZfVshvmaal1U7QV8WidCa 6poI0eQy4I34jN0LK2rbb0oeBLSb4ApEIw1+3/i5tmFKZDERp22f4q/7uqQjiHUUJ5/rVtdRxKKF 0Gduebz5BCF2GmlBB+Bvl82hd6oCdG1SkUfx98T5D7m9tmyQ2hVokBgFeDWoJgYYr7NV1/9SSKLZ t+NCDVBlkpv6rBz2S01ASOM69jXx0wexQoD3nY34uBW5Ihqhx0+vUQO6u70tafC2vTjxy5vJVu+j 5DuTQHTVdhKwXZWoOg8gZnc+Hz1BzncB6QPL+xAfer0EyLAM+5f8rbWS6ql9uCUTCxV5bWgn+1A4 ESpRt58MvKq3UHqQCW29nks38y1syh9uBPXr4u4kfsnwwj4LGgDf5sg9sTfd/9X4KluSdNEL3bAC VCJCpRZpi7sixm1EX7Equ+UF/XB+B+RV6mYLIqwQJPXAmqcLcRxKEwFKbUPZwof6ykY2OBK+uC/S v/ee+YjlxmFryE+u9yIsEL+M5MccbvwAmIhJFd1d4DD1qfdiiG2ZCulI/dCkpjjjQAj6EjMcQH1u MEF3YYuf6wgPjerqsGEl+i6r0cF9E/0S04ao3wMsGPIJTcyslZskHRPdCFlGyEKdMp7FTkaRVSlS N/7O8aWkhIuXm/XFJHFEwdd8GKVVVDelVkTBq60hBfccKBGMJEcVQo6km+Bpssf3VikDgsl0xFN1 KetQncf9a8phi3k8TWbPtNW6D7bObFhpODkZrU1YYvmlvTV/OwZaSPm878OJpvGWLB3CultzS+ag MtYmn/1s4K+zenJH+o90968UmmmZajfXmKz1DuuWVTJQbP906Figx0SWr3X3aCUT/taxLEhtvbEA BClNApzEwqvGULtgE/VOdE0FYdqb5+v/aFJI0RhHyOHYrh3snGvd+erkLvlyi12vCGK0WBt8qw8u lTwSrpNSS8hRMxSZu4x14nLYwovzXQ+TPVTONLMLaS60rcEbNtMj9EaB7FSgNBcoHQ4ZRR4xA68q 7C9kYUTZLGEW8Y0DcIM+cmgFdoPYgyO7W0tn3xPd4EZyP8zRIdof67WSbx451C+oFKWOTvOHRUZD 1ANqdcnvuWTVpvjNekkly9o6ZPWTBjVQ5nwJyQdmXaAdNQhyPt+Mry845RATpszQdeO2HoBtuQjx E4DQ08YsYzS5rzu3EYyZprLWriJ1E7HHUNsgDK9Ajx1w7S/KDHFuqfVdSBsO62wzeyNgdwoVgSAY pk43ZcFwXW7c2Zo9e9Zesa8ZLUMhi9pncgTBFtBHkkIMSgvwK68imKq9oIxz74+vgTcverVthdiD tEIiKumIKMnWmGB4PTq2kOQCn8Qhrc2LLH8e7j9HjhaiQQ7RLR2W1/iqg7MwQaoBbdf1u8zuQd9O aINdGrvKLzVh6Gn4dFaWRrIa1D5VwP6e3ro0y+ZAf3dJ11xOiE2vUc144Qw5HqgtYel8bcxhwUkq wndgI3aFYZAEL0hnzrM0aY2nnIX3yGSawaf0mQzj+JRmoxTkztov/xAbzK17MTByrbykO48NQ+b7 roAMPfry+srqASrb2vVRfxYHLyhbf2p3eAX9M3Le8YVnovDyZDSwE+0X5smLjaS7skITuJ/VUeuv I/hLVivL82p39vrt6VllFVoXaHFXwITQNol2eeIIdi9F5FUFK1OomzOByXCDeJWPF0gbp+8Axh2o zSFUSEOKR/lFf7xaK1X+sfcCOgAbApLJmxT0gd1yL3yXrUGL06lVrhc2gsFZrkVMMFY1AApAuIkw 8WmohfRIdmDQWgrJKEU1lCIfV/jRMNreiXqPu2pJGY5iVjyniKD5D8zu7vo4KjR9iznFSbFclq+E GX8jH93ntrUXo+2zuIrc7NhlYdkVVk2cUUqA1LwlegVTcsiV9sNjDAXT+5Wg0suqwrv8SmXl8gJR 02pBB8AyEqn32R/BvjXQckPPb/K9x2A15h/nMFMJrZyQ575gHt78hBIkRVvcmqN6EjWcTDPRTwek OluSVYcCRawyMOQoCoh76Cren99LhmsXN00ePb0KU6RBuDhG4rld2E06uV4vM1FsPr0rCEGES4B3 Xxd8QoxWlUk34ARc5wDyy666FB/DrRGE/wSLHo7MozymJwx/oMIVYMuC0xATxIsc3r3/+dPjW+OD AeSyXXLPznXJIlhqKNrqxDycCJyuTx1ykbGv325Nwt8zFZO7Dhy/K3hhFe4/hCIdJnavV8sBVeSC 39+FGQcUvlmZRVztVq53PBbeVCd5UqLPconBU94To6kuFVk+ntD976+22GWt7rb30IU/75VtfE2y hko4vSQRcpo6xaVcqQtMU3I5L7D9g/5fW09NLqO9qHVjjWuLoID22AIFKxbRWzoZjGM0WqX3OH1e OS3MBR7cVdyN9lrG8wZNgPhGk0ZQciMP4TmE06ahTyZgMtTKCWCgCNXHEO7p3L9b4SzABt1iR/fl yhx9camWjZlOiBunRD8e76qprWZWv+7BbLlTRHmmEX0RCmDUSyCJLjSYfaSewGN8h+pflp0ZrzQ5 x/KjorZ3iHStJJTjuizXi1vPpjqd+/KcneCQGqAsiw99ICNChVt9bRbxS1KeKBNiFzKjJl76NQIv jKZCLvMyoxXfhBk8dje09gNKUE8Mm394lfdnZkQgKYjKn8Ru92O7wuravmSackvgQ8PsDqJeIH03 ewzeqRv7gmwhpYJT/AuylkPeQHgkz7GKHYjDLPKCTOteSX1vwVguvs50QwnZgWGdVAjy8N1o5KGH FapG7RwlAKWKpjRFR+gq5D0GMM3fsm21s57EHVzPidZLRKjlGynhc5tWk7mEtm2c64fsIDXKWDTY xk/QV6MkepojQrO3m/a8Wbb61HFb746vLmpbq6cR481FQQfiWryZwL9jf585fe3dThpOsu9ezn2X dtcNJqazrl7lhajDrguvxV7rJWAeUnKg5IIAIKOoWZVIMaP2hw4Q6jk7Bb9fX3SImZwznMA8Hx0U TbEFnODxnH6sCtccrF0EA3y8ILqHN2uUocrkyS6qYdqXlswErDgHgA7ZxtuzYgyayN4FXd/5Dsba Gqy6OKkdZWL83pedC6rPZraVf2doN58Lk9SeNtfguudM8PNMTmuVRTFA/83sheQgQfAQ4g0Mly48 xERuAm35tlgvhaVcJK609iFJhvrYd9ee2Uvz/VKvMUk7Rl6N2SY2TdSOybezoL1GsUff8iMJF9fu 2VsGVWKFMlgDtJfZjMJmUocHHxIUbYSIPw3AIaLanyvc0jujy9v2eqsCRhvwGh/Zm9Gjwl4j8CJW 6a78VNBbArcUHr0wLjbwvx1/Hc1eeNnenoak9LqMX6d1tWE8jrEKqoVsqNyd220a3VeArTfYjV7X 5jQJqV84LLwAntRzD3xqPbNSHj1/wWUqDcoBt7yN2+cRi+clSUKhGWHQKiqRfqTMxcV6sbnz5qey YRKNypBJTL3Hz4GbJCEzURCMS+nEbzvk9mPxk7Pdqac2wF4IrPmuSxsuMKbs5OnO2hp3MN81cnak K5GN9xamLtAmh3e+GXb9HZIyEGwoaPTp62atnfIaCfIxJ+4rUhi0FKHIVxr8vCHaphGgZkHKXec1 InlfLjxlSYya+04+S1vXgrtRoagvrlHpqgWgsq+ENy3XMV7Fx6XVWXuC4WWQuPKicHFM8gABSP48 OSKpsAnddvrHIvudwsTDXV60FNGoT74bre2vztVF5bqgQZ0YsuT40GjhsmhrMKz1HBQXdKnDEdAy lGgDWUNaqWnX1CxMvuBud9tynu3U/X+6+oCmY/fxSMsf5wTK+/PPxkRWOgxBAfvUO+wbBT+Qz/Et 7GkpQWAkOipJQGaLXd4judtRLTRvNv9vp0Wzi9b+Z5Jyezy94IclYENC1Bq/bgEOFV7OVvZ8WA9A mMiKGNF3ysij8ugDa3tnFSVN7cI70dJ2ENXSK2gAg6LVTy/FXRQsX28LQgDYlbDfNot1RJKceXP5 VL/6v5NgOJUBUfRe8jPzQoGvex5vnO++kMYIqw4S+H52ZzIzYHFDh81XCh9l5hqF/cSqZuJ9ZO+Y 1KGngrX7n26Zv1P3g2NUs4nav2IS+tq7MJ33XcQDZ2H4lAatj3wPajxSAMPwRlB28pGF1tmX1+oM 8iSeOjq0hfNOmR6TfK6BKYrX1hsjpz7Tej1fqJFuQzGND+yLjE16ZSmjPLmIAeyQqvXTaTc/7FzU KYH6L6D+Er3P32QuUe5TiP8bkCx6K4O7AH07oEB9rLtCQaHKsoTGRhqJTlM/u4KJ2bTMVoOs03+p kaL0gzLwlVvtyXDZ+3mOB2uGtBhBZNgEyX8SVxCnYWO9PK04FV5BSjajyGLPQQJc7HpulPAWwZlB edxL9JRELoLK+/uOAo2qOaZySXEkpqrlQH+lVdrnDZuk07OZuVfb+HoNijiMNOSDuwg6nFKvloCY HIDNwBWmg5zYeAdUV0tOHrtBdj3NdklPk/Pu4zh95FOppqyHXcfcCAmyVuOmUS/bbf1H5Y7IlQCu CUja+oMjiw17so/fID447K1oXtO/B6VqM5G2VxXvBr8+Z+VJ5SsaYB4D46SH2BMvL+OfpeloTYT0 MHT8F64AmJiWUyj0Wy4aGyygdveBhkWyt/wbG6CsY8jjV1malL1V07RQ9J92VGKX2eIw2/wipzaB YajtX9D/rHC/ksZWuBh0iIeDZOIhGrNDa3ESvq94o6A9tRRUjuINFeD39kY/Bkvr6joTttiJ8l7g xX9bn5rTgHfX5wqiJiD/7EUNc8+6d+FEFRKxkR2tDNFFUl/NlYEEEaJIyQoRXYCpuC3Y/iPbktkG heQDs4/z6c6naP9Wz/ARmSrG839+QqjPofeZ5UaIVXKm6bubWwQ4/YC0Qxa4zNtFdImeDbNqArSa F4grwuFMpo1iDTNzZzNEnjMlkNrhyGR/eKZLg+t0Ttbpp4/D5w0gqDQJmj9OH7H+gQV+OwOKOn/5 dnOtF4JhaUDo4j7pIVtSjLXBv5ZzDmO6coboc28Vbd2H1v/+iERxCqgSC04hXCviARstD0lgwwtv ATSiVtRa3nAUb9n4I9z5AZQraS4+MvJ32uLuLj16+zM+BXDBhwEr4Wz+QZeJsIF4KCdwk2UV/puj yorwKdYzFHBLZeRyaGT7fvBqZR5i4tFlX54L2k7E1TpHPmGF7I3Cg2appXvKLI1ireZeXL3b02Ut 4s187p3AuQtWcOSr/IdpTkjxGxv0Oa5TgGALkGHYZUczGjS7kV4ztBiLdQL8D/TwqpHb4SC5ws+2 ZCbMN0TvDxVo7KEouKxp5egUZebEMNWevHTTdJVEeA99Ri1pv0zTQo/1cI88pi3B2rCTIOvA9qH7 k7RR1t8vqgo2WnCLcvENk5RRekZM/jBHRQQA9VDRfCpUoRRosi9c5vNonLtVG2pupJeni762IoQl nsetbAhv0ure59Pao+N6t6fL0spB+0AattG6y2Dlg/DmOe3Fqdx++QpTUsyNyxMGfmgBEZMOrWpr IbZmTDmzJJKBb3LL0cMXQwrFdWJaZ1HqhvCIVq9M4CkI9k2i/NP+NYhqydxfWWAtm/T6Ufcu1ZmQ cJd5Lsu5hTApvqwZI0IjAwM9uDr0t40FtYNsDPVhAjmip6jKHHoDwMd61nJJodVBCbg3MKWxNK9H WsMY+r8ia6Yn1CpHSU0UTwJtEngRALH5xI0Tc9azmQA0IJwg/CFWnWVAnhpDqQk8DltMGwAV4njS jjLk9+vH/dxuhy41fTZl04kL2V7qfv4djhseNIpDLoc8YzC/Q97Tvd/7VwdTM0QVbENBIcu4GJqK V4rw188mb2O+FhsuQZc+r4DUV3iTHur95O1T1iWMHLZKNeREQtPLwVrEJq993qTHHS9oaqrJLwzy bX0dOZOZ0tr0qPJ025jbBJMGQFp+LW5lg5ePf1frr881KOdxyhK0ScHSalFoNviwsv0UCWH6mVhe aaooqv4wSvTg9BK52Y/fGd6LY6ZGjr6Mu7eexkHJVdEQ6z6KrFqym9cI7swNj2bdzR24ocDaSqaj /9i8a6cohcWKZZMeF11sTacOfK8kb/O9I+7YCMI12BWb/oiWasT8whXz3WphFjPgN5u1bgLzrXwc eMN2HgAabEhMfOvGElAL3JS2yhO+tjLceKE64tvPOyPv9bOH36lkBZ5h9ubxF4p6R6eFu90POxp/ UzpVhYdmbussbpuVxrZ+ll5E4ghA3+ObHjuJaXxUwVAn411xm2gTtUH/gWzpeG2u5XxApRbOYbpf 7D5RhGhgkfbVOfb3WpeJLeLJVHIbaygBthV1Q4LTA15ehZnIlm79qBDk9e15uSh8gByKi9hOY4/B AFUInT1dVLdIOgI3PPetl4wAqYOLHpBZqIKhfIoSuwBprMVM2PaZdYiFyQIknWqvQ5+xX9gYfRcX VaeGkewzi5DNWVsW0eXFOW+NDMTJp3+uys0GVjbIvsR3sUtHcV+hrzlVr8d3X8xq35gbDw93j8EX yxUlS7oJmL4UlrEdXPMPxB/3fDk8ortUGJefoaNDgk/Jf0ZQVj7yJ1Zlg1tQzUkcUqtbmiBGyY/o T5QGm0V83osq1cbIxBUh0h8AaA+0BCjbngdwsJY5PdNTVDWH5HHVyeKUKO37R7xzbBlCJ/RYlgQV BZze8HtV9m2a2yOqfjyw8CaA/rJikrBqMRWLotoAU17OlOiQpcXEuOqyt8f7/zhMW20GL6xmVAUj sYWV6VImtCGIkMjNnBzzLrUC3fbOzGpjosegdhQ4AsunacJLrYsIf8xk5nK0SeewXCUwq4f3rmII PPQqNuPw0UYW8apQQ4XOU2iidFICHgMCm4LzIxO78mTZX9BPD2c6XbJH8ujE/8IpVp7bZRhckMZW gPqTiikj6Ulrtzzrr8zYGrlY7ywRdS4q0qH+j2PDXPv9619lrcxjX3O+h81WgG5K7TvGnmdMv4Um gPEmzWb7w2tDUU7fKoTZtqEJPaEFfeAhYefWjkmqfC+eeX+CwOZs0s2vgZtF+oPJpLrHF5k5wRCX txBLP4XqrkacPljd/k0EpgJ7uDDg5LOJJXDXAm5z1aqNTeOhAj89+EgPdtGAyEcDr5wes9tqpWak +Tj3wm023+g4oGIkjjw+c8d/ACIzlFsTBBQYTHroU9MYDMiY7kael6ROePbrq8TtibT1oXBqb/52 KERz7AAG8OgvtLiu6cvVLHSRAquBnIVicoYnVbN4vsWX8LeHerbN7r7rmj1q08ffrkXheOR7zH25 OmVNFv/KUOzqcInpxnxgNqm2J6hAL65VircWYBuemVMvsThuCpMUOgVAKT4rIUPhfIQ++mFd9vQF q7Jy+u8lHeOWvCDoQKxS+2KvzFNXho0RcLD2uOdUfrP9PB35KjzjfWT8Q+e109xCZNYsMsDFGsgp SwyLb2qJANFU54R+hl6X2z/bQ8CKowqgej3Pr1v7KsIzGYbkoIw0NQmDFDpBcFgUJmaZGG+POEag gtZTUKJhTm9Eiugc+gGXxj4pYqJkkuQ6giJIL0TCKed7WMUUrmhdYmA7LKgu+A9E49q/x86fjiYg 9JxtAsyas8VkLoLjBmk+Lvkm9tsgFTI2zvJHnCpC372viY4LQjeQpzaP5CtXgff0dFBx93jqdgwt SSqEydgQRCzQoV6Fk3UWU2dMpAm8c+yUkOMVJ0Nse0ybkmKt6MpwMcOnmNo1goshekeybXX2pxll N7G3O+uUSo/qjMvTC1FhXPSYqAEud5BRraERYQZmHGGvYawe0z9gyeLSEJO9xgwZRpI9Qd4FgjXg EPDW6XdkchxXvsYsTwxAlUdohS7PuF+x5Jd9n+smoNtQrocvzmYY/G5FAQ2m9g6tb3sZj5hLdz7r I18qFxo+M1Tn1Jw3DQNH1r+SDr0Ji3jrhF9fQhtHYst0Xma7tdjAUUew0wnwOuLgIcIQVcIn73nX HhP8FjUkVA1p9ez/nYiLAxZtZDruot+9s+B8poKAOz5OdsKigb2F0eKCYm+2x0RjgL2FfuMTj5+l D1OXQeDmTpGIj3qd2gECEbZc5Zd5+FHECL1Ab2CxnZqLKr2lK++1gvzffm8L25LkRX7DSsNa4TlZ XoF6ondCD+ePR1FGW/Y3HwhSj89Tf4YLCwqG5qKBoNZV7/lrVZsUHpRlJRqIlypxZWnrtn18e79C ExfkRG7B8xEK5AfGe1OAGaElXxdF6r/qZJGjp9cWsqGy483YnlU8sPxWnRR2pzhAeQQAAYWaPHQs 4dEr1h8ya+8m6mGeMstawQyQ1Ujf64nwj8Ph4AMGH9zvhDHO4gxAkPvJ4CS2N0ImyPvNi4uR2/AL DUd03z7KQ23/HxIboL+/8P8YgYS4Lk8UM6uexgPKOYsYwY7LLA9IPvVaOD6A6qxo4Gw9KWZXAPGS tUqGJR1HSH7bblncr6Ca8svCE5hWUeQSGaJ9hx4YdobR9ZEyzUF9SSxSIhbquMFFFnqOEJ6NW5L5 ewqEcaK8pXa0yIEn3AljedZyHDKGf0PsiC0/lxqpcoLFrZUlPSTTI3s+C2Kz1XGd0wX8XXDj7igC Ct2m9otA/urLSzJe/3aNcvkToCD/4D146HEAfOVNYTORNZDEcHP75PM7kYGYrVEyQ8n2wqgkmZXA QI31qBcMHg4VucygdZdlKpq6Oes/Jcrth1A63PuFgCR1LnhNMUHaZQOAbMQO7kKdFAya/EG2eT/N O7mHQ/5MYh9fCzTkikaxNuFrXbMKE/B3k6cDwd92PVSmk3EVQjHyU6OH4bfJKp7xhGqkO8NogBpN QcZx61/imSAlJU3jhx3pXG6fQqQMmEVGNo2ey8ICqSlx8UbymOEoi77w+i1EDeQxB273dLAgq2Qo LixtJ2zuouSDEyOKY8tzSdlq7MHEXnV+TNbGkLz8hq93aV6E4rnJU9VLnPJKXqAHrN60uKEypQap e3a/serGit2ZsAh4DCqTmIqZIHC/ryMYdqDl5XzKOFi2WZxHpP1XGVQrt7Z+dCvp1CSuUzadKyoU EQ1X7m/ZK7cb8vi+PO+FZSsIEDmrwfzOeGR12f9IKj4Rn7+JvR/hJeODsote26Bc+1Jx36b3rd/A mJvWAxKZ8aGaCvafuhzmArGfACQ4Za0Dhx0m+GUT8SEsLX23zFzuYOP1HHrUtDdFWBmSzVtULN5R 6TwQ8jM1E+7vf+zTwP92clMRTRZkMsuo3RzOGbQNR8r2815NakIf6Vrh016y9xbHCVtiNDvkxNjI URacnq9at4ceyUyiMAiNZYJNZyyn+JWyg1a2BMlf/ZDf1R0XebNCsb0ON0C4s3MyxxESubLX+Kvy lH6YujQkDnZhmWFodqzZwfEnRZ/z5ubyMIrwQKo1vIcoEeh6VCtBZiLcFP2V6S0DzOlQobeLqwCZ fRS7kntWcLAeAyJj+YpAc9Xve1VaWCdCLXAsZ6MaDn931/PTAKzgXvp51/uCFiAXzROZQ8bqrGJ/ wJj8t0f+lkEmIx4hrZzuugr+KguuzqcNSud3k6WNEC4CwX280JZoR5c4yuBMA477VHmwCxpERMQi yIrt8LCRNEhXomUFqxkssl7Dci9yS2907hf8SPQdcB8GPKTgbsBP2xLkT0Uml97GZoQpAgq+O4i1 h+XQ0En1iwhZ3Jdel8l+6ejnNLCAZApOc3xhPEncCesWYqNagnd8l5aRLF1pe4ZGQyrcLQTLJZVs fXIUOO8ElDAGG42Ske/yQhGnyDDkk2BZRQe5j664WkPfd/WMjT/uhll4xVx0khazMdlt4oX/bF+6 LB5gbxD5PXhVYucNdsply1Q1ojvaYcnTRGeRBh66cGBtMhFgYKLfCnzJpBg7B/ZTbJtWcjeTUIdV NvLPcPaHFbQNPG5GJs14n2z1+2ADy3eHte8cRm8Oxdrx2lCuDp2x+08iWe5hbmJ+dY1IbzUaVbZG M/B6GcUR1BmezxwOm/EiHEwgeo64WdnR7KjKXiW9GBe5othaXztiPhEdpr7wIFYzCIapsdZRhM8S 2Ok81MVxJ4rIvGWJ8FQ7dqTY7VtojdS+5nSOmdFNUKjqdhtMCkfjFzt2L1BJ5FVNvViM9mRoXUIe nmuk3Dp0ERy1OYgoMD0igX+CuXQQQASFB1+1zFYx68ZY9GeA6FhtJe/D1fAr8FbVWf+UozLDHbUL HqD2Y/r8csQt9H8LBL3U1ZeD6l1us7L+hN2mgkEz4ghrDXFG83eQ2qQvG7UkOO7mj4gThIikk/Ar blEc5KvqSiCR51kRsn6qB/4v7lwrIHhcrv6anNsLSPg0xYKp9p/X20xvWGrlTEuRRtG9j/WIb6/G iHmpAild8iVOy3HvlQYrlDVYRc8RrmY1lLvMajL8YJ/GoMa5Ys/LUD8uy/LFVS0uSXGDyoJJuUeH CAOh9pz4viZCwsxp5y9Lt2pjzemQ3hdJVXaURW/l7QhSOcIrdTmF7zkv0088An4lcYJVAmealElX 1lwXuwW4sa3S0/UiCu/yTK/S9Wt4RMls8p9zss8KG2WS1NWd88/DpoSUfrEITFps6T8G0lmf4QMn Sd+4TP5eXg/XTRrLe8FG2zzuZcYeYIzVOCLUF3RF8zH8psBe2GfnnSohf1jwfCiKF7IIbpA6kmJe dNK49FUGbow3cTlbqfqyFv8bH0YcTtTTJWHYzCPfwUD4rymGvJOv0mT3aoxid4nOlJ/VmrkpPUQr aaXZy1UKDrNachWmPmnD11zXKfB07BFvtk9T4EXBubSA3u/shnE2xES42wu40VXMjBW4WyW1SEjG iI1mo94ETeu2ybxniMHMQRsOBowigX4vzv+fxinp36egIAj7tPUp1mUG12ZC89OIioXsVZrPUgtx DGMRiYV1+BeiZPtKSTnbid9qt8Xx4ZLrUrxpvorKgTZciriSX/zDGdroxxApkfbC1+8q+Yd3pjEY oahLKL5gbrw0lgbVzyzIcUc0HVDQEw2LPk68BWJbQ9RJomgT+fvqd7YFqpyIYOoZlvqHGtIeL/J1 UPW6ZpKF0trO6xYQxnryWImLwzF97e+zQGUPYGRQwo5+H3n5g0kLGOzQCaiXdC2Kh7jgCloY2BR5 vQZfpFJIwn8wX+MpXrycgCcuROm0gD1d04SpwBcmEjopARA3qi9bFfgD1OD+0OQ5gy5M74eFqMg6 14ofuH/0bKRpSMsPXEBpTPxI2m/qWREbIY8eyUSQfiINoHHIfMISC2+gsR3wkCngbjCPO4zVRFZ8 YDCjdJfmYa2NEIzPurCupMmMQf9OTPCDGmUr466mjdwK2Byzt+DlL0hhz3lVtMlqxSUsnBM6mXiT kr7I1VVfA0hI1KEMIYEOdwhqrWThkuQ7SUfq33BlDTqXTuDD1GM7I6jF1z8r6uT4iuy8B7emhsaU nwIXCHr5Y+wKfgLhfuk6a4SHX5FawYSPQ8EB4vq+mTJGNr6nhmVT4eAZevosikFEbWyjsVKLyKGt 8NouBLk84dLjOaBndvkXv01TPJbgROVUTHng4B+OjdyquE9m9g9XPcSj0yFczZyPkd/9z6Yj5MlS hleZNTWLwl29S8oxvTHmfyvzxbWZfkKxDQAVSznTTDOtGqstz4UfUOPauKIRtsWc5d8lbM8SXRIp JNr1MdLBrp2VFHd3APYgB9uu5s98NqPUZ9i8tSP69kEeuiF3Y6fFpee66wL5fD1gfqDONPG72U5P a4iRgYANUDK8EuMKF5wA/qLtiHwuM9Kf+Gb74cM14wpYaxpjfwgmMb8YKyEe+Pz33vApTsgIqpOH v7oQabu0RM5L02wZyu7Ouxr6k7M8qDvuXsg5IUDOAXMauRu8upccvNAPTvFYGzBWxHy5djXMSlVy L0FHD6o4eTvZOQc3IfPn9sNB7lKFxL638j7HztiitWlyFelPWbPq0sc4Pm2EZQ9nVTgp4ZL13JOW J1+ubz7/nAuz9NQkG0g5JIYSrXRo0M086VQKCG7EVxtnUBMQT9ArNnCZaWjiwP/HEZB8MujoW5GN sGaSpT/w6nJ8mB2E3dNPXlQSoiaMu0OGurM6+glZc/qabP0jd5ad2bvxuN7qTJ67NEOoa/UJAbg/ 7wXLJBtBRLB40B6IWZOuAmNE307qN2PKkWdEHtIYhAWBIU1GZXsbdU+k0fJU0vpsgyHoJUxKkcXm kq4MobdWHBvnKVHn7Xub31OO3O0hiMyCiAm98e1UQESt1IKZ1CWI5tK12QjUFi6S4dVaEGy6CC7e 3hokQbvaLQ01w+V4cPLO8GAavnGrpwLK9fElxKrR0ACsGgsnwA1LzHmxNmiVKsKfx6ho6Ev7Wa+Y u9OewP99FJKTsAdMAaA9Z9E6/L/XbeUqYQVAXTiSQ31l+TrKmeuDbLw/jRo/53nDlk1ulkDAOqB6 i09kxL/CegY5Z7GRNXh71aGTLL+iNJAaGa++Evr09246M7EtemgSs2MfUL3st/qisFX6xBjwy/Wv Qg87pDSyebRZEPXVSkP2+1fEnkFzX6vi/Cnbr5PRnMyFoV2DM9835kgKA17zBJiT15YaIG+gWt1B nuF2fa77k6L1J68mYvdIcBApxfchgHui1FEhg1TeaXSMQnuLhUS88HcjoHRSgxZwB5iN3LwmX0zB R9n3R2uJHQhJcnREoG7RUIoEOH7Yol+W06ZyEgVsKvnTbbul54zC7E/U82BHrx3unr2MCPaoQdQQ swB9XOXNVX78kkXVxjhpgZqtR3GSs6yikWyPzHQt7n7iYFVzKAOosytGTxkCbjerarFKbnIhKNL5 BV5Xxl4SA2zbqbS4NFiuYQYy7KwwG+wfX9x3SSYvRejDIPbpj35OublWq5QFDiguhm2gpwzmwD69 klMfaZ9F/hYWBLtot5+95ikTt+5Z2Ax/R1i6IcfVw5Y3Oo6JSXM039n66rx9iswpgpI/1TgbEhpm 3fFR2uC6UVGSYXH8NWJQD2D2UQ1eU8adRQMNQbPAcyW2Nrr04A0dqKTZUWT40RhyXVGi/pHTKbY0 vkkf45CShoQ8sSh2HA7oSCjDOpJj2MVFEUJEXIZaBPbjSPS1nwois7yRRtFkQm8rKoxAMBPftYN7 DMbV1q6qFOhMozf8C32dbMA9blZOGIMlNGBZ3nFuiCLSb2kgqJG3kzJT0ySRjWouqLdvE9FpBjfz hkbZzQ/V2oHAZ8AKTGGbdafC2LRGqBW2rqVGewY4GrlURCEggL05ZfNr+8R2oeSOBO/7mgR2YwOi owb6fk8nj1in1o4v/qQ8gOPxGl+jlOY9ecTHhy3wLCg/e8zcEqWIRL0vC8YMMQlHeFScWYstUzAT OCU0lAeb6jJBCe2MJa7emguC4fAKiAZTL0bUXdBp/UT2VHyT8zlnGx+QuPR7NsyM0kLlhI2LPCAS pXclyVP/7FpZm2Pe0oQEIhAh8mLvAafGrr7ke0bEuLxW0dbRh+1wM1UXGOTNk9OZqnByNNgMNoCL 1y8ti6BUy33ntIPSQ8Qvckb6DPVJdHKxRn5NDImxp5JfePNUedKRxtXCspuCnE70xyOqXzyL2VIq a6ZO3G04EfgoQ5gL8Kt5YyxdOVDSQP0ZZ16077ILuo9vLJSk9gQTTz4+H7DFc06//BRU5FQpNJrv 7DdhVpoO9dEUxZ57qqxaWb9roze33UT56K57SEBT4bmf4r4QZJ+yWNQNLRZUcMrvJM9sx2DOPScY cU6vkYWXdaiPP5WmRo0qAZaJelQ4YEQ98I3rd21hbD6XfvJPe+NRcix4o1Lc721/ekjVNt7ukyF2 1n+6KVJd0qnhDP8pTU1T/iskstGU3+eVl0ns3PQlxzGjLHuqNvP1aR+65N144nKLHgf+FAMsJUDW /RBEF02UYQgupWtx8oCXyo4TrDWSAYQKKl9m6vS3v0d97yTtYtEqeu4A11qjdYLWyNnkqxbbNgqz 9pD9X01DSbsaBfiso6T+5Dr/PdFXSDU2GonFJ/G4MCseFG78Xsx/x1Kr/VEgCViVKpf1CuF2XKJO jVP1EPWn4D6T+LNUYT+dy8aB833jy+/vaqxPNnqaO/63xdngogb2IBKIzcT54SxfCfkofhjHXZIB p2sjqMrzr54P4dS/nNzRGuDGo2iSaXpsw1lsg28aa86wif4Wx9V+VzwKZNCK+Af8LE4f+42Un2dj ZNvbiG69P+VzBPmwqd/r6nq6lcVwXeLfr8qadYgHrmHrM9IeVxq7bYGzrIBI4gAjvSGMrRAU1elk g24PY/73U16ib+TcjaPkY3VxfUw2xNXHi/5J04tNqz7VaGrgANZ+LTVk+wQAHloiMwgQA2Aekuf2 zevHKnQEpmmnzqAKLd3AQJat93rEWLCCt1/NyUuDqoZ6IJgNX+J0YGqGvEhVBo2j4bdKqFtDEI6l lkhyFc2xmZKsvM3KSLXv/2M6OH2FKUKxZEkVkxrJlfJDEpBmyueOxyyLv3xS/+Q+CCbJbYz39/Oe giVvRZsVi2IkAPn0+KlOm1V3+XfgFakVPgmZI99OWH8rix55/253zfUsLgxFedode/8cM9JMI82+ /M0CmRl3fHIkHpf2C3PKehLE+K2lx8Bk+HMCBR7ThY2TTdfl6qvDoQQx0YJHeuQMqNk2zIczZvJU 3Q54Q2SX//cj5qSUk5irQd0Dn72Op5j5CK9hyOLLRo9+H79Muv25nAcAk58mOeVrJQMZQ5/DZyKD aTRAbqqUZSmALqsZcTPy4gMOXI8JWlG7VOzY2pPnZV2E7U9g4ciJVMAtOAvcAISfLIOUJ00Wt1u1 R0eAQF0Vz5XXaGDdDl8m3b25Wj6+1ZbClG73vgewkX1HA07jQVHKa0O8dsjTapJy30XD84p8Xg03 7nMvOJDu5uLieaO1MVblZ70jOnIa15XACv74hKHi4uX9Qv2ddv5Z7XFLNMEjqOtmYbtAlGycUc+S JYqv6EYRJP/wGdY3dIfOp+GW9iZ9SZgiEqzwmmJ8dzCNs88Qlh4tDGdeUUrLLHA3DEfIECdAkxG1 Cs0NHQxXuQ4cWFx6dgiB8eZt3qReO5ETLfYDowjQFSpfQl5MNEvmoFOAFOMD6y6WXQJhHHStxGkH idnlTUxPDDRdnZNqX8gOARoLXgqvwvX+qp1ZvSwAPqJOtXQQDiG7FqYgoB9kOBoOuwtgIja/Cl2O q8QXJ0cTQ56jhDPiGp5QkdiT6YmIbn40yjyp9De9PhzZ7j/CohfWJ6TbNyy9HsJtpw5F540c0OWP bSFkV1bA5Nrx2LK6rtb3cqrTilW+Lvr4vQSErzWrsnsS0JjZmPw16uyaOckFPVAeNm72Q4iR9ZFR /BOX/nQrcVqa4f5KzCegqzsb8+pXBix+qU2uULZjjdiT1HHLbt7jSr9GAd0/nVt0Qxl9xmoX9GDK p4xuhaz81AkAXwNbMaECrz9GJ/XgX0ZpqvZQ3wx2bjxrLHbA2LKoYUn2thQnYb+spejUSH0at/IG m5xVVOrvtP/5XG3LLPmANI0qt6RyVmFugqKrnx7Gzzs7ryx11G77dwP+ZUqOLMGX7vyrlJaXzv6T Gcla1E14LgtHffaKP2M77kL2NK9mX1tl/LSYg+fsUSAni91SvQD2ry6EXVgAVIHClPFGFVKJwzXZ fSqJnL2rFwoUOmz47bqg/0jW3osassk3xzuvGxu8u9+uDaoftGY9+TRbKLynw385ClUlhm4tq+yq JLKAio/eftngUtnlwMYbpjmeh2yRzqUXQ4HiAQaX+l3YgVgHJJI1tJqh36+91uP8ruovYMSPIxrG TzgymlJPsYtoYLAj1wADbHb9ZqYWuJELr0XSCr6iLwHIIuIgQl0UEMbjOpkkp9kk3itzO/ClfXsb vCemSTE4n50dbjUk4S3ND5Rd1zWbnVJsqJVBPneF4GXDUscCwJ/807j8BtjlOYotOsAuL/l4WWm9 JaVNkpzHEDO7GY2NuUAjktvGvyt2TOjVRtsP+9oN0+X/LHg4FHAc+mAAfZVQUnfDpLmP543zn6MH qTYrOHoZKjVrN2kpKdygU0vd9f7DASxfK0esZqC0STnmUbGpqoPNYobIL1loTVL3/BcySlll+3Mg V1XRvGZh0CC7WktOrcjx301WJMXCt7sFAse4HGPjWr4dyA+A1NtxpiJU3GH8ePp5NNQNApTHcNj2 Py8rXw7UYnSlh89obW7Hf5JVJ+oF3IewjoFH8YUxrMp8tikWb1P8gISWe8yMbtO6zWE3s3G+vBbA ydHnhGOF+5gqDV4FV5xO7u3BPF4dFK/Ara2uim0UpJuQ6J50/tKhwu0wmIqbvQesaQ30tnSheapJ vgwNgdWjNWZv2RopVI22ujiJZe1KbhOAy3GYQHqIbtBXKXChCZpg86zBW6T0Ui0N2/UNVu/gFsbW i5xGAktq58Z5bzyKD+41Y+izRAD10BptI/TY1KhfQ2dypIi210lyyjLRaKvs4BmRW5+6XK3etJMV s+/6EIm/I62PORsYAoU7fVGTYTBlAQuMIDc6M4l1J7YCTBqZHoKKV3aw5Ta1ZORO+WYa8Avb0Tb2 kxtdvx+iR7y9tjQyVdDFkT2KuVG2Xs229XX+/p9/+n2J/jiH8jDNkKaLL+6Ljl0wL5V1AwXL5u/Q AVJZ8DiS6RhF7UWPwPBdWYY7ayiixYh6xbYYCzmDbySxaLdUNiX62UCXxvT/mOC9M5oXkOlMBy/J LB9zbgTqbq+JKxX2y2KRpkpcgka0Sz1rhs6CBnjH+nbtiOFW/M0lS2JKP/yguBTnJjERNcO4Ykdb FgE83tx304+XNhUGf+yYRg6RC+o6VjanGpINet9cHRSSC4aWB+IfETAzIlv8R10SVFc7TtjuLf4/ bem8cLRTUYniDhIwTuwcJc34BiQXzD4rrx/9eYHuKPCASWJ3Al1VeU498AkG3TtxnZoSpo1cTIo2 w4/xIjBirXpy9ifYgfq8ZfzUHfcY5kh9wdxrTmhUzwXLA7xG7CMn7Ft9/SDgqLzBmxIdLbPS5AaQ G7FtgHU9ax6M+56Z3H7iUyJSJ6/B1yEvRmPfA6I9L6jcZBc/fmqEQ0H00ODo2eL94HW/bnfAWQQ7 NvrCvkytRAxIGKjrL9uIeSQyY9N/3IDOTYdCutxIc3KTEgqSbQsq1H2uKEwL3zgLZ6AIrYEg+X3D xkw8rnxCi1zTdNn820diuV73AgIsWz4p6r+hxmQJ6xYkQuq3oxQSaxXWY2VYT7iNRUSBW5iG91Fl XDEukUtMJJtDieGcXvNVqjiFfq81qMV5YiX3AkxMq4S1AKESvZBLbbhaF3eNy3mRsoYjgpjDICya LzFFdSLIRrEV8FpsDAGnMNe4nZtwiqZWxsMvGGVZZ/ZBwr5hfcnwU5JPpakvXEEg+WircJzPoTfb SXWFWHoJXjuQP56aYgfyGpspUf1hxq7Om3JNfrgR9Qn5g7nI6aCYsiijLTq/j/4pFtYEZBNzydUq RXw2K1EjgSx+nfpwqzBhTTq1GET20tF1z7ZjrYvxodUGwwDqFMQxl1otqoybREcVRXrq83iRaIit DwpUWemvJyYcXN37miU82fL8jEYTaZXUBPjYaRUK/wifC4nCYHsyjv6zCuEmxB/skLY0W74TdFVY Rat4uyaA/svGPPvk4BLQKXun99/m/P5HdTgsip4hvs5OW1fN5w0zIq5097mwhHXlVN4T/EuHsz+x r3Wpe/j5Qbi+cB6jcTmsmMju8e9+kcYqeWLkx1Z1FDTQwye4xkv3PM5YbG9en7PhNIywQjGfuML+ YCl6X3ZtkaR0PdTumrPO/ULj12CMWJlo+a3KfMz+JpSKE665YJetGxTJqi/k3YKBPJxqehiK37WU VZ7I/NmS5G8B/GzwN3nQhCr9VkKDWcbuTgeiLQDMpNs9IyyLHgD9sdrNb8raC9zhF6g3RvKfIsSO BghS8RZCGlgYmchZJzWUmNlC9Rv41t8K/w+e9EF8Qxh+DcL7kwhOOtBajDf6/cARBDC0PoEtJhQI lEwSX9ybu0+goBaLjEMSbdtok5ulYXGpfjKfM6TRDjvF4hPxBrVrl+XvKTiy+gMNAcL8iQJUQaug bMzM9Iz+cbLnkTwMcF3PEp2fPRjYu36xAQdBrP2Bgg0wCYKV8rjwlILHSuoqPlKsF7aGldS+nAZ8 7p1vFIAEAIHfJHbzu4E/g8I2ZkL7vPOxLsIqdw8GBXhDL8rn8gixdSJoX49zEW+T8luqHeu/SGd5 FpS5/4Z9bWwiopes+y33SYdz+nL/UjcBLX0V49WkfKgHrqRVWtTiLBuaioBxuz4eQWM+9ezjQEiZ fKNhxfnyIr5r/GenI+xDQqfLnv/c0Dum3lQSq1XkYmkJ4Kty4e/7uXktF+L4EhHysQaSAuCHzYyL InduVVlUmQ3yj6/El7biq25gWtEgPsivwhQJS7UyYwppvFPiubSIs3dVNC+hAPO07ze2/2ZyJ+xb 6vhd6MMzJ9/fqk9iQ73X/Dd+t37v1Ulyn0sKb0YO5HXgc4opsNeoBU5M598vOYNqLDnr+nA6Qx5d uGEwQntrKnxdscbM9/Vbgyn5BnY5QyAPg2pbNg8jGT+l1F5zGi9dBXFJyR1mxwtxErAmM7n5mGPS IeuEsmVmEEeL2ALfatblNPGTz2wImEEQYk1rLHociVcCBuq6TmT35d/EjjDQEQ0IffMddQxq89fQ lSxMHQV+nGtKh+NkkOqf5RtJ3YQs1YALKssQXkb9bhcNyH98kfMWzvELMwulWxcqTjowjgyLe4xQ eOMud+kyFo5YsqhAS+ZKRI7BKRmh2ktiXJrcrhaLVExcjVnpdTS2TkzqRs56byBLpEjpnMCyzUDV iNEDdCod60AgLOswTuWzmITh7GBShe9sRoMQbk16lU7MCR9+u77CXyrE3wjbqXYPg/LUIz1a1l58 +m/q8EUW/symbzbc1pM9gZHtaQoQhdvIwzB//kqOxyS367u6YiSnd9lH1/gg8z2ylEM8b8UZw79v dTWV756B0De6Nu5Hkp2+3UFx6RAhwMmP373T8CuTXTkaK/umcQgmuLKK5cLHyu3F5dP4v9scX68t OfC/XDYJZgFzzlLCOD5dw6XKeYvlc5QCQ8Gwow2RsxGlQoRHTdPTBHKYhQ49pXDYkaIrosXHPDJ7 BAekkxOOt+04j+aAWXFT5FxmEWDQgQmJ7x0l0al8B1PCDZo7o36veynb8c+7WPUiX7TnJ+fgDuZL FzJjMOjTaoT7hWPFFFL9nEhN5/sgtUJu5bV81qHtIhK3zK7iXTWF2j9s08Eu2jAL6Ww6u3bzRO3h AfCBSud6pLtrQ1Kt8t0YWEB8IZMaLrp7N6Wk9ezo3P2161wBu/FfkmgRXYprbMEpGow5+O8ugN/p 9rokPeEiy845f3x7n/l5RUpLgVl6jcHN43v2NukBrpAaoKuDeyFFYO/slLQHt92gRKqIlFIfcLXQ WEANdYAybNVnrXqN3f8EV3kA2RcEWOtzzWavzY2ecfU/pUYnKVsip2qYOtIhAmzGUWn3jf3MKJZa du0Qw++6Cs5HLr+Nz4fpQ726vOzMmVSrjjvlhpSBZwJwi3/YG3dgn0ewI4Z5HoCL32rDQyT0QJCL esjZeNgpopO71CwYL6nsNykX3v4eBRrqtV+go8YjoecYlLjb3RnEBBUEYfJ8Psg8BOQvtsggR8Vt 0AC+U26AVSBgfSAIU+3hFYQ5b2Tn6BQ8d+D1JXfYdJAsqTV14PG7+oUntPVWIzpmz8CdePH2wN2F qma34OdwN0UmtYW0x0nPPuX2DL8Zh/VaUXSAYytVGTCpERCylISabxEGq00i0YJv7K0unPXxVMKh 8IP4zXIb1hTwr8Qo7pnB46XFoQx7kN7zLLagYTpCAD+efuUx6mlYLfAnLnHs3rYHiXSQjOvOeKLE 2kRezlmg439Z+eJ4WDkaowexnWwbkLMXPRQ4q+fJVtxYSww9vM3LX8glkCY/6KImGOAisWcr79kq iIz6FpZRIiIG4MTI8JzC2zRE/aPKd9IWSxOeVNyIF8+7ZU5UxKiOJg83QTL5nsKlH2ntV1A4jmDR klq91li/d7NZ4uPKOUUQnDyG4Q605v8Vh4hJfW5O1tE6ITSewrSK+uabnADXQZBD4ldledh2kBSA omYz9Ke5j/Zz3oHk6O74a3jNnqCAudKEYjAmKpUW+MiDbrDNjFhBiEWgyElVx9Sj0GcsVO8uk19r WAsqrnLhOZDAWqy+OJFwYuBw3vW4TnhVDhPpi9Zg7NlOF5Zr1CLWhmpRhsV5lDHHtyIBee7tbGeI UluSqv2ycON6rGFzbHJeb4kgZIUaM30Pu7XPrYuYGt2z6b03kM3WHqzGSC0od9OKxLnmsIZFGZBi EV+dw8c4PO3YuVZf24kdUqQ2oKh8xiMJxpzZV31KLN4ghA58RXxiN0EyRQQk3xrnv1zsXhnvcvyU umaG/zLy8xaA0bNBzV/omx8yB28Zilqtfh9Igp3LvxfpfF3y09pv2yN0chK1a8fnJz6S+lwax5C0 G8FW9s/kL3zYdlsvS94aHIwCvRkHXjl890fYJmYSFIbMQEOONt48yDdRK/lp5X08pwX+OycwiYWn o5eISdX7B7LGs7VKU6BClm1p46kPcxgUeAUtUxV0pw6+GhqUeXz1NwqmgigOiWmP4ZqOf8TVK5uP fWWXd4BFEMsEcT6PkzrUVsAhzdFlsMonlP2xKD93EcK3ek5Oojh4FNWRapNuWthjGU+Hk6WouTQU pa+H8a+yWw8Ep4EhtFf6eYRrS79MdrAHm91DfkdVRzWYUcZZsUcjZWHG6OShIFnXpKA/L+VOO1NK ZzBq0VGaYJ+pDJvtRiIF3y9U0aU3D1++UjAvDHOWuobA5X9+Hre9tN570JCv9JTjPwG1m6eu0iJ+ OQ1Nr2MwCk9CG/jvdALD7OWiOoUplf8Eiyrog+nhmOn0FnpY1lfjMIaqCxOufIW9Wg5mjNNirSFP p3BMpKcCBwV4hxvpxeL784OkiigKQUDYJV3BiONk7KBajMk3E5AVPDkTpwqk0YZTmddLVCuwnVMG fQABp9VNO5UbSY4cG8MBbxwfCA0yATE0DM1zqit75Fu85Cb2binw5fdEesKHbCu/O5aAZn2aeUY6 aii7wnnzfvOdLtHY7ulm/QDcTxuVO/5FPnYdiGgqwr9aGaKH/D1pcEh+i/idW42iEEoF6wVbzCyt hHJYAMDa2XJo2pPimKuiq3DQJ1cuXiGLjHELzznHIYC2IS1caVk3yoQW54+4iLrNxHYoGbVRzhz3 dZEp8zcNGd7WvIgkQjRZXgFhp6gMR4FaA6WrCrTTaAT4u18PRG6LRtuhrnYICdap6kPnrTVodu2r p7YLJH3O5uBH+kTEb02k4+olSED949scwJiKTv1pjgyXhKM3GgP/B26P0WQFMlRzrx2un/9Ym2K0 Z0ApgrpGOxMOD/ycJ0zMm/nxaIRhTPhtzu6I+uNNhnC9/ms5yGD47MPqn20sDEqBg/tdiEx3SXXB 0SkK/wYNiXrFxf/wOZ/towrsQqGHBiTuRiKnlu1cxfIWY52/ScPDkUKTuKQDiaMqxAKY2xBNnt0E awDhCy7uXYxyAQb6jjM4Pso550uMfq4ZFZU1gHpyOPJAt3ZRyGpuSeT5Hz51uLSPQerzGEcbY4r8 4uo4bO1ovvBuYdNz7yz+Jwkh17uHYuTbLhkUZmStb0vXrGrqXwOm+AZI6wEZU48cp/5RDZ1W43JY q3IdQju0HpInnCeQqh2d+76wE47U5ALe22r4MEGxYOyy+qLRzY14D6aIRPhZnTAnyeeHJ2jANKon qleFmXoKMcR1Z6wUrjE9qlZT49V13uuIEHqNVO/ZeAam+jJvLGyOolQMjlowY4tDQu4IzV60fZ6W 8ZHq+Lsa0uaB8GztB4RC/DNFJKIzdCRdkn2cwTD2j2BTS7bvvAx9v+u0C4pXO/VwuSYNQ+nj/CT4 Jci1dhVcOR3fCXF5FI1rCgIA3FB/pVjaCNrNUK4QpnXDdZ44EQOWG4VosUOQRBLTPvmc0SuI+jjL Sr6Sd5UCih8E+GSKWtwvTavBFaCU7Ug6VqUaeLnFyGc9omHbGhfE3sI7Zg9P+vTAN55qZBMGDbQA cYzibP+0OYfXpnZU79EmfMGRM5t5RTZ8IYlQWFwQTPD/U5EgEqL/FCh9HM3vaGZgKUsaVXzJsUPV Qqx54wccIb7mfrxxmCS/P2453hTKSRWUX0pGEocRS2Ktk4GVGv0yU9RkOrk/07AgAzDuJaJp6N0Z 3tbI9Cb3nVC5FSDAMB7lFIGZfzj9xjFULcxRxt3Xqktb5Vdd8V4JAhyKJAppJMyYhzryAPgLIq3d 5SSsKAwsupePLcoJg0PijulVuRR2bNk9fhAJGLmPKGv4M07GnkTVPbhe3nOdxO8IF4ofJJUVCisW LZ8SmHVAm0RQm62zmX64OcM5JM2oK/gESVwq/O3bkrKsvph5k+zdT4oeJnSf5GHsy5qWwxVK46Sc XP6EkEcihrBqDe0YLErCsxaT7Ms9lqk6yQiaRUR7NkQOHOEHsUKRvJLh6qypPfJDjla195/0Ov24 mVsnASuGfWiucCgN/E79vqA3nzm3rVVpGmoQU3mmb/AfbinhgYtHgjVNqsu2KLydk1APxZ+9Uiw6 o641ry5ihPiqi51WDNzJY6U/kNaHY6Oi1Ii53NolHqL+DumZJmpnwBepcmVsggYOvYZuQCsX4BwS vk+VezlRZnbTxGOLqGtrISvag4RFl/T8tld3sKTADNGBH+mHHHugZQBAUr2M4cG5vkEGrdkFnWhV +gQ5moUWZJSUSs1VQgWc8BD1yAT3KHs+pZQphEnfdG1zGgiuv9jqNvI+twDqwrmPbLV0rvxd7S7P xzskszR4FoJHwhVho2Sa7f25sJGiL2wUht4eEtSLJs6OOM1hTO0eLr4gpL0ezV5c8vS5lJQydbgp db7jXczerIPQMR34/eOepzjuGz1CamewS04FB6A3vnjKsE+wiDTE+nbWw4RCHe193YpRh56NKUso Vvsk5UL2zSmlUOANnnk3dxRUAKF3L45X16+EBDDGYxq796QaqnMH20S8DwuLpZi65nrfnkoBtX69 HlTxkEEvq5aD1r5y47VbgTtMCxOnOmwNbasaWTv+CYSCmtKyneZavLoVeQnQpfHLpw8ZIV/yVpKI b71D0E1HFo8vdOVsVcjhgSE0wPFbukSd9j3zhQid76z3lOfBE6gy7xR3WRACL5ljs5C7QdZ/wxyv gy+MwuROINkRkPe/jRBpezCM4Mjw5i5882C3KnmvUIPFxLE+/ul4kE8KHRc/nJOpeZKB7RpwbOAJ 65Z30AmbgFQ4FiTgGkYxFQWfc0otnKgevzEM7g+sKNrz+pqdXqlFRYpmWNKLO0MU6mtBRlf/r04Y XF2/LFFhJYwtqHkpKOHtWDFdYnIk1uAxRHS9FktfcsRJQfHqN0daNDPi8uQW6ljScL9WHKqnoAzL yko7hJJKg8TRgXfLPrYX3lolb5Blw8wq03+4toqXxPd5Zqpql2NZylv6uP9dYZgj+OlrVpQgGAZg /fOLmOYS0HUaLA+7Kta+TDrfsMPcgY9TJNUZlQ4+rdqoaZIlsJc53jS7QHA6nTAa05q42Ij4m5Ub lpErqkccwvaCf1qqLBefNdct6g9qygERxUSlsd85o3pBaOdp8SDOE/MAXGKs8lmmyym+nImQRWGU cg17E13YIAZrFq7VFoHGWvG31kEOPayfW+ERscNJOtFIaIxdR4DkoQ1FIf4M0xds1hp2rBIB7YB5 olf8f4lOKpIxEehMN5kWSnos1sLilhvmkUCnLzwNBYeTVsUIkZEQN3T+LKt1/mEpDQkxbtT1VH5K TfNI4xWvZWCFRxgwnS7CAvriu9Y8jBFUcnnfNea7imVKDSZ5tzHeiKMMO9giS44f2zQ87uKYmBUm KUbPUJbPfIc+1o4ZCuXKn/NPp98W+inlSQ6TmcDZ7fn9tT4wscO3UOET2PZOs3DemGUi+JC2GC0h Yk/m1xF/ROlBUu1HRKDFvKKb7juihaK1inr/jQ+zgnmfJt47ZZVbYNjn215PY1FHByP7b9BgL9tb IBhkUyNEk1rg/lYppJBmUaoDn93iDsnVbFpvLfOzBp+DgdwJUpY7Rgrwny06RJUYtBnevlVwLOCI gn6l/9vAtHNVIFWM+MKXzSxDHd7/vr60Qlpi4IbXw1XC943hm07NREw8nHuJAyeyis6vnmaW6+4Y DLsoDfRiysvjHszjIdMC5GBPChpsf43XtLRame22iL6j4/ilRRMNf0YUc2AjFbYwEDYMH+cm9x/D 7ekTCpvhC6/DDAuaDfVrEKZGvVFAnu9o3TPb47MUcnkKJaJprMGKpp3lydNzF7XOz/cOoFGLA3Nd A9ExuHJdxLBQ8dIxOlfdGa/6gvWr0oviEZHRoAUHJ0arUQ5vvkHZj0Uek6LUjOI/7TnDhyX6lGme g1KnKe7wS3kDKd/+puN9sWxl1c8UXE6LYuATs70IUOindV3RGjnWQM4Prxlva/yLuFk4afEGaboG S5+veQiI4ZRsrGoKWxlTK4gCpamftRvtd0pWHzAHeQxiTVotl3BGUKHpDCnf69RO3yukkBY+7OUQ ZiZlZkQnQaS2T9q1VczZjw7FI3T74Fzi6kKVtVptKCZm++rmWuqVIwh+NWBE4I7YuxHNA0/DphZL vUf4BcDatF0NZaiZOGzlv7dw2VsY15XDPui947c5yZRiCPH/ax15PsB5JHYsjP1QtOM2NU6Xq8S1 J8MknbeoyPo8pitW7lWTKgzPZknnMtAHlHf2nvKyqfeKkgkxWJVnH51wyCnVqQDf5c/Z8EA33477 RLzoPqUqOQsVLpEoHjTjKzg4D5ndHHcRHTuoV0IZoZDWFdhk8zbbfWFrwp5fqjoZtP9kuhJZT/K4 R0amlpynq9vGcO/CKMC4G9zdhrHpj64e14L7xFFh7Z6/nILY7D58uH3I8E7KOBRyg0N6gbG/HQwl CPyzcmCy7Z2iiU05hF5w1Jv8CpIB47jBeDZwcPchHRzqjOqMk2+Zvn51bIesstSRsdsGKlOp+Wiq iabaW2g0yKDBmNhi+Ep2OWP11BJD+ypgBQ5rntisygBV4JUrODlGfhNKxyPdW6XLgdOtAtvRaOP6 IZRvNjhdH/ayQbrs1QzsAUSEpWZTGElGUThVsuAG5txtCooY81nDLYdGO+DLYbqAahtUcKPkvzdR 6hWc+SjEiszxr9khjOdJx/+a0TapCfz0xCPSlGjwUfyPTGBqx0RWjR9tUk7an7ppHKMIp64wue5q FNzHzrH7JHJ1IGksKz0ivMIKhnpRyCMGCjoIgbZBEKdVu/Hp/jhT0ksTehNtgw/6CV+SVmFktPt2 w4haD723G14HsohSVlEHBSa0d9Su6cqu4tdg9zTIKZKrrQS7IyRctzilwh80IHgc8JdpoYxoXe2Z I3zUx30a4E3B6Ad+1jGa40TIXZVC4GYgERamAX3A7wMAEhKCzmjYF4/K/EPbAR/uIORf7X6V/NU0 ZHakLCXVw1a2xrk9CzTI6agfTPPjkGTYVzXb/y0LV2UNdbdl6K2mRPKqxxAnKm+wvW9SqSSJEUrq 7dr1Hx+rb8VMqCXFMmLPAN9vkppSoyftIWHS8iwSt6GGByRvEkHo5MfxShP9EBq/rvcCPrvsq1Hn ThsY16l7etz8hvDFfU/iHrdz3XNCGDmzz/ovwFLRXTIzmF0WnUpgakVn3PqVNAwGnImBS7vLK87y p34kS2kRaXPBf79qKe4W4wyF4rshIviOCAFdHGaVi1ui1aVD6t10Ky4atcFrufS6HTQgYwBlOjlQ HJMqavLf6LduETBGmHQO+Hz2HdDEPHc9kYzUwXntQg/FNfCwOHXFBs47ovPt8z/Wk6FrDsnhT65p c4eOOIVig+U6ZfMQmlfed9Wej545Ha2sm7rSGZrAlWfnFNU5HjJ4jsBNDitTBkSu3VRuvJPOk4YB LGqI4FT5SbuHlFHR4sFZBuOKYbAQk2UziF2pYTZ922zmUNyIrPlrHSqlcdGGn1D/CO4J3UyFhSjb pyOY+kCejZvSDuUyfExsLcIvBN7rWaSgonrzwnN1yuI6dz4tic0SZQvg0G6bilVkPIe2qdnSySah NaYrPR9eEThRwpRjULAx054fjYzc5Zjdp10xGE32Y00CGDxq6Sv85euZ+QTy+HTTms4BNVjGMYka wd1bWhJ2mP2MOBTRBAhtawg05WHSGCOHe8GyEL/9lzKfR2gRgk83alA4BgqIDuQCj07buEL7QeUu FghCfeJnf3hVY17YSXD2GejktFSLrss/CqBKLzdU9XdHnFZixFGqmF6C6vHcMHS/VelfjdzN+Xus cbjNgbyBLgPBIEj1giUf+6lmnpINV0wHT5REIX+PTzGQudH8ZId23piuL2Rc/71Y6w/q8ZOSTzI8 oFlmSAb4aO0Lp4nXovIiBTTZ6GZuTUvdWIGqFtLTDOzMG+ouD/AHHSlN8ZbTMDuTrbqRZVov2cVT DcqUU1FvfnhiEzZOVLVYVehFZfpoDSGk+nkTFuE22sMFPjsimTZj9097KYfwzY+/2hrjsFXSe1Sl Y1YIJKnN1ZzolxoJOdsRsmZmAz7S7iKp6m0zHfASvACyITwX1o33irnx+K/hRQKDfn3kTKFjSPfR bqW2CHwwpL8+7gV/birjMGp/u4Affl1Vs/XIKvMbbj8/cf+prO6B2IfVLo0arsfk/DEDeBtNCvc2 68meA5Qi3VQHXRSo/DcMVtinMWpg8MGmEM4JmP9FTaFr0KL5rxdiDGU7aXlB1qTm9r80mio8+0hO m1RsacII8Je/lmVPGO6fvssOFsF8pgdxH1oSRAV4ljrlu9iVqXxzaJBgwAK+297ZtkyXLxGh5C6j WqcEyjdDee7fOGmdgwEsO5fGgPPJPsL5ZXc2EwBCrwWMnAtoDdZcWXXsh65uu2ZHzI0AQkXndAZ/ AUnLpc+FEyk/NGwojOKwVeJzhLcjoUT0SYiJ3L1BdABjEM98sQojlxuqPqkDLn8uIaN+pwSg3oUk z8VPIZqj37pUGu33rO1M802CtDBmFrl5RrTORwddyvu58+QIjuttuXZ/AHVVPIZ3a4AYvlqhOHGa yyJjWr0oT/dSdy9/PtL0tyxk0AThbfE0y3FjIbSTaYG1aXlOKs4hZyKvv+gjiLJ8IViEtYsxQ27I SIi4vth2gshS+cOkUVfGDQJJPGUancGI/k3zuv+iCHNW/8bGSngrDOLsB0ASeEqh7/OjFJLsoT9F HwdEhpQQmTofVY9smfMlo+ib5ioWuFJvgAApK2SCZBfNX8HowaHHfoo+CgFyFD3B3Tu+M8eeWD2p EpSMhTdv2mgjmOanrV4DS5nR3+fj8lgLik5VREJ0NOZZj/JSQIwBxEVwtQo1HBethPtpO2s+eLle lCwlszIvG9skiRS/xgAfzzfoIMmiXPpMamv2ZquBCo0KhHfTuxWPBgpiDJ8nJjbDXCU9BwqkWpbh +yVZ3zGa9DcefDhVw7UCsT1VeS10l+1+YLEAkVJluZNJG2eMgnPNweaJ0lRXX3OcNJkgzXp3ODjt m9O/THmqnChwopjKo6ET54S/P1hvol60Qqd9wP2EYS3WlRrP9XeqMf5t3HESJEkLiCCwII5oHJC+ fR+qL9rr9rOc6rWoUI19qFRUTOCEuSFfTou73geF2/d+f1Kv+qbt83hhZyrvQK6/+aO/D0yMeR40 +bw2ZwBO2WsTtWDOVLRS5fJx0qm43840CLDQgWT++I1jvSPqTLHW5KC1GbkibQbX81eWNwNusA3P B36ga5C7W6Qpz4b+O39u4ju+m4Jr+zS/SXqkY6veQioCQMArK68Hmxvqvks+5bCd3U9qgPUw2M4A DZbB+F63whkUuXhxUnv+NdycqMs9XVv6GIewJnM3VNOPoi+8Z/OnuJQ4nZF1WLgtjm/6ziTnnkju TIw3MVxAIKiW+wI0vx0M6wl4MBmBUPBz0+d+HvxGGTF4WVwDFDDg394zR7R63p9VYNhDrbACKhS5 nL2ELGSMfem4MUr8luGISnkq3haY0NwPyGWefWMcYiG1vvMAhA8idLTuwnb0xG5AzaDiGoacCMXI 4D+q1gInHxpqySJ+6kbyO8il6n6bcM2DXxvdTDRSIKRW7kQYbl8Yghj84ZBMIduWxRLnRdxtysDT KUTDquOq8xxk1JyPTYSZ8uI+39OHvSPhF/fBTafysjAGwMskWOssk5dizZvTiP/wDQwrJZBUduax 8MtRZnCw4oR/8Pt4emQ8u/Nrx1gHlHqI0o0RjTQhEZxRjGRjTVM8PqFg/Vuvap+1DAlonVSbLO05 gRh40TH30EfD2w9dC+I5ZD1kY4dtYPgVLKlL/VAGCg/Y58QUJ6kmf5txoWeOIkDBDt/Ybmyjojzo Nbiz9M7kUhIqOA8RC3hHOE5IvY3fSdEEyIh2cVLRbF3zz2b53wRaglEX/jzZr1dSgLlKfX9iTWmS P5UY1abC5CfcospYEH/uN9oW7URxLtFMV2t0FCH4/NcSKz/0tnA92JnLTI1bI6csRVegT9ZOtMVP RFfCiexOYrYwVTj76GsFgslmjXaVRIYlANcHIE/ttpokJpmO5dIIlpD+TAP8y44hP0piKVGoZjJb 7ymDqA2V06mj6l6133mIVH5v1sDJVK71x9sQ9YTGoWfr1luQJ/yTUyAZkuDJN4Q2R5a5hMz5s467 DLS1zUxc5Z+cFOH8g/u0O7Pk5Q9KSH2OP+Mk/TJSrsaQ9RN8R/lg+4o7n4JQdZujOoBwyHUPcWd7 fPGrilnR6SfVFbuTJfP4yVilgf9R6M/3AK1m1lrxOeUmje+4vXo/yBh6J9YFyLIuvxRFkUsIRclq ziIOXDFGGlmp5kjmPDAZMoYpIRpD6EuX/Qv/I5UAsgN9iolQ75ygsEul9n7h7CYoBXxJRKjXPg/x LkUxYDouB8/WO0CNlUsDZ7aY1l1qb+oVT/ZeH11payTZqZNOSWxakKO4UaaKY/TlqXa7lm6cazf+ tXcQMZt2ViNgGRy/ejhaOFfXYYe0o3SJTpIipeOJpp5t/E84nHck+hSxBreubLcMn2giiFsBzpNb c/2cyLRLunkabUl7FiZkRdyls/OQbbez5pTqUt6DOSzMADiBTX/k1ikLX3a6TeFjnfgmk6jLFZsm vHgwJJKdO+LeK0lwqYCN/qEAn3MaZimKOxce1CGNqZ2EOXFRpXKPfzl2aZufhOckqmwtxccPCQnc Fk9niC+jstybbyVyspW9Y1d2g4FPaz8c7rzHtWFSFAd0vQ0f43tYalzGePLa2wzq6Uf6ayf7Gwo7 Ca0mJSsaYTcez+XP6UwNWfqmBi5ZpvggSuVjVBkb6PA5fBUjfLrpl26kCnHSNiJCith4aYHxI1Iq XU1UgBVfbDSN3ImEEpuBPfKTABGu3IpFOAxFW65HYbysfJs+O5q4xk1vQ49T/n32HL3D5U5oMIsB rPm1G45G0rRcmTJTkH/67XIHG2mq0GPz5x9CAm0cf+gGXbVBmHqeVwK9atyNWQE/ZcCT8Ou4salr pcquxTYwF/2TvYO8hsjZPJd+Ey98aahOSmFkdx6YGx7rjC1UQYzTVrLSOAtKn/N7mZD9rx849WUg Jf9AA2ayDbiIbSqQKuRpOQ2MhfeWfYtvlDz1bM6Wrso5a9QZA6sZVKnOOyNJEOsqhYMxnMIogTt8 TWb905Ow/EdhJ03BERP1CV55Zhx36hXAwKq23DkOvFkVV6Y1L87ttyYFPR1zcQrGH9hlws+jP6+V g2GrQUA4aJXI49JxuGNddIrAKjg5yHqDDhzhgh1rkADZ5oQkjIGnTRW2LD5yxwigRGDuNYVxcfls 6+UhXh53bcrWGqzwUwVObAFboxHvehN0LjifKh1Ml/kjCk7YAEQY0IyPt7ovz2eXuM9ygzczIvFW dpPmr0a5txuz5puDLu/65IGhuRdYv/Q8CrCGR79UDKWoWArh15lVPAlqrkdV+G4RhRzyifntw8Ct aa0MKm4c8lVkLJFDmZ9dOg3RvJ5F5/x89S6/t18YK0OyZ+SGn/t+TbYvHaKMW9z2Uq+cDoc0RTEV ugH5CLyFAaJfPOYJ6bbRlRsvIeigf5Liwq2Y0PjNdsAc6kZzoEeirPiCWya2tsj5zqi9aSle1uFD 483gcSojVUZCMS2cFDIOdyhhmC5MgFXC4OcGEsjiacUPgXJ1qkiS+TG1LonYitjsa9RfFCGkShZt ChZUfuPWxHrR1A6wzxEqZHWKjP913mfNwj/jraUjUUnuHv1PF2gZ0C1ULvwcy5qjWUVpgZuBsTcy ks9P87YqOaj5oKzBazmO6oCjGMyRzr6QHrKtFgs9PPy3nVhMwRsNruMutwFIS9l21DPE5U/1tAtq +e802lQkWz/hoPXDbtDS1Df2+jMjGzhhF4tBGtG1MFyucOzd/TcAUihVRrGwckZhXhmS3S/J3UoV QR+PF+tbo2E/uFOz84Ip7RmtaQyG/ut+kcdSTMJtU8mEVBDW8bm/hPvOhk4f3V818poFJ1fD3bLG +mifC9IYX6Ido6Y7vFw+55TaZQa26ix5J6YhBCZXhvrAoWJYA1BP5Dc57DAQ47y1BsnvFZqQgH7u HleJ3IxIlefOamcf1s6FTbGU2+B3I41xcExcG8S/LVzT+157cBURQ1wqJ49JkIT6jZoyDfGd3WXL MY5PO0E4dPzejLLo9qXIJymoD2dl0eWKGKt2DpIilzf6wggHcfllsgvO7f/sAQl4GpK0UjycwCi/ q5szWrDaZrSh3mBPrYmM32eq7Pf30cWRoQHRsXN2XX+e7rXZANJvgp7kscuSU0KBsZvQdou8Y11U 4N1k/Rk1Ao8NkRE7YeuKAW3GOd00BdtoooHJIrNAMxt6ZR0QJS7gm+LPipttXOn5z6ksH/RG2P7C KPqW28ck47qQiJGcOYYjzMJnovmKiaUUfCAJXfA+mCzf3lSnrZvelFwo9vwNKxhdroTGXTpCDM08 Ps3yORhrZderDpIBTgB3EF9P3OrPMrPu/YkZnwOlIPHjpWpwQWSlSt31jiVhx5KV5P7XlbZqxGU7 l6PSfnnGAq475riweZyQIgipLtGpXH2Pytc2yhesT8Iphs/sC7g8pCEA3SE9oQ6fTdZkXvmnnZoE JdqbytJX4Dvvw0FG8ToRmT6IhRHvlwFcf4NVHiFlXIXLqlO1CIJHGAbNDHGmLnNR3vuLLoV269c0 yYhPPVIDLNv6v9Mp2l6BOEctwDjKjATScAr1a6KLGox7sGaeb0NvR6RrmDzv1byp4/E2GuM/2TTS ph4TYg5YznGQJgvwc/XdBEnFTgjzBTjBms/SUiAzQyAUEQOWM81VnnLQUJiarjZSZQUS9QhyuDTQ 2XwUtsw4R603MthWygXxDWQY+nnNcC7XUsCrHkxnbM7yxm079qbSt5cVe2Tb9zLf5gI0oYTWDB0l nQvzltaC+D8hTvPLnimlqBtH3ikKYa7cyd5m+qeDzYtqBhpR4WynGvfmc+OpzucvBsE2cIKh/bDG +Lzo6+U2v6hXRfbCaapzTjSF7muVR+/9u6qk2aytP4Vu9MQmBJ2zMtyRg5Nor6m+W98IPrreJHGv vGlFrnJ+mFj/tHCT4q1UonmhEGqtl/WATH4JpJBCXdv1X0lhj+D6ywz/DB0y5PJJ1JeyGME1Mp4G rjVMZPfT8TJR8SFI99WcFJhUm76QtfCGVMyFKrLajhtYrHt5xNVUOvUSBEJUOsM/1Ej0thrJ97U4 3aLeLuWSJR445dBvyReWMIbv8ruIexymdZf9nm8Z76srmQ3J0GVlZOjVQDKN+JvAZLQk2z9sTmbA xvGKki0qC66oie29vWWj/dumJNrqbuxSk+Vgnffube9IeYwjBihhzhMzmCGOHMHzCJXitwwefA1u hQ1Ja/RU7iYQ0zFf3/vFjCWs6ELKFzf9AsF7/MlfIm6gWCu7XISYmwaPKTbsmOCuB4YjUiGRriw4 ezs3VHeIFMGCqJoNufU8dBdoKSYW7cgUv/zS21jK+at/Ko6lNZIwOk9rA5LKdroYUct8L1Ywy4Kv aqisl0n6RLUrbFtSKQykQLFccBTnGWSZj2V19qgHP+5n3TGr+ehB1hqzQoWLQSLId6iArsWj0Av3 FFqhg+LDWDsYyGAyoBapeDQRYcMysEOYlLPPyaBSvcSuFvCojDLlYhnTP3Rbq3H/g+RDsyPj00Cb ChLyzPU2dbsLh7ICStDCFYMa9PsU/jnCY3CUnqz1ji/N7+oIk1B2IlDGVf0B03mQq6gENDFTQuub 73LMIEK6nnGqxwgkyUrTC6cZcRBveoHw7NRFnwELM/Zz4EkV4GS5SToAT1osuGDnIb9Lvkrxi2Wy BCL7AroQ4GpncfILBG1TxO3mV5WdwpZlpe4zEwFaNUq2S0PCpc9e99kL2E75o1W63z/XaEQRMG3c cVIPJsZzQp/e9aAtXOzDJg2L/5WjzfVcL2P/gRIcnOC8HB5WAOOVp4Jor/PPXTT+Yfu7u7Mh1Wfu iDC/oL5PY4Fq04WZIeAQOdZDRKRTEJy2gsMnAwdIf9EIYN+D `protect end_protected
apache-2.0
812486192d55bd4950b54015ca0d15e6
0.949678
1.82895
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/metaheurísticas/hal_ibea.vhd
1
1,623
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:05:41) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_ibea_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END hal_ibea_entity; ARCHITECTURE hal_ibea_description OF hal_ibea_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; WHEN "00000010" => output1 <= register2 + 3; register2 := input3 * 4; IF (register1 < 5) THEN output2 <= register1; ELSE output2 <= "0000000000000000000000000000101"; END IF; register1 := input4 * 6; WHEN "00000011" => register1 := register2 * register1; WHEN "00000100" => register1 := register1 - 8; register2 := input5 * 9; WHEN "00000101" => register2 := register2 * 11; WHEN "00000110" => output3 <= register1 - register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_ibea_description;
gpl-3.0
29d7ca6e4ded3d0b13ca30463cc7fe9b
0.671596
3.127168
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/2-MESA-HB/asap-alap-random/mesahb_alap.vhd
1
2,046
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:15:30) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_alap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END mesahb_alap_entity; ARCHITECTURE mesahb_alap_description OF mesahb_alap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; WHEN "00000010" => register1 := register1 + 3; register2 := input2 * 4; WHEN "00000011" => register1 := register1 * 6; register3 := input3 * 7; register2 := register2 + 9; WHEN "00000100" => register1 := register1 + 11; register3 := register3 + 13; register2 := ((NOT register2) + 1) XOR register2; register4 := input4 * 16; WHEN "00000101" => register1 := ((NOT register1) + 1) XOR register1; register3 := register3 * 20; register2 := register4 * register2; WHEN "00000110" => register1 := register1 * 22; register3 := register3 + 24; WHEN "00000111" => register1 := register1 + register2; output1 <= input5 + 25; WHEN "00001000" => output2 <= register1(0 TO 14) & register3(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_alap_description;
gpl-3.0
41e73de6e35ad38cf93bd694f2ccbcc8
0.675953
3.206897
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/debug.vhd
1
188,082
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OXOhP7jceRpY6APIDFXQnJ/RJFNgmbTgedng6cHT8RG3edujGjHhLh6jsxgsjCae3EKzcJyE45rl LhDf9ZFKLQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eKZkTwxP8llvZqDCv0cWarOgZ3oR7Um7vn78JkEgs4MbRzWMpdYSH7IKM3Pwop7b3zz3FfoB+t1g qfGmrUbJ5a8NsbeFQTT/wck3Dsc0WddpG/ozOgFGuP5yTsI5NvoogvLSfjzTlVwkOWduFKiCyYiK +UeP1C0risK4xT7yGhg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ayRKPqq5NmmmSXAPtIr1yGr9JfftGRyICx3x2x2aH9Xoso1mD8HNa8u665Dg/2RiV0MwlmUh3oSU 9NWbY3y3fjuqiKGgBx5Ha7X0VXir9d9/73vONCndhi+sfIUSuPmV3AZcZHJ3am3U6D2y0bE9a55q 9to2A360yphKxAXzSqxsv9DZ3CjDgaieecQrNO8SOCB46edQyyJAhTY3+CSsW1SeJz3aBMjEgV8d fCr8sRD80Rg5lP1iYZ8XX+Jv8SRjyW4Krpmj++J2+UrJvOzAA+PAa87uLp/pU2c5hLWMAOxq3sQW T5orts8kCh7Bw8st7PZmjBg2ntXASnU87hn2mA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2tRVmP7tMESVOUA5yByKvCIicyUXsxEkETLvKIiz4zAvQ9yGW27LAer3ISkqrx305CxAMthUCt30 20fDXsKixx/AwG3BewVRfwsbcjkkpE49H71JREEmJG2pZ6FWX1QAHTT2tPjpZKogl2i/2qczmK40 E2KEFLT4oP4nZmSyhe4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WPSMdNSmRHfAMdQmpZwtxpQfP9xVbu7TOEvdvQrGNH0eTg8RUfZTu+pAr9ZSkdT+s8phnOmcr+nB w2E3hh+HHKDCe44/iNYenldtt6EcPhDu41bqr6Jz+OfwiftyfqEeInFi/ls1CBOth6kNV+eGETSL W3EvWe9I1LliSRV/ZUq6mZp4EbKWqu7ObEwNpST30lGBzxz046OWhRiap9mbor/om5mCZ1+QRI8B F5vKGodYIiCgWEuYCNYyO1Hj3aKGgKovFft4Anxyt4YnUMz3ZuGx/wBY/GzRUoCujiV9NENxPHZp J+ssjsOcir/Ap/BWVUUnlo8NxagLpV7RMzGWew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 137488) `protect data_block /EFcIgyjH1WiHhwidJQTU2KLPzOtTmdQsUpzEZX1yhAA0INQSk6OyDT/8i2byH3cir80Mt0ulbOZ 8zy1YPmScqUj4Z9pgxEzSavbUpMCYMvGAcOPR+60RJjSQwhetZEE4wf7NgtNR/mJN6EIMzbyMP2J /9AvnKVmKiw/I+SpZKUlIuTfFTZ5SRXfHvS7JdoZNoQ9WVVuGFgdeupY6jlW6YBEJc2NZQ3AuHI+ OIHgkPBgAYvs2erdQdqfGU31f9rYPTzNhCy5Z7MIcNTGbKPoovUo7+jtWtCLrXlJuIF3qza8IWy6 Fz8fk7blhqM3nx52Jynl5vFUp0rLAP8M3FgifKSviJGLZxa3jaFcivoqyUxHdqPsMuVdDVK9xevX Vi9Ng4NrnqxsvGjcT1I5K8dy4eg8rtr7M9Spf4ps4+ieeaqYGoge+ARLs6IiuRoNOLFQ/faa7Ied +2h1yJ7p4O6totgqJf8DB1Is1o7iuixExxKsN4RdDCLd7coDUhlmwywXxHDgftgtK1dHFwSEqCtm 3M1Heg+3Cv9JU1SxKdG7TLjpq8dLq1dlhUasy4vCZqPS7pze99v06gFS4gmtJpBmjkb9zqvdse/u rK1ElWKMOLQnVyo+lROHArERi0Wg1mjDeHxodq/L471Mp/Vk0yrB/Wh2H65HydljBucLwHqfF23R w9aGRvl8FrGf/XY/bqb1cDj61Mg0VwN8egQ9nN9LxUwgqgkt8SFq+tzTV0R/iaXRxesyb1Y5FAJt CAYjq1/nZBW3arFFGKQ3aXkf/XyTSlIDqjNxYiz+E5k7bp1LuwadQ5n0pmHQZYW5NEap2a2beAv7 f0+Omtg4ZceBa9e3UOzTDFotC/YthKDeFZDzO4o+M8vzlvfw5WO9Un3GpsrSha0OYSl3fpfb+hh0 N2fj60+28GCbMxBlqRVkOX33wzWAdKOBbqpPtS7ymgTSDoPfA1twTjFg5fRS8atFh6CO5qxqvL7l OFJtYLy4QvAm/i/8t4rUcu7mQRPRnrraiZjJMI1K/swoWM0diRHn7hO4y1sQuUfw3xZ8pLjpOhjN 0MrCviSNyGFAs3K6IVDoPsRll/35xxbxTFX6V7fEY4IOgN2/S3QJ3YnRu50T94o+v0dbCz2uQiAc USVq+b/SUeeesVfSIsEeE9oNlQ1V9dz5Pa4T4SMFEk7ZBqakNrxO6po/zpugQs3umic7JI57VnPR HfkBgHO4679snZIlpzpXefWnSS7d0pAZ8BI54C00Aq5VraTPprxcSFGrc9sJwDUKq/j9bEeCR0ob QoMeEIwyA2Gq5aM9J1YxrAziPWdhayfX6i3scwCg+l1PTktKgL6/nnGRTF+CaZ2PnDimul9PNeT/ /4X0XxPrYe/aVAAKlL4yQ/2qHONTjSqfUSTXXDadz0DhdNLsgkbYBObon/PBLzevavgxiJivRYxN a9KKaMsfnwgTflpEfdohzFVLnh6kAr/wA9Aq8elkGZLTLW2RIxUVlqoaOFnjem9odxPIyTabXswt HFYjFb93N68cp5UABnOM2lwXGa5FR6AXIU7da4US/CZwPxVYUiXN3KoujyyRbx8iKvW75duLyeIc 6wpNnc8QIU0vqNgvHub+unXXT6kQun4fkxWXcFlsX73LOIt1JSUvllpHf7Y/0OYZv4YhIsnh/+TF fK5D8CMNzKaqSbdH7zf1/cPF3PGtrR1OrCBtifn4tlQyEnrbdgTbD/ASRpC+MzPTwnR0zyCiyxbG euT+6xN9nm1fIEQMb6U/ll25idQxiSHEs6kyImJjNLACwdWSaB73ygZlYUS6XR8/6oERIulXBeqW 3e4Glkr1Hm5hbfWFasCfnvgzOyCdt5H46G95AgSAXzBxZJv2q/WeuKloqwlTvy75jVbkOGOd8N+S 2pXSgIQRUX8/IvP/7RBkSqkGyZuCm/zdBINi6aU+8HDv+vuqBhbsA50y6l+j9RHMlcxfYgXrYSkY nJN/nqn+bwa6hiZrXFWh/vNYv+XIrhufdHMnkPVstx+hp8KHSmZtUUIcAJIzVok3xkLnhHbnZuGg DGOmIipzXp7iO8bqiE6LRGkU1eXnvuKjYrOivBVLla+7os637cBA9rgZVzeqi+f0Eqb/dnYobpGj +0HSGu8hMCJE7zEntulAcJJc1qXucnNZhnU9s1bfyxg7jIjdNlP3K89UlvkzxidpDW69sAf11znz yWNptb0pGAeunjyNZV0RGQ2BPiETiYTYa96VrUyU45rShilNYWYx+U/YbDM6EqedO4t8YBx89H9p /TFyHD8xQOHeynOhM+wLMa1d6PEv87qjs5E8f/yo+GceFNwo+hI+GR8dcu01hDnuN4SPeUEWmK1R hq6D9VJtCGGE2eIk4ea8vGtGLgvTrNVPZeIT/pRzgUgW7EcADymGqMtNYflcDvB6VVRTh/CAq0eg dcVp9xM2Sz2dSW2MxomeH7Ex/Kih0aTL5U43yYNzUO9sdm7BYJaK78FSkQccky9y9D8uftGy2W67 GS0BimPIozzpEzX0Tlmf/4YDg9pSn1mMli39qk8OjFBdcB1rYa5OFsbp5sBvtHR8dJnscdKhMEzg ouGaC1wTzjGOtG6DClPJKuItv8ls6hKmo2J5Pr2vYRW+JbBD2YfegYyE1vx4WRBw189/sJhwC9rE WKElBVwlj5RM/B/map6f6hLPMQSpofVUjYc+MTFQsfOLBJqcx6kiyViXSjPGb4AHSFoMzLu0Q663 IXkilkXuJcQSivYokMn7kHo2fmDintm4oqDhIkFj2X4n76zttW9HcyQgC3v4JUhnh+t7ToL6GGPW 5tevsrH9+zhuM5nVniT4247SOdTFdh9Fo199enWRDdbvrTakfd56s8nfln1aNb3P8u0IPBY/nUm1 UwPOlH86JpqzIOZcOpaa3vqdx8nYC1i5UQPE6t3pqDIM0V7mfOPDjVIeZy7O8xyB184Q6SxU+rBt hVrBw58GaffSdBn0eBMqD8hvbejOhbBj8x2wzGWinisyG9L9m4loDXJMOQHoQXahIHNnwWqd2919 +M1mL+haOZ4QtrxbZJi+C0qBvrZ+INQRnSCjOyXcy1eSBJgTP/zbOjkUq9ZFCNQRdYgApp/cby+r RpUkgOCrizaKKP/9KqpWztRk8WBt0eVRc1e4gPxCZH+eGmTpfsV4eaPoHR8gkunIHQyHFZi/iM7u 0+Ub2pB6ksOGZ6KHccUkOuhnGfls1ys41jqE1feNi5TyDrTHaa3dhypFf9uGCq/Yj3u8amJTtcQm 64+HiNMi2hl1EomS/OFV6L3BKGB62gMmOYuRKLXVlo9NhV8g/XTREAHpLOfoK0BiAF4L8PWESVy7 O2/96xkNvZ7BJnF3HoUflkm3ZwYExS886xK5Aaj/KUv7eyvJlyZg9QIoQXMzZH4smj3NKpHxA0+3 slxRwpJ8NlA4Rvk9AhLBTKn3ErE2C+tsDCEnQ3oWwsXpYSj3jaCj8qGg+426yfYYusiHolsCuFzF v7027ftWBB4xfix9oF7eRNTV/M2IfkNxQHROdEcHb/pOIuuBnsCy+yT/S+KKqvxoDinYjN0wXeCn DbEorG/KqG13NYYxfAsyF2hKmEUEeQoLVA/OzowCxnK5X+jLEJFcFntHmbcxxlyW3uELuWjcl/W2 MvktsrWE/EPxsGCRbxv2u8diXR34yQ6wKMGUGdj+air5JnLwmynlx6uaNRFuvuBQOjNJbn7oSidD cV/sVwovvE5cDMwYSR3+lXg55KgnSLcQ6hp3wajgW3MkwtwdsjkvohShFK728WOzT1zT96vWKL1d ItHWyyiVIFnJK/evgi5+bPBe3TvHor7i6k67460+9PxxEokm8KA8wP0iIseAbvy7RysO1zPuIsbF s6YSeW3IIzsEcn5O4MkQtlmGX6peqA6gMitn/alSohUuLk+PNHGuux0mi6ZKGqGyz5dhqq0wc4tk FwDoTObeJdXvKIFIs9EQdliwzCLvtyMDBngvguGeHbP7DZPGLQDxebSJIzF7xTn9xkgfSP74nwjv JBDB/0GUO30PTMRa9QuDRt3c52YUFAAnojCGEnOn3+BLwqwoUnwRDT/i3lnZj1fML2wN3RnaMrVV x556M41BItI6nL9PTozz5t52Xtsu6rjUc1IGkUSgoO6smR0XguNb6HPveZG5DWZiSOStXdcRq9XM cdG50iTAB15uA0x9oVJFjXHe2k0xWk3HvneIe5VTHBb/T2GNT4D9aZY1p014aLalGn46ZZVJX5Th beVm/9Z+7T1YWqJ0T3Cfrg0j3uiDC9Fis1aESCVBrU3x1VlB++hpU1eH8GVcQ1SZFlTugz/K4TfP SlGTDx25+3RLio2R+Nz25/YUwOxENSzgegnM6RGL3aZTlb1hfc0y+toXZlB2j5UQpHLzxlnfELL4 1NmRRv9+E8TcVRfd++sQKgj87DQLLYMOOaT/nvE2XgzsC97c03yI9jQTkX7/pK/sx3MowhTNDtXz Bm9UABU06XUIDj8+yQKYHVGqKCSWc52gld+CFYt1wQhYWlL2I2QghXFV7rZMIYL158eud6xmeloS wwuC3/tP0rP1tm8F3hCbsESRJWGAXdayjqWrytQOQ9LwwmlNjSJ95h4lJQueNVlf0vHfyJUtwX40 91+TKZKbcdAbOwn651aXHB0CAgJ6GyWklLYd1WvtMISJT2JiPwfCHvzFeP6kK0FLMQ5V4G40ty3F fayKxMMnk+Yc20Q1Gz/jghZkd9tznLX5wqUNRI/IykJCY2cy8zMZfKBN7m+03L/voV/f5jUtVRKB Rwzlaqvkc0kCwGGSAsWVyegJRecDmeZkpZovdy0OPP9CqB/J/omgSGf32R747ojRhBWXywc92X+e lisux9gE6j+O2GmUeirhuPyVwxthZDCtBo0BkpORQeVcZJ/FYggOD7/bLq7vf85T2pwifQFMEsYF uQvu5I0O826L/Hhhzx2OXEtKmamMZ+XDx+VqwKXJfbUq+DEXjOZWTWUjgxoJkXXICiM3gHkM9cch 50RZsy0OzF5R4TnULFOkH8krPWUqi2XuEedzval7+ntTPi4n87gjRyvvHJZs9ckH7HwOW8NOdOLN RMXNe8XuyDwd/tSPfLTMaYlH+nvLYIZrTnk6oc5EnAc6150H+OZnK0DVurB0/YyQaqzB4SYmbeQu /cUw5OxG4BrJyjYBwbEkXCEE1LTfkt0Bh2U8Cafdn5Qc+FXdl6IwAddMJhsB195tDKvFWkMf/ECC 68DKRpXyugpNuFhy4VECiB72RrLk6K5+Ydk+2ftgeUEIPN3l3tnJSM77Dl2ARplgZ6TWTHn3phwm K67xBKlVDsgJn2/VOHUa1y1bwk66g4TzRrSTSv7sTg2PEM5orOuxynzV9RPTN41RKmELyyNv58cW 89mu2E/1KzikFZqrnUDyNo3Sjh1ICQbRXEgu3GMOxaGQp9A+1c4XGKRjU8s4lrK+Ba01wMgro7VL IHQhN9GXuDF+DCgSzBcMjmheiQ2yGyZxnN7KokE9dMgDYqKAJ2wUMuH7GUkY1CZKhREhHOOnHzsj HTEtEen3J8LuX6vOAD1LkIYJrFKD+BEdm3wz/dZeIkt80X8+NGrgr96WRaaWEcXQ6U+SufEHNyBK RZh2fdaBEY8jtCJbDm7hj56ldTSLaRzVeFzUpLVNjQL0iiMMhmclLDLT96S9aH5I8InXAZtwhrtI +8j9gpRx3HREW5Hoj0hr78jwvXnf0rznEDZioBvhUSYS6eLj6vEeyGRYaVOrZZ+Fqrq7Ebq6x5gX XygPTdGithbQfkONm7vlmg94Nqzuk77CMEXeRFNthz288C2eOf/+UYxReX9Cnv+5HcQATDs0OITT xn0C/tBARhyrqoNXP+jqUd/c/R+/eA5k39OZVtxTn3baCwFiTOHp9S+MFXZ6eFm7yKHnkvhan6Bv Tl9VQGAv1/ot5n0UMtr32rtX/E9EjGLvsMd3nooCiVoJdiVehwjrv9dqxhTzLsk67WTGLospYDhR QSu51ZOuUnLRX6GJ18xXRft0VBBFW30wgK4//9o7Zhi1EjqlABI0a+TetXrE2M2fui07yMw6TwbP PDduUXcwLstF3pha1u3jBQ+bBlw5HGMfIGHg5JFbiNPC+433kVnE9211c7YUoW7ndMAGGQoGiJo3 N3+/rc/EInx/GtNF+oiYJKgEEpjUnIHLcd3VtJkbW0DudXkHrX9btnNzvizyB6g/JHfM0SxQJGUI 9lS3ML8+E/V3EeQQnwgLOZd/kCce9rdincwA6FnDhkAW418Bus3HqPlPjq/zmFUrE/9ajXOQRcPE 0aHemF4fW/kE1MSefkZEiy3VMzR+1x8lhw56eSOs48k+xfwjTQPln85wk5r2hHUE0Gqvny5AMaza gLkeYzY5bOV+RzbJsKrFEBATmGosKq6LvhMau6l4I/fcyoSPF8s8mJrcPSsfK5BXNU8faDBVQaxV sz6+tAEPQLqtsG25zhATU4wlL2P2+V5MlN8BQ09PPKuhYfDFbFKgnsrP+t8fKfJaHhr6L7wEM+PL MPUXEYpEJOVtwz+VCa7TggxSkR98df9ohh1xhb2UdjIWqNNwAMgy2jamaY4JUcXQFsAV6SDq8/Cq LEo/BtxbrcQhAb6gDpGufOXmLYPPEiuIyWlg2Rgf9tfLrM/3JzS3iv+NZPcjc/mYihvJtWueDJvF cXeu6VHvBeNkM9Qh7u/IHmwKROigfr6DhCFsq3qrpRkfHPYtJnsHMFPxek3Ln3rNC5AOZu1U+29f qTapPWoR7uyBxuaBY83miQhJc/mc8XHNkDGXH/AjR9ZAfIivEFgILdYf4XxrHCVx91diWK7Lp7EY FTFAY2hAh1KzHewWH4yIrqsDF3k+SiOqwOWeTKQAGCPQ9kJYn2xSj7VlCr/FQR6TxsHJKawY6SID 4jaxQRicgFt6vDSbwM2vtBgEGCmoNuwfOoBOwG20hX6QRt/KKlFbbjVyNZ/YXHiptkfzC/BZ/s4t Qk0xdQKuzvsCSpaUj/Uz9BotyL3eOY90UTqU9porgw3rf8UYIIwUuT61r4/RVnijpzgfkjiEaUc4 gxiWLU8p0MTEL6AdXOb+UScLJ89g62im5sUkscYEnIq/JDrm3OYJHQX1r7a55JHLEzW+tq0zFrQa U/RerxonaPrwL37fls557vuIdchLQmqb9C5GHtBShKgSP0/A2tCtd2ExEsq7wvcuYawPawsop1Lc idPjwmxjQzaPWBR1NZWgWR2azDaBekiVb3usn40+VkBsHlx7RZVJhoLymuZqAfN5FEPzEweRZ3i3 t6BY82ICo7vJwP5Mt27UBPQRPckHZTTw6FZmZ+IZI9Hk283BH2V69HjyI7223tyN8w0A6si/FHtM QMsgENLzTBeMKyd7MB1MJXIpsp60f6lwGCp1/94SIxUkne08NrYyjVpYlZoYR8JsoJ65cFgT3SyB UrAtPeTSvP2iaojwRzp/qeg8bTh4upjB5v0RqaXq5uzTsH7jYHtOytaQjREL9iT0+D1WISQruDL5 bfXTWiA8TM5Ui/Wkbl4kZdl71CcuOW93Lj98F2NWHioyT03Xlix90noffPdfthhXFxXUrSZqWGkL ys9yPwygdWnx/0MTlZqOR89R8BVErQXez5ALkFsFZduNw29bb86CbvKsGetfi9061L3G4XqYWS5x SPY6nXnJA9eTskpquy8Lgv4YrdMN+Os+4zM1CdMtOTh11gWozou+he9jW37pwOpm3mosFltVSHRT Xu7PBTGCMmJ1Mpe+IvWPiYSD4SCgKhZA0qeJS0nKxrGiLBTUZQC7cYX292OMn0WYxuoRyUv0R349 s3Z+oYjCYSPjWYfRUje0LokoCA5q3vP3snGpqxfXXdX0hUL1/k80n8LtAJSQgGZeGgUHYapfWc+i wMJQNMgNliF7MMTpoOTWNWVpi2gwOO3Sqmmlov5L+wr3LXLdeA3bF1sIp+MCJEkoH1Q7Sp3JAyc/ oeDeQEDTnYnHTQx0oAlOwGr7pCd5fMqEAjgMv490vyaU4iLvCiSl4uJs4MMVDkD0l5SAmRk1kxOR WR2Ch3ra9E7eZE8kgodra9PsxnZbgTtHDte946aDslaqy68NsxsA70s5e/eTgXkcRTV+3Vno/hAM 6Dc0L+QWsMxNZXDIJzaEyT5MRgmlbNnIHORb5Fm9R4QOO9yhedxFZPwTpee8TTP1YdnRTh7S3ze0 Ki4JVsjn0fll+3X2JRsunykStoAeCOGbdo0FmJ7CPFVDfPl8WFwxmmtBhiEF1j3Nto8j4WwYqHXO 2xHrM83DqzUVZbtuL2aVfWlF9uA+qGpGiyFewS0m3jpjsBV0L+XHKZM8mwPDGMZ2PIV+TMLZ/YEx x+01x+VaAL8KXYkKqOqXo1QeCbLfli8s0LJcZJFv9f95h1PqAqbt4GAqtUueS/+1GQ5qiNVD0KHz jOmDSwkSIduFHAdYvKFTLPROh0aJplYTukPyKCPp42FS+fSIieGDpPQADLnWV1S/W+YZ98i8I8rk vPah+E2SZ+LmSf8iZOLQhTAQsRlrwYVh9EqrpzSJeToK85GhS4gianh6mCwHmqhlnw2VJC3SViCW 0DT40tuAFEZPjPVl35gzI8VWBuU02LhspJnlJ/SGANKKsR9UMoaPf6POVkty0Xvhizd7Jn/Hb9Qj vNdiSMLalHcrDuy7f9U9lsCLTW90rRAR4cZI3pg2bO8zbnynj0JeXW/BChACwsF/xKlb4Gs/H71i L8FoBWzeIuFzohzykkBe7v/1Hb3GBykFVrntWLHLhvjFghi817wRwUNDt6fa0o267uosFhcy4YKn 95uImo25B3URPeJjBu5y06HwHpBaEgnipWk0oCdev29lYInzCvivtTMtUoL5toCaAI8UvrW3HzgF PsS93vTsgyiy3GuJm2Vb5lXDbGD+dGp1WVVlhzk7SvXi48T8VTIiclPA6+z1Pht/NW7V9ZWxYZRH L/5mbdDZuZ6Ar+p/qljHYpxDBx9gaqN2/eXxvmgjav8B0wzOQwp5xSMAN5yiN640Q2Nc58y5sZf7 eQWM/lFybgwM/dHRagYt63tZyfGCFtNIoSxuMD+o//aTYLJgFmY5MghX75ETRBsQpuUyj9UJNInk kRsLNJG2nsjy+mt7T18kYXEGTn6gxTjxQEJd5/LQhLStRQnsNN3rbR7gJP62WmWXI/UNJ2kCf43W xHGzaKBFVkmDwaol+eV85aUyco4ofyv9ZowVHMj1DGYJ1T5FAmXHa8j+yvb6XTXQR7UBryq/j5SR M4i9T7Kw/ZMjFKZJO5R0+h91cbI3DxhkFfNKnRUiZEzEuiQ8TjTMUgtGW8cMjBx1BfmmAjsi3cGf 42TRD3V0fuh62AXk7JlZKPdMaHyIerVihaQszQEBOkLC/rvietq038Gy6UTZQD1DIZaodF/oIvf/ zhl/uuJmT+dDhtba43wEYWzot+nnXlQ3YxpCskdgM5xYBfI4jliKF9hIiPvudD6dlaZsbKS4yVje E8xvMnT46RwYTdHPtJIHITE3jj1LEf/BDgIjFZwzBr21NqARIofLqa5NCw14QZXCDxZ1mqwMl9yz xX/Zi/vWESKfNjzAKxdwPPUCKmZaGQ3deIjg0eFt6JKqwSBMJlIkfXyzyCDUIL+2D5QTpgcQ8ZP4 UcdcI0WombDYm59jerMCScfl1gmVeI0XmuqW87sNnKmkNa6X/2ApKr0uyTyz3uofV8+nsmEIvtBh asmcEgHOamoV2x/+UooHxQyBV6NN+SQJUHLFScVyle0R5DCR4KfGi1CBzxqDqh8XY2QeX+4y251N wkgnheoPfUKohj7cQTan5CnViNyoCdaeWlhES8Nh5lFdwJFx0BwZYh3/cVZUDAndaEQsILUmKPG9 oFUoGi9W9yekq1WUug8zn8o7WiV22VF3hYb2/rbT+Q3Gi9BJc54975Qx7SaRUf/HAWsu+nGkBVb9 xQcEeViYKzAnvBa5CPLx4qEcLAsHvm/PUi6h5S4C7ivC+N0kz2liyYMSRvhLmLYtqyx34d7oTybB XdWir5jC0taBtjB1unwhwAiy5lph+ZGcMMj6q7ovjFEYzGU/yki+Kog9BiBWYOxvcQhf0kqOgoBv cXAq2B9/bfMvPPUfUok6jgHEm8cydtEXr6B/2ROHZtK0AMa8VvNpT7fOhNgGAM1poQp8/mm8DX7A 830lrulI/No44ALKicT/fBWyGtt9z0xAqtzE35UCph+5gsQ+94AIcbpow6lYmxFt7GvcJiXAKlnW mn5ABe9FlrJs2v8xv9J6QwuCFj7hxcqp+xBbGSGQsm0vemyMaMfYzp/VbfbyelLl65aQnm/kP2JU ju3BmH7ifB033rsWqXML9YxiDiVLRMk658iOyVPNSbbuod6gZVeP1kEkwXIui/8DjcT5HZw9ydpv EFWjEg61HNwJXNX9F44w3qPeWu5xcXjmr5+z6vr+8mzMEVDI6Zz0TWtHar15Bct/txKrMYg8lPqV 0wzW9+yVGXBfOTeskpsifgucmbqDxoFnEI9/79mPm4Z8/TGObWSByFHeFvHpwCep/KREEvJ3CmSq GAsHMMAXfJ6doJjOvjjqeWwnk1bt/VZV9UHRIQEYvR0EvZE2QJy81TpW8yMIG59MZ6acBzsdRhQ0 cjzEbvvapzsOfzmA9xqvLVjon68KA10Y0Wq0a1AHAkU02H3GpdtnQxeMHmDYOTy+5hM+4tEgJoPy C77xVwY995Nc0ajs4/nGljeVlDTcRKQ3sdbK1Qa56xvdcDM4CicYJZHw6Dxjuxej06MhnwJd7WQZ Wq55GkBn2kaysou0MFiC7lyWWzSreioaS3p+4D6HOQn95dggKh0BYzgpqlGfdpcHyAoMvEiVtH0N skW2/6XakVi7XAb/edVnPW2R/1dIo2SEguWI3JfedvZjQH77WOU4uDLm0t5JVNgjvWEhxrSgbAQS 1J8heDlIYACkQjohufRkVZlnGnGjWL3/FU5nO4cU5IkwExiYFvTkB5kpxVsFPBkoi98FRAhHplZG 05TYBkDSkm9t+5Zk+EKGXrEmPbFr2/LiYEshdBnNp8h/ZjnFzh8dYfgvCQJozyHKQAefXgeUAO7F QD4jIifi+hpzhORcY77zdb4RoOS6iw1iF/w3i+rxvNPF6eT7fLDNzZkaHAfXsKOPPT+cCDF8tHXz AMJ72noS6RErgMgKvfLEffYompibx6If795jH6X1ypyAErxNq04N3CI1bmb2zPbzW2PiOIXrx3ew FNOpOIq6uW9YlSsnKmAyjrMIIHVVghSziHkpwVRPbbhJVGoYyngWS3UNvIQqVi8+OtnmjQe57Zq5 oovgxxCxSqlQQbEcdEaPsXw+HIlnxm78e10xXsMArPxm1phxAFp465iK9OB1jpJAf9bnT16Gf5X2 P66z26OGrILIFfFJUHkBWpxIClqLddpr9MFjiRMrqeGRJjOElT/N47EoGKrJT/jC/5QFXdUSOJsQ gW6exPqFRgy9YLAVfuxFptfTt/DtTIbOqoZ+uTrwD1OPcOUhEWcRi9MoORb19qLV1DpmcdxZ9X+X 2wQjFwPWFsRtlPujaTOCcJl+dCVmJ2QeuGsN5/eRcCLOAwjvd9BZXwzWOTgNB8sXY98Up+y43K6b B6xe6DsP9nhJH4to1JZyIRVqY7kPzPHbPWprduzWGkmTWRnrnKWD2HsFsI+aLtASyc2OKD7foaDd YuV5EfzdamjqerZvCcaDpn9UsV06RB7e+91QPs1FQbTvefLaJhZz50YN4v+FvkjV4cHJOpRM0pHT eU9OKWcx7Kr7Tfi+YkRqRABiOKmcZuRM7f1BRCaE/YYlPxA+WAahkoZz3ZMhHPWVzj869Jx9p06x Xuj+GdacwFvnq2UUX9vwTj6fTOcAd6Uh6uAXiJlz5KGDINlDMWzYSvbrKH/UUImNgEXQ3Zoh7llo 0/xb677LRDt3iOk5aPHOGMRr5nA2/3YODThk9/ZdWeNEbbZJRRLV9B/xx2NWrUTzh8Db80dSnw9P 0SDFlX6xPV2f0w0+7zDDBUW3a/Lw3NAWI+QnL4vc1i86yLp+NE3a/i4UquM2ekbc2Kw9MfyQ0EZo Aa9aZRDhoO1W9EvREdsNz8hmJOUKBf1uC+F+gHdFbriPlxKrUt2bDGBapb/KEoNIIWwQeN9oSAOB th3HJ//F9OJiLEDvSI8Zm62g0vNFv2ebLKArfpljSAm97IXGaSsJ5mYxmjlxkC0puTg6h3XvbM4+ 9qlbeX2paKlnKhy691+QMPlwTYCDiiLOQBpylxoUNsSn5AQibt7VwlcS8Ssr2l6Qti3Kq+apzxKv kk08m5iP27vFvLkON+XBwd2O/q7UX2I4tQR8LY6KM04gJcc+0Wa6OAhtKzhQAHg94d40QURvNeyg 0V319EEip/848nso99yS76Fg8XCu1CUcWK0W90sRnTRUBxY4w+zHpujyot5zOOssrcq4ryDP22mT SBoLl67JafzfHmRwZvQvzSfpYjjpAhP3F4VoBRXBWRO5R1JoihDPsInHqcO/1Yjq2MgQSoK08LMp HHZA88KU33/CK/KqVltP+StpisB66c1NQfhFDTVAItj0rVEltbqiBtOC+OVeueBGOT7ZQgn2mxDT 0Y6XtZ+F7tkxUaBRoZICCqVtcvyWUqt53pWJ42+Z04z1j/R2d243pcAfV4dTFb03n1TBZNcFoJNO vvpQSYFzMEn7cADTzsvxMhUk4Nykn8vi2GcjIFBdkuH2aLqFja+CBYt3+RRqI8l9HHoEmGXEyVEx C0fMIPgNoqDkfYTozbFvKvKLEVnE/Puz5au/gv9l1IBzJrrzPzqtj4HgiVfKG2ClpA94ji1u4aQ1 y0ihkO7xbI4rBR5fONBrvA1jTOpQ/xN5d/NpLubqnsJZfCVbmkat7d/bBIy6cMHAS5EnKzYKL0v6 weTHQARPqoCVEzEs07SvRiglKCkVxK8AZLX0pGXtpwe+zNxm8YRqqEQQuN1RW3GoSxWR6pP5TziP Jg7WSy3sIJnXGgX4tSGxQzoP3PTgtIPFRkp23527Z1IfMP8U2ctXC3izw+EzzCqsnWbzvwA7oOpc WERdstnl4TmmkEcwTAUvAg+z1N9g8evRZhv96KBbh4jKLRNFUwRs7EzRJ/CzhNxsLGd3pcnIP5QD 2kWozCAdR29uUtmUZih6oxvHwbbFfE7B6TYtwbO3cXdYsA5tf6AGNvw2HL7aVyazl5NjjIhOgkdj 4nqgdI2KbfoZ5s90nHU4G1B72axs/KbfNa0TymgroWdZOYHfDBsUGzvENNfHo3rMlIjSro+QstI4 ihc7NaB22LA/Qy9SjUOYSO4LPTZHgcGVz1UAOUwIHphOjHymWUhYle+xOd/+dMxVbYyGy1ZbvS1j HDlVAf4uHlGclnEfy7T2Dy7EXiUnlEqm2D98iiX7k1h+EVkWbcF7LCCf5PVCfmWVl9bDnoSz9Vh4 hXtZmtjYIqTtSbnCnU55wzcK6VQoomvn2dvwn67KOCDlT3Jx65YkmcJRxYrLWO8wxz5Op1FtOmWL 1ZbT3oShou+gXkK8DcdDY/Jn0Skox2xhh+5iux51s96BSB7TVjWZ38nxSrbciA5Qm7Ikf8Msp7zc 3cQl+LOB2odtz/T7g0DIIu++U9iP2pvKTVNxphODAP1PQE63lk2fMlTFUzUtGFB27/M9HJjHSIoL fo3V6Rr/9ujjS3SIeAHrf9eWYLTE7kfpdTTltgxRCt/ZBoc2roDC5Co0NBAIdwMZmO3eG/vQKgxj jtu6cIV2S9BZZs6BqWZWPchgG+xVW/er1+EEWtsa/dDWD7Mf1ZPkHlMnVLueN0+OPpdRYNtmwh01 eRzfpDc3RCiBVrVKfZUfAAkIb3NSmwDbZdwqWELmrmGBhJXC4vfhANq0i2JE7ktO6vnM1ZkCSxNl nEBemkgKTPgC+zEG2IZt9dx79QaEx8QX+U9DWc4TTqIV8yOkvkv8QQeJyBS9vX4RRG/OQ84QWxIV y8HGHRQI8HPJFQQNNhpuTPO8eA3lgus4/QE7JHScHz/movNu0du2YrrJrjjQzWHlIUExo35c8cZK jpZiKN3h01XKwBJ9Xv6AMarITPdrUEEnG7G8apcDdrQtOHwnXIY2TKjGRT4CJKWtxq2tUIt00ij6 e0iZ2EtO2FVrcov00QPnr3REZHbfmquhyO6wH6TsGYnF8LIL/7OZWn1FlNNwVKetC5850jd5re2o rQ1909ehCEnCf9AimKHRxAnlsY2wUoZxwHzNlnyyqtH1LRQrwZTn08//NCp87XKM4ptQrjQz+5lI tQCWgw3kejMLINkRvVlIZ34+jSN/JI1R1RjSejVXUzvVuUsYJB1hhvtuxyKmKKmkbgfvFe4oWbwi +ZsYiQtTXxYRrWUNkmFG/qjg6OoaTbqgDfSPm3MsGOxGyc/VvhW97Dyi/Wtq7/rr3YAKzrYxpV8t 2ChVYFtId03636lqgxpCih2pRS5BDUodz/0ftIxvCJ4rBgU/2Svso6d9WJawCXYReBYgz+50nGdl iIy+M8SThlL4w7kiVhBTbEx4j3W2ZKcnG+bUqfzTks27qomAt9sjO4U+TngkgVMtgwl2uBsfe6qs JmTHKXCWenaqrH1TKYr6qkkIX8Bkl/yg5Ha2RUb7lvgI8psL4WiQOeP4v6D5I5soXm2HeXm/MfWK QwEwtSQ+/tG+jQvQ/zW56El16A7koIzBWZuwONvbCGL55u7tKL3TNw0JoTpjP4pCBlIGolOF+vy1 ZhQeAJgY5zrWcEsFPgNUwi9K7JYzRad1Vo6h2ldZwn5QhTGHyNz5JruC5+P7AwW3TN3pQkKmWcSs FVnOZeAucFeZ1kFNn//KI1dBmpYetaGnnVR/3qyRQ7goZ84Z1GwZPszvFccnIGOtgMNCwyWJc3or 0yy02oYO1CBHw2DDoOkC7ZlO5HZDZGGPrFcnxPm7snxVsl9ULB0rCYXOnrb46CGH7KNQwzbHVCwp Nt//O4tJby4W+BT4t1BBBkVDuAvDlStTxKQWQS0isaGXH6ROBuCNUzEZXw+9AGeSwlfWLCDWieGM wj55FQc65te7BpRmjRrZyE9mdtg/ZAUlh3KPZPItrJNcF55NyNSBg2RrsFUoiJUVUGSgZzZDqiid ghcU3TPKyAjMS4aAM2d5uIu96ioshbkALFkWXWD9KglEBZkrsC6M4K/jGDeqwIZqCjeiSN+O/dCw Knl28H/UkT2grzqOTJnuvUKdzBsDWo8o587X9kUVmVVaziMeIz8i+ATA7mKRWhtD4zRsLCOOJ1W4 Aphkiv8Ay52pOp2pimSEmcnlzdqyGj9LHdQAhyJ1gHktZPyhZxdQdChVnw1mhEc7CADf4e06y2eY e1vlk3awW9iOsrATnH5u90ViAeM3cvuwYl09oCiJpY22pjLYi0GD3ujRnzORlC7eFTAlhYxnEwY4 hGbRIv2E6pOX0aYPqW2faosfZlTXf5M8yRJOXQtV+iNDeCZy29UXt6S9DP5coLLIaJARenOUJl5q xQLWCK12fjT05ayvkf+8+AdOt6FZlKD+4uvOibUy11EA5CKJ1AAce528U3J2L5qF9z6fOrPAErsw BrH3FACJO8Sp0O5U8zFWeOWYhoOKxgmi3nbFoVLLduwpDeTC8OXP2QAnwPSYIFhfvj1Pv5kMNn0o ji6D89k1EjhRTpYZ0zCxGwiWnJnD+FOaPn9l2ERRPAUIdAOYP+lJDYKBuJqqwH60U6LGlF42mh37 kxv2n+qOtibbXSq9gRcReH1HBw46rjfGkClth4BG2yJFGv0DiB7WkyeQOd1fxx9aG9EetwPhgdPA 2b96EkuSeqXXYf/Qtn+qIHeKR+RXtcX432U7CZyTfPn0cxc92A8nRb8fkP+q2PdlgtQ6fH/08wPm dLOlm7IrtdJV3Ag3T/DLac7h2zzAQbuNyndSSsoqJh7Yh37/0Q+2oTLFSpP3LfV6s9aJw/lLXSwi i2m2MDzZtwR/LZ6XKqYxhB8ORdXo4+yDZIQMEMB8zekAoB/IjC7QCC7RU5vyVR6uFixhmkwl2f+U 9D1hTvELK3cBOW167S0JfDu16gIFfPiG32kGTt5d1QdZndlIDhQqu2a1rMKDLF8c6W0AYyExIvEH EzkBkbIi4DSaRYtYvt+XPYxZNgfwi7aonFonZFgTZtce4aPmp7E8MTSZ8j3bApGXVShVa57XyFoe 6tT4wtuTNVRP2RGE/1HaVeBHYkLFfHtIZpNevCr0IPlWplGpCrkm20I+l35FLzf5krxAlltiSbqe xeOq2n7IihjD1nKh61nw91TuO/tlCQrGIrTYOmPyUssCq4GbsmzA2eXl53g4sZ1bmoM8fIdTZVfU gb2pGtFGz6Nu095yRgA1NC8lYY5b8qFRHvKGIM/bkNITzrZGXZ7jp6EI0y/IXPW9w0J6YM5x5l5w PA2wGyp1ZMav79u+wAxXrAQ2UKUvZgMdiA0v4su9o7wy6/2Bp4mqNXBlylkV3xPcnNftLIPf7XnE v4oFIfIndL3jj+RlwLot9r8k1gRwC4n2qdOlfYPWml1Hi/qp45GjS692NwDY8/oIlb5IU38D//8E 4qQ3juwoMtFSa6d6S8AkG91UseTGPF1385JhbmYY/jG8ZgPgdVfhHXB6Fq+yD+ctQhaXWqxXFfMD IzGx8dT7l4EYJYjkSlEJ4M//bUkEg0Io22o/nEbFISL6iSjjddfbCHQY38UYpyK8wQnQTvwCC7gK OmAcpt5QuQqQUWKOKoiaDqG9YUdGS0tOaL6GscMebUYtNNYWC5yfjgg6T25rJIkUSb3yzKpGfd4l Rrhz1nb+B8nvy/zxes83zIED2wI5B7jZWgO1WikSp8ySAGNOJs7MxY5YUgqjYJjQ2pxrLyqZZC03 tgnRUWkWESjoWRKlgPncd0xyiQC4TpEG+4MCW4+VB+/+8OzYvXxm+S12Yu7If1lHR/vqfLVWY9C+ h7m07ZHqNx8ZFVLxgxIR1imYp6ilUReszLb2UyDlVVP9NGw5jY45jntcIRS+qew25B6dtuCnT+WO afTT8nQ4tYisAbAaAtskqjg2kXfim1zuRR6kb70UF3e2FE3GOsoBrmdz3p65CcA3U96rEr6az0El zvxCcqx9vH8NNdh+tVNTLE07VuswnqSq/FGMcp1ktabJXx3pQ2gjqqfBc9x/7IeUyC1gCuovCGKO AWcVbTUEw8pI124Hju+QNTLxD1VY3gWZi7IpBBMo1bzx4B3khsX6phNTLeL+1o+QGbClV3pX7CWs KCUrhqUcaIbgRsjgppQR0AR46qEYqsk1KzGluT6nq6LNDsIuMSGa2P+c2vn9PSgRp4SECNxmpU77 IYR3mX2fTeLlbl6TKrqprpzU9MQkHgP6s037GJEccbjkX/ME9s5DYiaSpCJL7i0/LTllCFzzKwyb wvC2MzeErJzEQaoESrBhajf21IukRsPUnsJqzrBdxqiez/7zH/NvvnMSP0WlzwoUlQhRYxxM0t/R zbBFhOlD1hZziJcj3Xuv/2lBOA2jrIcbSkhjIxjQB2FlkCsC1Se8kSBZFNKN7SGqqfy/XXA3Vv/x w53cXq+heLnESyyg0mtnpSsmDoWf3hrW8NxD+MhoxeLAhwOxwNBbdTmiMG8QXU7qS9BZI7342BTD yLH7dXp4obHaIsuugKOBQ3u+JIFQFuE2qTA+V/he5trWF5bVSc4lWL3iOuocxxSn6F01/WwThrCz nkNvwMhFsGcqDSLb8S5Gcc6f3WRTIQ1zUoTe7oPuvpcjOS1HUznHYXes8YQYOZooKUTPjyaWxh58 Usl/eho+CkfgYX3CHUzDm9O9PJ8jiIAyAU+jljxcEcKA/3fxyDT8gfulInUzo2qFG2kMZbY2E66U eQZrko4Z4016/Z6JCUAKIc2Q9B0TOVXii3U9aAZGHvpE8/MaEVnubccIJm4b+X8tcntRcVmtRLZn 9UUnxJX5kFPJt9sTcVM2bQjYyiVQdfNsUZgaPn7GT9BxIgSJOyIFzoEzCseMROouC4loWRdX+zHg xaez8qhSR4052DOONnzuqGYSJg6QM4J4jWrV1NsInhUQgID/5WzvgyLEPMJ8mzQHHvqkHIfEfC58 K0Tkx4GwqgcS9ZVQ4BIfBNWM5OEgMV5qD5WfaN2z80u/d1K92Xxya48N3LWFnLHm8tnG7iTNUoeT H3nkUN8SvMzmpdYe+iBof64yzvzvH2myxKSHmwzN/ptKwowedFsBB0PbHTAitT4rwe6WY4WKGuDg y47bZViG/2bnxCPnO1rJj35M4u+m7b4EEPpEqub5EbFSxoXJGb8Ix/k7krNQ4fhmzxRMlxXvqElQ J8ncC41E/ZbSRiVtMRB4DmOWgHa2coiPBIit7+12b1/DZALzJ5g/i/DnBwrrxG/gYlmlID3IKH1y YSqe4RBDyvWDlNN1mKHpiGwvofzf+cfdw+k1zUFuPRC46FY9BH4Z/bzzJNWGsAgRvAEb3jY6UVwv KpGb14V/KN9n1lhDqBAxH6VVpFONDpLOnnZUHRIZGgFv+IJWY6B/tmmEw6CffhLRRP1LDf4AKsM+ pBICWLTEXmlpGnOPSekjX0qecZR3M2FLd6J5JasVw5VQL9eAFxJZMsXpH3v85lrQmdYgME2IdMbF 5ifHRg0FjpBSeqbPEvGKQLH2QADPUScWlGFRybcoPuLJsHxri7BxlMlzuITHJXkVMVz/4xALOjI3 I3b4CuRKsswGlzYTw5PiVD2CwgWhPFWif2aE+J/CblUSbP9FioMeGQNy4ce0d4rEJTxA0orhAGcc 0ajDImBWusJU8zrapsaOZpx9sqCqzuDY1lHRfBVuOLeGZkf1GzTHbpiqFDAL1Jirnxol6qn5cIZB /quZVNlUavd6bRsOX/jM5CL0nyvELZT0swf5ntlEpLP3shKQmtwNIEOE5QGbhdixKiDscwG7IjZB o7KWgJ8ICg4BVyeRiIgd8atdDQWwMMj0vXE++HSBFrvTrowDRQMhmEmLTpgZSVlLKGkw1rSdVAW8 JHa04YbTeIidW2SGb6tRUmZt9XW1V0FyZ1v7+qhpD13q/TZ4bgFEMYgqnl3PVzDizzATZx7L/KuO dsx5dICCk6tkHXLjOSK4+dfwCu4y/Tct+Rvm3ZlkG9TLkxfEK1YzVRZg7LubPOkUOZ0RUV7w7IT6 cz6HiV7FREoR+7SXxlOfRn2ifGNHQzOxBqvRBAiCZtd8BuM2DynGh58BVP+/DygkNfDLTWGmmW7f 0S9ue+VHkRPaqtXb5CLVVP2XeSrGQSe412fZsJQOf5P67oo3KxcaN7Ru54W7MeOio6+HuZhVQLec yqdqi3kjSskPbKO0Zdtp5AIozCYclXVGNp/VdPojGbtCcM0RgYhYW+VtrN5ac+EnCk3LussZP+bT gdwYxvFV1mpJycHDGRb33AAo9AvabWg7r6MgJkEYB/VRSzHgTS/IP+VDGkAO6SRSpKyExj9VBnKI 5/xuCSGtFoJ5BIxsX49F8123BiTiqqiGwoMY9cZnXuW/ltpPNDfF8gE5eoQYJWkOevwLITFTcAcr M9sfRevTb17LEivRyQbrqrjCnt1u7GtBcWwAUKGo3LBp1c1OZPNYvwskg7EPZWWt2mUAoSaEQJag TEor71B2Knj9XYu7uxLn0icPoOpstKVk/wdSi3KidrSftn7O/6jSGBH+URKKC49AvksCM2HpInmG BY5rp7R/WffkA5mpzA98dxlbpKLmYLvsomMagOSybnhOLA0nxv3dBuYPV7pbUH9bwaAcNppzAcK+ sVJFUMsg/dzIpbXjlTDErsAhY8BTa4qb8+w+hhlVkkPofm2w5kABrGANd/TajOGsmd+QQv0v7eC2 bNaUf7L2xkYFvOC1eXJJZnrzgWoYJ5o1ZFXEebS2yQWANKC2us5acLbjZ6XhnitODNSZl5dFg+ol lzAepqUYqeIYzmMW+zjdD3WWGAoRegVFTqpvNH5WmrLXCinlY/BF/XC7yCIt1/1i2CQOv1YWOWRZ 2IbeLi40TKWyUFOuOpgzR7NncuNzON/JkGduI/arobsiogaxJRygF7sTwveqsccC7Iqr3pl5O2i+ 3jJ42L01VuPeIO2mrZgqJUFwPudHKZ1FWa5Kixth5zwQgJ2Gv7AYADpoEbpRwlDEmyBCa4ja5iad dFJm+ExlmUrhZsLzXPhtPOF8svwqTHnVxSLWP2TuPhHN/ZZj2GBgvWh7vyUF0I4LgcEjCqpQRso0 e0IY3/QVOO+TctweBg6lJopJZ00Hh4jicNY2ic0n7OHA7gC5Y0H8mEnF+N5ZGYrq8Rvvxg9FRN9T 8qVzkRHkomuE4jN7ZGMzebHLb5BiiNS/tDqQHo2lATBT9d5/xdc5Ya/nQGwX8xiZabM3zEpftuiP tzA9GLAdEds2AEQx8q6NQguIaylBNPwGzIp2vnKXE++JEpKWP1/2F9hdlo5zCEbs3ULJ+I7utAz0 4NvncXeizTiyi5XrzsHcZzm2RgN7izkSjT2x0t/LgksLItarSoj73rBbvl7zSrNkWOXelu+1b+SJ QlaeDWGXpP4LM33bFuZeNkLjzWpMTiYNVx2TCQvBkpAhpYAQCxJOErxiBdgHpIz2eq7sWxyz6zMp RlxTYcfIsFNhGfeGvIAdzVmKFsXHUpCBBe6vSZkvpW0EA4iSAMcyjg3FeTAzjhnUw1aJA4CRutZW iaT1k+HRJ0NHyXNrTA+T597cNgzRUP6Jum5xwgVkt8p8SFEgjxp/ITqOXsbpyKeIXJMkBcTSYrW2 YSfJyrEexEXh1iZ/1120nIw2FHoEu7obRxkkN5zJ4YaPkKzMn2RpXbggS2if7tclnNd/scMzkUEk 3aWHC7aiDS+DzlTg0YjWoH6Krn62eld3Btt7rwOi08Xjw2fbusO3zdKp75paq79+Ed8ixipgliUA iDNU0SVDbF1IAmgltNMR8anbnLMCjmYASEaPRSJ8ukk+SzzY23aVj5zy9p38weMfP1E4fjq1754/ JNIlj945D0JLVbNJRgn1Z+wEzhEtKSjPQFzHs2z4IUpmvr5cGUr3IGbvu3fbd0U4M42U4Q/pr+yz +zh3aef5NPaCxTPBvtfznJL6Z0V8aUngXU8pubKbr+Y8A1WHtkBbQgXABRsGXoIiYk+CuhVEv+4s TpCF8ZTxzcHpQ7zhq7A+WFKrtRM3Zo1jF2yb7743x96qxD9um1iZlk9zFbjTXx5iynDVx307Yup5 4zvzVARomobRlkeV20/lk/0EXyEz6MbtUirJSC6CPl0WMAUBkYgK8Y/Nr8ddxfSl1qwijj/ILZ1y BFqFHI1m4xqd3AfSAF9BtqlICYiP9OWnPIkyPK2uqM0rp6B+Z1gUrrwvA621PorKm5SoubiQuJEs b1irG5iAr84sUT85xZqWzhZvhZxN1qOkC6BBR382tHoZWchTOuIBcP852uDXBoItpbb1HtbgKqP7 n9oywJCq/y+v3/rgV1jfvujCvcDTl/E7TyPeunCwDmxu8JfiAdyIpq7pqYQ8QO5qIjGCy42IMUKC 9fEeta/l+8INxjtGk75L8aM+Q7/mc6uWA5P1kbqU1+b3D2lJRlylpIJNJwN2TtdnepLCNG5/AJSy EVb+192n9G02r1qdXbLtEdTduvpnn4R4MS34mv3ncv+YlvSA7/BqXKPXUWqByi5IrkgFWA53YEtX eGakoXfimQFW1rKUqBlAwFY6XszMfZ7V9KsJFUWhqn3Sz8omd3z+tGZZMUr6s77OdoxNRcm+HH5s qIIrx7HkiyLLmyUFdno7B6mQ0qt4P0IptpCRze19hdxeK56lMFAkUEatHJrnQAwfoNncVBM0qBRF ETr64uxWuNcSuc+YoZvgEbgBSrfYA2fSzsQqPVVywScg1CBnprNKFFZEvOfU4qcclAFtpfTlKkWL hianFpcAC3sPODiO2xnHcikT5Tn4gTlaOJbNv2qxslAzDIuftgIsYWfzhns8HcH+kxv2iXW1M+db AfLG79qJeBCgSR34uc6C4+zNuCcT3VMu8SnbCZ2t269oEw6oDskZr8pDhVYiY87kguQeqrV3mEbQ aN9+HEdsH2c7kKmfyqF3D6PYOhf90BUGG+ha7PcakUTX/FZVRfu/gMq4u7QgfohWI1aaOj0nzS8p ApZYxiNPtlQWbDAx/G+buH0iKWW1ylK00cwDflc6Zt8k79WxJWv2jU21uznIw7/FABA5FUApg5se yDmq1o96UiFGPpPROAxVWcEauHOd1OpB30IH3uixdsfPqmcs0G6aksC4oPADS58k4pAh19WAPeI9 HcjzXwU6RZytXHUAcnlXxGRGpV7UMdsoWkn0Tb5f5YxFFXs8LW22eWQV91mAPqac/8xcfhIDHxTO +HpCQ/E5/RXX0nLFEFCeTx0c6GfU9k1MoJAj4HUcz9j7QbNJH1ZyG/8BBZAYxbsiH5TqlHhb/vGn sGR1uWuVOzgdAl+/dvlykIZAwHV6KXCB3cz9xjQtdUdf28RLh2lZp19ocmMWO0R+jYZHD+GWRhLs 1YZhIDOYKnjZcDuyCYQIfwQ294Dxq1fFa576YmiBODnQxRL+Kxe9Y0I7YS5UaZYX3q7suC8b9WYL 1wYP/no6VHipfpdQCYWjcRApAs7VfjAHNSVsh0RDA5WNznLC+03tyZdUR1KFtbZUGl32uBvEWXAZ UQct3vRgn0uAtOxXAnT7NbUNjb0rZ2mYfFMdmffdrC0peXBbXkhGXoHXGnQiwEUTUy2hVEvQ3Kdw 6UEMgKajwgcZt3eMYGrF6it/jWoeJGHDhgguBOEVCupCoVlYQLEmUv5KRqjD4/a/vRqoJfyiZRN4 udo6djsYz6KHMLy/TCtD0/VhBFIMLp4C7QIUa1W78gJOalwiGAkKn91QD3M/n4zCrVKr7M1Lil5M rCHIIUMyVx+r+kVZMMBfWr6UBQPk/fFeP7qbFPQqdmLTmiCGuJRJow3ucRB5IeV8qW0bNTxtMczH DWijoz6B+WzL+kAjD95z9KoFvt/s05S9YlqWAHgTaLZ6QGgWW7olpE2Qke5E6YSlRR3wnkhL2PtP trMUA3Bru+aiHxAuo+CVExiBeSkv5yVoU0Yf+VlUn03i+1bYLVegN7MqWN0ozHw+AeQgX2UmfoQm z1pQ479o1tb4o37OO4h39uTe9I74jMsJNXk76cmSZG0atInj80vK6PH0n7M3OOiHjtsnncTWb//h 0wr9ZRTZvCRkJB/1W0mHUD+1kUWmp3zhdm6zucmJWv+UDGn+w5dSxvAZI3hP6mvb+KrHIo09RCsW kbgfD3VvoskRgZhXdHYCHFvVsmp2SjOgBpr4rQ/zDDWe4ZWhVQVByi1biXhADlFCa+HD/jXl1RkO vyk/nmFwpAlsQQv8KnWkAcDVu6rL5HZnuTzijFir4d3t1g+U7TeoXt+/R22+6MA+9lazzXdxHhS1 4nPmIvKtLRdz+j2IVd6e3Jbi2HZCYOnRT66eFFoCVMpQQhjydbI/PsDEpEyuXqMWl6VtenzAlw2I fLpNc9f3yDsx9ArOaoUbBXNvC726BWWYsjFkfxc0Zb3a2lSCD9lV/AaslGiRtjWdkeW4v9oO64no W2VRRbM9sZ1YY2wOYnU0PhqTRu2zdQkDf5D7RpntBdX6Gu0iSr5mrNOQXKRIziCMQgpUNQBi1oLH C4lOBMNQVAVshrQPgDkRFpQmrm6nw4TeUuzmj4KiK62z0jcx2cfexEb0KcLazQX2H/W0VYQlltDG iwqh8YZQv7JiC+Qjq2y/kHkgDG8juD2Z5ssxS0yqxlpmN1bs+cE2yqe5OoSANPQtXxN5bulwNzZU Ad6ssqg9YGgUkZwUG/wVToyV+xR28zAs+3g8dVQ0FEKc9NABpv/kHKMWFNhzRd+CpwLrdsaRdllu 8gsO2uXtoAd1lj1kvVFww54zNC6nBqO1Xn3hOdQswgxEtHiGy0oNnHhSsJD/27GGZjr3HrtEUBop sVaZeF19ziXkc4K1xFAY8bKaN244JPjYDSYOi8zcBwA9uBrKKjYajX8jQWELZBC7H79i6sRbOc05 0NrhMcFsGosi+EodwB5KvSFnf7RgjY26fUxzrYPqz8dpKFh0QOHBgxq78S2IQXm/rFxJjIRSLLsx B9Lul8T7EBBt3RAoqGRvf5G4slO8V6pwSojSYpdu38hyeStcMKsYEDkupeQM5L6RGEdDvJD10AXE y32QrX+LdewQKtyuUJDqRGv4bio1dPPtuoz9oh/npI7Aa1j2YVStte4+/71H1LfCtS4XVmvwBVob u4FrWdKdXzTdiVaFVWXzO7sQ6lj8nAKfT4204IjO1j5HaF/YFdN2dbNNvkv11pGBy+E3Xiv8Xjsa KilTGof4ZdX6OLjAJK8amnk/xaS1HRRpkItaIohW/LPk3qO3QI/ws9p0xS3t8YZMNii8SBxZUteS DQA2//ZoVtHmJ0wukNeCojfZ2x7dU5oCGIINzqGclJjuvaNgW7fFy498OfA9IAiT7bAbwEL7hXcg dmoLb4cnScVQd4Z4XjTgBEiBSXbYUAEHwoAZ8bRaorVKfc+H8rXHSwRHx2NhTTR2946OBiytD1Rv JOWFeaS2kIPsqH4Xyx3Nnq4PSkQjeT4HlweI5Z0Vd7UMvQ+Aj2CbC22pMFl5FlbhVBuCworllsJq 2JRZ3UL0NjAYZbRSXUpQqFw6uoUxZ28xh/Tly/5Frtw4WQ5U/L97dIhQMGMV2KmLZzzv4kZwmm3X cJaTlsLFDsXO4YUQdF/+MVQKAFsfP0+RxlA7QAYSNv8xFiobx7btm/GTd0W281HCOb+3gMsurKT0 WO5UaTUceVmR6COJDLtRSiHW6bBMeWHR/nVvLgXImIMsDSvsILEpNZd5x1K/OQY4FyJ0oPAfhg77 KflKeYvFtPPhlyDcdCJrwucjFTPuGRuHBwrWv9TDEmxn0IzhXsAazc5Je+fMH+NO1NNlKZEwY1IN AIa0a7ZqxSavpaEetWJtWqs9ykDoG6B3aLMYE9uNinzbol7LPgF6Jz2BNXXUklRm9LdPKrVf9mNI wyq8abfevTM2gtKEBuhXWR38KrQq8wb081fwow/wdHebNs2v12kM1O835SUqv6E142ryd9rRRweH CfLN2EKaZ40BJ1yqzGkbOXVMyt56sVglbHTZ3j3TZ7c9lSk0mRMIlrQ83F3c/PgwjA3izgk5ETOF 76bzH2eW+lZCUeyXEmE9ylMW25whPqOIowyeEJuREqeFp3ebN8Aau3tlr9fo1eig4fzlvoJJFjha tbKlqDcouCJH+Wcxu614FfpD2XG/g510QWZZy+msNcpPmax51zpeanas5CL168CkaBEc/f9c3CaG lr3u7MpxlTz4imMYCfd6/fI4b6ZGPuGaW0czIqzUAW9lC1WYIi1lqhMBI1RL3Qr+hnnirV8KEg2J cODwIvw/QOw1FxS9R0Nq36JMffPLZflmErA/d39ZwDIgHxYDH2gDAxeE1bkCmxuL1VPiruEFiLy9 cBoq1V7UUk4szJFTeEtUqsrdxWN+bfCVdPC4u4njGMcR7sLubIlt3s6oVntshuX0NYbsybFpm3KI ZuDPixFvkzn6UaEH3JR3tPpUHITNyg+dcEeUZ1aUNFUcdBBn5ihfXSLbrnrr7kka2HC5ft3A7y/z vq6ZlGIX+tFkewP9kQNgkpQInTuhJBI3lHRTIw53kqtZ8Efkwkwe+zOzEtqu21muamrABYn7DcD+ roumQGhCBhUfv3VKp4S9KWhF65kDAUAauCUlGdfLbrPoNe1083I5rk7Qv9ogXl+YFCIpKIP1DVii +BYdNrXma8nhCR/0ftbk/uXshG3mB1spBEJsKQqG11XWg4XjyXsxv4mAe/v1E50HpU2hchLCiB3i soUlf0sL8itXfzYQAtQdcH28Xa2dcCO8OmEj2cP+qjtodvia2QC/nNAEmN8wUVozmajz/R3yKmIR EwcmqJr02uFryuvsMD829U+TfcXLVPVpkxELwAlLJjehoKGJtSV/EDoBO2GtKvPnaIKtdoEcGpD3 SR/UiMzKejiRjzQsEAbhBVR2kfFN7Z3yATdfTWJeXCHuysX7NXAIvs7x6jtRPrGdd7QoeXrc2EXu BFFF8Ui7lB6rFsQx5vIuBUK9OmY7lh48KWmNZXulyfeVuaENeARhR8BcQwKph+dI2pZ6GhflP6b3 lpHD9RfthjaPyWcUKTCVHsRaFo30zSnBkK3VwN17mIDuVIEd2W63Z5LNzbUccV2Cp/ZhWHKq9Y4P ml0hH0AsC//AIR4H3bOvCrBDkX9RtjV8OrM5nJ8otlayXi086p8tBYFbYlgtDBiVMUUv2lRfiWRE WX7lzEspwTZJCBO5LIVGVnrxSDSitwZgVlGn25VB6hSPB/ptWMgaPi9k28eldCPXff45Z/SSgibX wVS7t/v67582eGghw7tr6Pjy0yvM5mM8wwLhaIvZqRposwmG9Ai3chc8TLEDkCZfiOpHc50s/fTb tgpJPiHPyUeTPSUfJSBXnIfQrE3LAn1grCjIZbh9QV2jPY5j0xb14aGsfkc1WMVPxLZjA8JB8H4F Xgv8zf1vc9NnOJaZ7F8ulpf06NS9GYRoM6Car3bwGpqeD6MckwmJZvUqE5OFr/YYFyInG2Zm4Kn9 JdV0uwQoWN3aqyD5yUNpK21qzJK8Z6PlLCJWmjnF1rEKsElkgb+h852n6+vOW6ZKHkBFuCKXDVh2 1xigorajuYPo3k8ES+RJPOaJWI9Mb3z3cCB3Lr8naoPOFm71ukpjYpQaAknZoJ1PnIq05YQy5NUJ +pj15q89udG4upGJmPs3TCxcomxqdcVa4D7x1n59XJCSnC8DM17qzIPI8IW6eYHcTXyPBcc4XDVT eZNq1Ckld0gyQYArOw4BcG3/Qi9e8FkjNqrPFuO4gfe6+Np+oBzcvsJoG4HqhyjPcnQs2+03N74N nNNinTlF2muhhVQzN6lWdOa9KoIvC4LcaevyR6GlDKWhpzO8939d2/fR4eDdrmpFwemMvd5VeG7N 7WAVdBNxMg8dhhwxsz4Xqn/dNIkDlVX4JLqkF1imJfqtGKEoy+vrQtaoiNQkqZxiDpzcLPDgj79b 3KfgRd30S3T8KZcBtsEe/4m7dkdX7qb4drtKsOpnXsjZh/IxU5Us9XKrJSf9Ybo8qysEke9nHE/r gDo5SS/hRG1StYqoSwpzx42gxgS2kStY7mZGrxRO8/Vx8dQX45z/Rz7yLWEVZ0ga8SEKRTerTquF MeUKBvhBLsPrVWEF9gaNIMB1XlA7LWPG0SYOzepWIyCMx5g9qxGPSmEvLIdUjo3E90g3zGiAVWYm KNuR4ACsYNo4w3tZDTVo3s8X7KxMtBE6ZqdbbPPZvsiwN+8R+jrWol0z+SNBIZJcvS8yg3ZOf6B7 FOMTWOXszpW7LrdytS1rgiwCs0GE004tTporoOJmpyUqPpLjotXmfme73ThBMqXodUL7Y7yHUpYG 6NBZil6Bt5uFF60+SvgO1ZX7ZsDsTOdamahJmGvH2kWKKKFrmFdbQb4oL3N19TM9ciFkF42qL1Ys 9bbX//0xdo2YPkUG61awfkhdgn1zm5hB+spWv4ih7/FS0C7XZeXiWjL5y0DoNOsfxasHziHrIudS 9b3fcUNe2xt3fEfH4cx8/4nsZrhY0HOA8LfWeULLALNwAdl6uo+ba5amxzrw58+aGP8IoYcMXlEy YCT3VH7sf11gla5nFtthK8hmEQss6YrsgSzxOFsvK+y+lj5iiOqK9rO+Gyin47W1I+glTrG4bMxz +QmqXrnvBclYnDx8Bq3Nn1cuZFEUuI2Db7pKm97pCw8ZgteyubopM7T2Ii23V9WHzQ5aDLX8eJ3d 9uzEBm4zDJdbtf9/PF4OgskfO+PsckkgsEQ2P8ZUHgiXeWKyDGKdTaMPIlihxmD3wGceNRoXBJuJ Lh5aeICUnDoBYInXcXjmly/enfUpM5h6cfj3UA4XtrdTYCo0U8A81fxn/uz61jL27+0cRVYTVBwZ W0egPemKEEIJegEaTQPAmGi+0+y5ku8+N4UZ/5x7iF4Wz7I4Uh0f9dsj3DSES9025LU1AU1a8zVa jeQKHcLbMf5e4Bhau4DXj3fSvv0c9vxW+3cBNR5iQgx7paNCCq4KGueW6iLTecictUU8ltpzLeq7 9iq6dF6+UzEEHm70FqPoMQzlK+mp5ENwDZFmQVDmyYXX0fyxPIK4kU31UKLqjuwB1YrhQcC/oXof pg/JwsiMFPE2X4pPvi3DuGZltm+wx18zOD0XJot/iGUn+NemKtUa/FdPJVhsel9X4Xrdy2t2GUbm VEWrYtGuGVuLyprc/M9sQwZolqG0wbCQDYMnkLcxQx3l6mtOnzl3xFO50wWICUZRkswvFyKoM4zL MGRytQzymmoo39zop0co6cFP0QTflqceoguUp2G392YCxPpte4Hv1zC8nO2Jgboc1XcOgXowap3w K9oMJlnG2sy8vAfU7iDokgo6Kdlc5k0v0Tnl/xvB6v15YcuwNSRZspifsov7FkrCndUrhlZXUQD5 6NDAgeybtsJnMX4/ugYqtKwucV5AjAG1leB1UzSwuj7ETZGzVIjfPD2HpW1lXcdl0jI+WloI3cMJ EZRy0ASORv5VVvDuZdhzcap1vVaWGnC68r77OxshRZNJ9Lv//9E6iir7DC96q8qiPwU81iWDU1qM qp4N2hjPIidmIpDLXd5RZXxQC1aPAl24ieDy9t1p5gFxzm5Axo89a7GS/Cq62EJUuQYeukfZK24E /JL8zokH/ngICKIrnVx/f5VYD7GIjtKFJNVjdxScBpvAqYcK6Qft+NdzGDq10GunqdEQyJYatBZP AlANB0qGrsPFn1Vr8X3UCU3oDOMWQLGoJMk8B8FA/ZiZSHPM/MjIOA+R6sISi8HEidhZLz/hIDX8 D4Ms3p+kpBJUIDH4bGT3GZFY/YP6BGFBi3YWBnK/s5lsoyVqkUFMpYqTMss7/oD6SSTOo1A1Y0MZ 3srTB/dqQtQUH0EV/QX/3NEKMXZhrq2eJHYRXN3FeBESRw91XeD6214ChrcooVwIvG2P5HmjTHw1 EJR+QGJnY8FzC4DXzGt40OgcWmmCw2GBHDQ9/StO5ehY/7dz+jwQuDFakcGiPHzbFEzDWrjAIFQ8 dV+UC7O02vxwSSrjKQj4ZaSMGM2yC5evEGtWdvTVfDRQ8jdAut5C1KQrd2uK+gXo89PGEEfelkiB xxTejoVAJK9aqFtBDvbIV1Hhnn3melcjlrFvXF+dUtx7L6kZTfJ+qxseO/0dF5vyP3MNL+xUkiT4 wnvJEsXSzz1M2JlCfjnqtUFfTfymbyGutQtrCQ+wsJ0XveijmP7ihsUZLB10SGA8KrXk3Me6an1T EtKuO+qxssj6BDDy5yFUaGaiaAxB2BhwsBNRhInNmM//WD82IxPHwom5BhG7CasMKTD2AY2pqFHr RRT2OfYXFEj2naqLySQ9kbq5l8Pdk5PZyLd0crjto5jCZvq5IT1gi2UOzqToBIo0PQMR16tzeVI0 9mi2ymPEM15cE36EsvxgY7EqvBaCO2hjFlhODefUx5WiK67TPpchjfN9ehcQJbKHen2+YtwtwViw MSrVtZsK8ZP6NOjeqRJFJsgXKiSQ1t854O5Gzyjjr0pvoNitL8tDvQpGGHsEkFGdii444Tr9+cY+ Q+HnSdo5ol8ou4WfgX6IfyGmZZmRzr/kUOBmDKOiNLaSOAjF926c8Zww7oseN2wcbm5xulRAYMr2 oYuX+0qPU1DX5z7+nsHHMDMS447jTmsic7MYO52Ia8Fj8XmGCKVAlJWTdIhp+XK6RxryUaV8KOvS 0iQEB1ySDSQDMa5O4tEywhpoKTDCZ7GdgIuSDbzrRFDmbmV9TaUFDB0mppGKG6VCSxOfklN1mrTp KVsgExCwRhT+xuI745oArrYg7xpXNKK9/orOSAhDS/ipyZb5Fc3mYbYOMtKWj49NWZ411eobq9Hv O7gZNoVoH9ga4JCSAzdUOHzJhbEdSSLNMNU2HkrVmQo+TM1fd1DRuSMesk5C/9NKGKOcWlTLjrdI t4YtJ3n4T7JDfekwJjRVqs2Frv5v0LenmZrGUOR6A2eOUoIrCS2gYcVYw/U+cKjV7t6FPyDSLfZI /1B8wzyYY2vIHmvMtpb1uWQz16ancnmD/srmz0nwQJbptbTZk8TaKRQMVYIbGvC8tJcFbRktPsu0 Rhvsak0H0jzCQgFPB8Oru8MJEfIix2bDCtqdpDHpOA3guRaIB6Oim2G3L+8qND2ENONBclRgM3Jf +poDQ43yTmtgCiRtBqI3RcaKh6X4MQDyF/fYAQaElw+uYniVFxFT7Lk0bF8kAV+oV0gKN0fB2k+t DcK8kUvECBwHffxEVAfuuMTsBIfszTvvWy9iB9pvsTdub+lkrl+JeW4a4ESDOvt408B8tdwyKS12 a3g2xgQcqJHsnidMhZHAc6vuNEuubGVHecfhGvZCQxNta6DOQj74WWprS0ftR4i2HSxVfFqsJ9di eAtyfa93oIieleRnAqU/dcinMOjIUFhSUwla7Q+l4O6PoCZEdIw1D7E9/t5F8GxNqTSBz9ZYfgMz yyZEU5npDHqHIcK4S/7AFGvkGORJaW3/Qf7LZAJ9uYbYGALjIiv5P5gjoJi++H9TlsN1QAFuP0Kf DSpN09anT5ZSkoIGnnkhZz3t6wxHK4uCS1sIVFedMsPrFmCof08aZJbKW25fXqECLxZwpcgD8KMp tXIrM4czpJ7jXdXySmrcBlEyU0ujBQG7QX/udxubiIuZQI9KKaWrkh5qAvOFBCPk5bDWBgzTzxpC uqTbhKqwz0wf+7AGTn/up+E8Y7GtI8w5qCkhPTDFYKqbKxFoK/B4PLxfW0UltMyu35Ixw03l3s3T LBE4O9rOZua/t85DrM5w3KUoVrT9cNPnvMTWCovR/Zq/sRyeasUagXWlI6p4czYfNWqk8qDWLmzk XCiBt8GauYxbOw7Vre64Fhaa+nxhRnXh5weH2a8wdybvf//QHxWUgxNpWU27mmHlPY3dTvSu2SBv 83gc+X8nvr2yGqKl/xr8CpzIe3cZoVH1KLwazt1KKIV58b65r5wNO2Armkd5xlAuGg/E1fApJMPk Kj5QwLCL0Qq9VKkwuwr9fzAQyWE1rKClIJinHY5NsD0IOQYLuurNJEuX6Go3GSyydt0E2nAAdRTo tbS1rMEYxaUwE2ku5Bhc0w9zHBLOnxwDuMsjPVnmhYGriAvhuxxBEZeEiIEXRoGlGTkWNn5RH/MW jZBEolnlrGtvdvOfsecDHTIwTJCHDrJ2q+nlrf7m+vahD1nQRFkyTZabmGXYfpcb1eAIYYdJBT/J zL4u/ARPlxqfN3mu250RVJPkgWMAKEilATHm7N4BFuSXDytOPElIudzLFSAAZnfEWEfWHUelEieN PSFxrHUpJ4mvn3CrIIVqEgG/oiqHm3lOMA9uL1jQ+/fMcTenMDSLugddU72Fm1dl3fZ7h34V3cve bjkzRMC2w0salTaTedMpqBNVYwQE0i1rKNTGt/ufak93w20A5E+Ac3AXcXOCkZ1PIqocljokL7xQ Pn7JxFapkogUkaczD0vBBSzvo9R9snUapJLTwJkoY9ZtpOETjOGwj0cpxs5ssjcdT94DIrHimbdA L2SqxxMYCTCCtcgPtmu0jKD7ilF+SzFOoP3iMrUBy/drTo/Ct1TFzXRUZ7r0KzMhQ3SlIIngQZMc 8uytEJ/mbGNyF08JWf58hg6Xd3M8qBVuTxBwDbdh6B8JO4FXkUWnNRz1rb57wAw0LMNPDLaRHGPP GqkC6yXGw78XtGplmeoezUmmXwD8UIMt0SS01oNJkAa6X/koF3W/l2pc8nHZWTVaxZJuqt36jJ5r AdgY2vl+7EllfM6VKo4qsSXndOmiJbysofyNLkmvFKc/iNC4jL0OFdgC8/SYUGyZcIYHHoWZu9f8 Pees12wxRe9ZBhVcCdAqWeidu4MTnTirDjTeYDqxOPLE3ybynucKBfKAy7zOKme48DfubUURflgF s+adSASq2pcMGdN7snHPmFat4UimS5C2Ak88h2793VikmToIyojSEc1I+qgr9/dP91D5LesgEpSp Y+WDrfStp5kblKyViJFpQ31/TjBx+RrAgqx1SQ3VIFr5YYG+VZ0SKig9EFsAvLkQyWRzgCQVu79Z M6eQJoxUUAfCo8LBAWqiVt/xoEeDlXJ1mV3M1NAGkTlfL9QZoNJC/BhacUdfymzBNZJc/nu+0n91 ww53ze6jbDD+j520Lv45QgItlzEIPME8NJ4RNKtsta2b0LLe9uHd8lASZqxQ5325lBcZsU0Pk9rR OO3/W4JdQat9fTpCz1kjZRcbHVrZ6AsAaxkj2eR1YY7bMcSg22tqadV6w211C5hHiiKK9NQb+AdH L3+g98ShpBgGsdA7DNY0CnA3tAB2TSxZvVVZ0sNDSaPD1BnpdspPD5dDfKQMcIEeWh6nU1WepJ9c jjByybNenkMGSkR7X827qKoZYnrhQ6wNa7HyFr0ml3m0ZXgATuNoprpJD7MJ7AKJl07bdaI/ayeh zJLumSJR9uq3o5j89kuy44PeNQUOIInnQ6rNewbuvLfI4xFm/Q/6/Qqeu+wq/polJUUrYU1IhLho cLXKcM/qpgOG6Bjvs3tQuP8liMw6r6KC0l40eIiO4m8ki8P3WBp8uTYCVPvrvFnYIeNflnTCz2+7 Y+Bx8ZnMadNCUN2EbGVNi0Q1SrBc8z0kuSQ8ZPhSME6GWBAs2Hi+QT8BXCcurXGnshzHfPXkTAXo sNZc8tWWsTlNqcP7bR3LOtXtNb1cm75+6BAg9UBYr7E+HjcLzrmnwTqdVZWSQ3o7Hg8+EGMgDXlD QTROKSg5LNsJmVNQWQeH5YOVCG0T8cbEEoxkJY9sokBBhqFmHs1IcA3AdHTPLZCBKJY2Hg10x0FX hR5NP+ukfLGzaIM6z5GRR+Xk6GzsVIg3oiV/XkhwgkJKTnzXtLLQViyXm9apoA5TdDV8yGrUbjHs p8qxrBjaZtNeqs3fO15zYQAyr5NsPAUpBuIy3Yh0VgKH1NoLxui6AdmMjMzTgrhmy6dFDtRsc2kY RjjCEwKi6ZkolGkdweYED9RgfVBVHuJvgeH0/20CpaJc2GoDMOEdL5ph7MV7c1ZyLkQKluIwNwMJ K+YDGHyZZ0BozLWyi3Wh2Xc0AzbcZ6Us79Zq6/INa04Lq1WgOjHg4f46kgrOyrEzoLzL7tlU2vir WgCPzj2gGA5C6cqgWA8zcvaXw41gENM5KRisqJkoe8SktCYE2AsazRFzX2A59OFdIdQkVreqU3Cl D5l7qugjEh4tpSfQb1B2ObYWfbDMnC7t+AFc43LPlVdN0o6XVk7V0W/Koc7yJwtr8xegln6Je9tM GDMGEA6art9PN6M0eokcNDG1veYuGW4vlS/Zw9MtXxo1fOHo8WSjhacstR/Yac/loyBtUIYtoZsi ZdwEQ7Z2GtnIho3JYHp34B04rXkB6vX557zQQ05fXZZ0RKuWKhGbFaDaaK8hiI2OgT7jaxoaN6z2 GhhRAQtTgrwrxegGMWECBCVLtznYlAxrPD/4rHfiyWlQEZexvBJh8Csh975D3SZ0jV3aBj4R7KFz V3Eb0OmAxZtIoluJZBYmy0OOmdGigTASmFM5M8Yo2HMgBA6BANer5+1HgxJsXm9wlyz4Bp6/evGR FJSMnEHdZ1s0woLRpNaMkDmkL8Idw9pQO5RK88DBHgreuJuyxPyyXTDwgJ6PGM+KmICagn7tnv2c AFxC9ISPtI93qi5z2zEiKWrb7Sgdn15s4GmoDeSn8r8UOc1wPcPfGgoCUFJit1w5SS0iZLYvPzY2 tVzeIO+IMzh1BqSZAhuaYv7xknHxom6Qsovuh+N/zdoyqI02BCqqJqxmJPXcmwRM85X0wNbApR03 ZBN4F2nthdc4r4s3+rM2I+FJ48vfJnCkTamSviSlccNSf2p7OrfRyMsuv9GPoWuzvbB0IQxZVlPa +eiRIVL5D9sn60FA4SPxLO4Lhzmkj9ZMUXqjH+SaSlwo4ACgkOfa/4SbeZpgAN58jBPoAEAJds9M Ggr/bwHk5ucphtAN22AcFc63ZkqqYMWJnfWF6Z5eBZ+eWs8PFkKSmW50NrMjql8mqTMM9Vmmtnj+ gzAO8/lwsEYEsGjdkE+5rlup+XCnQiP/QRw3T8pTqCTDNgEeTBcgJ+sSc1V4MczFjRRZZKaXE1Uw 6OcbpfqTqtu1zkfC4zA4RhsmFUaoVmr2QqJXg4e3mH+hdcRgnOq2C2CcF6C1WZLrb1vdrMFgmVzV RAIw4jMw30HlC1f+j2qqY1lkfLE29UxOzFAbOkyy8mzvKwkNPfNqv7VNBPgGHUDghGel9IruPaLs rGcjD1p10mcJgyJICc1mPRPJurNCIXxo6Tj41xixeentnUEbMq4Q7DWddkTOPlG08VJRgG4Zg6JK IrRVtsOUM1YDqWy1+apo9y96x/8HU18qpy4czdjAdJvrpS5dszDYRSYQpRuR5ivQPqTjspd3YPiW W1HJWf7DnucygcGSmFtw2JwRcXksJJe4cjHronj1MflpX0/bewjzCl+VJmGqnrln0gQhwa2V9tt3 A9IBA1FJFzRYHwEV2Tos6jLpPzRnITCkL5CNRdY9B+pfK50xjsHZu6fM8TKnPzz/Ut/TxrtLuh/D /3VOIQgl4ugQs4LSpmj1LLRlIjxB+G9zu4gYfzdRT+v9nyvjONLG5hIJuZdwku0rHda347EaFuxe CaihuQE7f+b2Xzdo5wNGgc5MKaNsLFIM2ldVo2jn3tEESk4PHWRCP9cjuafIglWn30NaEROj01sc CWL710gDTY+qdYkVC7fDzaxnRnFhLQhd+QSSjxJouTh0uz4OAk0FG80hjNMhskEagv0MOh11EMHw z3sO3GGlglxHCXVizZS3paplVmDg0Z7YD5pb46gufiTCSHOFlWpEqfWg+axCJ0HVuKrA4xAXww+o DsOX42q1gCkvhQIeno3FXFuhlZKdLMeUCiwPZRa30TYeEqbbC5kx73MBwZ23+cvohJ+cfMClb7Re j1VZlKU5j+EqpLXADOzF17JA3Anm8rltSeM8IEE5UhU5AV5ycYCT+gncDnBhA84iFo4DTnmI6Tg4 4Ex4niaTFCegLUniaD/kYPpGoJvy58sKKtLaV3auv8s6SESI6LiMXK7ymmH4hTjzPy/vvD/qEzi6 XhIssnWNY8Q+hq+4Kp2avNgJ98RZY18sUTkfa3wQTwp1TUK0v7+N+QxovXTFCzhpFW9c4s+vvZqC jUSfFw95zruIsONtqgzkZyx+K4xEErSToLvhQZbRe9Lw05I/8BFC5lbG62TfM2vtA06rUmTh6HKn 7jHtgoPaxfHYFXANdRUHB11cEvnUMlH70975AA+CJUKPm6s0Mf52fqXA4WwKW4KQUHwl+CQe1WhT rXqJWJNWfEbVdQEnfQNMY/zxjEmLgJDnd7q0SRonU2/DfbkwkHdrJGkCHwwwU03ekdrhENGJ4JHX 8MJO4BjVXXPddSb+jgcAXpEpX5/ZGzQTHw+jE8viBiQbAQTUNxCk/Tbqy6g4PKDGu8Gein+NPlU3 RmZlA7Xya7usIsuTczoA6zVyYkfYt4A6LFttOz13zuuoyk4yqodFgYf7Yoi1fvrJH/gPvwLIMxi5 xCj9/Seqeiqd64LesIMTkSVAMnp9DRIv7aCotg5SL5lLvX5u1vmVmKa8OTyjaXOzlZSjQrLWKkhc DJzDpSuBMvLlsa/xn1s3Q3AX97O9gNKHpdgHWt5pa3tNgqvl1Ho7nb4SHpbyugvNkwR1Y0tMrj2L x33tHM2S5HXs5fE3Feza5yJV6hj1fYeFoaEtvtdBJQO4gSliTDSQOuiBD0ASZdwwoI38HJxDl9bB r8wj94Kr/bVbV+Rvm0B3aAc6HlH8msuxbdierbLfroHQDXFCp6qTm7ZZ6Idv+bIG71YbMGPeqolY JQIIRwQ5yuidNUp9Yh633d2pJDFYdWNqv0Kmd3jFk0f0mCeVg73MnbXWGfxEDH8a6U3TSXl5cA2E TqmB7iy3Y6yejXa+73Oo+XVDMDvGnnybIc3IxaEz/x6MxAqC7/OB3sJXbWc8n5YXNHaUEWveU/Y9 VjlUtqffRAhxqx6izq8pZXpD4cfDNc95k0xefoxpZkGoFHpaaMuE0C3TdzVrzD8sxHBIM2fSi8Ql iubZ2XsJLikkfhjrVLrB+zVQjfAnoKS6ySgiojiPDBX56eWnuPUGWsO6NWn825AseMiVsbrlyISC W608rVFKOVZrfXyjaMomUrK8MGLUHx3Oy4eX2Pj9ksA+FGot06iGF9Y7pQjWwc6F2Ex59xly8fyR OKmGQLRWEbfp/JZVEwBY0jy3pBn/KAdG89WalITOj/Zv9+qW/Q4nF9FAi1Y9C+iuHDfxYg7w8oV1 +tWpA2A5X2J1kBFdaIQaAMwNh2jkdXsuJL/ApKM/aCnGngr+DY9tlXhvR+VZig5JF7BG0nw0vL6a 6mbGiMLMzLm722OhLJzFS19t6WInofGvT7efV+scJRyXwN8E2K9vLdTUmwLPP1LqsdRB7ZEILqG4 wINfEtIJo1PkQMM/ZKD2mnJPDxNhwTScwOtBiHuBXKF0plY/AKP7SxezgJQtr4Ur68LPjRmWQx0z FK+XP5d998iIXOBcY1+I5uoiBHb80FRIzlX8M1RXjjfsLzdWtyn7xs7wOBxxby1RAe/MRJ1jYW/o PT+rfT47FQT+XeBrRMSIY3/LodVktUZX5uUzjU2xpQpw/ww6OglUPi8DLmHq1jeUfOSLdalScl3E NTnmVigo05UzUyn7l2zK4sMQwq//G96fFZgjAVw8UArziWC1zm0BbsVR4KBS8FoDO0n3lqdg6iAQ DfSkZmoYHAXHKsTyDM62fVjSgAuK7V3nbF4RYdgjYLH42plLBHvfn3ilYZxX8G0I/H+2VYC60SbN RMoqDdLoLch5NxuJ2wY3RzKXDeThiOHblO3pUQZHYipMrW/DNeiMU1WyygUV02e3LyGN/hpHhpqS EFwN8hZue7uiDdMHqE8WU79Y67aa6+OfG7EPUT5ghTWdmJTWUPZ0WXxR7ad73wBHT3NzPdjvmKLf nYcM0hB+fMYiUOFLooRVHxHQx0PHRiK0Rvi6PSp5Wt7CZeoCLawUQqRho3Gl4fVgaZ263ubzCtT0 Z3qC1OjXEa14JoMLSSOtQkDYHqIwz/BbyVprXzC5gHYKr9IGhR9bL7c0Xu/gxj0n01K3nyPyT+HD Ha355u6/5gXKNBCPhnV5Hv7yRdh7Y6Mkhtmjvhg/1RIbhGAYHwL1ioVa7bLH3bji2DP35WokijTV csNqr8bkgw3N1YypqECv0LrCcPu6qLeLTqqtxXIMNyD9qxXHgKX9T41RSpY/SsM62t3nNKKm8atn PJRhIiJcZUduk2WDQdtiwT4qApT+1XVx1OKn+52QeVDSwt+ooor4RL9sIEDst5BzwxIbXcPXW/sD 6foYdmEopS7vkK+DgZzGEbBMg/fqxz59mrwj9cjuk7UHjx865d5wgXuFwgQpsbi5AlWhy0RaZS4p 7SoUF5Uau6ryGXaYmcMzW0t5cPkw6rMzpWZtoJ4Xd36xA20iDKatYTbeoYk7ZSXhZApTPYoDb9ul j4EavYj1GEOZ67jVTSxe4iRDjNBFMcC64h61wpGbZ002hzPgzbu/AiiudO1iPB4GDMALOOPWIF5Y fgoA8+jRmKEfknbMl/IEc5QLIujbGYXks6cjjlm1z4MW2eH75kuC+jKn7c4UfFLw59iIZMMZBi2P qc7326L4h1oow0/pYoJmBaoYJZoEDMWjivDsV8tVISYK4OhNnautQR4wYoRNobDf4dmj/nqG2hTt 36ZsJrdpRr50jvzg1dCC1W+Q6Ln+VxJcBXub/1qU6eO3p3ZwlHkaLDRh0Td11HTkdGj3SQfQ2S17 xnL7LKIhJZpqaUWt/nfLHQf7VZT2CYjf0YR1qE/USRTLi7cG0fozxTxM295Z6BOPZiCCSNCmAbpY FFthzeKwSitAuWOcAsOTaParl+T5X8sfTiqWnlsKOedt8N/4GqqgTBG/hZdkwNiATyuoij/uzjiN bVXJcee6uydWbEoPIrXHkthU3+wkY4BdBLgH5UEYM5PL7eDd71e/kHML2KcKYakaAmhyY8ZtqTX/ HFPprEZTuKobpUvUlAc0BAtY/jKTE4chEh126rdPqjnIJughrpMi5kgAk2xS0oNdO5zbED8Fqtrf PaNxb3FLa7II26h17dxRry5MtjqUQzyEtI8vE1yh34kUCn8F0Ax0C29ugYE/sgMiDEkWJu/NyLMg 8/f9laY8X1QnbPX+WHVYJ0gBRH2FST2jJP+oNh6FnVd9t4QWCko5uLW0yvU/HI80TMD7DU62rI11 3YuUAho7a9fkAIcQiL+AZkdKb3RMLgSILGEq2hFYGBTc429k7d0TxyODns2CPotWTIfWIkYbc7de ZcZK0IWF2fE82cr8ade3mo/qdq+x2YNnohUKQIQfVqnszkmYMP/w9bUpj3Qp+dec89ClgLd40hSA 5f+Yi7MSDRFLDJFJJY2M1NFntHzCs59pZxL67sR/PROciGw5egeHMkTS80dg7sXh1iYublF7MGce 5RF4XiPo7Swu4OjEiOaGknEL57f24POj2BPwbMe1U9YtEQ9o6zb3jVqG2j+XoG3lUsUnSLn0OBDr yNzxViXYKpgKaWE8NRzfngB6i6f8M2ny0DZlxDZv3wzO0U25YWBqf6ijUM0m79FUYgR1rzDLxf9y uu02mRg4dpfBS5yxQEhxrji5LVRLpQ7QFWuTiHBuCvpJPSQS2JodVLGPS3Ywv7/V3w6joDUB+JHp yRyNykFXfE12DT3D/8yDxxhmCJHNI74UmB6mrnkQg/uU/y8qLjQRIZZnsWQ4cR9iXpa8o38CNNyO ZhEdIcwmK6ewfppzBf9tnhMcseL6FyWG9wHivNgDYEeWKkxGMqMUlJXTeQ+PvvPx6NA6M12ugwsG /reeYqZToWMx0MgxVGRQdOrgO2x43XqndYFD/Say+wRAOy3Ysyyzy3phrdx4kpQB6ZnsMt/dVxsW /WZEyOuMzf+v3epiruCtuqGwqsMmHysJ0EeFnwSx7UJFnymHuanvLPTXN8An9CfIMqnQM/4tsB+I LEhe04PtmXuudbQVXyW3asVxVigq3XWcrsH3wIpw5ux1JVCe/bvwHUgnAes5s5QGZG1XOIgy3VVh SzZB1MDfcAM5LdcZETvFjwlOBuVYQd5dW8O0f5ZHxsKgjx+gM8rBFs1zdH29XwEHd+j8GTDkRrJT +L5eOgwgTLmZO7x+jrHFUsaV73t/8WJM3iJrBAJFgSZrVD9QmDAVE+Gjr++JG6upoLMU0j7TrVe5 +MfO3liuTOEUOAVgrExIrGEyDODNK7ofoCY1j/1s+dSpPXrern4U+X9h6rEI4i8k7f5g/mlP1FzR w4+fs94QIqcfbKnfvMt8QG55vtXMxnmZiTKrV18HZNbx+9Q/KfEK6DZYY6j2FaNTYJmn1Q/uWQcf a+hSbW/SYdpmsB/IuxPigc0w4a1vQsZEzPdZ3TLtru+tDmsoyZQuOpB0YG7ovwqlWND69e3A5TVn Rno5Mc2Di6Hqyke446RbOvx/IMzTXLcYXJEfoKCoe935ipmTHF2+OJqgXrlGiLMLF14ZE4FtH9t2 THX6U3SsGAc9+o9+DydqHdHUdUE9ahtgqPu1+AuxtsRf3A52HvZt2HA0NBcsve8iWJgU5mBPi59Q vuoilaoI4lluF4bezqxNMrE6MpkvrRFgMUXarrXjPV9p5tJcGFRx7IUc0/FnS+4NJQMyjg9oc3sh 3g+Sv89E2O+lahNjd4rTUPwy3TciNs30iEI8m3Ypun8hjDeRVhBeHRR92WWurgEUOtws07PNbkN0 uAT29ck2f9z7Wt7F6m4MNUVdpYxwBQV9H4b/edPixdVffuGKWXbI9qtAjk9VtjGw0a9ATnWWDv0E PCNDS8IFilo7BxLFedPNQh5DSrH6WAfiqv4IQ/EuDdeFhMiqKz4V5SizuzCYFbdT9qZ7ipvHPCyW 1yU9g4fNvn5NSNZzw+CvY9egi7LEblEQdhgzwixttgTVzERNodMJ4BQ0VXT+kyDFD4frODHjmsIw 4gb54nnvaK6MVv2dt2N2rT8PiFB9aEHwwQuZlnJ+NMkM/Y8VmIrYpLkNe59H7Tmgvft3WN+OV2Jc bIPn4OsYVFN+3+bqBDTppTQ/VKQPA6yB4tcl81F0lNRvbtJYNdVSkDiK1blmK7AOIlgqeTArbr1k WV9w95s3zk3SlqZzaktxTUZ8U3kSv0jwNv9a7DS7fgZRMfKPKFBNyH07sV5n0qPaYaaHDfC387pe 2GW6S0WHictk5CpZwaQi3wHg9bXQiO8KpBNGnOvWHXRf4IP7rRVLol2Evq6KMr8HOsoAiZEdhb/9 nBO8WofjbWIwztGwDqPd2Kcy6U45c6bzrtEb4cRpYHolrHvW3gN32hq0coD2LQ4/s1IyXlzsMa9l 0pqUKdaEAxvWyGXrkgYYN1rbx9v+BJrVS1FxZnRNLmIPj1UsfLLtwZWgmT+bUSu7kS13kT8pDRAh Ldt+26Fhkk7Kl+/9bxaQHIT5BNbBbxFApw/d0KGyYCMxyEZlWR1X62dNO6r0B7THftYgZPqWSMX9 3GHHuuxapICZ2VxfgyTRIiwQ3epb1Wu293VyZRdktzOMHGkiqOh+s8p1PcYeul/l9HbgJ5eyIKI7 tD4os/WIjpnnIjT8lHCRRwoysNvxPFiXvmYAOThuFABnbFkIPlHL32qQcj5JBgH+qb7+C/WzHNmT bBRQAqED9qesW4/c6eDm0W1mRbMHdQZ4aCi3k9JE85mix6YSdyVD2IH8ij06+oz/A0I/RRMX4SZi CHA0N+jxPtu643Bud+Cdg+dEQX9y9K/YRZmHg6yLO7rE3k7D6NA6h6VrbJlxdx9jucp671F3i+mP KzVW/QYnhsg4htZco9A6VPHDcHip2O/xrPOBOXRfYsW7VlHTgsnijrUaqIy4O2hI1ORAUbbtZlKM PUi5wXytiVyeHENva8+ADY3jg/PV7yT2HQYz0HD/lwoafPhvFhFmQrudY354tr7e8JSAW1VaE/V8 Cf42A3YD4ZIBEdzjI5B/naZUxPGBHN2jtccKzBt8mzRhleVyvjv39lxw9gUekzc/a94/9UnwiOKc 6B4VJIpHDa7lf6dhwaIwHaizXiINtM+6H5z1xDiEdBk1zrJGKUn038rN7rj9S2heqaheNWMzvp6j 8VXhB56fdRt/IcX6BXFXfVrjgXcQhRBsc5Q7jE6aP6zR5ymRnYNzcQNHpq95TOv8ytKI1aC5DGaz VNoqf+0wblx2lpIhZtCR+F+xmK3ZmFYwYWV4ClrZxGaZ6qwLR9bpFEiWJCMzKnnp9QN87OWUEHBv Wav88EIpW7YAxatE0i8RA19wjdmpJNrYqjMeU/D6opO0C3UURDho8sWuQcVu7rM33O2qVZUbV66l UzbByhROVyfLu7vTUitcCOGKxAtAzImrwzwaISHcoUMkYVma/UpzIzAS4Bylev2md5qU5fe7oYeI 9NCdjGDJ3yLtV44uT5pl+9w9eZwicyIl3MgtzpEAWG0SHRWikW8O+Y6z6kP6aXbRL0wXH9jpvwbh 23CP1YD58xyHTGTPFS5mw91yjztS17O5m76gDbY7O2HciCY3RmoE9OdTQST3Wbep1vNXoDzWTixM NrAkE2A8P8nP4GYcmB+P68Zc7Kz0yXCfkrJRKvrxUbgS6fhH6KfFVffpu26Yi33dIgtuQhQt+hpJ n1B2m86biBpsoUPLdKtYWhmeMhseE1W0FES5B/V6GZh4Wv8T63yEyCVM85QC6M6ZEGNYxcauQ1Pt mioyqYpZ4FB3wSwiPPxIwq69gWXzJitUll4EZITbTzdACztszCTXchGdg8ubcrdJwd094DdS1kNW 1oM+IKyYI5HDddQQUFDPNC69h6O7RgaI59bn5c375mAbsbxREJXJ3PQxFDZtoXCdHB86h0t2g7pK 9u02gJxGz8OwhAafnmN+LwaeQ1obzDqSN3+FelEqAQ3pUNm9e/VSlgdNRJGVVHoiFlkJcMRBe6IB 2rNxbYBT9Gw5sdD1wd0gaKQmFNUd0ufLSSo3truwskTDPhkRVQX8l7TU52gndVX4745pchFzzk+3 DnfcM0AirzevhkgOqnVOjMBbW5cR3s0/T14WMjOdYMDwbp3UmLyXlPZmvccbhegpYe3ZdvmQYNq2 9O0XDrZT1JMcROIxbzKq8aOo9xboYgdZn7srltuZdi8T3MtJhrwmUNVdQSaL3iomyFDcOhejfry9 9L/duBm1NDMNXqtGcwb62Vd1vYvXFikysUOHrvqV+umxzrrpOJSqY97WWjeokD6+5DzSmNlvgQw2 mWQPKIa5/+e0NlE4hxATRXxWKkI5L993XIR1RJioReZaJpbHVRYBaGvLx5J2Jn1nucW5GzfD1ZGk mzLMJ7j8kCuC09/7GFjKveWH9NzGFSiD/+zfLvnjrMPyc0g6iOzi6Dm8VJzW0LLQyp/ct1aDLvXB 5wWKhf0c2LHb4kNvrKbx5/K9wx5EhHAwBqlbM9K6IyBdPv+ip8av+felO8mFQhmHa2E3MVrJx4Di Qhb5LyQUuNhecUgi/MEIw+LnANvwb0aE1O064x/KlS7NqPqHHu5IAcbUL1+4fPB3QRKSvN2yxblm S07A5vkKLoiKtI7St11tl7agoctqIVb8NhmtqaKy8fQ65Rx3m3TsKI7bMuJ0SVkbPRRfdMfmPhZh hC3anpq08demDAFNGbrVp9YZzZqQuSHnGYdOxZHNHnu0mACrF+mopbEkNGtvJsjSWm6vbVxYv8k+ 7C4zHsVP/dv03F1OYVjpj0ObCs9PsMA6x/TzdhoMw6QqYdlhYWYNydnMPxwxOxYB76a9rKez2OD0 Rkx8wuCjeWncIUmFolBASV++RGJlvafq5Thkb6VgkMeSnoyu1Z+A4luQpMi8LRbLhkdI9/lKK8Af 4zRro324Ba46WSc1zdN2SiMy6eNz/JYD/XEVBP29YboMI1DLpoWIeXv8yIeZsQ4X7FXNBrR1gM8f AVuatAja6LwOZQOlV+YJvw71yaVVPvgpC5PcthumELUCt7e/dz6Qaoosna61fQ5vlVUe8tJBrU/M on96zKsR8a3D7CORLXdjGB9UJPDjTJaTscBb8EvPPD5CPyE/1UWOwIwsQsrxZGANHVrMISYbTOeG WFZgWexHQrw1ymOjrRbpSuyB/DXGOCcCuPA3Qh4NO3HiqIjvXoY7HDilRk1xU4CLzihggA3lcOKw I7tlJsr7vTRg+NbdD6792RObbNk9SAQRGQqDYek8J6XhjkUjJ2+hnzE66kAIgGGgjcSObsFpUcNs qFI7LVvPM6r0R9k3XTYQj2Zo/n/P5S+1/Bzbsehd5bdS5XSbhLwmecaLaLe2vK63wEQj5u0ANBR7 fX7GcCWD9/C6erfy1r/bgx3m1XkY6ZawVQm05YLZLuy9EbKjtgZrYFk7U+jYcZkh9d+Q02M5XCdC nNm0Atjx3yjNEeKbdSFwUB75s352ePI3nmWmG6jlZzk3TPT8yfCql7S1H0U7re4VNIRrd5P3nMtT P/H6R0O+Sv9E7dS4mIFoB7J7LJ5duA4PuO1rDb0jfGdo2vvYq5WDFHGJnen+Swn125AG6BXFzkJ1 XemIQg5OsMp/NrJLW3NvDfWtN3gNfXa4AAmd9s+ptg76KKYVN4iD21wZUwZZ0AjJD/zjcLax9b1F Es5LTi45xZOyy9BcbrH88QcTLJQdpZmjimDhMcmwlS+8VkPOSKIbORXuN6fXQoJwTkrWQW5OJR5D Qy1IF3ITuOtIBulVXpskAhSFFyQEpHc3wuY32wr/MsdEPxAFfXZlcOUCG5fbOCPNEyWlmOG8oPNF xVXsNc6QQI6UzPINnrmu33PuupppPbeXuceCeaLm5qJHUOuBdcQIXZTjg7DVP49cFMZnyhuC4VGe UOTe2wnDbqxi35+VpYsJMtmEDRMtB38aVD/yncXcZNQ0INeqcC22QnedvtdqvvQpErCVDC51Rz8H va98WLWgwcB0x3tRRv492E469Sg+I9d0JNaUS1W9uHpIpkwUWYWPvrvbCtS2i/QxM9AZN83IsqvZ MF/Lir2TLJCtsYsTxJ/P7hfRAOs8lrSSIcGiE/SzmrbsgILdvQEeLoT440hy3c933dJrXpwQiP04 E4XX1jgnf49VEvpcjZj0gAy1ZNtKcWQLGizsPb6wfBk0gxJYdCY+uizJhD13jp/+vl8bc0sTvqgg xJIniBXrGAfmmS6ePypLg1l4rTLyBnsN7exe4zAywtveTdJ4S85ZV/JUXZ2oLGk9uCuVLQWWstPp Wr89qDwowvmPJwfdKxB9YyHujowTALbMeuTKQJAlcwGow1EkYqoWXz59icpJ+UqGjW1ykQieBGD7 0I2EILWYgtPL8os5kDh4o5Rt5iqmQoRBoy/G8VwPzurUsCJnwVGclUokzZy4OfPKNxwWIt86ncUA S8FOO9/+7II1THZLJ4lnFDmykmksssE0CadSwQr38z61uv0zALUHHmE0CN8Sf16fSYWJ7uxeaA2/ rBB7Yk8rEPUsEdcSCUFhm1cAKu3oLECwSiMeOSS5cXeHHp2ZSZ6F1LO7P2r3XzbTQqQKL5jwluQl 8Ebejkd1KFh6jF0g2pl7HOZUzKLA6cTZZWmd9P6vYofqsyf5jOBiCJJW0b9dIODg7WJiPeF9LXo5 lRyGG+ViF3sDCBImRG1yxXc2vD9Jq4rnBdW9ii7BKXRw1RqWzOZ4nGyIl2DTNHh9ui37MTIrQbQ/ 6lsbMgGn6wcUFE9cnJzbIdImEN+CwOlG66aIIYhYN8gbv+xxxTyN2PMluXqBydAkcKnREAZfoWZ3 CccQJ+1b/RqZQfC9AvMweGhMaTuBtVK0IyYSpOpipZWJ9RSps7VmMKMCylnNT2CgPJVObuzS/3fp EB14NoRzYPTS2LYs7VCn95BdJjiAyCtQ0PfaHUTuTIbMS53SauX/xkWHQjDZ/rTYp7i/HNZ5LBuS vRRWI021KdvM0qmW60zz7BACNyhvbFGsibqmsvGfmZsJHX26g11SQ676JWC5O0mmaNYwmiR/9nKv Kyt+tFFrGTW3+2P5sjvFYK3sdDjGgpzjrWoz9sRvSA1zLyphRXJF9YlaELFhrpEmdvk11u0ApwDc z2Au6ql6MTFqMexH35+3rHU1kw0gEZf3z5keC5rhVEMtIFidIp1/BH19AMZVGw7jsjrQ+bCyBWgi R62TxeV9C46EQ567JY8WeUENvkwo8fl57vlOa0d34ym0QUk6uhkXjj0LAEOAfl5P1+z0Ea/TbPMV Qub9y4QK1E19bYjaqgCSlCJ/sfYBI2mMWn76+m9KREw4ZKUnnPuSAB7pUeQsnc9awsg7Eyyj9Ual jztFIxWvY/buC9bpYSVsvAPrcuSpWWke9cxTKxvIdB3JdzkztJgIF2Uo1Pvdtbv21rja1Nsqx0kH 2INeTs3kEPw4mpxCq8wUoGe/OFXlE7XGBK4PYi8q4U8VjjqL2zemPeWBZIK62cElasZmdgbcSJTB or5IzfMadYUNrHXjuwcTOIFXfoe8TrUZxh9Ta9MpZn5Y1o99GiuX7lTEmgoKDudqxRYaqPsZS7U4 nGGnGKWnkaHdLq6lvAqDHz2sS8efVCqHAthbedIR/6kFmGjnByHpjZGSu8mx4AWrNa8Hlz17y4kK zW+8MquWc8LL7pP0/DcYJw4lSX6pwsUfYDvCuNYjB4oi2s4AkP1InpteWQWGFEdrM2gX+cs8IVOQ MuCu4LFtl9+vtSBFQ3pfVGbUwZZsssEv6cD6c995y5SZPvBaJzaJd+dyV0Tz1XQftBWzdcDxYL/X 9SjJTxWGXRnoymr6rWEzLAopjfK9WtQMjqSGvoyGlsBZJGi6wxNfcoi5RguDy+fHR0KgJ+uCE3XQ MZ/EhfBXUSENA5eKSjwobQ92Epp/L/vAbDyE1mou5FQKMePwRgIZur+DEh3UVLcp+bNEka1LBa5H ZTWoe+mbmFcsUHUXKwb55gzZTRNdlZ5wcCyqZP587NzvfpD5/Dh+nqdLzryGM14iBbdMCsry084n 7Zvmj0rgtG/bFu8Q3IdrXwQGSLiYhTkmMHU3sZX4h/LcIEL0K1I63k81FuESAAwVJl1JCjHh+RO4 IlhLi5UdsZIf8VvVU1mkYqircn68t7F+1KqgWtKPegXbQyY4vfDhO7G23z3C3d52LxppfVXdvu0o bs6TCCO2J0GNpby/HxCKnSmhUz48FKCK0oZs0Gz+3cG6uQL/xNQDH+sUZuB4eWZsyYYXE2XF8n+P v83AdDwkD3lFyvgW1VrxasqMOtHD/ZT6VUEyEHpf7GRZoNpLaQGPa0WUH5p0GPyZQlW1E+V0bFvK NnSLp4eP//7JY78HY76UXfA6+YpvOi3fdzwwiCpP92ofzSUkc54ktsGxN66OU7qOO15GlMIfwXsf +9NRa81jAJWZe1pa082dSNmSFvRImITHoDs2i/gPYr1tUn99Tmc0JGNvylDeAuqpkfxBuIB/9/Cl 0BLHYeNskTorBm67vtHh+vvuyza4PvH8+HblfY+D1lKvMemrUHM8BQ5g0GSHn6uG0se330b1tGT2 5mK+H8RcBeBeCsIxzyYH9w9GemvN7cT2uKi32vpfRdcxZMJ1IZ+mPiyaYimyYH82C5BUIG9H6DT3 +hKzr8TZQODEVtpsjH7PFrQI3xoCe7KNUCEz4RhqSJs+enDC2MotEFvze5wCUbbHxqnBwS3Q0but WLgNLPoPiuh8mFVutrVoNU+6MDpfMXDwfAtQhysJ5plxtjQVXkqjwHP2/9E/ilA+BOtC1kOSuvsL c0QRhMTVeNKND5xNsPl8rrpmOXEkJoDwCSQ4CUbSFKkNU1Vxy7aXvZzFz3KfDsWMdDte4h9h7HKo o6vN/M04OU+fo7r6M7RD8jNYH9CSY5LIB5oGpSkvYBxINZPUlv/rDT+06QQQq2r7mbCpeaekvvhC 125xMLPssa43Po68q0XHw8gDxyPHpqyHXFzPWxu0GzNsgq1qpG0MyXjEPyxwTjatPT1g7HqTq6yY ig0sjbWnqSOl7Evyp4NqCzGKRB6gChrHvcanj6O+9DPAKQXEypFPt8UptRI57XDppAjz3gzYogqg kVZqJYzdz7LHDJGXbdWzX16Q50pTkJQ52K8IKFMD3Az5gYfPIoJdHgT6SV73K04312+8PYXCBNdy 2B0smd1hNwaBbjZGcIv7oOVX0x/jq1eKeJvLMNkv5wU37CPqrVR1oFnzYTpQU+HVwwa+zr65r9G+ fLnaEh3U+s78FajbgqnDkvoD3b5WuP56V4AgkfI0fexMQ7FDzalbEL8tqx9lp58oRb1a0skhdRpV 2a77zzu1fZQuSldFU0/pmg9XnjX3L/76gKEzcJfkn1aImo0P+ogxx9fRzQqfteb/Qd2d5MMuov3z MLVe0djAlvazv7eg1GsU+pv85rfKRFMkZ9Go25Im7EJi1xe+xSVDMHsBi16/oIg66RjL7Dy/X42T YVVNpLPJ2W5DYR2H5wvr0J85yXGxMuHXJpk7AL7PaBE1QorcPo4zhr6azACItJ5ZE94EnFQEMg7Q mlXFXJC6E3LbfpO96HJ45WYof2CWU3jeQb8mhQ9Cn8bX8LOgidt+UPPuz0ZzHXEyGTkq16ps2Qtz ibSfEU5GMsma8YQpfE3tW1kZvIG3zC653027g4Y0tDUrgifcRP/aDB2nHYBB1U1P/BTZ68pEh2cS fUtXHYAw5p87IGAYs8sGCPz5tO0/acdLDfMNNXjfmP/nsb6HGYVjwMDXHWk838Z9cQAN2JtbX5qE 16VLkntva6J+braW7dPMsc50/Hbxyce35ry0J0nev0IOfU7TAoa7/eFe9izqdeYaiEaFJwSziovn m8tNlrvj1gAb8W8bYl2oxNODKZhF1+Ovlh1VzDLmaiWI/ReolmDdq3VTRlr7MeiuYZicLT3q0tMZ iOlVbudpspp7LwiMsyV0320vQ9MfDInM6/FE/Lr+IMTDnePSW50qQ71I6cwBx14PQApB6/OooKyz M9qE1/dDGdwQGkb1ONIySQPeEO6acv2b1r+4DL2nSnci7/91vHXydEM2rM5hg8mE8vH0Kw4cJ9eN AGNIEBMVcmcnHa4fWPedcbH6SbdJcnVCjAtlobU9fRSPQg1r2SxgJHP6PCZga0P7RktKQBAGBBte l4I0gO1fWcWHQRupfPwuRpAxQA8r/HxjC/Fq/1Mt4fsCWeser7Mj/+wcnL0u3F75CuCtYkM+rLNA eq0JREgmhbE6LONBtSlI/GrLb3+f0Ci0eZQV0vffptl42Do+Q4LAhuKbNNPmwvQvONWsneUZkACS G/2meYgrWqrknfXaviPfXIR8Ptt14uL44xpz9jGLHm5LMlyeuczBB8OWNl3WopT3Fomw4zQ4CPhB xieYwldtwUaE5Tn40wr4bq8SRI0+jn1r5RqB6JgDc4g7nUT0JVoxQymfev9Xw+YWm8GfPEX/cmAQ jb9RwQtIxcqy4Tk+ZljqsWguuj1q7KNUHimSYokGuscd1879hG7w1xzH4CNijWDh+Q7MVL4ZaAYZ JPT0UeG30LwykVmMJg0CTpltUF9LGLqpFYPyVG8ggBUD+nXRFZxKMOuw3IPbDQGfw4DjQ6Rf8td3 8z1mbezLkBKtBV+P/ZuoVahgJxJ3SDzxytRGEsK6A/aKVzpRNYVF9O1RYvL3aSq6jByQcKImNTXa G6zdWLa3LgJ0zu72kSsk3Wr3atoOYxlYx0Qu2FsJKSWwgdVvU2g+CXh4i1dB7k33Wfi4gN8zm5Sf MIGnfTsfwuMm4mH1X+UViuQ9yoepi2hhCBPAIqZwWwO3wU1a2/OHXpCsK0CF00tYDr91KgbyhKRa dPiwGbgJfpl9UBs3BsWx8vZqg/lkvPyYIhPcUQi2ubFdtJzQqNfzPlF1IQsTN5FyoefzrRaIUOBh toDRyMBwY7l8cfP1tqOW5oHAAEWhEGPtFJzG7Qfa1M16XYC1YVxqxJGoR1BolcShb/gFK7OcXXUN 9p2hrNKFEK9YVtq5hj01pzh/alBHYYvBVo2xghJEWhb7phM2i7L3V1Xt/IAV8ERMTtOte13b85J/ 0pMfoRP/6ZPRpvGRXHT25hrYnZL7iq6TudWEIHPWyYmFkccfRGef4sxISncRSEbczlC724wEgMMX HPEelIIdEpOPPn4fYcNeYHmVEb9kXF91zd0pRBO7ogjhDQaE8WXtpHYRRlTYF+90M/JaeBr9eN3J Ecay16WFQL86NKSgYtj4RQgWImPOznolcqt4ODREDZ2AGxoaRbneKE3V6hIslRlroaZ0PMHHAHvg SF4yPFMnsBQVVDvCrT/CnRMasc4yuD6S8mKDjfgSMG2aEACguqqe6hCt+d7TFjsxJrycWJQO4wVT /8v7ifeo2AJW06nC4CSrn3vrvPnpm/KPjHUPJhexqZiJBR9uJ9FTkdQFM8ubd6jIeVJn87b8N/nD SjWuvJq9ldNmylKdMKTB1FzdF3ETZwEl/bKlODLiLCo1We5XGP32vL3W2zu3sF5lrLEi/6/KZ1Fh jXLhT3JzJvDv1VcpqxTvcYyFWNjiy/3XI4lnqEF9Np8ss2lhfly2pbGkMveRJjzhlsaFgkSnyaRY EPLGLo4wN1EyDsCTT6rV/ISQx2rGs3HAsfpd2CM7rEs4cyzpLTZhXz3Et/G0gpInZnSOIoRBK1K5 b1oGuppRpbRlfGrv0sIYrt298Nz3SqN6G0C3QF+p9gNnH3JaiUdmn175rbk5ndGulMVfXmLPXy1E LcowAceXM0+R230XDV1nt10Qgbn/wp/ZOziT/35Wt4xvJZS5ZrrCtMPmmkYmIVvDsKP4hhlN52/k LBCIISMZvN2IAqIYITW1dRnJY21RJMBo/4mfZmrtt1LUvTYzqr12ts5JwVossx8pOELSymbctkoG chrTdUJN9SihcnP4ADsBbh0siBe+G0gVEvX1e28YXbGo7vUVvdwqDUSozJNlev3/UHjo4P8i4f2o UY+4bqEKjbAYylIkq3/xE4cfSgnHiKPMO9rdXh5Xf3Mc5Z6C7ADMQcv70pj1kCCFeXoc5bx0MDzN kjTUSsSYIrSKFxYTVUSmUllly4SKp5N3XLvIcncfJKy2SRTlI0yQA+F9JYPF8kM4qT/0tN5fhqyJ EzOoqctfCMi3aY1C60ppLvH9J7okIxoooVw1oFios5b5p1tt2RtLOCUEpNgvxcDjAUiCqlatogSe cWDwY2+go2LqRTSk0qdCXhopSNWMhUgI89Q2GHWzmiaMYK3U2qosSRg/Z9I7WOYsiGeps5+r8/HM L/dgkpgREPJEjWHogOEque8Ff2weZ3+W3BysYZ4WO48Qv24Reh4YOJEFcb62EEDUuthUmgmHMCYS uNJ3piYJ+VWnSp2toV2/uZtOzgYargfeoPTYBYIiNREJmeL9sSk1pRoeBv25xnid1A9K0n66WiS8 7cCOfcU6MCKJHyCNwZVs5dTKe2Y7LAejTZqEhxEx/Qrm+xT1bc/1KVLfxixI+PaGGQt5Vk1ZqLHv bKujH/C2vQehc51wx76lWEiExPAZ1H2xaUe0lWHCD074pd+eq6Z76RnwaydDMKT4Xpbu8sAvEMkg 3aFpHdmmK4y1FgnUeb8Zz9JtGsQXUumBeckjLIiB7UU00kKHt8+BEuCL/8iIVyncguKGuZU384Um eKFGZw08wpdQCSaFbHXtPmThcyx8Z+Bu6YjvXytVRshZlQp4/QLmZ31nicXNl0PoO8WdHw9kXWQ/ W6S0GcOQiNw5v0UlAo62/iR3nCAN4VyPMI75E84iTzYZorqZGupIuXBwNedG9un3EWrbPlahIdGv P7QmEcQZdBY9o2LE4iOs1syp3UVxvIBhSaGw8cUkRm4jcOxgwwIk2+prk+Hnn4HGHH5jGPkTbjdM DsJq0V0GKe6c2kCPMssUKizXrtEjrGZMBwkutzagxUtpIwLAJpYpsOTEkATEvHjXKySXPjTBoB0s yjZbEysDJKkBFM0p4lszPqv8zkl6t7Ra5Mdz5DOSXkeCWoFmawfeSu+Qq/dPfaX0xdRUOLVLv3kH LWoHxlkWlJ6cdorf9k0OlnBLU5Z+dnX529ljp0kiY4CJg+hlZ6fZRxNJu0DXLhrGtuWlpLsYvSxh 41lkaEqU+6baJGjWZ11xHpjOwbVlvEzwefEuR1N1tQJ3dRJxy12ItIDVzrkQ/DB1UAS9yKcquHCe zCHRrdfQ/moNS1LjWg/FmpHDiB7d4mTUTNXs/P7jglP6fYYRtRSml8s0IKLOGrKT34wEtDHtLzyT 0mzxKp7YJY/a2nH546Q7/xopa4mvw2cwn0fpcXv2hIa28mNSQJmhA3TgKEsb7WtMYLU4tbnOo5JV oX3hXtkg5dHFTnpmI2Tccpf3audvCWW9famkVdQ2EntDOlm8OYrQMcsR7P2UuQEHxbespa4YO7QW Puj2gjsxMQGvSESC00U9NZtOOFSqkWVpjZK4FeO6m2n9Z2hUluUxAcPmMMJ3t/uVmX4Iqd0FK8x+ OPk05jrFkkqyplpIc/93B0FJO7CEDzwmAQD0/lPFj8gI8Kx0PRJh6Q6T13tAFWZVJulyjjVGzuV1 gBomLZKZTKcxCROmezgAwNy6+hfFl4F/AqtQzeQqeHyVduEdB4/3y4wXjuPCwRJPjbnpD3gEPpE3 mfAbUeDkuxCGWIblE+w2kCcU6uDPpqhYYnLT/31Ap4tqCJh55rMaiUxU2K5LOwrAkU8HfiaxOV0E SYbl6jnMKImmiIKIUlDH9yNg1wzR4iaAbGGU9w85WCeQ2GBWEE21koGxe6K7AzxSLU4OVdwOoy6v QjOubacMafx4kmiSJJVNMjXGlDEDwHKJFZy0Mj8odQFbWZDfufB0qHkOCC7D1g7k5lImtionJMi+ 4kzGbOsWmjLZeUIGohT1lPvn29TNuBNcWCzadNerRvQRkw9WI1IadETBumHUvZXbCb2W/jOFlec4 iUeNB52LXu+Lwk0ttENENV0gx0xUPCE2WXFDLV/wp86HsWr58kBAg6k4VTer/XydSkD8P3vfggJX AkJ97x1uY9YB8/clEz4KDuz2WiuL8eGBCeWSsEno58ODWJgtIwBXBjiv3vuvXdgk6Wu7Uxy4ig4n VGecUhPEleYkT+4F3bIANc2gaS0kTivu3NC/KbgblIJXgvBCGAix1eLTpnVwLkTZrnQeWp11rlli R+31DfBrO85JMn8p/mMKng87MRwaLGHsTyJ/mNdX+bj3mv8BbgNT21ZEA016NG8rxxFpTv+BwCca hGUcCsgVf5WfYbeiKkUMAarBWv/owmXUxYZjbhXdsXWaPMKcPpRlXHNELYlCSh4ZB807+XQSiyS8 OjZZ/pYSpTu2+lHvxkZxoCxYm5H2/anScGPTZvTjKlOrIYW5lmRfP26KD6Gc/zWSq7alB1c0uUq4 /2SbHFJY3Gn+0GzgO28gMVyuopd8GEWc3OIe2WVEkHBX6wAvUAKesUkF8aYDoICqsO5R55BzGmCU OErQ9jvzCTSkohsWYn4RMT0BEJflU9dcFOUNH+3FVG+c3pBn63nDHQedbXpBuqYhLyvTRletdNyb eKs7svht4tgKCfpKMy/kTYA03Km9/HISh4UbgqhMliB6yVVNbcP/ajywcGFWk9axtrjMbav2LVbi E6MDqpk95btjkDoJJyvJg+SQCIxtYxJeMc2iUgSWWxLcWMEhRQZq3z6SQRdVdcIEb6DaZ05WQI28 v9W9YINCmF0kqb4uH8QwAryDjeB1IajipqewTd/MPYytT6UujEvwzB4OFnUY3X9IsulcYZamH4VJ cxmlkxrBsKexm3zGtS7kKy9b3blmOMwKn/WE/YYIvOZX5lNtf0kksvlE7DgoOmcf5ae90rHj3km0 DBDBN+LgLOQrNxJscv5qzr7J9+yLr29BN5Asaav6t7fzl/qyQ9BfamstdUb9mzIvOhtn9Uiqeuzb E+K0QDdrljpYK0FyjyEGp5J2+odpjkrxxfZOF5tbqb+JoG83TkotxnY1oS7K6AF/p879W1xc/Mwq Is9AlMfv6KzU4m1eE98gQMSMOVPmUXjJv9z+gukIDM2tVAgzxjksTwFmRR2/ZAf9ZVTLuzUIKkCL 4dyyjqxfQM75hq58fw4x2vAMd3lWDirGCIUagHVrIT6hVuPj9ykIor4pTOUQixS59rddUvi1BTB8 oaTubIw3sOv+8v/OofXBYGaikZkFBbEe0C6xSq+BZRC70+9qIq+trYajCjEWJJeH3S1xTd1KCa6/ SvqAl9YeQ2rtPuDD4ZZ2OX3eh6FBMyFByjlQaa/l8ERvb4xeGRT6NWqEQ4PFzyhttZ7hYGGCGL3q 4A003DuOW0KH7RTNe2xIyO6m851sOOwF3f3I7ftmtmpW21nDVZcBga2WyUOZ27aiCVTl88DWZPwx pqWEoFkMru1OXYtYWbazjMd8KzMpKUJEJjpMyecZWTZ+DbQu8vqK62MkG2ysRxb4QbaSzJXXT0T8 ZE5ikn1VRpvmkYJhcgKpvujG9CWpHmQYnGBGUHa0veuZPAmpQ+Cxr2eNH59aR4SKsLkXxEYgPFBY kXovs+44T1BRSG0br+8QpZcqDq81vqvBnkJNvvfTmLuK77cIvS4+l9922LC8CH6Uk4HtHnNtVIfa robrTbyrUn0J7wWImUPHqL6vtx+GC/2Q+pyUG94I4DHmfEFASMVovs+iamxE6USm5RDJ8+hvjSr9 mlQhzQ8bVhbFKkUxOcZc431kpSy4fYXeOUlk6f5TPtTxjiZHyKA70U4BurDKK+ZZcR0xxDpyKYQR G5nrbRuZhpJ3V2o/Fbpsug1N4gkxa/N5/K83OlhyaePuaDebStBhHAZdkKAH/Y33lPXuMtf520Oi KfXHfD3dYpVAJIfbdcaWSZoE65VefZiB8EyqRO7cYLwKDwvCXDzjJl4ugmXCe3xLfjdV4XdoAUpN gn6Lx4nelbfOi9gjPZEoLQ3CUuzg8mpr9+5TbwGrrTlqjvlJl/4E2NxThFu3o23ggj/Undz9ppp9 MvQGY6re8WFJPKdwxbesintKF9BrJkLCJ09STHVu+I7AEGArdiZtDW65f528qIBkChdy6Lzt586J PUK9nTG4S2OkvcYMr6b1il3GFBFOUK0m+rH1+7qzYCsNM6A6stSDxgwy90RG4XEHk+5dOrzdcclt lWszEN4MO22/1LiELVtUlQ+e/shAqI0Zt8fcxw/OwvbyBWwYzoXV+g2ydpnHPprEe/75L98RVal/ 90stDBDdEFYfn7DKG7UJXy7iHPBT28mYynuvIxFfKDsUs9h3MM0nz0e7QEcwI8MCGsOGXzXhjgaZ ufQvrPJ8+ruSA0MR0JGIkzg62tCO4g3dZrnMTjdlhc45950wlRGurxfA//XzlUWmsdWjl3wZhBg9 0G1QxEUwSzVPUpfbCQk46gEQHSrFNHrHfU1TEXpkjsD2bDi9WtJBDoYMEWbZyCcnHMKlTQwmHhE+ mmmXJq0T5CGTjtDfuPVKse7KZ2OgGvlACRGr5VzLHm38I+HvXQrrS5IJLR1TIBK9nlgMgF6SuAiW ksa+cI6IhBIbXq3DIDguXbMj8T45GRfyKe3BQn9Jobx/4c/FDd1rr71oAs3r12LpivrqBRMwpUjK /qHLHmt/ov5fEs/9Q3nqoeTak4096Dvg4xCPupEbJBPxDHvgHMgkcuEnpqP/0DgKjnpyELKrsKrD QOr0nqNV4h6FP1ozdPAV1Hq7KPSgccquwfLu5G/KF2iXW44RE3aC5j29WV2F4kW1bF+q7hbg29tp r6aEsUa4+LSqmOD2mm8ObbjfPRVCrfmzT3cCsaLhr0YGnavgoCvyO5IXvTR6ocnFo9qnw7IEzYjG SMgcFwb1jOOKakHoQeBMF3yIPgNCUDs/m2Hs1Cz6zICKRXFbSedD/t1clzqSpPU2HEvj5elGvVNE 4xHE+tpPUMnwI2hT6LjceqGpO/oEfbeew809stpJWZV5gCSfZheb4zzY1X/Uy1+aGLwiemYFINJg 8RGFBZ/xpuBaUjtFXyzg2xgYH/2fy0ZIeA6Ue2EMj0pdnlZalAHd5v4oyDXpg5EYHY4eVJoDswFz r5yIuYXye+bGWwK6d2TU3dZmPn4MbKSCIHUbAge9BLm10+fEJoOJvSsKRs1hqjKQ+HPyoaV4NnKb ard+jYeRLPdBy9RD28Ijym02LNXgKFlvh3bl9oh4P3WE72FH3q3viLlmJ3yXHqxlGaQ4d1fiOzC3 xXIz0lro3BgNtn2jGrqmyi6qzMDKIfoFHOBG05MrdW4u59bLNTn4jQxC/pFoa3Sig3p9Y2gt41bH IDRVopdWHHwtW2maA79Izr/WfCsXdlEli/1d6It23PpWi95O3p5W5/3Puja916h8Id4J0h9+LGXD 26c97B1IRZwIbWnDZHH9xUpqFZtKkigJ2ufBNOVvAMdnbJGLQA1V/VJsm5lAq/fMbFoAtL0/toDF VnvRteCmTRx8uk1qv/cijGg5tWjBmc8d2lbLoCXS9fOZBDCWIuVKLcoLzoVD4VEWs/n/TUPFBe4L 5Sfq4FcZZ0szYyeg2j1/VICHEfZ79VlwOtAU/4mNOj8HyLijuvTWnykgvfG29ZBajz+ry0sHjOQT 67lAlZQYtWfeUNATTWRsZQ8hlD1oCg2vH5JFBjuzr8x2EB4Z1AK5WBq5AfaVikWTlnuDsIq9HRTX RoOVcev/AXm1R/cU0cMCuNcAi325bowoio018DVld/ybCa8Ax64cdvTX0F9xzoK6XCUTyrCCI9SR oJhcUgaDYz9O9kqAj92h+qKxxhc1KDFSrLOMbyAFY1YEQqx2lkMvoceRbIfc+f/eG0n1rUSyQ98I 9jreIYfBGyTV2imARWPOJCjTuMtw37bQM31X9rYI6GsOQcACojHDOYjOIb1xKTbfWeGDsOzJQ+XO iyR2fuqFXn+8pTiAPuw9KVJ+rVd4xsZ3p8IP6q4+qgDDaPco+DMRnF+QlnXkTdIebxCBWC0DHhpW 28A1yOhyB+GDnaX7+AQWYSaKLY5r5B7T2/10O1mchKgJbw29ROVTRlbR/a/MPP7FMdZwa/kou+w7 kmr1Iz8zanJT1p/twFcB8TiSiWYQF7MIg/gwGwaI1gEpZ4UsdrCQ7iPxhigD46PJuV5Nt2CpogKB Yu042nb5slKIt6hyZBlZRDK50w4VeZoPeizJUL5Ms0vdriAdk4imv+s3ENhVCcM1YM/kY54KTxnY nNSVfTQzWbWFs9dje0U8Z6RIkSSbj7MvUFfzygvmsG3/LLuOms6yj/zMPJXMHVUDptVjz+bc+Tsl lhY0biQnwSPBJHrYrK6DQBPYHIN4jVNLGZm7s4kmUlIlOqixK0IyFwTo38Sm3zhwqWXu3DVXtwej oXp1RuBNhahvfTW18Aulep1uqNutoZYf21hFcRePO2swGOEpzSdfJ150Uo1JPiS+MQmi6fGVVpTn TrRtss8pOThKddD2p9YP1qHtDGADwF2HO7C8kXBoa4nTv5SABLOWC64EXll5ZnIGf5tRuQLpcrGV 7HNwDG9Gc05v1qR6eF9KxKKDCHY0PlPiAkaRXCSG+DV4s6gTjfXff5Kd5VMG78WeASx0W3szdQ/B bV0Tn4qxu3t4iCJpO0TO65OMKaiosREmeVsakUsv7IE1p/hXRUoM78zRnJgvg7qh0OPrMMubiPfy 4uGZLUc+ES/t67+ptNim3jjdh440N7IV17W/vhrUlL3KCpxorcyW88BsxbGVVPi5ebyWLT+7RHcI XmNcpSm15+KkIZ6UYq2i7Vw95QhukFHfT3tLPkugVQYnrc7hDcdVUcPJgf3B5hc4rjDQvrTo4k2O xy4W1OaAmBpb7WAYeqCnK0Kfm/San02bGuUvL+J3YV4rff9uAINNe+WN7ycHl65z2cDx98oj9RVQ W9NPYQQ97tzgxZJbGI3NkPAeQVdwY1bcMm5p2b0e5DmeW2BXmoGVEXM5xq4bq+7l0jlLetpCTpnw QhTel4oQjOJVwRQcoOAwk44YTuFSlAEew13EQl+9KyA8uRUBiQkJJtQUSrSk9Ig6nA5gtzWEzhYp rQgavBGz3IBDzAhdnKO02WtdrIb4j1qWBBwyGoiQy5gIBNVbDUacqi5WQ/pdyIpjQfGaMO9nuaX1 QBYbXcl3JC65e/pYGYlaiiDiOqtUqiQXNxDHPSnalnqIEHJafm2U1ufJI++J5Y30wXlwVQjSzTsk SWzGhIiYaZv3oBXxoF6dPetpL1ctlyn22X7rtKSsK2wLSVJufBLs8AstQolZpQqdz5FWL5Qv2aVi 1u0ixFNslVsYGzXKh+x0DFSGShd5j32aaslHOdVQfhDnCq79w7iTOxrxDI3VrKEMHzOY8kg6TfrJ 0PyrHdf3id9GPsGbMwNscG7GSg1q1uuPe/34HrfWs6MT1VcUvqPzrTc4JyrFEh38k3RU7rjxJiuL jXH+YMhHRGjkEAGkO6T/whTsFcZjSgBhbn2mwiWDndZlxSDqzR0Fy6XhIjsH7ChEIzeUnZKugka7 pOp5E8v/v75hn0KzmJzUgGWK03+E6T7q62TmXdNf0Su/fapahx7D/34hvpwVkysuntT6yVqC9Q5v rdA8XHMrV5XCVeSO9lwpuKOYaZF+ZMNqQWiN6NY2IKWpdDtQT5nJTDzF+eTUtosWOXWLk6MhkMaC I2QaU11qDNeNMvjikHSAlkES99HUtxW5zYog4LMK55XTguHOX5jJZTYeTbQXWPvjCzlWW5sMAkie BRiammHm5BRFXeX/1Z6T6mk10GMsdmMjqg0b+sLuMDFvfQy+4f2NcLBCCgJv6H+ZoueIYxaLAaLv 6DhyF1quAMfUQN1ZrVPmkiTCEjFdKdCuEmKA7KKqPvUAS66ypTdEHNwQ0hlbtD2O5hkvVdD9d8uk cODfynF8phvSvJ99ibvzPTaaxAVXvmVD+WA5afcHCtEXg0w12TTn95owXZn4ISzqVmb5MBXfLgOX uLtUHqDBapJfo3VfXxGlVpn/ln9Jk8OIZw6tfyqCQPfDELReUnaoRl+TJtx8lo7xAxZ55OIFSKsQ JBJdu2G/ler4iuVGr2kS5hrQQysLKfkjqmKsoUhfpIqg3EujOXsf7av75jOZ0iDN/bao9PNMT881 8Ud9LbLgQ7W5FHoOGigVe/t5HutRsJz8MnbqwheUjvzCOCqrebZbaBMwvGvX4iAfsVNi02GPSQRc +JYEn6rc0N0hqWlpuHPh7EmIqZX6MxdPbiYrGtemh11fRWQR/EdsLGc6apRflBOF6Dzv953xDGky ENVC8nMnLvrumhAFObDI03eI68tD+tnHZ6mwjEvq+z3LukUXAt0pa2BfmRudeYpBygZ1fn/Zk3Gv yCQpNLhqiAJx/pn/PohihA4EIBoYb1MTaI1OliMnmSSfJ6vKC/GckK5fLHD94F9Fn6puqrqOHKb4 rks+ZqI5BWKP8vdXHldY3jyvS+znZdRZXu556MY4BQayoFEN0G2FXx6Omg+3K5DsXRCwq29GuxrC XTFyRBAzVyJwGeqlEY7p/78/mNc/L4qrkwXox7XzlvAbUUUWmeeFq3SzMgSouzxfizzOo3xVqyLH rSZhF1R5W7SXuCCyYfRLkHRMcadiukFn6k5RMqljzKQ2/azsdGZrHZwZOxxNJ8rl5kJJ/LB2T3yn iYL2HUivEC5UAc0pDV2Jakcg4LBL5N+jZhgW2rw4GHkYekCjRnzzOeiVwVXxNPTXa3rD/igGeEWF KwvLdy0ghwRyP5+gDyktz/B0YZnc/nbhDMO+ooH46+YZCiNZeZG8Itf9EyV/zzIfbpFGeyQm9nDA P6Dt5cb0l6vPC9xXwadwjCHpasJEx1OVyo8vbNHrnoHUVRuQ4kqwFCPqS1kXS87J+O495E69c6UY kA28MxuEkjbHMENbqdb5FoD68Lh/eck8zhGvclMx1+Fqum1S4BOWwHsq3GnIsTxh379TB4CjadBF ejvEXc07e+q0+I5HGnqlMdb+kMukEMYorRK4vmtygOE6W5DQz6r99YHcW05yeqvyXF6WWb+Cazg9 THdCqZMAcwBCFtXcloGkDd+VVbO++JsSfM0yZu8WlA0mFQ1fqabBZNJKXSp56EHZ1FgeDR33Twlc rN9+FqfRNDKKTDRkao2eNFxVfvYAzC4GiKf18lZrtkb6uPfCiYpooZVmPpBztw/RoqcWezd0Kaua s6BjcuLKwtDZO6UUp+7lPsOAqxBsbgMQkNtY8EGw8477ITtmDz/UUKEH6CKOA21LK0GGuqbFND+8 i6fnfZbihvT8nESdzBLjHSdPEY16qXpfNquRnbAfqNyTvKtn9BP/zSkd/gxuGv1NjPdu0Ho9SvuY M3TTE1gzuy+uM6ehNVHybgkpxJueM8oeGlcrE87fX0cbQpRFEFctQMcsePSBKsLF1UHwDKDIbMja cw6cbSfVyEGvFFAAo//RWG7kiVWB62Sauuzl2/hYCtMQZ+aislufvek0R25twvK5IdDNHbVoTg7n fMwXl5LRJdCAQdbFg1DhzGE2licH6jHSnjffPPNczbk2xxdrgBxJnE7iDUmm+6BDved/2Cl+k4QP MNwQEd2uUnruMj5Kfc+D/5D0aF7kkfuVMFDv9YPhRHENeJdh50+bPYkeKlWw6L1YnP/fiWyjS0s/ GLkoMXtcFxLDRjw9Le6Z8meQ4wpRX3I0LOFF23GbO3e/ALzsMf3YAEQqBPSMvlXJVG3Cjqom0BSD TGehL+LsaSoIilh5hgAO0gb/cyPR6/NkvP6ejfhHFOYjX6t52jnACkvsTpJl0ZPK091gtnu2fmdg UFpxzv9Yr5HICZReAqsm3qyA199+I6aZAEzvYTBeBiQ6mQXySD+oGszlR7eKcZDRlTY8/aO0RrOf HPJJASVemKjRqy4FYZ4fILhY3weHqFi9uJ2ZoRTDTjmf5J11jlHz1rtzN2TV6WGoMH0Gmt7sgANF QzCJpPhpZ4inFd375ynmBylSLdoMv5fDuU7wzkt22+nvm3V3e0jPfUp/5V6pNHalTpy99wUO7BM4 IdFV+wzBo5WlCTpJziRwilYr38BF0PNW2OPWNClfbCyKlnvgirGcRprBpimQu8RzSaCb1qFqgB/Z 3VBm3u+AIL1kZ8RQRUA685k5WEST7+nMnGik/rrBiUoN6f6GDLAAUx/R+q/rUV9CaNjUPAAVQowQ 8mXwhZF4yaESBvSySgX3GdMNEEZNkbQLtrbIvb8TGDrEO9+pEVLGv0GaXEq8HdpKOFjMFASFpFY2 a3Q9ZcR3YgCxYkXtwHV2IwlO3+M5ODPTsTPASLdxUxoJY+KN3Rbvpv6k3JumzixHRAzGdOvwEdaQ 24cNPr45ILcufIiLUqkqnGUhkBBsQWvnaGrTN9dTos8OWLJqyJ9UDkUPAyCJwig9ZBo+0L7PMX0M tenXWL5WqN3EfWyoVCx6VWiH58rtOpdgspTym/Ml+DWMfo7loHPjg0e6GwvV4QmCiVwWdv3FuH9p 8kRqpTkwGYQmkcGG+QydzpGbjfZGqokckr3az3fgcNnEr5ul84OXG/Gf2dux8ACq+I79EH7GaJmq nxGGc5H1vQAEqLvFKSmYME4z/cso6fRmjxsi/X1rgToON7aFshvCejKLhRFbJvyGf+ZsUjz8bEfn KbCog08vPV+GU7gAhvuGu9SkBaVMgMonmNPThEDRDgI3DPiczeRDnyph6z+BEiKroJSsmBkpAmlC iQCMs6Q1kFX6dAPBYwev60QPcW5BMStm122bSpvtmfI/eBbVWorWgJrZR8A4kvZnWPizQB2H4Yvv A4LRapfxznHuBRGFwklr7zvY3HX0SG/KKiSQ9mNhzewKvtmIeqEd0Q29F6LSzuywwaK3EL1u4jMJ gU/awap2w7zdqhCEXKFUzKeFEUs38YZ7Li82VFldpyNbuuUfXgdjbcb8Uk/xhgBLrexizcGRQGN/ UQ/sK7TZ3uDhxckWrWnlnPdI/Rhw4M/wcRPefPV1Qu15XIOvIC1afSJVzEnG/bw4+TTfemKBOAZ6 deUFGRdkSoWpiNM9SvwaEQrwpZUjRPCeHj8Pra9PCETqOyJBC+aGN6HktXFqvfM6Gg87QCiBOiEx vG5gwqaz4LmMiT77XaOJBVnxOnPOEYl2ZT6F4M/BBy7b3tvWIGOlVLx8ifLPFibn5VFBZOG4OUFs OfoNfOssC8tw2UcBsTjAUTsBzsW28y/xO1pByPxbnVEADMUo6fuxve7/4Jq8phRUL982O0oe8udE Ol1cVSpfnT98JmIyYwJkw/EazwMp64mGpmk25yWXotLvXQD1B6C5ZdpD9JrXOBGXFSs2dMjVTdnb 8pqD1I/CPlOvjdZSfJBGYlhoBbcCVGFoIQ2nOGKXAAwX7MBBi1v8dk/4OjQBliG2FFhXTr8TcsA8 z7tBr6tqzQFsr5Ez36N+EilmZFvs5Pxhv03dKESpNF65GxF/ze046JN1Qk8wOrMRFSu9aes/uVtj ztF3tUZpzlhzuWHA0nT7TWtGE0vTc4GQfMCYNbmW3oHinEsez60L0LNJv8LBPoKECngAJ1fSTWNS dD4Jb6TK73pdGcIW/yAPntrEr+i7i+W8tADD8d95rP6d+idwtk3LcMgDqhibH5tSi+9lwJTIyNZX cPD4HzdPWVPaYFfzXE+AuyQhUBxuWAUgpaYPsgKUsHeQIPhLw9SSSIWRSOoknYGxUe277tzPTiZW J0Gk/S2n9I+hYYMLLC/eYRg/NMPzzQM58jmYvoxM2eXZqbXi0w9E7mHbf6+UhIWsyvNhGkJJYxUZ yWNxdq1ocGikU+1XSYptvQAQWf6IZg++LjSdoBpH2ewu1OwaVsCAmyxxnOOf43IPWfKTMqjuP4/A zXvCwKjQjQ6w1Dn6cw23hDkEQlELHGubYZMd3TwDDFvJ5ZdKj8Cz9AGUIBKY825wFq1FJSzfr5/d 6STeYg+1pEOVW/9gUbeb6poH+nzKvxdh1sXXB//wzPjTI8PLbBmLxhDjULu1HABfs+VQQDmc+InN YZCeOq36ghCmvvJJo1uMt0LqU/bc4WRNCv0y5ecR0ek7mw3R5yMAKyQaa8/iwfm1w02tHzfwdE+o bTK/f4Sm222Xyqo8jN2TqO81LDgHKp+gV1mz7C4Hw41qonOY9bXKsmMHB6i+lgx9o+NzBWvb1GvZ zTnxFhyCFGmSkhZ/MH36vUfsSGpFuG9v4tFcKR8CtS3jdmEOTafTGu00Fxe+wbEYA10MJbQlouUE Qi57NouyFfRdt7fSnomd8YMvGYqhka8kxxR4F2Ubh6l98RxlyCZUgaKTLmBsxn61kKBpaFZYyRYN j0tn6hgD/ALmalA60iIVFJFPcgIRC243pv23R55qB6B3m94xHJFbeZ/W3NriM+jh9b/dO9NkkMze XEc5SuUWueF3Zx0hRpKzBaI6eUgQIn4vi6+JBc0wE4GP1XpnTdJbBNsqTx1CRIW+67NZa4dGBlnT eY5UIzJ/QkVfjVEpwKur1/0J8I2lzh6bkBm3GsUJmXiGJor4wuNhsoD9aksqT5pRmhwddyunmrcQ 51nIyUPHzMd4Ed7+IIGVKrj4Z5LCGBg+boNMbEtv6fIiYJkTDvQAIaaaEbxxminoIxA6WtqH08Sd cU1y5E81iEFXBZnThSK/XBKQDlYxbau2Z6xp7mNUnGTem/bcRFoAQJTFbH9VHGhNDOivvYOIGswW YjmK50UuZIX85cHFlebegWBzVMjfjcsDCvcIcZEQAOOjvgVSCyjJQIFzoEXWhwMzl/FCx06tTxQI 8Wjeqgb7x7YoNzRLSE3ULTRfiYldqE4Wk884Z6IPD0cWZWw518v1Mvh73Y5ngzZiv8WOICcYEuPi 8/qXrM7s/PGt5/1ObOla0wPuQWXg7aftzyBw+bksKIcxQFO3LlBWg4TCGpXxzS7XdfenQRdhIn0b iQ3Y3IAchMBkRVW3ZQ+ioBdZop6mPtmJu0BzDzdllRf5NzWX9I8dWPYDnZ0MK81hOS7czZtcCK/x DvtK1XNawM8/MLa8RYuqsuxFxDu5cP2irP00AayBXMwGPtxOinF95QLXqhyoV1iw6/87+onirnBc cLm8/Zc3pnSP0/HsZF1WPStDRra/WYrXe2oLdOhcygrFjd+2HuZy0z0D+ZUacFy+bOSNGRbYBxhY 414J8bia66g+IfCm3P9fgKQ4mrYDWQnsA3XZ8J8zuRDByZcQorY2tYCUZyiB/rKu+yBqM+RnbDYh z2m2AnZ3dntfYJxMxiXjVMk21Tr/bjKLS9dtX3yRTY7LManoCyZsM4t2+CZgqDTIcOgG8UfKHKKk /GFTZUoh1DNyVCvb3NMJTI+JCnkNxQFYC+0sWwzxB6fIoN4ICO/NXSmzUHqswcI1NDzgvm7V3Jk/ KpYYFuOMoaq3cKtggqY+JyjZ2lUn9Xr6LQpz3m9+7qh7Rr7ZaTp/scTx40E7MyaNm8wEETEGPcKt j+4NgsxtnbgVD3IESIkK01QR0l+e7pRtIVwjUly09Uzg7BawGDJbzzR4WpVSFPNn0qIiRvkdoH9f eAjcfd4YJBqlspCVNpneFSOXoeYhxgg2SPKe/EHuRew5MCFAYqtNpW4y+YF7GLB0EWSKrxb6RMO9 zQA/h9EJo2THmKBml+M4tP6yYq0jm5yDxHOh6lXoqqmx/5A9yZnDXHvrjx4O+eat9NorWyCMaZeF 3bZSLPqUa9oDF58tiqoPBs9Dj/LkDG4vuWUixckB3wd/7rRAapp5lQCxkpNS0dcHwgE7gpSwKpPv wSeo2eUaFRCFJcND/IwAylgLg8XqzYVISYJRxPKqxYdes0lUBoUDSwcAVWAzQwdgM6+7A4aBUaQH wxGFLsZISIVqP3OAAXKblVgrpkYuALpAmxsybKhvTeiGNZ7yBdqfFco/osQrKANXPtbmGZ2sntgd jhICKgUIrdP6IBnK5brgALqZsLhUEVAqYtZRmgwxGKdizmLZbW6wImnVMpk27hajhAwCqVqogkND ognDKCZ/CHKVZ6fE2XWyCpa3UQ/AlkPKZAsrqA2eCd0nP+00tO+YGox9vf+fedNCwOPEX54Gh+Dr O27zx0H+LdQP2dqvaGyVZCMN1Krwh1qxXFHvwU8x2FELvRZeMksVEWPblG4L67pwmGhIjV18GVRV 8WvV3zU87wJd+LmHT8OGta01DGkVTeYdfV1R7omIsVts98c1NGPveiDpcSwPH+AuLwmRWJ82PgXQ lzzRcCGtzBI26tTyr7usXhVOeVa0ujGBD6CtkiGa/RQuSsuuariC8s7vMtmiGzaXsAIDrPtWDZSk gms77pdQo36sEnxCuN9kfgwjkBSsjOYS9aeBwFM86OBR8Sfs72QXy4O7hWEDx5IFNL23DJsPI2M7 Bqf3KqCAx1q8jVGqpTBOcwB1X5rSwN0Ee0KanzJPjq6AkNmy48UIcMSgCaqC+rLT44Moy4lSTxwL vM3K6A6pIII/5w2AbbVDlDmyUL7rEDJqxv7kvOtsoLEBlcbe/g3TnKUyYTonT8pCh6gdFu39CkDd CrUMJGecwqnRAGw39A3jLoFKu7v57B4tAqOz6Ds93IkZXtDSXHk2noohgIb74Zo8iNdVcS+6wmuO 6GD42SwVQEmtjYUse2vqE1VDxG0SQBxxgvV1EH56M94SOUquVbjh2kKg02R0xfLnWGVbC0TeFxeL FLxMzLHvPY6DttlDHB2O22OL1a/7C6Zr9it71RT8JXpzzyOT4WLMUDSmq2Wmp2ygpjONDG4HtQFP aSv+KTZwbmhiGoXu6JkKcd/rEvk3fPy+cnQ8QP4H6Qn+Qa+fG5zyH23hsZ8EIOoxp5cYAoXXAahG NcPKkQBTs8osr4fNzyTCoFp5QXnTAJ4q3ktuAD6kxlUrgfFfFt8hTGn+wKhV0jE+cc+MADwCDJLR cAaVvWP6BKNhXBU8Gtng68zQYOV3sP21Q3ZqUtEEFJJsuc7wuPNMMhlMGbCPf4rXWlG0LTWzp6bh zv/x6to3YF/Q2vlpV76QhcrwVTmpllVY5mHrV4ppOgGvofSvi3g/PqK2P84naAO6pFQ5TNa0ZwrZ Npl+J6FOlzDSYr7lS0IvCGUPdc+t1UC+BcgOLtr5GMCrKlLgUmrL4iJ60SiH17Cd6ffRB+4H5sau bLBXkEq2tuuCXV9CYuwYixunZGey3xIk6B15DBG7TTfcRwa3Z7cga47iK0CQUtSDJ8/xXIlQqCBa snsJI8b76mEIypRg30l3Latd1sowjgcWX9nuRjyme9c3mxqiy+1qKvWQwOXD2t2TwCI4+jPrAnBC +hSswAF4LvANuO+yVY6fR8q5z2b0ByVA3x9vteSQNVgIXe0M3VnHYx/uLQFhQE5q6oyI1GOtxIoA +ZRiRwsuOQ45kOtsmPUCgJVj7Q+BIKNlAEoxbikngb7CjLmbtAnBf1wgPGwxOThsKvrIcYkFYgK2 6uXefbjalyUsppG6RUCHqkUFPX504hM4uYQMSZYHVp8uZ5nVmwKtSiEnRioHf24QPaUhOKj6x+I7 uBxAN2+0JA2M/jsFvL2GDXAfFdP90V5Dsv3UllwCbsCP6bETa9GkjBmL1NHz2EOv1kTa66Quw5er oagn/oTcwOnIuGfdKe6VkpBaCqToUuKr7pVq7+7m1xvgik2F7ds5LHTd8xI+UZTJ7yHbG8nVgGB4 Zbd40CBOhLXzX1Ney35FxX65Gn64p7JwG2xCWhBI4QBgoMQWT6eq7q2yyscK9AM+SmL7evvFEqbu cm9zY2ayQD571sLf/h04OqMTQH5aENZn9RbSCCndaJDPkD9UX6b3gMWrNrcx0v9J4tOvqUCYYGsa 7hv4FAX7MlYCg/1aoN4yp7/HKlYUxAzEjXYM9wIk+bzbloHtQgQVZIACN/N0ZxlQ+ICKJif1jAgD fwlRxjbbCPoHP85Dk0ZDU1hYQGmSSs5JPkCkKuab8VVH0vF2yly5u8Pi1PclHAYsqW1kGgxgdXOe kxkdaRqHdVKlkUR+s7Z7ooAeynNpZ+awsfrzp3i+CZ1vrOUTDreLzK4/NX2UfhJekJQXWGPSvr9y yN0/rk/F0nEtpwTLm9nM7d1EGnz3saFM/NvXEy97pOA7hM3Dof/LEGbYSK2+W71oO0GOIjFz4r2U ZTX/ZsZNAo68e/R+QxzbH+sazgAc9yc2QvXmQ3FSYb+N16mnYTX2fYKlJUMxcz+dMSCzwI5ILttj RpZL9JGAPeNk72QpXP8NTKWPSUsDL9Zkx3RmkCvG4PMJS9kuJXTYttydyIJDs5RAmqXig8j/vqAN sRp7JZojW7u3juydbppxAaRdJCn2pAbNXdthQDiWHR6oX1e/KhIEYYI8oFK8oiSwZe5ZfTc6ctMb WfzTDYmSDh7PF2xjhuiZw1wVvM3V+ehwR8OQCo0bAgf5GDBjNl8fGglCEm3X5wQr55E3OxJHNL/Q OKDxnpbGAZK+AYzSeHCu+oP8NE3/RT83CI9VPS+I4sRaKnHHRgohMx/TC21jN56osCGqmHdPpNYe m1WzKocYak8z617V4NdbU8ubkcAzb0EmKJ2vPgezsOskNNe+3gP4upMHzWXAj5uR+CXz9ZpX8fXo oC59EpCcyKZjsGU58jq58KWor6NAFN3WqhKAp7zj1HEJs6NyrHKdWEMgNddK7OjztIKlUv3vArKV V1aSxamNdgL8rfZtLsTj/Y/VFHTD7h3KG6OQfww5kTtMuFOWO0KqLYdMYqnPAVhBNSleMKo+JWUn UW5tupSLx2PA2K06KpgLDKWbL50pCXlDxWgNwLBC2ieXWN4MUdLuqTIIxIjF09cTiSsz4MZa0vqV oRcYBy4KQCs17wkdLZPbwWZdeGS4mXFXscHC582sDOXgJY5MHKaMrt17eDNyxOmOaMNUG6huznZj wWjbyjzLmzJvq9cgsUCdM22pJ6RHX51JEuU4ue3Sol/I0cXMFxUd82TUyEFBW6t0y1qXhwFKu+RM cdINNppeICLI5KU1h5Ih8CDvyYMWPTNvW3OCSDsDlGIcwtK33YpDHeXwPLTMmMT0jk0NgoXZ9P9h 3e6uiL6Oho4AdelPmJwonSVZwo3tPAoWkJfgsxzMVoLPoyBclWGQmFSxgkarVycY6rPC7ronl+CM rsLJK15N3cih7ou+ZDPIweh6zeGbls+OKnRIqGfpKf730TIHmT2LN5Nm2P8f1Ix0d42/49J8lhUa WH4Nr4QLoQCFOvhOe5RnKShZ937doeEk2FM6z+pyyKAmizuTrKGgvWc6OKPgmoWQ+7IhoMJiDF3f b34rkPZUfHV8Vgsfgl38c5RWY6PIlj769j5jfgiorWdbpu52wc0ZXLcfVudAxlsAgo120lm0mgZ8 LRAuOC2UWOhX8XWLa9vzkhyHinuU1+d3xJ/yo44mFc154laMqvceBZijeg0bF8Lp21s0OBU3MvGt 1znMtPbSb40GpKjos7EDyqYWu0Bpydj4D1c4jILi80FYDNz0ihdkNuyAlFMfSfJWqkpdijAUwQQe sJBeqHF2mI09lOI5VLQU7zLjqkNFGHysQS3wUnkDidIqndjxoGgWVbDoRL1rygJpmpfR/H88HorL CmbqPB3t4TAk6T7lg/ggSuFZco+sPXR3RIhcT6Unc+lX5wZntYFVoy7eUPrXU4lDoPb5Z6JtW/AZ YmtKYfrq+797BR6YNIp9LBV7xFYipwutm/BUiYqlreiuq1j9DpYLWUTjps3I4TPOafj1Fz0rZKGe DtU0XwFcVqEAhRMKQpsVPPY/X/XE7RJ7HWTs9xaFYLWVke6bXdblxIVm7hkWWgejlvAC8lx7zuWm Kq7i0Efr+S6o++AeABwKaurwBFk5axqimTAdEr/L+N6LiYhB9j76+udONFpmy2BEtcHtCpGUGxD/ 6VTaTYrUwg3HPyClqxAolhlhLs/KDqcJ5/XWmUfZBV8z7ZKCyH4wu4TWakc6vu/u/3MPoLxH/rQf /XrQ34I8YrCPLnt3GQC296r02FTgVY9wQBRmBt2jyVfTRstICRvTLGUCKSTGEtqwKtaZhcSx8Zah dYcgTmpGjtgaBXB9qqZvAnLfDLhSTADhSVTMLdjFHeIC2bE2L1bZMXlaz2mcOUpSdICH1mSmOz+Y FbW8WrmafO3MjQunycVgJd6q0K6vOAV2c09rLjlKa+euW28u3GswhWlWDfqtuzw087OkCee6yqM1 M/32eV2sJ64UhjDrN9Kiw0XK1cvNaRwRakhboB76KNICjlvLPKJ2/1ywvKtXELNMadSNOx6RUib7 l79QAbqQEw7CQFgE7uMcdXclFAnR2Kmt6jGQGj4pxsXlxxph32F7lFLKcUWY1mZC5hXA8CbjG/1N niltj5G/nOiuIZMv0tIw8AGzTaa62JR4wLPbocRaoxeBXr02qThU31y/e8uKS8o1fvJre3XXOaDf tJW5PpWsE3OffBIpEqCRJhy4uBCDnM0AvnQ5QevVFkG835T0ENzbwy3Kjm7jASVnxQtM1NhbZKAy lY3UXapEvTsxlPRkmuzg6tnkkqrSSJPMHKTCVWX/Kf1qpumQDYrOnzenswb7VySwHF8NCQpAzNpA TSa981k47E1uFm9eOSob66Wok9mAOVBf42VTwjvSvUkTSvclK8hr960wNc5CFW+A+qf5l22yjuOh JQ6u+V+EJbL4O8q4VLfpz/O1jKkdqTr7ot14nuUhzKLW0MqMuURr2cajt0k+HiXOkyQmLg8Q+Lgn mjQPMeZ1BAvr+wKSxGKlLyDGk3c4vBiptb/cXvrsryeIN255c9cUstSxtrI2L6ZQaboolKHk+7v2 uCWwElS/jM+GzXMh22O7+/wiEN53L1mx5TZJ8fHiLs7z9HecUgHOEBSE77D3Lku4r9ADvGciPg9a i0HN1l9OlIwrzAAb5TaqE39Eh/7ZixWibs0deFUpYHXdvkLIMpH5ZV24ZiVbETLMfuMv5iJKs8Qj YpxKbE3yPBHjVn3s1lz17XADgcslIJa9rXxXAk6v/+ymVHKl9VGbhcq/UmsBnzPxMI1fUpbKOXYN sP9oyLL+tJ7kKJb0hS9XhgIUau3uTTmM2e1C9KO0fCQONG7sogJQUWTPQVu6qzgQ9oYZnTjKxDIE gIjKbsVsLMLX6fnoJRyvKOxbYM/W1nodq5nFXNYRtMNSqwVTpXig50ZQJKm+7OoUIKS1ZRH2u8Sw F/zJE9T60n+BhPy2gUgwupvaDThQQFh/P8Va08a20gnio3G5yzUKB654UcTUJmNX1BENS700oME4 j+BCShhfEetiD/MT8X7g0112k7qffxdUKZB8qDHf3Ae/AbZ35Il1e9F1NsapE1IIbCiJSUVcSTcM sQpI2z24SuQY4kI+flBYMPbU3/OY63ezFHo9XGuLhtFHipUAo9HFBIvkb6R5qiNnGirWZTMpkUwL lyg8xiYVsF+JhsnIHEgk68ldb+MSVM1GjLC2kDLzsbPHBaOAchXfSNhl/bAfaXJpZ7qIHVswXxNe /2WV18ikUH7lNQ+ZdAimteF6GdbYa0P7wB4azlo68ndU4PY7zgeIiuswxccw4/jyzRaGK6CPaO1M r/iXEfMYfxAferVWl4SojrDCy1ETTbwP3NkYbq6KC94rVZu00aaSPIHyv5Tf9vGmJXywFcEC+ES/ 9Z5+urUlDq+5O6gCzfl2603+MKyzeamyeacTtZYMO7JT2F5SHnbpw3BSi9H3ngReGh6x/hiSNpTl Jd3SMNudX57t4qdWwK2ZroE6g/xzEG//DvNwnRwXlpFuUV16jrp0RoasiJgWGR9Y8r8jwUXnPPwx ditU28lk5itI7mIxK/apDHZ46I5BwRplScAAx0auGK404gpJBW48YrqzgxhkDPDI/IKCmZUCyp/h 3CPToy7DH+0JdP8CcHjiluI5VzqxIskbWw2d+nFxojguin4+MORKjOsvfxMqu91BMUcJmV/AVzUw JCFTx3Ud+yoW4Lriaz3hSQLfkRYAbNcsIGa3H/YNrH2LdAgWcRfM0P5bEa/4lhWZ7ULxdUBuq4lv raT+QMYiKt1rNfKYfDf30tJ2ncyIHx2hJzl8rIWQjbF8PekvDU9wmBQwWb/eCL2NuHmRXv0PHG4M VOY46NhPUZhChdpOi0gWxP6b89FvzQ2Ux1YZ9mEPQd1ZSBbqgHEhs5Sz78XtTWJhWSMDec8w+M3d SocWRCVOqMrg0SXlE3kI9MJEOMsW8QdB+q1ogk2QAo39l3rC9vDTVlo6iJ96UM4w3hQf5s899n3F +miz1y5rjuxtm8vLV4dHjezIUsa82Hryb//Kso0+1ncJFLuy7XAZS1ukogQnmuUgWFutXHIF7aow TxD1uFeAJDttHkwD6oguomBYRH0LUyKkxQdoZtVBSuGq4ZzQDnmZCS1qgcmkI8lwIef0YHdd4LdO f81hBo3x42C3SlIieD38QgcdG6EuBWZPvp5lhY1h6n+/v3BXfYmNfNY5ael8A5kniKitCzk0mn9h F29CpVKCWWuOylSdac1P2SwHdUm/bqoLHAnkAYlPdPKHJgI7qjA6Wy1g05ft/xr0+bhtoA1aU7M3 WfYeKkZsJop8V6QfuogDKL+pjfyVeqr7XQmBSvNps6XqNGvo/BKJK4AlqIhBlz+BSsGt1nShvWEz 0hVlUeG72meDvqAqVRn4qyKGvTQ7B0oZpYuA6xDdkXxNHvsOMi5Q9LLV1w0c+qtUOYG4wVBEKHb9 Q8yAY+5vK0pTk0KIuguJVWEO4XYNiWo+KkkSp90YMRulOKBUziwT057fnW18BUMHdCiQeIir+rz0 LK+wfN6LRH9l2HSkDw667kGiPhSow4V7MhI5qsSronh6JY2nBr7wvm81cmTTzI/NCMdZuM5b05zX L9sQj9fh1lQryc1R2kBi2AUvGE3kFrfK+l2XNO2kI2K+YSmAm/9qTX2iOqrHHeAVsoYVq/a1wWQw AjVAoes1Q4XlK+8n7qyFEyOQiGb4FA/NzOABxAcPACgFXmCH9I6BcjhlJI/DodNE+TE20aEawtWP i8OY6vpgtKSyEjHT1X0Nouosm0fEAB77AV2w+XlyywJOea4jW66OtGkaVhsdDw+vPo6f38x18LlE UV4YpX7YNRk+vUcHbrnbzhTtbi5VPviZyZ7vY+QXdMqDTxUm/Ka3qkjUc6mzwn+W6vbfzSqSgMHi sxxr6DIzKcKVBv9ThW1vEBS1tKIupIZiQxnjj7Er1cs6SaDZg3OtAOywxcpOBblwUm+/pUGy4RFe DYZuOSG/A5qWA64rG3jyZ/pNf2dWTL6zggYPFVpFryV6uLhzi57KcDLItgKedLReCQcJmuQHS5+j CJLdwWx6JF6m1iw1nhSKG14b1xTxkJLXEm4SIePP3jgSaugvk6d/+cSbDXpvEjspufI1MjyWrbIo zLja6YsbaJSt2pOcNwKRQLzB+lUSEStRLZH/W1DSJ7L/K3B93cITEljkL76d8KZmLmq6VybcdCNL LiFLlzW90BsMrmo3guDZWUBuHrgih5D9XWKaccGKmZbJPiRgdrLvhOVEhcd8sfCmw5BVjyVbixEt hl2+2xiv5BEHj87DtE4uhKSK+wMeZ9QV7zLW2hLStqfWq0iAGyCsXAwBgdgst2ovsItDUl//rpPg xPAPaT9Pz8uagoKS42VBkCBRpKZwifSMil3I2yjokFmX2g7z+E4EGmDppZBT4EloNxy8qEjJ+oCt ByFTmbH+Kw6fd+1gmu6cb+3h4s5VFXdEZmRk2ySSeV5WO6KnLx2e03vG6HNiiCyibyDdcfW2c0ua 8quxHrGBmpKnIu47Fuh0V24dK7PwmENg7v4gXsCjzXms9pbUO3qnNl81Re988O+QGmO9LMs5TOW3 pOTGDAurvho0RzvpsQuHJpBGxwRaBNNRzA8sAWykXCmNMMzhcUV3LYmgTUa9+vei8IedzE7wHOjr 6PK4OqIXjf/JarIEImbrN7q5jO7Snw65MDKxDRdbUSA5WmSpkEZbdr/8DH95MuP/l38ynx/f4/Lq 9aE89yHkXaFZSCcZJ78DHHClvJt4v2zMlkQXTwyp+SHiGXqK9UaEVQItlIDsy1l3y0uZjFlCO1ll Qr4RvvwBF8jsEhX+XpHV2ZrvwS5LpVVIRVZ6HZdvNl1yBXOAHprXR3jolvN3a/FqSTknJAwEQLP+ ZW9wKw9+BMQUEFJ5+hUGbwnoNctANkLqC9K8rmHTKGQ0owxEvlLbMzHq6DoFw6X5EiXyNKFB/5bV TrntOuYiYIiOn20hA+YwB07017CC9g6BzOkbxHLXKJEvY/83l0f+iNcP8Mm4yQ//9988cr4Y7Ev8 79sB7SHP8a/zdl1sQBJSBcYanHJJCTCne0XDsCnSwutx1Otg/VssNjhIg/xwlaRkkK9UtzY19aF4 y2FOUrSOPghjS3JYp0KRwM/x9JM84pdHoKjHJzCZtDUrWqXeNPrGbe852AVLdD4fKmkttdsmJUXb 3rcbidRO4Xr8AvdOB2nSlgL+GcZFWIKMiTfRyZYH0aNRSohixNtT5VvkoHHFR/Hkx4za5OdvE8br 0/sCq0HnOI00zaSaZdfGZ5B9nzVQWEv/6ORrd7e8IPtqXggM2vPKCHfMnNNch2wMW2s+hx6EzwDK LkT76zbDvWZcXErBOYnQp+MgHYYgBdhKZT328Kbk67DKfLnfsA2HBjdnt8YsYa/92C7K6WVKctIM uVp0FLAKLC+q2qCMjiw6UhzmCYk1c0+ITKGwQSYkYmAdTyjP4zoOt0BhnwkloZTT63vnn7WBpFcO 5n/q6dgjWPfHuo3nwn/PViT3eBxNaFu7xCZpJoD+cXmZAgnpzFo0PqQOgR+LVazq0qA5FUYnEKq6 i4lF5AIpFEkhvne2vLjhBBPWjxJqtCGaKz2o/M1wyRqNTPjlq5xun/3dEqqE8rLcHPMPKEyXjc/T DGPnvXhzG22zcXnbEWko8cBqvdUgSMge8Ov2bWurvtRr6ob/RrooBmcP01e0qb0X2jWCRLJIQyFj NT0Jy+Vw5ViroY3YdQgTX3UIICOAkyyhX4mEy3qwEILaPB9dp+CVioVZ2fn9vaCmX6DVMnSxJeAM unVEjK2xhyd4xCsH4UWmFMYILmZxZmI2dDwUnT7o/cfrICh2gF6O+kIxVImA6uiDDZMrcFGLhgVK pZMyP8jVa0WxHAWxR3E9e5j0Fo0BRLX9A2CC4r9lSdNoagVzWxbOZ4M33IPI8tf1gkyO/YzShbby lfvj6uaNeHRn81/rJuhsl/gq/gYyNnqWNgiCdiDMNvMgxIRfaulM3nfZZwcGPUXLgDo2NY3v98SB 0iQmuK3h0MFHVJdiNHmzfWFw+WsrHI7/9L0W+tzL31WWkVnjxCXXIUV25fS65Ey/ndFNvek6I7IX yb8GVyLkODExZYAtlBuyDgEbl5LpoKI9vxobxG1ttgBIllszV79A7+UzLV6/Hx4hYsu2Q+/Pn+cl RncDXoOiUr6vCuufd+FSZNiEo2iQPiggyb2Yn8NCF0dRCHiIJPfbpWTygNbQ3qO5f2lPmCevWuOT TRC6ojTxPVIkufITf/+lebWnew4WBHmQaNnoVgSJ3cPnmDA7BBeJnvXMj/R16ulk3FiiwykJLclM 7GgblfjpM5FCEw6/kWgmU5lfv7YQv1pOcXbc4daIC9reoE4l2xOd6bmPp8/7REQnWZ7x5k5UUvCR bMMYM/ggFjNmSQgvH5P9ZJZzA3n1pfoqUe1Gk3dktcacuoIFfix75PXgKs5qvaM1t6PUXb/AfaSA BH8dml2WNRBnyXrextCMlYQCePohm1FyLat65703iYNA12ZzMmOka/9tOwn3Khlwwt/zAptdb4UR PQ2ECaUDTUQiNaxb47IQkoOA0q+v0y/QGaGonzcCUk6RI4nxJU7k4PxiYJDbzPvafcJsMBMIlxhQ L/SkTLKFov0c9DTs9UBd6PrBIcfYldp7iNMFijwUVl8GzBT/R55gtaHzyT8XDhtwy2Dh4/+DhZzX tUEdPzoQJty3x58rMFV/DlHK+q3WGXIV0yG1tou46/JFQT5t+9IiqFCMFiBdAbq/qFEwC5fNoxnz n/KLnI+bkIsjIDKlKzS38/xVhLPNAP9kRxX74QVrEQEpCaEl41uKGaH/NFJ6+4dRdhNOlgu12ElE N/VVb8Na0QwR9NI8FltLSD7LvS6uSpWUhcu1yE4W8jHKJBk/IEsQelLzUXVu4aGHGjC0/lF3Qhq7 Fz4sULKz6KJZ9H4nttiVxAfmwWyFX3nJy2K8DQoETFRqiNlaS4xnTaGutT3frc8UfaPvVnQBxhls jMHcSXJS0a/QY696YNMgWUUI2CUfhOkLwhiMKXINiMRlIgjxka6/8CKer+JaduWxrrtxuzRI8Lk2 iGwBTnE85TPsKVTxHbYqW1Nai2o5grPiQvabgm4v2Zbql9/btZ6BUvo8BR0qun65Aw+gnhV77+3u gOBz7/R3cWUnbfp0jAYH13xLSZdUH7P0AVpjAWk77uewdV63QFIRoJEZoML+r0Mcp1xIyQzyP5DA /bhB8Dq33GHxfeD481m9mo5qPM3hNaiEKg/Wo0+6Zsg3OCbv8ZzcLK1+IjPcQNtmm4AciajR98oT mapI0FMz0cYT3pNp5RO+0zWXVltkdQXUwUnqKxkVb9G8vdqL6JS1hARfsOcFfpUPk9lvn8U4Xqtm hU7GIo6+KPyw7/ji+6ayk9FcdCkyhatk1r2ZsE5aelx/YqhN8chABwpmvjefM2l0Htnp3C2aWlHu RByzXOKkY0XsmAdP/wAOh8Ho8rd7BR2WxtNcdbLXUaN3A6P0EMtx5TtlEnpQjp5MnYgwzNWMf1sF HgROOE69x+4wDy7ncLf2/2s88C9LZG+jFrPbPESITLR2NTj/7qxeHqbhWr9zxdKfEhrbm+CGjQuD B3U5bY4U9HyjRK96b21KyrVhYibX2dX2AVTcgx7jv7XRzkeAbIaUNOeLTy5rwMuNN61eJMp05ZyA j/BgCq3Y7lKuvkNXe2drQwvktSHCNV9wAaOvR4Ky/L/yN+qnbofxCSk7emtzr3HgXkPan0sQPL8Y APGagGzlNPiO2c1X3P7GsMw4VrJrKckDg3Q0FgW7yj+DUQeG2g+A5188WlTJb0ijXubj60Dgnlte 3gx7y3z0sh914VLOCDv1BmlzzFVtvDsmm8mkrhZyOEdNnH7WavuWSHz/5AC5I1qkd8WR7UqbpDT6 R/e+QMSUYyrQDjNDhEddTpKkVZlEyoHr8FK9wF7UrJUgYPYC0sEukAnIGabKeFuZTW8p0EEqfl/S GdrZ4s95AmNEBvqGJGljxrF5zRcn/u4YGuIKeGqcwRy4Xylwm23TPqMWsfqvY9Q5Fxpm4D/wRzz7 OpUtthzEhovs1xFQSc6p5wEzgl312PlCu7rSCw9WPea1hqimNyeG0G7ssEFaiIqks4kF9UorQN+r uDh+Ht96Hr92Ypi6F2RnvBwzKlskzk6/FcUhsb0x17ArSeGgbHRefP/v9zOmavu6fVvMS02gc4fm 8+YN2oFv6Zh7ke3AHX1AbZI05melai3+l3RjwsAsAR0H7eXFwEd3QeYril5c6O7jLomELJA9+6tL AZt6kClvlD/KKS710OdA39O13dEg1swpjf7pafcHBr71hK45DedCJfuxRaTbg4m+1JT9onEk7KIg AWm3gSOlqU9h25NQs60QH5RYf5uFhD+ztQAonVhxjx5h/jWlRaVIweM1q1pV79yXtw6GL4aPw+ZJ jDRF40DxSxJFlJq/OnX0B0TjlHS62uuCS63D3UQ0epRM/k7vR7CB5sIb8I7YYi8RtxGVAsCwAgNc tgdVZKsd22snNSFwkfysXpNIYcYWJ4k+HueSjNNNyElZ2rQNIVU/bcR92uD61LmFSUMOeCNDuZhp qHGDkQnTS2AysJfxnGA+71wWvsFZZ2oFN/CUMg+tSfZBCOEwvBaVM6PdCyjia75r8tWEduOCH08I nLO10QMccTu2LbL9aCSd+raOaZAIELQammGEAJpD9jwrhAmJKfS9yEeOnCqu+ny2vhgY04N2qY/9 8C0fUFCZO2vKwbegu0n/hAgg+t54jujFjMsCzDADf6SProBPZWIbaSavHgE7642nSoqYQLer/6bm SrH2UThA5ie5n5CHFPm9kpi926GdEps6JU53acHuZ4PhO3RXdYL+U5S64DE4aVF4QrZVuQLEza17 39YjQUl9diAZcF+P8QAZ8YdyRUxgbGk6shVh2JQoRt7XEnijfDlhB/9dl/IYF4LuJkWmFgCMk4BS 29+eQY1f4oFRdZuk5bugcYGlPjp47oI4Ad9jBNq7pYpyv1IRAYzgvC51zUx/1CLKyuC+clke1Fd0 wu8zQwynWzm+foqzQT+4bM1Nk9uC0qcuul4xW4M8ucfCWGGFhUSFhp9DSLnaMoO6YzXMQMVqSx+E /uh2KTwXItFYeW0NSEB2otEdV/liLm0DIY4IuCQQdFbO/FZzTjFgEBO1/2B0hl9aO7QrWexvGiB0 EZzFAsg1eThI1UnlPWK0R26u+3wigKwDUB/FiHZyS6VGc9ics8kwOIlwQoVw0K5MeUHN7v0SIoy5 9HJQkKxkfrjGSGN3ACpN1Rjt1vKk3aqJntzFzwUYDddke2iOIv3HpIgY6CLJs/HWDjN51ROIM0G1 q14IgvA7gWlm/B1zoz1flQ6ugQABzEV69pXijgLDi8Y28P9D2gId1I/SiVENUacFPZUdrXhct9vD 5f1Tij8jzIzgXtyE9oHjGefnTeR3JpJRQPH1G4PAEMfZIN8FlvgsQLxSStfFg+f1bztUJTVpVC3i J2gjlND7mMwFRxbegPDU88OB7jkKS0ACMdeucV9gydMSINxNyYuTeUnkLGLVXkS0jD0UpIwFaFhS 4EZL/8Lg2ER4EMHK5hsw9vT88Nee+FAZD7g1kTo6Fcw02hEzKosXlbWw5BHocyg1F1T7CsbWDdib KpI+/JXP6Sx+xwjn3o79ByoTzKZ5D8pcSoRD3Whmb+gUqY4jCdfNUzq+lTOPVFWw5uF+pIsCPBw+ v5sbOfngRqldQImelAGciXyZwIuorUdK3u6pKQTrF1qLm04IiVxZo+iRqzLVEmdA+DySUe1+WTFe UD9sLlPILl6hq7zvPjyUdYGsrtoK65Pdd1J+dSOvLVJgRpvirkWCsNxbXLvJ1y9M2Kc5Vhekxuhj 8Bm+adtCqzBA6PA/tutdE9QXDFpCdI2fpnWftZWowbC2YZESlkDWw7Zr3GuVzspd25ZJQ0swmtAY fCqCUMCOdxavmT2/cn6F3Evt2CLqfM3iyDBKSqB3HtZXwhHc3ouRV8gZiBOKqdZcaZihonk8R5nc ITIR/n64L6iZsWWPok4zLF22YsDljskgTD02CvNQcQMTXf2g0JArb9Nsd3PL772BZd1xNrdic6OL 6tLJ4WwaMw1i/gdSUWBxtVuqr2L+SYbmHe1rSkqLseOvZOSYphlOx3GOG4PfucLKx/BjRuGMo4ZH sI+sDu5McMCfXHP2TRIHQanFdzPgZXS34M2ahzjxD/ks8FnTn7YCbjzmx0E2GwE9TNC9iaYFImnx Gt5S9dizaP5L3ThZacA6Iafv7+saYB1nOnaBQ0fKdF39fDXttiHIsnCHs+ZWdhDZzbNNrSQMFAUl U9TfRAR8s6wi1T1L2HrH/VGJIb93fNYKjQkUYehvkcFILvy9Q9ZuNnyfgE0BJM1nH3MZ5G2HwN1k 3oNA6GGaI7Ce+TMQNothhrgrVO7abEXVgoFaQ6IKFWhbOM3o+1FzzLHikgxWp89v1A7K+5gKeGPU efyA2eZ9ikVZUQCDNOHH/eQ3i+WuTegzyEEg46nCQ1y4ptQYFQNsGcAlWWuQIPbwlemQLyVhnVdV 8LlRcpO4tU+2G6kESOqyt1YfwxqOYd87q/ua2Bh+utRi1uKtZDOER6sT6RnfRgZf4IzzgTdQ+2mO yuzq0csPczOOicq0QIgeA/mnz22s/JCtWN37i0MfiU6AcXPKeyGCXNKznc+fb2Js67phwl7cSmJ9 Hcvq3VCI+KylgFJP941cyWg0jidSewaguukhaBtDx9AtefUaHW+1Qz1OJnam2hVEVKhnzLMKCXTE imqGjO2WMi77oN/FmO7O2qWxakdSDE0Qjzv9FJcd85+pod0ybTWRgz+32Co+6MF1AktiYkE4nai9 lZPUP/l3gahmCwQjpFze4nDVT9w8WF2qNBZbMt0PywVHWFbgruzORS6FcdAY4iwN/B4byyG1ZFYr FNYHzsLS3tVeC5cXg8n2JAxMb5LAlQ4SVboUoAMQflrAH83XW3wRph09974KkXoRhvLqkXK2bjxq FyhGprSR/zla0SoRCgw17un8honNqO5qtOglmgM3todXUkqIDRLGJXhy/qHUP5Wlz4MUorCzRTOM qyMS/Cuy+1+h8stkGRPGgioAn0V1ccdjhsTAPcQ9NXKjIZUhUN/jDz+Z8H1/M/+U0Ar1Z/BdChkp CbXI8eM2oM8Czhe09E7+Wl7EZGTbeUCn4yJbLvHUF5sSGOlWg1MIZRT1O5uIXC/LaHF99ov4d8z7 dI5kBZup6AtkgRtkdS2hCro4x89SdyRIlhIx2gIbybUxsuILQVZkPD+gVsMpC0eG+WadZRO4R0yu oAm/oOw6TD87vM9o9oHZTUMemNHkPvh3ojQWMzoKDKaCZoyXOwGdq+qJv8jjO73g4qBq0b8N1GYn Spjr79kocJI8UMCBaJFmEswJte54QM6OvzzVp5DGf2kMkomuodku08l4UclPimx2wECr4ETy7aNq ILpQ7C5IU97sbfjxP9pdDyTejKCiaFGiUiS8OAyoeR+Fn8Rt1PJcrPeOEDjd4UbfgaV8yZfC/H58 8G7qfYOME7fBhQMw257X57N8aWYXVFd4Aiq/OFRVNwe2ffhbOjXHxO/9sQMnlkfYxYqQiETVGetd u62oDLqlhDbiJYjXCpq81XDJ9cwTPq8EkmRKmDwQgWQYWnZLR28DaHP7dXxz4LlQDhDKL68TpXRT ki46DE//6yUIrE0mJ1TSZzlSVz9WF+8Qn2WleuEHtbEo5js26u9S2qxLMmFLH7AzgMe2dWVVzV2Z GGzN3fLouVB9GIXhIEuxVaOX7LLx2qIaTDq4ChcatWw+bbHgi6VyYoQ0d7LvpV9SK1cTURjpth2v L4lrh+t7mlpQYNGU6XgsQdAFD9zIRkCqsncbBZpzNea9J2R5AO7dzajJ6dejyYsZ+p2+7vC+SGeH QzyQtsszyY3YU+aND45cF2xVwuK5irZSc/RFe5T22t++GPyJvGQ8JuyNLgyMr4Kd6uAoGv1+lNgg HbJjNFKZw5ZcFO407gF5Z9gEvgCCQuRcHCkPdphGKW6a2D2XT5vSnMDpkXAUHyUaJTIbvgG9fIlm aJcjMFcjR8JGEHHTMUfdKPU/1/EZcwLfEnT0l6IQWuyJeOy50hP/tnzbnTcvT3ff7vqZkd3dbPHu eFxIJAeVusY1cIyE9D3UqxN27QbLqViOgHPz6qJ1VcEwAJsJzQU1LqA2Y2vIHqkXvHBnqETviha0 IByov1edvZztULD+p13asInv6xiqcOwBQKA8TyRnhbkqoHq9E8fhn+pqXpk6DnYwtItv2cIQBIi3 HBs/L66QH1yb0g0SkXJQ7e4Qxv/S02FFRkK/H5W8pCQekd1TCZjT92DjsryDcUN7m3IR0ai6emlo fRIp3EOdr4Ym+OGOAOTEZQDxdbBXpm85KFzN/zSpFjkZmglc/dG/H6B58CV7m7JGC4JJUpF9TM/p mwvXLAj+ZD6noUqgNDNQvhmGsLedKsMxheVOXcLbfoVp5zANHsX/jtOUFH8/2C1T5Zy5ogtv/4uP GjYVK5qH1ND1IeA/QINNCc5AiZ61nu91OMPQNc+Pp0KAtz/WFRSZSDgzgyxT6R5sf5HzG2X8zN5j 0glEZm4QcETxWdafHSvgrj8MjUcXyh6/0vU7P+OQTd2ac0ADeMh6OC6M/2sDG/H+G4YoyT0OAFtv LyVnyVimqEzbgIclo1YEDpM4oW5miTmBKXas4Ao514Lt5C6mZHDcjCDoEgB4yUDKcJqeAuhp3Dnz EA5WaZRJ2V0kD3MwKiHQm5uZiIEDkmh1NZ/ijCuGsVSg5OKLEmMT74Pe8bFe9yYHDZHBCfv9Dmqe /HIRiL9f01Rbr8ovXRCNvGeQwvHy2VjLBp7Lc6/MRYiu53a+yKsEKyjx1ks/J5UdjTTl1ux4sw/V vgAjSSJpnVDz9cagMZa84XCG7T/+DSNUJPZTDWB/zrnZ724UC1O3KD76hJNIN5V2oAp3eW8ju1k7 jvXarkAVuYIwW5zwpn9d2qXhLVmyBH94lAyVSyOQVev1B0zKVY8gpRWze7eaXKMsXbLkngv0JUH2 nO6JBfcfeIXbTc/5eKiFZ/3C7N0CUtMnuuFMcE902RBVkowLw6YlAl3SyDBxU8jOrKYM5o6/RBOK Yaf/xMNgrSQ8nL9cq6VVrvfCJla0KWoGeL8CErpd5qgu+mj8Pqgosf6Vumwq6XvfoN1ICIvz3sED tH+l4JWiUqjo/oV7S8Ml6vtw+EXWPhV4phoUCRTRBYqLkZJkEcQAnb21bade0IG5UYjvH8jjVdaZ YqZW7NHE9DFnRGGS2b+PAh1n0bFiXylgT5QSDDX7OOkdkNIhl2t76yGr5eMKmSVMGDyCKzTTuhgp X6RIWCP7i9OffcpvfSxSsiJ/CHRXFNVkObSribjBLE0f2YmUe0dp3QRYBNmQDjty5ZvFWdbFeoBS VWou3MLyNJtHsQ4kvWKWf2dE5gg5YY3HBQIfVIzp4Aj1uMvMVg2/QomVqL6IOJcfoaxA8o4opzA1 sL2jU/eWoALOceMPsrZ7zzdZQDNyMRk++iysw3QLfYU9PHtkKA51GTgZ5+fevlNla6t4j0TQ52pD mkwiZjAa0rfub3uuf8oZGHsrEjTIsppzHR+hcbEbWEQemPG6Y9l2z3U9gODH3mqsOVpVkV0Ux7EY k1vKqlHLkEgdJGFIHKJF2275f+qfKPkUdtTv9fD0Px03Ni//lLLNtP04phWvGtrrYar0rUBjt7TS 4AMiIu5FtpYdW6vruW0irR31Ctqt9sGK9Z5hkC5HWLBMM6FhdahzJhjCWSdARQNdNhoRPcaWVof2 lKSLiuQdhHT53RPqdGpKeespwH4o1BZ3d9PqmvO2VGM9EYqPeOcJPyY60aDkyo0lnCDr3aCxm187 0ziwufKWkkOiyV/cPohxbpnBX6vbu3gUJwoMPgfrs5NMdJUiTsVc/rCzpVF5J0gxKSI9c0560rwm qqwGCpLzK8GUNNi6SlfD8fnhadeUDiKi+jdEHhKdIpHaIQ5vjUTeTZYO1b1lTY4CSa2qk6xMlqFt XHdXsmn+1/nczMMqSJ4u0vx8B4sCpe2MQI9MxKGGzDlzqjQU0n/N0AcUKBEMz7qzpFr6O1v9C5Ci ajZ0nvqGzuz+5tkfSlW9eI55es3f9lzu5829e0/PkweOKhROxq0OlPc4spEGrbJhwxNrhXK1KWP9 IlYEKLXeevBGkRN9Bndt1vaPg5uGYJ8IQdQpdMQaweRE8X8Q1Me8ukFpe9W2OthoO3Ynq9He+u/f nn5tJOGtiDKE3SS3kuP7mtyVK8zmdYiFknevcHtkFrCQtYVGzc+X7rl/PiVCkulZDRsIIIXB5i+j 3/HeAmNf4Zhsch9V0P9IW4uBkEBhcSjuOEdRY0eKRVi/skLHbcG6SNfDuLgTYSAvvk9N9f8uJuv6 8AP2uKeRsZ9mJJUYQmA+Wtllq302aGDLRYsG9Px8OelH2zwFm8CU/ddYBCOfrHg7L424n1ODQoCg ceeZcDvzg+tC4nFQD5+BvTc3gseCHIy4APx7iUPcGQa0K5YD7HpRmdN78smQXsy60PJl5p95KFK6 3uEycAmC2Ol6l8XhZKcUZfbjXrXS7nvPPdUsTQ0ogoiv3ctqqteOTNCuq5sq0IbUtE0NNOkVNFuK H//DU/3MRKY0su4ayvtpPVBV6pUB+THOU7XhstYO8KkpZOgzNn3BstTI6W2e2c6kxW69FGC/ljLE +bXVce2EIzV+QgfjniIWDfNeQaceYVFIVblT8Yq1Qzr8EmBbBiEpN2FJbcczhzvnYpaJ9IRW242T FRqRCPFGu8w1xriSmosiLFsmUFcrDmTN2w0vrw+FBi8Y6NaKusdmciykQaMHDoYufuQm82YAmdV9 nhvzK/uKweekZkaY2cEAnySNwFRvqud/rnMl0vvoOWTOG6MpRf13Yf7wLNTF43qiuYQi7fMLA6gk /Oket/FR0Pa1zeOnc96V2LhDGODMolQDTVCwSiUh/v1sk/mriYYEFw+OUqAPKTC6Oe5mC5gMWdHS oQP4S4SlB4E8ndnvaaIkpA/dDyrInc9J/fSMbOJlabtDSF3ILEM13hC8Nz0qioPuHm1QpHXn2SUP w3YBEBNZ+S8oT0jcxJaEjX+9W6lxw0mOkgK7GwCl2PqVPWpPN0fxHcRcr2A1PGJvtC2pRgz+S0r9 HAiIfEwvXQxjmwFUCWGpwzDjQSmFFpExXkG2vbvjEM3BZ3B/uS+KvltcWMIPAvtV2LxDNkMrczIN 3m4CK+qtAyQm4u7iPvnRFZqY82pAxJVEeyCnP+xHJWy6gt0oDyCJ1ixp6eAwlYiAEeaDLBCyUtmu UZ00YhKd98u/+i64WAYu+0JJ7loYAW/5tcxlYROAg60bCrRrScK+7NnSdeTojucrg+RpV8M47hqP kzecPeiil6zE6jaiFOR/UNkFVvnzmCb1NgBbDo5wLsUjnFnW5vamDZR38uAti9qf2XeNk5XIAKvX qISYrcD/63ESqA7qYw8+XgLFkijAKGmgj6Kf2ULYAywRjc0CrADFbSuk+ge4gMKg8pin/R7QeD8U N5q1AyMuN66zgwfcrT8uSQw/DDf7ffTwR6d3El38sYtQFF2w5cGmdFuQrR18LxhGwqdoI6WOYmhJ QEI5xMXIDmYBf+yWJMoTAtnjKKnLIlRWL66GbOBspE8Ivc9lXwhnjmikz8nUhZDETSfnPyeVL5Pt Blvp1ojhmVqS3LFe+7bg8/owfLSDR3vYiFKZqBV6HtZ19Loh7ZaPWuTHRPYPaSMApufzVvbzj/aW btsIvYAgzxKuNxDbQhROq4vXqot5yLPTWyhhr/3xHoYcDBur/AVNOew2Z/vq/hpan356/eb5Zds0 1fuRuZIMQ95C5iC4z40dgulv9oMWTPduS98foM2ZZsuxmfqZxUPw1w4bpvvBi9o3wGxr7l4WSYdO Y0R7r3k80R6pxl/8XNDhVUtJwXG19+xiR+lDYB+oLwYMR87UQWzeq9VDRKCGq1PFjeVen1jcp7zO KKLVy4ug1cs8zdChLiiKiOrHItGPOpuDYy2cG7BnozxvXl5e5mcBEXCStjIRWDLXcgtfCTrHuQ5w XPj5cScir0Jc+atmCUQsxl9yYtTOvJlJCsEBPKTDsO7EfqUg6tP61T3L1f3QD9u6mkmuHNSB4Wga QjCNry3BARu8YL+7iw7WGUUhLL9zBE/ADQjC4/hez+Sq9S4F6PT2SFmAl5P0rqTo7RPUpDV7XTBF D0RDOdcflMQCrADa4zC51ps+aR4ao/PQVNUNrwv6+d5uaPYo1BLdMz5bDKECYeEN7lbGPhoh7fWu 8OgkZrbGW6fvUJH+f7QJZsuyCDx6dRCooEQa3S7l+lwzR2H831WThLBXqJKDYnYCJuXk6UAus0zl cVuGO5gsOQzQaC4iON8FOR/H+JoMO/30dGqDU7rDM7enkSa8VY7vJLHJKFnXm/tq8x12vGHr25dA TePmGHl48SEmdCQIVI1OCCpp8Cs3qSCE2wJI2o9bt/aF9FcuEFgdILEz8lw2PVsSTbNZnwI5QaQz HPO+9Nf5w0PQNMJQH4UhWeESEwoy5LJwe+5un8faV49XBRSrYHg0gpwosM7EQ3ge399hbO8qVMMY bG/RtSZyne50e34UXTIos96TqtHtwIACnHBnxykqc5Pq+ss/dgHbzfnPx7m0ihtUACjbgc6RNdnI k6fuLRSv86Drk+ndMI4O0a7oQDHwN6ewxcTaivGw4g9dg7ffuOoMhv7h+SdByI2n/Uhub9la1cqy IyHa8dSGn+D0x2y+AiaBY6IhenW/45nX0bpBnvhLqqTx4RPKeTXQIZrN+RUZdDrIcc/+EcNpGyNm lVAywtmXPeTL1UmUezLdRtAA6tAPb23wLKaHvx2BQvGDgT/tM4Vf6Yieh4aU0NlvwhHXjdQeejd6 /xlWZE6pWC9UnRIull/8OXM6HiVjZL64ZAdhNUUHNp/7EDqzvRhdbJBf7ZUtdc0OMfxSMFGLQCVe 1cNo54FIAQMuEluNJxoqvEuSZ1BI+VM3l8H9OnZPDx+ye/0cgkg4feS9+fvkhoHESi3ZmXRTOti0 09nbQG9I42nh36axHwZxD7TVkQ7H1rDl/MH2+iVPthFG/Q4BxeYRvCRZZVCBwgGcII8O+QObAYQQ WYVekobWT045MPUgfZbupdvwqzoy+lFWX0rB1g3iPz9F5MPzz6VzH55TCDJm98AAvHAqpufdAKmG 2hUIBPygCvai/YOCWtn+2zsRkmHd/e7VS4rDcpeciKAYuSKE/JxUvKDSblwTSAhmGy/K07TwZYrc bAOvV75E6RSbKCekcsnXiLsvoiwed10usVXHy4iunT7oYqR86krRD3eUYeZqgVwMmkSeBYvvO4XT Wo0qq8m1wXRJL/kOFeOxooIItfPH/bIUhmwOfNRrkbqpBFmI9eypOfUHCcoe3aR+4PpMoQLIKsEu gMQmd+YyqquSsUN1gNM2R+qo1ta2h32+2iOUS2PMKKs3IXiIzSTyE5Lkq6BT5GjzVgvCAgcthyKb zHh9dKbMKl0PGmj5k8adTPRLaEMx/q3Q8H7+CKpl0vDxOg4u4NuIQSyUJoHZp+0bdX3e85xINdYP 8BPo2DdFuVIshNK75Nl2xVkijYveYdPlWwAaG0ZTkwvBv0Z3hJqjIVSFgwyNaySTKqBM5/xS8XcU wncWT0DPa+DQb2lfVd+q5Xf76ZsnYDrGV+kLYUoeQhl7mKQGhn6zYA82gpGtGtshMFQMqGjkyIvC 4yvVZNhn4qrjq3QMyaxc6daQ+IWAyPD3AundSmwdhUAkWOGhm6SQfdSfhIpB+GjK5lqQe5LvL5JM N8cCqvJI8RMMztqM5HuCJhFBZGv/+q+nw+GOxIcoz2Jg6UZzMBqcnGg8s5Wk7UDm6ElwxeHGmAb7 V9eaG17dnK+/hx4glzwgCOo8VE/5OhCuqjeyfJm8srULBzkMypmZt9riaY1VQL30HjCgv/EqGhDW +mYGmZVY0XRxqYE/mNLJgjCzEacHDQ5vmJ/CKxyaZcj0dQK6FCQ3BMPUVr4eGVw0oHuv46AukoCR 1Zfylw6FOIZW9XbPGfuR9ap9LTWw8OjHX+rKW4UGELRglldB8aDyXOIZrWMY5FNpPViX4FxfAhZW v0MTAQPR+4J2Nokrp3n0/fUcGoKfZTHIRGAoPqohNNmeRFXIZL/8EGUjBB2Jt2iZmAJphoGTyC7z xjpnrdtiQrOIFmdwckKG4SZqv7U1/YPLK0LnaXrkWO3g2zgHyODu0JzfPX62W4EtRF+z7wH5MuJp wmd/fw87YVmZKyux5wUYqfVz4FuppQcn1DliEY+4UyDaAEC8X4hK0Qoue0V0U7m5rk9S9DgiJoSF gOTVjcBLQj/ckvuL5Bo4ZxYf9rEhxFOaJTbtdHkXvFf4EjUwBQAK79Iot1rjx990rwpbVOUCWepX GfGIvZJ9wcUAE6vwNDsR1WOnGscD0s/7+ylipCOfjIe9TvvuWbZQBtiZTwFT0IaxVaWJ5GiKyaLw AQDwpSyNUg0wtbfVT+Tv9eVg8pyPmi4zyre5/r8HXGI8u6HK5M03JbADabNdU1j3oH7AEapICsBb /WkVNVpri/A0hFk+3ALllpsnNIi4WMX3Q0H0Oo28kDdQFktJ7LOv82s0v7M6iCOi130OOhv3zPmG BdvWTpZp+lCT31F6qel53h7Yiv2cYo/aDhPx2MoN1g66rhkpjVLsN/d5q1W5n6aDr1u3hwE/nM4W +AlsqffO2cUoX6e7DoGEqVgR7BF0cAnZcnK1qXP04honXACVMn3oz+iq7U0pDAedzeLJz8wfiH+S dyTrHnWSs9Z7WFrDi2E6DgNYIPO2zTOqr07h2Xj9+l1SgIbBN1X+rkn9EkwsAQDyOK9jAO5Czu2o kAFdtBLuqsLjNgorWU8Tps5obXrAJRII7v5RFF3axlFyQmxdYwEcA4tY+E6oft21s1AbkByWdFHr h2HqZpCzdIEpck+0D1/A9zT1CUh1cQyeCJB2ALkd0qxdYRoQ+P9ED1+CoxYtI90S/9lWJ5b07QFn trwLbnoS0qWID0bS5poKZbLfdbUEm+9HwCq/f+Aqq5LW7u2kFWKYa3pnF+6B+Q5fv+BV01DmV4PT ZN5ohvh7OP6GnbXo7CzAjJCYXu4A1edwn39qQsr/cGf+c8G4UFk2dyBnZWpe8qLj5HiyKGf3w2vX eOTaoQnG07SWxSCTF7RWecF+CgCgPQMVVqLMsreFggZG/IwV7S/aqRXmp5abFkLOa/3oHj88wvOp f52TxsTcI88WskrteNonr6+8dF6sL08hs4V9oTgmBuatISkRBUs20Em/idNDQP+A4jQDbPRmMO3l yQFqjOoQ1mpQMkeLX7yF33s+UQaLKo+HH6QH6YctESMwauFUbotuS0OTltcuUNG9RhIevxBs3qEB KiKsgec+RH8ypn5B4PhqJ72cKeAJkzSc02n+gb0gJuE9LzyQZGvtqCFMvRJylCx5AY+lAvaB99fc TKCJmILAqCwehAokI/ELVWganvATTWC4BlT8huNTG9PCWGmIJtUOGTvCvVrMGCfhFrImSn6CYogN XTE1Oy8VIcIM37YA52ehUbPqkNjFG9lnruAIS9dKQzEeBEmscG7XhXHAYtIwIgQflIC2MQpr8TJZ l+kv/s9k8qMjf3ocoJ+/Sf8naWVtvEaYAj9f10VUEIAHUbkaYgQICWw9AIkE8rWkQl11z6qmhsfD rDtDzKRcVZvQ9fvegHGLBzud9T501z7eyvpTB6YMw41QfF+UH9iaSC10CMjWFUaa7aDP2DnA06cJ bWKEMQxjmXuhD11gIwe0Ryl4XwgZqlnAoqQw8Vo7TL9WdfDiw4xCOwFlPpRXCS1C00/8YL8MCX2b fH+VedhcjllmSc7iH1KQpd6WnK2UYd53PtwDmH3/k9NKrMPTpEhU7ulCk5wEaOtDFYhRFL1MeVOh A44VzTybhEIYed4M6xv3fvX6d64FqEXoN0y1s2pdZqtP51GcvhQU2xbBDbnDViPScfpDviMuwJnv g+WLjD3sFMrjArdvI5La3XEUFvP6NlOoKStrw3jRYsFYtvETYlFsAAzR4I4HeU3a9OwijcVvcFP+ mBBs/b4cI7IOcY/u7I7s+ROAL95yIQuxjCPj390WN1y7UsuYWHXkqV4OzBes7s4Wz2LgJ0q+aAfT l6LvvY8XCFkdYYJdAh0nDZ0hlxxYkhMoaAfUpP8JUHVcceRYfB8n9EOx/0MU2Y+gALxAk8i5HyK4 yT1vp81wicEyfXUc3bxGlqM/kgse3UUsoJKun8V/cM9FbsOtO4ah/pxJtE3ccO5Mg2t68U6X+YvC b6GuA02lJ6tEzfF+cxgW65maF91cTCB+TdIQsNQBip0a3p12AkA3gVdIulzptGazYIE0olsqCtvI W+HeN9VaJdmO5kcIXCJerecHkDuV+p8He6VHBQsxGeo9zMXOiBFdN7eJPh2PAlWYLRjQgnqVBm8L 5BY87YQj08jgkT7/R047hie8+XvYfZwbdJZzg+i003diszbhkpXiL/5YP4V+yGCf5BWt0sE8aVnf 0crmjJkfttAF3F9vc0JPnVVL2MKG8esueYbAo3dMKf+TcDEkULLktfMd042F6Z78IB/m+KSc1qQZ oVTEd4+eUE7bYtw6uOc5oj0AK08avAXKLndgTgacJG9ToXLpeEHIUJYP+TRSKt0JBKk3OgNulNYL 4HpOVFi99nUy75M6iEWqLRzp8QjQyQ6yqPPZ6636yq8QVAPCKLNbfaIc5sbs+k6XiQlajahyLxFv 98ycD2PNi/io7qhydIh88ejQ5Fis7PU+jYwEYOts7kz/tr58xIEdUZ68y5aCQC954rB8b1dAsmT9 pDIJwpJQzgf0bT96rq9QoZ2LAb53R0hwk1SIj4VTZvkdXgjVhsEeABEXU9wDxAwuc+K18yCusVUw +RvHKJL/MX1cc9KQOISGwI4eHW56pvCXuYOlJJBYu759bHaracgdriuZkXjTboGWi+mvOp0gSQBF xRW4HtGrG80rST2pHIYu/RKeOwthAE70F5tISl3vrfZ653l0W/6+g/AN0bJvj8spGTK+pAjbWALv /c8aXTU+Eb0edHRYFuafAcV4O/2pDD3Kt7sHOaxn2OoKSpcovY6493aOhJYcM38tQzVfl0e9uGjb 4VcAXxUdDV4xH9CbwkOAiB9lsU95Q8eA9oBGnJhG0xmfpmcD4wwB71PlwPM5t/+i7xlcKRWRzwEq 1u6E5nlnrmOqv9WOiqO9bkrWnUhFGEicw3XDo0cRZf8GD/zJpnGHtr/ZOeqUr9cW4X1xrb3GE/YY Fa7ig13ClU2SvNj0H3XCKa5PCfOY4g6CYPqnPNl1ArogojFxk9y4KMlJr/pY4CE8+uFEwl6cMTY+ /R04dZnmWslTGItv21MMnpvflFWwsdDdS8yhBN9nckAXh+XzLHWLhHhdAwUKgQUM6eaajOkJhMr3 C+ym9HSiMt6G6ZZb009Kst6vCsaO5hnH+QpjVvAPY8Mh4Qqm62WtNQ/SMFezAcXxN4/eSr2Rbco7 e4DJKFEogeKcxaAEd6to+8vHDzW+f9fwZNj1uf+nIa4yeNjpK+8kSK2eRGvSI4I9NILf4qlJQZsz zZstmmWIDfGzDyDVAcNK1yBF35tviTvxqOTFdTCY0cvlemC9voO4tKDDG2eUwmKbBtsmiXvWBLfJ sRsQ3j9TmzhRkgKray95VRcgq5V/n5K28h2aoRNvmG7krYBVR6LzRQ7IeE7kPmUEqxdMAXtdjghS YvoZTWk3Z7U6XZVMRG6Swmm3nX8d8b6ZTGY9GICgq2WajFKQPF0TzJfi30+rRjsCqBu0Gr7zsSfj 02EBMW5TSYa2uE/krEPnUf9bU9ifDPdstuB+d2eGqqCLIqiEsxtKRNimpQi02geykMgL3mTwxS1Y CdkkMqxiA99X5Y3LGN6rkdu7v+Gpflhoihf+94KY2pk+PSum6HWtnztltIv+ZQBuI6znrzf6/kv1 XmE0GqtL8NNlpMPFDyv+uSi3JsP4vMdkcDmX5DnjToDdq4ibF/gnDMl1fKIg9e+Jgp3l48jDVn5q aY8gyzwR7kpLXlH6OBwBN0QsN1/ttUNiI1fYfnKZ04eHdSu8LOzdDLT7HJzxf2dAKMjy8Knfa8Yj f1OY/1V2Iu5NcRWNbec8phazTLV4UJdNppA7ySpigAotBWpQnh77S6O3kEzykD/C+yRrPrk9mhoe EPffG09LGobB7xnOhE5khTppyXG92laHqG5ef/vVmjlF6V2uaPmEUzahEtoFzfwUqAwDLwiuOb1w 217jLhiaAhr/2QnEpe0gEwj5VwSiY2+oysuC8+5u0+sbcMzfBg/zUF33VYcWrMEFx/2+yLeFELW7 6XTtYI8NGrVqaRt+FyHZ3QkfsOVvFX7Nn+rdNLMzF9IB8Kp9N4PLBEh3QC3VolTF5OQuKN6eeHjg qwayglP/lYPH1vqI4sTQBAbOg71+1WEVEFn0OXt6Pk29w8NkSQOg3hsifKS63MdEjPU077mskI8V yQceElKO4LMebPtTAij4ow04YBdi9RU70a01DfEbPwPY1/u7nCrVWH3nklH8LveIt/omgbfwymbv Oq95A6Q5hR8B6i268r7VvIB9Z0IRriIYXpr99B21q2Ym8Q+nPqWKB8sHOWJWOV8WVRg2hRpTng5H 98/eAI9eQtKab3G7AL93aSsuwt/TwNwHjTtuZtCC4DupBmGye/JL/F7Ufi2gYTq9isTHK8mP8CDO +v0KxgL0cwRst5TviXskQnd33GFZGk2tpH2jANtRoVmyiGV7gQImfVsjiLU5i0PWxV9YB8b6bIw9 oio5bp21fogMG+rnQfWlfzgv9cJ+8VYhZ/5xZcVj6yM05Pdp9jxG4lIfNbsexOhkAlrdXq9mycNU JMVlB8ZL8ecZo+c1jgNRmouZIivAXkTeUk5/A0HcfmmM/E8r9qIJ6LDfXcIf8QJtKY/l5mXAWrCy UpzsdWvbLjUsh++5rPnvN58kiw2M6z8u76qFkxGbxZMGgtvAjU9E1VUtYOcsISrg/tLwn8BbW04o bo/49xzEf5DhZ1ZHa/GGCJxuG+D43vtxQxnxpzU0PJ3qcpMlE1gJF13d4cKBVEAGQ6HCnzjgLHPG +dlVMQOVhqLbfcmv55v6HVjXO34nBEo8TVDBlq5SZ1SsF47zeFuMSm+YcDU6lJ7ZbmBcfv1xlelE pNCxsaDH8YV1ingPWecDv5L4cY2nzVybQzM3ngonbvvBti3H7mWPu/t9pDaijo9CPjpoQs/ed4NE wIZ71cabxZCq1W2jYjRCukFBBdrup3S1/1BhhuYq9FYAomLGm5qhGmQjFxYIb4gRHazgfCcA6N0Y NBWbHuveLHDezJ656JqrLmLBhv7sAHgT5MuT1QFn7dT+lp0VknaR2Go1r5U2j9Vcg2ZHl3vo1kNO oA/vyKcoFgR7rSbIrGES8f62eLiyUZ+DjufH+0bTDxk3SaUdhJDEtTrK7LwqlfsTHcR7yUazB6tJ toLJNaRqCwqmZCJm6F+BMGAzElbwSrTSvY69tx5n6aymiIEhSkQOVyy4oddgkxhLAHbdYoKNaA+u w5wUPcO6MaqQNIjeqRgauYjtQE0CgW6aurkMD3Amw4Eu+kgjAZB3VR7ySktnhORZqEXEuRXiG582 8kybrXSDfyD1mp0jkFOBYCbD9HWqzODY3pf1rBH8pzqlVOPXeEThu5Xz8Z/s9Rzt/9lS2xkpr3yq M6pjBrDHYeCW6wggW6GmIogakDvljrgZJ/LGhMjKOQ5p2F2Gb2RASA7k/8vCsJeNhzkM7e5Zcrvo ibcIMTUp8F2cYLSkitD4SLh5Ib79VB8HdefA6nW/LMrYn/YeA2dbmV36YjSK+QiNI4irL80JTwp0 e1E84jPwW61ytARhAGemMXt1BowvXjukATv9C4aMJz0M9wjTt8NE1/dcvmWkqNfcG/FV4kVDWBF9 sZuipAEUuNJA8zqVlPOF8SWbswNCxktczWYRmeZcKzHjTXnkgJNO0bKVTzqPXy/9+MVHjA/Vogq4 Ph1Sp62v2z4AEh3ATsc6r84JO0+KCx+KN8GRCuTmFwnqhNpBKD4+37+IhKe7VDoclaJuC7k6CLkd k6DiN4rNXZnScXym9K7Krw8hFTFzD29fuT8YttJWGVoVmrfleyWZ8rKXNA4PJ/oxRnyPDZ8Qqow1 P1FWruUNP1J8Nk+Q/7Bg0uNLVEDGevYBze0zRlvUoEJgzfmGzhw536Dc6ORz+rbmGjz1hI+eC8rd AWWfwJHhcqd0wvPsswQTGK0tmXjV1K2rFM/qJH3ZcKBuoquRPSBobAkoRnTz+0lMKO7OhZt8t0AO 6yUjky+sN3zSZo+DS6Yy3gvYDeDXs8tGzXwTL57GzdD6CFxKPqtbpkiQzN0bVWqAvADKA+M9u+HA PT/w/mjTK9FzR5AZR6Wjzu3bUzIYIR2NkCqR5flwKYeX/pTVRneYOI9O70FReP+ZnKXV/roTl3HK j0GodbibPFygzUoOEERqW+d16kwVx87mOiRbHoBmcOB5pvd+dIelqqGzVwiFXNeApNRaRIcFXIZ/ /OayHlxgjmXcQMTMjaD2coahqfQzSAGX8fcmZIyTYmolP3b2/1oi1Ttpo0BeP7zyZrudIdOLq279 ixxJUD3xmT6/8TejrbHAKNySslRrn5isIny1ERtVQz38cpflQDkjzAw9CLNuEaSt47UHFtkbH/6+ pMSPmeVAhSe/hsEdHB5OkQod94Oh6+YC9oPC89PdMD5cspKlvOsR80WdeU+fgNJoa4TdYNWnloRy Y4R/RGjFOfqFG0rn0gm3mHDeVkKw9YKsaOAAHI+3DJS662bWkNbXkQsXNIAwtRUYNe7MXd9QnX/K YA59b1NsxoOgmZQ6PEZ/pwQGL/a97UUbvXnUgXX5P0vGWJM+lylquNnFcn1h8IUOg1bRwbU/+ok4 iMMvf0HWbCOrrL3JuKpFBqkyTuW5AtRmEvWqIJtLoBsVaROwkj4r2bD4xBV6apscSL2jDF7oaFbN bCkX+kyFyCRxTp1c/+d/ZCOiVhKj6m7YWvjc+dHK0Z9Slr2DMOnr23vKCQ9T4+TBZVfElGu46Spq YTaCeLx4zDk8xqaMYyjbaClqFuwBbA+p7BecELJCmnVcV8g+jHT7G2P0Rp/r96pyPUl+V764mCTr sLk//tQMLl6BrJoi7dBQQbDwtzjDkKs6xL8QagYTk9DoNEFtnYteaMuPEt3oHYchJn9yxhwvlDD+ olscXs+Vqbt62p7crGtP8I2z6qP+c9d5tynMv/aq2bvGq0+E5VpCjSUg5BlY3K7LeUQFjpEn0Qv1 7pGCzSKdtQmwkjOgiW5KoUONX3Z2XvmlGExs86FbDtUn2jQzXTovgnPjc6q7TmoZmVJxjLSL7OYZ KNTw7ArbmQXlfEVAmHSk1+XQlI3ICZXvu27PmpFuIK2+uOJc47nEKUl0ceO1zN4lya6PtVaYEhf7 aqIIkHO6LMufKdBJdnTwFk6xLDb8yKj+r3nOy2nOFQz4PjucPNX2HY8LihXeN4lWoL8MU7bndCqb 2bzs5LgJTBQAlCUoO15NR4NmWLRpOlTdmnJAxlakS5mognxzcxjVIrSUrDuPkhdYVhYVNpIuZmFm BZ8Zc5LugZowBjhR57AZUuLcMA9f60c9D1apk5FUXQqhlASq3oMySDQWC2AczLzIKifV2Bswg5mm Kv/l+jFIroQK36qJZa1LQDdsUXT6GVqsb3nT9N5IS0dj69OBo7tE6T2u4oCtUwzkzgblB3qK5F1H gVH2VNdyIcT1QVNE8sq7MA0JI/fVozmPI+dRAFOzbnci0DMTFoDdoyYoq3ponqpu3+fA+GNCAIrT jyQYmf7Wm/TFwsTChbp9DDsojAd61Qks8aPuJ2W86UDAOePdFOCt+gPC2heUxZ1SP37bEj4Rj2zo bXoDu+eLZHa1cSCGVpW/UBYNZFwN03hwYqldzYT211qhnon+R9d03fJ3qVbYG34q/850Y0AJLdzc BOPkYk/TrC37pioDaOZAcBVsZO0EbjDR0/X5PfBqI69EUPghOJBIFEGPEBi5DatJajQaHImH6eYD QR8GP6dP4F8HHEOnQu/Io2EVLSrrrTeGHBkDqsgzSuOhO9U6wUGWVKNNQ6UnY2pXsWlgQuDT7B6V 9GinF5ZIenqs5RTYDEZwBe1LYI2OA5jsXeS5cjvKPB+ud8rRb6GZk/KGdvospOFRzdBvksSAdRC+ 5cgyG8zg3uKus5TGqAX6cIr7MSY9mIZUrvzc1P/bfISvWkpkvAKucee8sc30fvwifbOkSCVVNEwr tB12i+xkqelp3jgnfKyTrfrK1bvoX7h1nPzfMzgsJQw9jUvrgWtuwYtLGI0cW9WGxH6BV8eFgcb9 WBcglpWQLeUsCGDpORonmYzmzW3T4MwgWmaL4CzPZmwmW+QcSrUaxpvkmZypXqUYfg3dnwG8KJM7 EiE7830+v6HDj9VS3gjXfBeFiCWOUbU7kun6QyXXIxuhhtEe3162rwkCXOBbmqknO4z2bhwSlR9R zRL46gl6iDyK3niohBY6NwWD2vWIkqE0Uce4aS6ZpAAxQQ9koTxS1YPTntCfTFXJ2tBsSzrDT5VL MEqNl7y3hQU6EU7z83hAc+Bg+3luiHk/7oj0nxHSAWzBlVVfWkO0PJTvTHyef+ifMWsM2YIqu4mW sE7KJ+OtOZlWaYUTVztx/a9UAGK+Q9PEMZyE5kMC0crkrOTLeqbXKGbk0TyVlREn88dE926nIfoS lhZPRuk+7N9qEiu2kjmQGIWncMq0oGswhl+aBf0CKdOx1VSizbJG58IAIaErqtVPJmVxN69wBI0F 0WNfHn8I5Ll9t8/fVgXOdi1TXNwCwjy/+73Dfu7x7U/OnsIaEpfiUjDFsCOZC4lGvtWqI9S3wVy0 bvrnnhaoiyCYUrrMxjpCXmWZW8mQWkKgtQedOmlk68W2TnuVg1lDYHtKtrHXyNApwJDIJkw6ef5d yTtXyP2gwUTAkegnFchoI7ojCMqEcrJmvcEd/lRtCrvhUVDnVBwstwcVwj5Mgl62pXDQsVs67kdS Oom3NbqYdtLAhxlw9q/wORnQhCKW7NReldIWF8TrmVYlulNPU/MG/THsoq7b04z65xHxJT1u1HCf flzmiNd314K5XJkYyBemZxbeGv+8NjFeDPWYKl2i49ICei6sjZ4Z7k3TJxaF6TsnacrHvwiA3F2S TPrvzJnc7sDelLVQc6HX7UZcIPSi83N7Y1ynqqlJk1tiKxFwOqV+pLsxm2BhDQwkA8zs8c2pvIU3 ls1MzuM5cQD5rNJwYAHsI4UP/TcuB0aHlFHAKzmx8A6v1ymeLuzp4qK2HTVl/48DiWoAxht5idcj sCDfKJiFFqhqzeV7X0v5g8tGnfpiHyE4veqqgARN9BGDcMPRtzXA9rS/mrLjR3O4d1Ah4FuFU6nb X9n2rWQZdT17gSzDlUt/Uc0yEmKZ6TP+82jffFa09OCbIrCzCCeAoYv+CKFDQRuvVdXtk/A22ghu WoD1KkkIXuIDLcrTYd/BkQ/iaNZbdg0GvYPfGg4lIS9ODxlAI4zOxvY55gzG+nHaO/c8U9U48uJo tLUkDNnfy9nWFC2tWn8NRp1I/i4s3Y/ZSJGbt9P1Qa0EnHbtxm1SnoCVdQF9hniWmKsnmvMMA2ve 2a0cmn0GDAenzgxjCiAhw8xmJfpJsTh2hil6pVQk9e47nQXcitVqJbeRPhare7Z/gIssV6U84Z7N Rt7avFgGfY2P5I+LWE3z0p1R3YDKepDoaPIISg+USTz/Ej2TKTcaLy1tyYPd2kbB3c2voWnqVzD4 NNUp+Awm9XF5Rn5pPbE6UmVcD0GJMGoQ5WpP95m+IcsRDPHZvRpR6uhnvhV4VE1/Z8ig55JzA+DY 2Cp3v871/MkGdE9tdixANPoz1ImK27XDtdlongSPqr9K2Fhtrl1BoA38on9chxQgYgrkzA8VaweJ 0MAFFmvNxrhEOq/xXU/0LS/SfTBsW3V3AGhw1WRVJqqa7X4cxHuS3Vr9m9x6Fh57libSroe2sZUr 8iibEPhOMfqGraYqExSSj+ftlAsAG3kf3SsHk2itKjgRzNNa+pWgOL+eNfItMYnUacdusprwmrML qFb8FubdhsNnR0uz1EaS/c3uVZixcIaE3hpBOKlWoDYLTZJ1mri80v1WwH/9KRv/ByPs4TGg9c9E l5rAJKWJkskxOdg7eZIOEt7481NnzpXHqbDa+ig2NPgPwB267VXV+EsvVsv2BTqpFqxLYxbJbCcy 5RRvRvM6z9RWFAycMrjxRY2j18z7vKFBFDi74F7w2Kzch0shh4+pWX8FejIKPuTJKVB5GnABUb2a Xjoj7QcfzKI0IuyVUEFwXssxX1nMyOKXuNDnMvr8MSaS3BMfR/yG4+U4DVaOzdEhWMzSGB+FLWHJ Zmbfrpwv3RIjRG9zBXBe5eOq9mD7y3aZsIOJOf3xOwYBbc4WLQ4tsAS/fVk9I2pNlmYE9Ukr9UcT YBeyuIeJnG3JQXdHdGX7G0Ua3z5RLMKmVMg38Uy3cuTJq3foK/P1jf33Ta7Bqe8UBabAC5uNW5Jy Aop8Z2634VZuWbXOrWFD6FTPmRB59h618SnViexlaj13wEHcL8KI0qjc7xZ74IfV5SOM7UgqfGFw zDGCx8IVB7ToD2fxCZGfvDxYxNKmSb+Qey+2FQIwGp6vrDAaN/lBNCoibtPDLAc9z44KJRfftk9F DJ2RkoO5lilJWDkYhnOZ16vyWga38DKJjyilfc5z3nxhgXDIzCiLvqz26qYXyByM8feagH1D8TgW nclVGc6H3NQIp/Mu7SkscnEGoK4wqZfEgqKZYxF29eZPlCM0Aqs6oARuyzIfC7ZUVYDSUV30jPy+ Z9kltL9dPoQdSVI9xDpBbD29/aQNUOmYgv/kqP9MOnCycbCh4P4eryKBusymC7qtkUMQPhQ7DT13 Xu6eHVPckUaLPxVFdSkG8f2RMCx6Ugqusdcx1a82S9QTUH28pnULO4Pq9QNldaVR0Q436W3yPS3X xJCuW1R2bY/e+kFnf6rtaIZVHEPMLEQjkTPXjSrcR3A/e8uayAwY9vDtmewl+VpYmRsIgh+20EyM qXoUwBE3BsiPc3cEW2TnoHDvmPv326ykuPjzH6OowBtRZ8KxKTia5sMWZbplsNCHfdMlPsSI4rqf aoqAaxmFmXaWsNV6MpPZSBdqzwElzTIr468I67n0n6Hwjz/n6nKO2ASnanZK/MrUiQmYYRVGGhJ5 n5mOvj0CR7YtfNMsnprt7txUnFB2K01a+Wsq94j4JdlACTIKqW+aiX0k10xeIbHi4uKp76MuQSQH yCTzoYi34m6EhlU03PIQmF2EBuHkHZ1h9H/jWlNnjKIvuUrwfqqU8uz4TmwRHi/x9C/xzXGcJsFj yU3Nvn/4vc8VTGLuQ2Jepb7VCQ1T1K/Fxm9WBaqJTaBZilyQuSalNgckA2KCFvObf8Ude8GwB+1l B/gsxnnwWXKyjM1ShCKa+ZI7hpQLGcLSrGBUHxziQk8g862Tsz5+NDRuqss1L/WLMMjI7gh8/mDy Si8Vg7055ZINVFYJ8jVqbaNN4XONxVRq/SIS2sU5Y6Kp4/WLTaw22FTEJsNmdbK7S40OEOPbbKo1 1NhvGXzZ1sdo24OYi2oVw7m0WutVNCFt6g8B2ULBRk2Y7o0WQpu/s1FoneP/lUWIY15uicC/sbh0 x341k+bmVhn2R3c8coYAawB3Wu9kioI+aDNqjWI7SLbpbrtZVIMmIb24V+LgzXJsahmS9EV+UnE9 R4TmfW41DEAKXnacY7Ql9m5QY8/gL8xXXdK3pUNVNjGcJ2azXS5+y1H+BBGPkK+OnZWfC+SzNO4v tP/h+2hkiOR72i0IXXiOYdcFTUKjV/0TfKpVe4jYmQeq84kf89D2pUiCMpWi8auPhArjNMAIdFen TWDfqchJiDlZ2qq15WP7qtu16/GJxmY55ob8OhgAFC07NlpqF9XOgQv6Sq8IHfZSMet6O5pAv+ec n+ZL8fCa8M2nUHRzweZpURReNUowcAqvcsvmoFUjP/SVsDCj71JgrEeYHTr/L3a6oEmD9QNDoUZR 6C9p/dK2xOO4WaLUmwxziarlzpvj/RjTd2xyPmsxj7LYCUC3faAErRwrRZ2+9dzrAlVRfy78qiE3 cyHu8ho94lHdmbnyZxBn6wZet4hF/vOIBvHF9hh83yEPh9jqr3Hi6VgYkyBRapKMkr5GwWvUCZg8 JuAZGxXeVo5pUDb8Y8nhKKfqHjo8cBh+1N960N77NMJmVnxPzaVLJ1NFGEAacPlTfJq0qKIYZ4zJ AdGbd0nRfV4MOn2gk9dvR+V0LzcCqMega2YBnyszyJKDDgvw31GJuaL57xwmLyjuPq/BU0BK4mnK SBmFOL8qYJirCP5mrbH248Tdi+0ubDNZCf4YQqxpZXEPlVdELG6Nifxbhf9nshoUMjvkJ/wdWNjC 8xotewZE5vmcV3gTweQq6dOfUaPLmNFehBqr/RCrt0GSmgvrrJ1E9Knp8XiizrbB9z5Fz4AUBKpZ 7EVNLGChzzQs3tTJD7OoTLznv1DMUjpWoBvp3Ukh//ranc+m41s1blvV1OLAPTHXT24bQmmSakV1 jvlXSN56pRkOZpF8xy5PZ/OqJ3RXcBNx7SU4vuUinHw0kAuZ/EvS2jIDM4WDGsA/94bKPAY8WEF9 5No/81ZJSFNMtVamP//YdLSQH5b/5mke285MDkN3ACM7B1kKDfcckokqHZSn4ri987YuHnILSfZj 0A9WPniDFpKTozZMY/4GWd3SjCX29jRW7AxMv1eHDJARzIz1PJU5sKNwX8Xkj8j6N1mT6Vh2qEkO dx8ukGibltwD6zI717HCzahL3ZBV44Z1M56TgEGFAK3KdAriQ1E2Jy4yzc4yX2OXyf/wdAZzND4O FNdQyWptAyzGtAmW4hyi2fIwFX4ZrAKkVV18PYXhysjXjosPr91zGfkbt47IZtfOWNORrlz2ELsK g4+ilDBOL6FPgVV2blF/s0f4QWfGy8MCm5+OygQSszuE6Jz2Lp3yCldBzAN3ojW1UNUYjpF1JDD+ d8TF/PZZeH8noVRR8P9jRTB2RgQ7mP23Tx2lX1E+yjVflPVasE/1hv9elSkM+XMN5jlHeGa3qUdz o8L5wmV9N/9uIdORjqZknhY0MY1QzVbHV5HVcqM/3BakAbiJZY4Ak3DKFPCnOCdC7TcRD0HMRGYv IvD3EAtyhBeMpdjnIu5X2WMdHSqRtVdYfUA0LYSWjQEX6HS11Q93i3gzArjLznwVJC8qiICV+at+ DCG1FfV6qDU12utKKDzxO7/v6+L1uaQMKikduTZLIdVJavgOy0Y/8nwq3fYEruUE1Yqeo8Etk7ZR lRtkrlkhS1pz9nj5K+OPUX+jWNoOoM3wksy34Hkf9a43hju9vkQZ9Sbvvow2Bv1cvN1cJKT1/c0g dc+98jtXhbdFIYqtz3HhDlO5xPeJRQ7dTraS+ucc3tHvo2yYepH5Wy6fqkf2qLa/az0veBXXi57E rMRWjyRK9i3xPglrVuB6gRmGtFmUb1X2rMZgzO4+FAzXtFegNeildIjoUh0ofx8NdjFH8iMWB+Yc i665aPW7JODKyKhGtoo5Mjm5QX08GpbfAw02HWt7vnN7mB0Q2dA6u68aq8Pef3qmtyYLSM111vGt +w8rtF0K21n4JZ+jRkiQLsygyxXC8ugQXXY3MWyYCpP6+bMQoAM3ZjggrwWXUu5CI4cA/w9+9oqf ql7X7VtknEMgFqVXLCAxwy11swNIKRYdjp7EGxmIWn49JFcNQ8vxK54VJXxKpsQTI8Bke2vbEQY8 qycRhPivH4mod4FilFkvqgYevJYwXonDRv7DVX0VY7oZuYaMZ1G8gbbEeu/S143YtB/XXKg4tfu2 4MBcjYrwe4mxJFFNuO2yX7mnLHp6ZCfNXSaYmBQT9G35XRh65LG6i9qY5p5yoWyKNfxbp4NKG4e9 hGf1OQrrJSo8ch8LzOwapkYLyTYtd+hhle4YCOa1H+StfzeNWvfO8ZtMpzIp9UX3bwHFL4WV3Xs6 Cj8TOohVx5PfQbJkCQ8LKToxioLD5/AWqUv/VC26Nk6t2QgwPNiYjCra8hQ44JC9/iLWvZaP4K3I JwTFxvqPAfxpl25aLn9Yl+GnueVwaZ1KvzC0xc2M1aROAjrcDkol1LFMEOMjBT9prq5ovpF3ELTM q0glpNhkClHnrk1d9O264/s4s6WscPBM9YhK+/vEy9jt7lgmmbJAsy5uWOvgG0NBjXoUXmw9kqOh SivpuMyHbAB94gJccKAvKCm9WmuwycKXe0nC+wVdophTjR9Ve/vNpzx9SkFjdQoLlrMYvHHgZSvD wUxq704MgWqXxCLGskWh36e7sjVkvj8XzdgKOO81LngfT26SY2l9nW5QiztffK4RX9Rxtbfg5W0t H096sym9PZk19Y7PZDprzQaNi76cIY7Ic7ZT4EsGVAJpQ/gF3zdWWEyvGx0hQb1jiaC61EPblVHJ EAUlpiElUp5CPCtj3JchyOaTq/2KCxCWrv1BGRvLlAzvqGrB51UeOua/byu4HzJqx5uscJUgw266 9YWgVG2zXmYB5cGnnO16KlBEwi8uGo/oSVtq4E2oSXx+KC6H7guNz8lhGxyZ13zpc4lqnzdXpDXs DTWXAy7lvC5COazI5wWXKn+ie7dLB/BhXBq7ECLNegM4xUxVCvShl5VPeoy21RfmFmgcL7o6HDLO +8s7pxH8Gkk4AsSjgDzYEg9fHLxdGP6/CQEk09WoVS+kJNacWwqwQmm/wfQXVnv2FnwdbijCPUy8 EN+vEeIH9+Flcl+Y+e9Ceybnd4W9we/PUotlGFHmWjPc4MS+p2yljWZRgY8L9WcxE46N2VG5O1Eu FTtF2dBmm+pIGpMxRGD21Pi8OO9xxtoI7SNZDnAhj/tQpwswP4GBKY0ZtVC5gvn22g+Ieok4KO61 eevv+/wIbR/YJMZQk+DvNQwGDI5pk4GmYwaXFEK6n3zCq2zB6aPafEttu/GQntiIYNi2fW/38UyL qKO0AJEbIrv8ck5WObbgUgTpGKhwDYihq2KBJbQtm05OMeIGc/MqvuxBuqLkjmJgKAERFbkIQQcS gc3RNIaXCVUYKoxeQ+huM9nvcy6Ri2nK/eyCbx3uvewAGqEQBgFd+vEhZ8+YmKxJRmVC34WVVBTH zD9NU3z2g+/YN9LmMT0W2MPlqhhzc9O8eu+EiFvcsqgd9kTytc/4fOS4n1uzUigMjTKj2pZMyZIM T5qKSE2BILI/ZjqFARBccoQ6Zxy6DGXkbX6BjwaLz6KXIQY80hElisy7AH628wtbq72Ui3OZVHbM EMncANxfgQoWDV7w77UZD8Hvd6H7Jl6btC6yAHzxG7UqFJkqJH1/BocPOPSRDGx3PQjFw576sWOU tnuFtkwAZrASjSl82OFkYm5oNs0FLOwuC5fbuHRlX4+dXumWEKkqBO7Vwiwxi3UtboTIdiIkpgJB X2eVPKfdHot8a9ok93bjrMqAf3xhzwaCKLl7kYb5iEmqMVuN6RG00vU1bySYc49aue7gzu0LUV/d U7rDdeuoWaMkzYhgW6MFiC9YnukdbFHbQVdsnZAz3wlfWo0Rx+gsB6qfIkNa7iqdS5tDEsz2skZs HAMadk2oerC7OCHgLV/2dWsJ7wy5C2ujs2H8tFUxln5qNPol74+R5MjEZCAF4Ht0VqGn2GhG0A4H 2GcZnWIGoj/i0F9z+a7YOPTpUw+Jyppz5PHIwyLKkqDfM5FASGiG56ed9OLWHczhRoEQegO7VQ4C KxOAPXcu6SkyClU11720lahS3foKTbMpZPxqOX72kuTgilURHswTsVa/NTYyIg2pbWqPiDts0Dj2 ixYMtiODruGVj9SNVP/FvidbM3dwyoASkbca1O4KxAw4AH7mBah5ilKNuesFYU2crfEItDZo4Mni fQ55TyjBi8mNF/AsA8tgEwLk0l50cxhzAwka8riN96sUBJUK5mP8FN5mL9pe+g6kW3+feGOFzfGl 0zE6onwuApxN2Tzo+OPB5CqICeEqH42pfpjnp4r0zLsFPHgm0Nqn1TEINw08PpEObclNo/6ftS0Z VP3LuUnqmOI3FxayVFIk0cxMNOnujcdpYRqMvPS8gKhiCaJK85UTuDwRlNFg4PuarxnQoESa+iJn ihKA1ooPdyNaTkyFpFVODOWdogyjnpMbteHYMBukxOi2A0s4m5LK+4MasPIrXtanS51xRjbk+E+k G6SXCUAGI0W/ImMeSaLXXBe540k3kMVEZoi8Scw2aia23muXI7k0wDEr4Hzmz3gv9xLUrHZ0Gt4h MwZPlDv+hBsGFNgzG65TFioAu56pZuNOS/eYsHoVtCZEaMXtyQkMhEXGX8hda/Al3L0umnFQImHn 4G7i1ADT65aJyZlSYM/mShsdd3gal34XCt3t06wYAkRRBH7E48InJkSSAhb90gBfjhg2y1QdU7Hz giBTQQ9bl/lTnGooo9BFq76Fygu2NENxG9tPA+rTYsMVlBz7O0uQbuX5kpCh1N5y2zNsZC6f5uKn Y2lw5F8JSH/kFDHp256sElDv41TtKTFEp3haGCxCWDM8VVvKyRT48YDqI8LpD800dBkT8BbnDzPu V8k+E3e3O30Ty7NTcG0G4eCH2yW7eS3ltxuRGBBhJr7L/iBrYniqbMl/C9PAlwHQzrESKf1MS7wk 7nmz3mPq6Zg/PTAzBxirJWbjLUHct83FOVnYOHIvcEubDbCdPor5Z1BFR/5eN4dS01ID+BPsK3wt HrVA2mEDo/EuWu0jqRYN4BRH/Crmw1rQbCDdNvg8b0fDMFIqSp18S305Fs562xqUTRNki0WUeGFO NyO5PhNejUk/OgbLLBLpFPYJUY0RFbQjIKLYbi6owm18jaRA9w15acrRk9V/xi3vqqfGb6WWzHTl Mmzj44pIdCazGc4WInbbfxzJddOb2BycQWGgyfHmeqtPOxWCiO7/jt9TNzo+nWlK8T6AY1aCDXtp vTGf4FfnnrauEd19122l+TUwI/XXnQJBz2WWw/3YI1gigHI4AND3zabyIUcATQkzC3CLrb8VOQAp daouIxdrJOqeWDRWKALQyYjdQqvDrcUEOcvxH2dQY3J7MQldqWDMqWBw/QBboZy00EE8c6CbagRU REfWJY5Vlnm3imHyET5ZbhwjMkjzbmL2JW0gHfo9MmJWgc/uVOOf1PVPXGDpYMxhO57nhSfpTDjF BeQqNpSAkNGDYcNDdJhNUo+IU6ddhlvlz39XOI+jM5r+gwVxqKq2ZHRVhOQSJujZQ2/eznRA+9WU 19FQ501y/9T/mvW58hVnrDsHlT14TKe0lJVidoAxfS+s0Co+u3jaJBvqyK5VezXqEN7GBLnKgpgP EDzhpVslNCS43HvWWqS1Ia7E2Eyycz8ceSVlyS/gdzxY8nw4AgGbMv4QBVMTorZ8U7pgBr11acCS OsZhLuC6RmCsg01lczuWH24etDk+rgelzw50CPcfpVDBK7Bec+oWfhAXT5lxUZeBX2q84c3kIUwf S45M5tkZEL+6fFTnBWdR20NlxZrW+kb8ZSjzfE/QJU5t42uGe680SDQNsNdZyiBqD0oXhoL4eTSu mhSM2NBsXQhNfO+ieme3kAsnjEDmPQCv7jr587WjKtKH56VaNtIhJUywXTQYJiwFFO3+jaKCr+gp ZMLz2wTcCxtH40DkmruSAL3j9mvUUZZfdla9SumGPApEp1wseY/YbnjGp8N24wznqpTxnSfO3qSs a8DI5D8mXvbznYV7S1R4mzX8aZ6ZCMPXrobdFMsHVsSyMDcTBGVw7AmbP+AsUElOD1+xQ8243WDx MIiyAapeRuk6ojvWRJ03d5CMNMX5BP24LJWZf1PCl6r0v86ESzaOWAFQnJg9p7T6zEUtQy9/h9kA HMpWZoQJHyyV0tKp4GAKm7KFV4UriKp2uy/ZJ5O5eKLOHhzZrjn0+GKpxUCCRtuEM3FLUmqa2I+Z zeLLhYy1iA+IFbCZv2a06YWNm3XYS65PL4zO87gSlFMMRjOGy7ziICKMGh6QaZ4eHRRUned2F4jq k34YiddUTF5w7u7SyhdntUMIFlOMS9O+MqJYUUcIUQ1dZzHMbyRwrsfCfncNpfSR06XNqrkswa8u c5NIadwTZ+cS4KjwEn3+JinWFmGL9N5HMv0C2ieMXKRcZdOvfzuqRgo5T5JuOI4vLk7Rov0gBKrI jyr0Yt1Nw8iq8wUPktdIm5NL8hvup1/FgMt6x6wNdNLaaLdVNvnUCwZ0pa5Rq372jRKwfBPwc17w IAWwS8A3wfxcGhAJD4psvHpkETM3l4YyCY9I5uz4Xj8xZNxt6rikp9aVADVMVz3T5ifJ/5m3rei7 Ca7UxKbop4ZnE6g1x1HVT+3xJC+7L+JWtXWBRcfjb3QUxeqYws5gGPIEyqhBdz1Ajt2KLLX1kurX r+ekuioroB01ndazKlAfBBQpb50uMCceBfuEUzUP4PJ1qVz52uktoAj9X9SV8/j/W/3W4i0svLM+ RT2NExq8gNjcbCZbicEC0S/6KB7ZN0crtPm9LOHFYrPRGazxNI48BfMhshe81wMmKlb7PkkHv1SN APaJv2MJVux2Wp/pzvmz40ZenNUFr2GpN69eBX6dxE7Jfby9RAYyORRN51K03VdpOkWNiGzYlsSU R62VSbj9wyO4tFYWjE7pG3pGAZK+cB/jc0KWpdiOP0BGVuEjFhfUthweP530ukWdVDvh8pYcTw2H ylGz66qUvQJ4aMG0yuo1BPjVuuXpbX68cL1N51vhArmirVEs8Xiw5e7Vnmboz2u/KmmwZfhYlA4r iA6NI6uRTxzOkUT5nEjXWKo1fU+VZ5oaCrSBOnS3kifde6PRX659nWHUNYx/matmdDGWiwERo6Z3 aBznzjWvOd5g+kdXlJ2rRiwVBz4e5zTTDsAFucR+yHdg4cy1LRaKKaIk5YVVQ2BPekN4IB4ye33j IRkUfJDXhPWbgm2+1BIMxx7PPrYtbcMykJ+zzSvQgtKGygcoCOzWxQ+ApvcTMSqQi/BNsSzuMkdp kh69xS8ngfkbXjQaYQ/1ZbMLi5La3BQzvJNRySzl+D8KiZpu7/4cLubDwzODc0BRLpaAY89vpaaq +09LUL8RHLqnkZNhDmMa9Y+veO6kXLCuM/q5P4QGnPxjG6fp7HfkhU7Z+RAlLSZG6MRW1kcIboZU bxGm7lJNhPBzk32ZYoR8lifLx+17y6/XDbeqE40Ax4Ir3ATEk2evHm3GOUkOxcBF/TkSe2l/7nLJ 97LYcsJVV6q3LV9LyT+UEtKVSsoDPV5ADNO8DBexbe7FlrXgAJKWrr8way6hB+C7Vw6CXFUv4eoh MDCj/z+3Ho6/rjnRJm/X+TK2tC4Pa9bHdBbcWN2oVXoVlCLycVvfvNXFZANCZWP051Nho0hQiaeW PcXXOY+FhIjWzbeMjnerHQR0kJQcnpXv/6AJ3VzuQc9wrYuNIooljm9XETz4xog99T8QC9iIhYhE FUE37D0ak1Hd69JdG0YRhisnbNPLu0bjObt5wxKJ1L0mX7oCAAzRIzDN3O/pIfIvfVrZ8h0FRuul wjmCvKTbtQ4J6tPuH5uMe2yv34D/tCL2LYXFGd8aVX8ZLOvgwl+ZbHe8bdXx3EnzhVsTQ+P9oP8Z wqGFq/c4Pl/E906gWjl1o0u+S+KwVBW+KnxOqGF0tQ4+zO20nLtwa8lEuFtpnLLbY/1NrTIdnrEX M+1y2foO9hq3G1xKSW6sBhvsc5dr5/8geXyHLjQZcJrcrHoO7j6UKIsRBBgi0rhWPE67nL8vWMgu BbGx5rjCLix3818Ojav5lm8YxLjMILtUhWFFOR9dOgvWHQVuJx6/k/02muvd/hOmZUXflUTV7oQw ISQ9Y4xd8fqGwWuNaKVa5c/illQk0OlrjDx555mzkphdMXsFBaNtbiSgRR9lodl8uKiXF82c3oSo 9yYmht9ZS4m/tpM8ovWG32M9TYXS0LsEpyXMa8398es3RSML9I3X8eyDk+06DRdlyuzg+W+1AEiw RQQ0EP/eb0Ot04mPWMob4dnDGQFg/t4b+li9JjiRHoeHRigAkZyMky6jCvB0M9PiixJQXtsujoWr rkJeygmxM7A1wYu6hm6aHmjN9l4ciY4TezbtyVRvywxmo6Xr/oh9IEMTo3eGPIafI8o+CJCno+l7 gp8cF4vZlWChotBWGGX4N2/evtYEYY9wgmfd5g2lB4RezikltmA375Xb9ORThVRXc3kzBEhR7ub5 dFCZxCgn4sgNKYKEIvFQFHqBAvPBJTcDkkLkiBNrxFn48gUXghYuhHA0FdUrnubaDfc7R2uTDNaD 1o1yJRFkTQQGxwnm6remus7DtCcOoxhJgL60Bpzwsw7Kul5onscsUOfQVNF3Ez4FEcRuQXHkzZcn 7ZBgMfPOu33PFcp4LrSXajVjzVZ+VhMHP8IIRCC7Xvz41QcGcvIGC5jO6fMFX8CMD6UVgoCYojw1 wgIKuWQi4T2/lPx4ImuQAtYK/fm1iUGl3ujviZKRhnO6kNdaOBlvtm1l7ryueARuq+VsmpZ9RSVO CyykxR3tvsAx3WmLUSkwQhLludxxAgeNhFqiXvZCao/DCVUONVdyuwG3reEiztDkeS6y5Brynx0H vpwtGGkSuMBtDH5PhAiNHcAxm73UNlp8AIvrK080rMUaItY6C8U7z0Q2EHDLKE6XEJTmAUwqznmE qsDoQgqCukHeqw/Jql2zEYuFhPVbNCuHlKGm/DAxbBpN40h+D2ZrqwJ3Fc1pmkmuTlDVIBtI71LF CiGpxLeUmaJixRHvb2uAiHFY2GTn4Oa4Bt/+P1CVkXWCkRpWI8aHFYjjTbn0J1PBW13Nvw6jvkTQ BVCBaO1DTFoTF93xAtVomhITu5gOzbeDG8tXXTek72ldiF9nnDyJ1r5l8EpjjrykVGYXO+YMSZhq SImiAoa6EQ1qOCtW+6mhSSqGJh8wx6AP2eenLMq6Z70wti7yzPyNM0p5uSLCdSyRUbUnLuh8XWXZ mYYg/r/l60jqSG9gdgsaSmdxQN4CbR9EsODYbig/h4TxFihbwSRerfOIrNnRQD9T6a+KY67NK7/u SS6gsep83F2It0KfbTucrlgwjj9Dor8krLYtFpY8w8uNZvkS62E6sRhovYtWrq54Hk4hBkyXSMou lVZXI2JOI0hXlm3wg8vF1xI0Kzl1jJ5jJ5Vks002N0FrPHIgnkkzpKXl7gTGbQ4coQdOFyL1O4Mh wMkjLuynsX+18CiD28dTmFilTKIe4oZzGSYfGS8vy6vZ+cBC2QAgFk2em/tW1wd7NF4Fhg/If2za LuSczedlesYw1stid57rnBltvRrinPtUsEM3uuNRncYjpUHM8IWm/KwwVMrwoMm1XE/mybeUvvFd nYVmySPMMrFOcrPaWzCn/JpAeNrGn79Ib+pOT8HOjSKs+lE5z8YxulUTgZyBrtBAqp8wuCBbjhhY lwu6u9b/GgFYAUG14LUJZpBDWcUVBoH+hLeBD0qjEj19j9QUiAQvl8xgFodHgR0EhbVteu0zeyY8 yxHVs5CCfP9CKmxvhAQEg/+fRlJCQzHfZP88ffMA8v+25XLsDv4KeLjoyjqLfC4aVR8A/MSNeqHw o3aaRFSyTLY58yK7fkVbnFlctP9GqcxHI7m+FGteNgK+Vx0ch2Nw1bHAWjIG3pDgDUnsehvxmgiL fVCPLfHbJop0bNkrZzCCMaeMmYmXaGCa56xlOPcCCB/zlodhsfPgadMhqXVbp2G5lkxFhXWbnUDx tgrb7SwKVu5Ex7DGbE7GORrqf3Dyh86Sgnfq7Q6ig3fIQslmeImBfx0RTnSUgV6qFjDS1Mz3OqYf ZbFzrqNqb6+W33D0bkayLKDOD2z1YlkQiZi9BqRcwwYGX0yoZi5L/nXuKKLtyo8kOTkK++KNt6wW qHm9ifA+/rkEx3bgtb+c4eQMXxhxgLeh1WLhC8cM5A6gyYaglCS2YBe2IG6rA4BTupJSFIFSze/+ iXSJiIaFf+3E1ZFyqek2MOYvTAHtDd/Tqs9ysYD6iFSvmmtYp7+C9CWpiDGIACIEtkhuPlmMgyJv 1nM/0OV/+2Dk2E3pNgryiOZOtobyPFmV8B/hUQB+if9DRI7YOhVZjMwBNLspfhNolmjLDnV36SOV 1GmnR08+5zHwb48hCGXQ5nB5w9i2jzywzZTF2srXboodTA2bSLY9xoySV3gIOcSIxlGzJvWbH1pb C1LQkIdDZKhHtSCwAlTkgHVASzam4BNj9AKsntZAHUeiJ+ZyXrrG5sTEyYnkPRp9tKftI0CR4Ky8 PZyGrL6Hm/QahGcAGMu7wvx2SH1Rhn4JVkER7x4RDP23nZIS5FkGuymKlprxUo9Kfr04bpZodArD KLuKzE3IeQSyfRrAchaiAa3tYeF/dRILByAhp87ojXX9rgRQW17nFpJODuKa/QbNhBneZp59rD3e 1u8w9AodDbpTKx4mfnPDKEOoPv23S2uzc4qsOVwlBx81TiFkZz4levj03ly6WRCoLY3ublCc4KYu lvLYPPYkoG630tgeJXzo/LDyWxSk00LfmM8PgXstDWu6ktG7x4WDA+pRGMgHfm7kF5VxbWLQAJwc jeUeczLq0Sii7IlpIGfcBvvAO2AcGqWOccBslMQJZqhouzwwjgIuY6CZQ0lzLybAX2PotP8L6Tlv K0S7UX02A/xeY31u1fvknKup0vvCqk8SbB8E8ABkyqGQNcQYOLoXByISodbgd6H3qdvNElUiaiTy STF03w4BFKogZr+KFUwFaqUwwz1Pl331htHY4MruQQZJGZaIOE5pKbfUn/etwZd0GHYvd+NRFBBD 4pN/fAGc5teJkx+SGtIwRLQUn6lisSPqDh9/kbb0fej0QnE8xqBqZ9oW7mbbcgV45sjlT/V3e4fW 2Y3pddYboZuo5R+ouwVU89m965JPUsSWEklbwgUBjgKifW1Ym3Ey0n7+Y+XDB9p69egG8TPd4F0g LBn4wTcs3k/pJqnMtVFRiRupncA3FQwEswurOFCDdRGkrWQSROQxuWzl21SKrPD+NHTwqACExDhN VUjHoH/hAEBpm7fRE9D76vVdbMHxR8nn44o90jHOX4rd67lxreDe3hpSL20jxhoDOvPg722xcU8o 4i634s1YtdDdwTfeZWHExXwSgIpedby3/jWvdlLrliw8rwLL7eoG4SlTUEiPFPK1l5edsYohe0s6 5sqI0XmPgk/udHKcEHSJH9Vt45MWeJ4EK58pFIEE/2sgX96+WIp7ZtomVO21wapludoAhxbbQJUD L9Evwa4xQbUGYXlLb6VX8GMzOSCpLcMBrngNVAnHIqzH3DxjiIif2fxWpHCKUV5q50ridMM7hNyG 5T9x1Q0Mdid6KkqXCdwOAAUZVvN3RwWXSSj6xPJC6MA6TKzvAL8UyQHpiGsfqTORTzaX+1dpdZor yo6fFm8lTUyLJ4eZTjfGUBoPV0Hfgf2FsTMsI6gkVMYReD4UoLZXcTAR315y+a/5F4koI8BMCI+i TAl2Q4K13vxEv+gI7+zNcg+6e3CmJBQ27SLBJioMN9SJniaD9AS4rcn2B7rNvecsLwZPgLZmE5YO xiBZ6nWrOLeBe1EBN+jrPLG8tsDAAhEQ4z+s4aptOiB7ue+gvHqnwdnqnYd1L12Pg/oxQsYsnl8f z7Ehl3dlQSEo/OPau2hUxI6joPE/dsM8rhdNII+/PO5tEIFlCqqAFLKg7s63BvLrAnMuq8S6dt+u Aqou6degsP5ncVjq8eRECjbmiQ28V37/CLhDo7Zhu/J2T6qcLJZSP6VMgGZ860Hi1BrqwdA9qWSt FO/DBMI9ECbDeevoAFIP4O0XaGpVd+6hhNL4IOTIaul9L6OTbftD/e1mVhHNs7+4iaV9ApinTe5h cq5OBNpJEzO0BWC6LwUSvU3OjcxITtzofdMYR2n2yvTc03yPn0C50PkeW+su72zXNzKvRuMw20gO h8QGJ7jX720alB3yX4xpWagA4tQGsBCFi0AsniTBs5JA48+gUcIkiiS8+5kCU7Hgc+OHZZzgZ0Ln 5783bmsu5d1cN3j/ewG2Z3d8G0nvzPoNSHBgsnOvA06K0jfObxNrt0lc8HjFyOal+ygZRkAodPTS MTSjoSH5kVp5cBj6GooFs1DkE/b8TdxjcOXukdMLxu8coQa/9I6gk4MTsA0v/GEBk6LjVVIaQDo9 wolF6yBejx9+uXib98pPBDXUN00DMZA6U36K9hxjUJssRXv5CQmmDEzbSp05vrkvdEjKHeOo1ez8 i2k73WwxbDDROkVS0QU1+qq0zoAtaOEaatx0khwjzU1Y0o4TISd/NsScaefuD+6Iv1fMiTQgi43g 4N77xXCobbZ6MdNS4QMJdORp890XeG7cWsPKCGx+THrnIE6rzBpc50BAVLdC1nRReXJ848TvpDKW 3Py9z+UYbDHn2zzWKsZ2P6zxwFwkdFnchpr8Q2+YsmmfQLHsVzrof+ttfHbUx6/ZNa/kXxcCMQAr HYngQDDkInAz4+CpPcgUShvKjuO2V8ndwapsybiOjcwO8ohu7OfIutXClqjQbRqmpgSCW4o3aE2D GcYrVxYC+wxFxtPqD7saoJB+EGAQVP6LUDPLy83gPNZSXj7tgM0F8tMOPbuS+QB21ma0n5FqxLhC RoDJGxndCLTmEoJ3UI2fqbNfNYK89eFD/o9EM/7cFqp1kMHfUKXfRjCGo3oc4OgLuyNMpFqb0ixv AtgiGQm1lOod0/SkpygnSepLShGQkqwF6OwEwphS3HBKYa05zULXOi2NzynR88vrtlqaEYIdG9Z0 wR42vqQSZD6wFZ/X1SB6j3ekfQTdl56S7Sg83elyQg4f5YSIE648ft9eeIOhPMVOVCZWM+N3Pg4U A13DS7d2WJ6B61sXzmqKGIDZXxWN7hK44KojnJslUPtLPjwccwFmjGjE0HIpEpmguF+1AYJaSTlp KibtQYVrF9sMw6qnKllwYkKE80FMFGqpR0EJI+iINwdCT3B3t/xvs8gHP27hqbV15sgGj1P+Ylpd 7qsFbNgmqDed5jC22J5LluxPPTNmpGtBrns4GW5ydfhlWXHC8qaZ7aLBRansVAG0cWa8lkbckCKb NtrwpJ436zqz0sFWXSEjd0XIDcIqS0Zg+Fmhqo3u+jSsW5P44pOkthuO1WdcxB/aEQuv/p8WXqJ7 WeCs5slr8pAWddjRCxcrGV5u/xOBKUjun4CpFU3GF1JBPg7zsF/bwyQKl+mE7gBioTOe4EmPVaAQ StZZ+UTDMFQq5kCbWxw4Ggy051k4kbs7cM6ZWHTHwLk5ibic8poufhVH0jSqOXVDfdu/dJDnOkBR pbYM1s28cG0PHcOCmKl5in0XvPWwjLyaocvgBLrblIcjgzOYH+eivzRwqGVY2S2SpEzSdqQY2a/K m4cLMr2ieJWcCCy9xqrB6pnkqq3ytl8hBQqGLZ8Td8qjBcxpf7btKtXbb6IIR8uzo0a4Q1D+6Klw HXRkBI9jxl097f8zYqmW89BvT8uam8gFRwIexj++R3yhq95+3ga0yUv6st2+d4/pC1Oz8jVkG0bc ZA+zW1KuhcLFtbdpl5hOtmI0y/C2OABUR0UVUxNrcwWv3rQml4qstzyrq/BldWy26nYSu7NTeUVy pEssliCdkUkxPJdHIfLio4jXz3Im71kKbqM2WrcnOHA2iWJnFqt8O6cqzj20Yfb1objgnyn8jelZ azaD62dPpDyP5w6sBPZhH5IzMOTxQSZ6PlUhpaXu6uMOK8vYCxxHeCY51HfZTwi+PQQIGrIHVuf1 T+q7We7Dvy96N8dHoLAQlKtxkVBNq4P855RidTQsTwPj+NEXA8rfVhRv43V1Guld0Ra49WAcXVOi H1vqBphvRK9jqmk8P9qeNJ9JKPW2J9MJdxipg08iDrLs+f+4162koDZXelzHDlyMB1rPaVUdAqR8 wu99lw+yGit70BD4Nh00tc8MtnV1wuv8kCToC90bJMSlXGzJRyQkat7p5viYD6R5PcT3UQdlF0qE i5k2jOrK2AQOdu8FWkDjKUekQ1i3Lj5nVkVtB9A8cpPQHMvlH64qlSSrelgdViitIAK76gAgMXOs bwrEn78n1T16GdH+Nxua19rtczLs3+8kYhtSUzWzvXnVoFVZXRELOfH1e3HWrizqDFb8Ijdij9hS 3q7ACuJ5TvPnUf/GGIpRS3stgaQkXe/doDU4wsUGIZuuPtB/qh6g19LEatagwL8cfGsxQBzpN0e4 pCJqHNxReIO2Rn7qgBKWmk4llYhYmm16NGxnwFtcuAFZYGZnwQopyrFVIRCrRQV4xYgawE7X21vS 5L440PkGGfFUj/ZfetWmEsL6vA387M+LNMwCbVkJibJ/eIO5Oi0hgB1JmbB7Iuy7y/Xf0Vr1mpL0 EhRZFrMAB3sFDtyMjObMqswFdfXGnlyp65WQRNg4A5hEtHK/j7LwpqMkn+X91axaOzr/8KEOgepN tOy/7xIeg9AA3mOWQntpulO/aigltkvMLFCJ6Ayvw/8DtbOyuRuRt8fF1HZfRiruiotk4SHQ5i+Q pBOkIThfBzBCnYHC9rp82Gazvdt1r6emEh6VsUitwreEVUz695icRTof1GcXjq6/e4tuM6NRbBdp PLRkM4k6ZHLqSQi6QmsnPfKMkxuc8dCThJ03us3Lk20WTjZI1JpFwdXDlQC2Ng09JTBS89MZpq5A Gl+fzUuRA2z7ljIf4NvBbY//bJIFNLjvG/OX7fO/VrV5HzwWUEkf1xRxWJsl1aiUyo3COpNMBA4s bQdMMbGyMOVR0glkyX2ExYODAu0Zls74tr2mK3mnq15jepSJJH5v0zDn5jV3Fs4ya/WExk6Lkfdn R1r830yB6ve2GTFI5iIJ6Mjv9hz3nO6Rm1gr3N17zAWWLJ9MY8qzZ1Lrfh42VFu97SqUNF3wuc/w TR9FKA51X8zzA6nzqUC5FDHBKc+qD78MHFZFfWVBybSQCuxstVqkSG3RDU77/y97lqTzbpl4U05o KvhJg3s9a3wxWWovJQTPaHAQEPCZ/qrR28mv97z56y2B953CtpVbFBawYPzg+j68sPdepXKDGqeu MkKiPBgdFaCh7RgeqXeO6qq5t7SiCdODVPvXTUfOFSnYGIplpQLccoNHKE75ESO5F/BCWQEQouAD lh7eSNidNOy5lLyFBEqDLNhOVWXnr79uMZB3RG/tTjkQSGAxeX/Z2j1ZbCyMCY4roSxK26byAiB3 13ImVOCFw7tgkwgbEgGwZKMDuINzt/reRpDsx9icRTXF8yuJVSx+dVDEQkbQFyJteAnhi4Ek2tcr mljNF6a6A8OSnMx3XRKaWdLdstcaepw+56+ibilIFgK1MZeUWggAZ6aF/OSNxNblz9hZbb9eG+c+ L6cdwbuChd0yn5odAYh8vqTnH7XGZOYdVzsWAbFwN6u8XlT2mTh55TuAuUkh8g9Pu/xrP6yhZ1Ul huU+mOsRV9oc7Joz02MjBl6vSGTzQfgjEUV+1EmkWmxAGRPw1gH9XfwqbKh+eIj9qE4YkoYxiaqr LBrVLMt608bpRG2FAtdHmT6CI1RdWX7EL7vau+kHsJZAk6NI9tLVNENeQVg+J5hnjlnTFnI7kKFN 8RKGaoLjHfO6AyhIbqZ8qtPqpwiTtSl7oitjAb3x93mTrY7ZkzSEQ7XvlbbmkG0ZQno4T+0QQHjZ 7LcQFJY8j0V8St5BAwQOfXGJ2c0JpYUB41mSrAE28fSmd8sfJwhjLv9wSsmKA85Xwi/TRsod1TtM ce2VTXZ5mA4S5OQpQZ19mTr/lGaxyPpFV5Tjss1c1SA8ILaiSl7leqAqHhgjPs+Va96EQ64oB5ZI cmi1IWwbCUOdc4jo0iPdoKHLf4UnLIevbFpgG42TuNJ19hXdWh8GxDFs2HLpk+GejX8OI5Jd1VyZ tMVJg1tZR9I4j4C3rp4qJAFTNdNxaNOWJ7Z+bvhzs/1fYDqVi9ENCLUqxgAqiO+q0ZpCu59ygndB 77aBcG7HlpE5Xqtv8V+qvEuRdIR41H+7QGw/K/sIPbG3ziNfN4EmJOmTBPutC/j7QpNozPM41Ohs KXumM1QZm8tau8gMuNtjMtONpje9nJa4j9pVsG32Bb4RvW4LqghA+YiGehQr09aSnRyo07ct98cx UiT1kS+UbET3gI+7nb49ei35JCAOkza6Gmdd5eCgFjR3TPXcZzaAu8/yVUTWahJegKIACz5vqDkm 3G9eSQTIE7Kjeu/AVIm9kwjIheR4rkr0KtSG6QYAGv5Ecb7e09AsfH5GSuoMvHxo2MUPGLnRRdu+ Vrl6XisAOmBCW/KauQ9RxYu7VTO4VMa9auLSlDZp077xhN8gEYHeC/wp3CFxw80UikOOrnrVxaUU wrpMOpQUcnqWIvP8OY5Wpe0e6jA6VwwFotJ05aSHw/osaypy26EkTe0A7KgbWQxDvInxjZhjbdGs b/M9aS4G6aoI/Znb3NZhMbSWgCyNJ7KRBvr2q6fE2Z5CefCwi1S1xUITw5risY257atnWBThZfYr 0Uf018P06MRV5sAL+AbDNsG2vX11ZyXil5McQkfR1Wk0F3/qIATtn0igRhg9uaVWrVXoUb+JgJX9 WQCth4dPpgGtni7Di6RqL+hMoQ4U1xtszMHESiUGIgj009sC/5BUTV63hXUpFPMJUuiDjnNfCvXt rP3i8i2O5v25Q/G60JTJt65Xjxjb3LKrmIP7+uZiJ/4u5ChNSZRgq6Y2UKuBfWSSgoEQpPM7EFkP HHgKwvZ9EPfJr5rQcmXSVxjbmaTbWFDJZ4RU8xIpDg1hMSA12CqrOQfP2MOwtT2lFsCgXH/rOZHr h87kGm4nGqmqjIrdkHr6jPRGor0gDeK9aLcygQiw6MznckqIc4Oytma6QpFm4e8F29yfaEAz57sE J4YhEvk6+wIfOrpwLdM3FdoD7T3hsYfZoRKSFEpTAxPJhcNB4gM1DvkqiuLOIkMxcfWTQSlmHh14 OQ6UeefbGCukFi4mPfUlNkJiic6fEdguY+vZB3L0l8oWZsjD0IyzylKuuaWTJw69yArbMy9TezNR 8s+8K/bial/szNkGRpr799aYc3piNqdZRb7m5fai3xPCYrk9RuhosbFotpCKooqrRAtY5ppgLE5g 4AWOn91otCMcXqyoJmX0+gnUi6K+ZBipOfhNxJXIkPO5aHco5gYUa/Aiv+Q0ANxWs5AQ84GIuk8D lpd12GWJiW+v3O6ITiB32xiG4j5Xvc0ablh4KqTGNKUJ4Yi6bq/WYEOftyM+rIWxYWUHDQgScz+/ RGqjd53GI5h0isJ5ccy/9DSIeLy/QpD1mtItvq8g9WVbbJSTxL99jRrdUnDsBaQwoFfEJhihJ05a C8LlMX4KT2yzcsyjCeUFsVUUIQLn4HpIySL5rWABCa6nIMNS61UvArqlt3ab0VaCkx5rObyU9ZwK Up7Z1WwSNpFLG9xZ0Ykp/GxQf3BzpBQEB5eip5A73weDKFy4VvzD8zI1F5nA4AcvA9Y0wDxKuTpR E05UAuu/rq5Ha2TuBb9iqJK43DU9Uwen/B0xbk9EKw4EYubezxkwxyZaKTrTwLE1IZAKItycH9An 19M43r26Ygh2ssjKokxChhMjfAI+oeUvArtlEgMWxnRtaQtZXw5ZW0ZKP7tRU1s1mzwaXhwWmyH1 3oCi2+dZcKE6MH09w8iOr0KigqriwZ34ov2EuRqX3cBWPki9VW9hjjNm0ruC+sHIcNmxu2DGvrgU QYTL31wJ0cplvxV7lr9LIiF4nkHyxSg4D2xpHnyVkoor9+poKq9i1zzdPnOvyc7lsb2U5emvLs6T /8Zcj47zJy6fOXmb8jpnfXz8a+UXoi3vlCGL9MReskepSEDRzNIYkov3QkWGAcyCq7eoIKZ33PIz pev9sCyIv7rg/UBPx9qR4wsJX32KoYzUbvV8CdBhY/mo6UqV4vfm2miUTwbH6YDEKhGNJI4K5CFH FX84enzFABKpKkZkS9DpoYcSmWCM2xksbnGLtgmxZKzRrQQ9v0ndBjSa7OB5HvmVRcGcOziThsEQ RjR0Z3Y+QnERze/7H+Ue4GYg1he8/XaWscD7B4bB6AOtcrT+aLwf0SnpLqCOMXmozwTWScTvUCmU zagSwDqGbr/pDB958+0kRqxL3FIZlRzOB4KmVapYf4sPlCIOR72l2s1Gf8aeZXUsxiFbjDoVHEV+ vcoQ39pFei9yko7nhb2ZqnAQhhCcW1S5qnAXPWFNX9aCe9OOOkZnGGn7380SRmTu4H03L1yGHDAp 2l5WYa+Aq//VYCkmBrBnvMoqMNua5kvVgJ8BSs6sEP1xcSqVen9rDb7qAxTpq85t3CfcySw6dtz5 iQkLnNR2b1KPimMNigzMjX15uFoLoOxaUwrB2sOfh5N7982SnDRKVKWYsFIkYbuQDU3p15L6aA/o DMOOMED6lARv4PDLUV/pgCmWk6QWrjEC1LJ8LdyYdSF0C/abz7NMxpl4+uXez1lYDj7E8Nkc0Fec 12z6tFa0PNRpa/6AFEhYBTAKy21PMBYp9Ak/yUDTUpyb4cxVbgC5jWw3zMSpRt0E/+zDsE0UYkd0 2sms4pkPeM2WyMM1ZIGAiyPSikE/ba5rTg3Tzpca1pDfj8SqyMb/CUnab3oNqvUaoIYKWNHPVKcz lVNx2iAFWPAjtuutXIQ8MFFKhYswSMw3Yu9v14sLT6/hq2/ySlpALby8ilHLExZZF/wJAUxZWo4J 1rqU+ClrItNPuRNfdJXF3ssYhSzPBUXlbefncCZCwa17tC9d9cmmgPXtw17ppvO2fqnwMUAcQZgf 6wsr1DAcr95dAuNdOQ0QvQCiEyqUTfxynI/dEihDG1Ndt9dA7MFxFPnci74fUKuAQ7pns91AsCAe t7WSUxgBKKt5Km7ngkBCRZhEymGEbnS4m6GXCBv9VEheVLreTyKI1RWUo9PHqqKQ7421qQALC/Ht y2G/MZP2CWwVqB+DxhiZsBp7EaJFDpd6qE1gpkWd1wpXeHxp1HsFFdXyIl1h3IuevSDDww6JGUMJ HWEtH3mqrGcwfxtjU9HjpnlddE806J6GgukDk8NDdWB6loWQ+kCF63YAOJgaals/0sulyJ0MHqMt Kj/ZDooiIpYwOCDO4Dxoh5mqOi245nMCC70d1rl/U7ohGCigk5iZi8gDCZP63azojXO7n61qExpk 7yDu4FYvdv3tR8w08mSRIxZ5pyY2A1brLvc+xO/teNKu6Px01XiXEh5T4sJW0/GlMkMwYvHQnUWx C2cOPXt8XIFxMV5Mfjs9CKvzuvpjseN4LcQ9aOUkG0uhkWgWO0LATMC21M1a1xpuxN0aEQ/bj7HF 2Xi63WypWvK2qdpVURGFWL8sVUP43UAuFasDVCFX/1jiMUslmqEDdbM/fhKDhGdDX3xkEZctnxYe S5npqCZL9RKxKkAk7DXfnPKJxsJIpnMI7XeRJyQGeLAPwcOp1So4xCS6hjmI3VfP4IrW7MVb8VAI VbfuTNaC5tZlU5mceranirsZnpdu/+RV7nbpxsGU0GDFDDeNpBhkFne9fnMWaDTIJcsQQ4HVZXxN vi2xb3VM2lVGLmri1g0NE14sTEjvVtkFzcte4nUpujvyYGS66yRyCWEVfs+aPe9ejGVl8fJ58EWd XX/fOpNhmiTvfNQoU8hEXltBmdGQ7WiTcyQswROKxnvZLjsDz8JbXsjWC8ai4GRNoiZK+NzKEmPY xP+up/FgZfHLujuZCbf+ZUpSQpe+xQY6QHJvzB5qFQnBH4maTatRWKNt774pPR631acnb94xyAI2 nYloSyXgwnwPwXQH39rlTqun6YWFdKXXQQVtySLzuklvNdU69I21ZxMxcWSXwt8FHpB963L3Z9xx P/o3ni4FhXPybHPzLZmg7H1KrqVpyq8jMBk0MwnTX0dZDWJ7r88Tiggc2VQQoZZx6E+EbvSJBuhZ APX3f8zfz4/lvEY075BYPm6DWa9Owi41hS6zvtat+RQmKOCXLP3xVqNZykzkZ3qSnbenmwrHL07x kiPuDmMeWVqmXhD2HgPVJk6oTeLVc6iypsKY9Y2Iswl7evdmVPBQm6CxiSEbGnAMR2mvVp9Jb8Vm 4FPjTUOsPOd3fgX0/x5tYg8B7W+YNGRCO2h10MGI9v/MkXCCnJSvDKj9USD9rXEz86xG+JOR6+a6 ZLsquqHYtgrG1Q4/XbqR1BYww6YwVdlABQNIFpIRQAEVZajoRVMG+6Q8NLEyJiDOBVZjAPtqSeJR M6yhzo46DLjWmkf4qrIA2ZBXvXXOGhjrfVdgOXw4fCUCY4zujhRpH2Z9lUGCYDF33Q+SKkMTYPVh TrPGvXtq24i/ys7zsF6KKcB2mLCirdVtuIVwySiNB4Fp1v3+ujh61Z5qBLsk+vqziJVPiv1/3juJ JXodYtsnHz5Ei+1TEXiuqhsBIEjVPdn+8Ckz3KDEoBmGttHJL1BdcxR2+Bbs52Tadd/Qr2iSrK2e WyQ3zpjVCZ+EkSZBwyNLD5/73TpVnp485F0P3DuA+S9XMeSqZuufmxiiMQ1tdz5xbyoeKvHyixai 0EJUARt5IGMWLLQitl3/wGgdSjPOJJ2iBMr1wS927UeUW9UTm8vXwe1YuryPokYoPX9zszCBDn+h IQrR+aoha/PFWE3ijljy7ZTI6D3WzCOaqAul0vz53ghqBrv3l3+zfzGjI7NYJc0e7LrbNvttjvJG W47BJRFlvGstSVtNwuItWfU/P0ZIaGeORdb8VRRS7c8Zb4yDCHVqxJbltkv0EXKMe1MzCRxLRJ2s Dr1TQSc2BOKa1sUNpNt29Su2tNW9KWdW0OR2LddmAmDuzVlZ0+sujM/0UQnyDRnEY6X6WB/UA97x t6RgUSkxTXurDB3gWtz5n1cJ9wAIP5iotfeRseVjDSwO5yNPL6hsXGFzml1XgNlPdrZXQz7zARAG Z1W09NFDd99oLLgqonCHAejw7gQjdk+X5fyTp3+QFF2GLO5jNKR8pmXJoma0LuRGqgef32OtSGN0 zvJwZCYQNGRljgXuU6HGOvtjX89mH47AYDm4ueq/j4G4KIVuEZElmfjLN2HknXE7OVwOEp3ml/d8 Uzjsdchgsc8cth9H9BZAazP6rcqfcCxBg60mzyJSR+/jyZER5JMSLcH3O4MuX7g6IiYF20BcpYz0 oKxJxErTOm8AkDMmKP7ENgp5Eti/OlGujfXTLstn/FvqXPQPhDhmRo3WbLRyxg6uwfRUTaHYrHRa FftEBcwI6ja8L/GrF0tYydM2i4BekQcWMPGec/ci7vCF2aNHiL/0SwHimLWrzZmJl4krkCaiejFh a+5ZzJj3fI9/JIaCH3W0jOyA0mRAGr8AM5/UzvCICU7GIQuWSqqB9FNR/7rTfhwS0dBZ/K8+uIbo WMJMIoPkRcXumq48IsllXDWSxvwDjmKWKdmQHCcnWubzwuQ3YXapd5VAiVGqb6RrzyYNdhKX7NFe 9FJ0ro3jUVSRXwYgrG3ehp+gjGeo1FqddzsxztCLWeqZ7YmCno+96C2TGyqqxV1tZySrUWz9tB9p cLzGKOOBNXAiCXFlSN+9v1gtXqhmPQ9sWqd95GJEL+GQZ1cI/7OAE+qHmAg0jpp8zC7ngRi7gqak gqKZeVAoGxQWzcjhRg6WFdhwOVHrOnFIO2K1iDet3xuR1xml7yIi6TRf5TxtKRXFeR7zd4PUfCzA d7NoqTffitzojfCTuLgOU9UMYCTv/NNzS/PGOnqIO3Dlsqn0+zKFgH37vOlUGd6xtLHVQ2k46AWS qzCv/4nz+n6iXQZSKowwLb3WyfkUmg02V6TV0/htvgOIjy2E5ObZCjhopC/IE/1GiKJWuHz8xp2D H1zhZ2NGsntXnnN4BDhuRFe7SMM9xq4R0JNgSYncalPQuvRsEiWjVxWHNcyyKTp+O+UpuuWQnMg7 6XEVw2lOREV/7LCvKmUaC4Rx7hJmpKUgR2G6sgDjWqRDQXnYdy+1m6fEzwWui1xL86VRpScHMF7I IAbDHv+spyBZsS20XmNr4/TfLhXmZnYVXg24ivfJybmcO6iSLn/KNJsbFnUMNSenOAWg3rieDDko tVHpCt6YpnQvYV9bPBt/WPJo/GPc3HRgn1scGy8BejKnpmbrjCeUiF/fSQQhkGBOJN55qhOQwV1M cuxDR8A5px3ebLLI/t7ZmqIy6FA45jUDOSsydQAUFEpz4FVdF4LJk9DSEQrctfjGboSBPhJxiScW w/X6WygbMewhuOcKAEMLk0/BlhQCurbiPxQtNr1HF/wPOEoJFW9rWMGam+H+h/qCkKnAo37R9VYz e863+9U0rsER8PJb0zilTrIGapQxhci5WKsFObxLrLA4xP1QzhQHDWPqd+lOyIiNxcSWSSS5dGG5 PssqZeTal6SgHGWNciKNIB1a9IodgebB2VZQpAxcU+Wo7GiPN49TkjzL3FnHZqZADK0kusNSchtv st7iVvasxb/A49cxZS6jrcuKPVHeAIOHeHzQm/7Dw8ZRp3MTnsGeSpKTZz2Mg8YwGeobwuSU3YEF aITm8zeu8W01xpCa+meOCrbMihsA3oQrlcIHlZG5wTYBV3p3MtaCaVQfDhdPzVlPxKhqaWGs7MCZ ocgDsB1MJBwZeFulzQ4JBpRuS37N/tvQmkOymZq3/aPGB6tQmikJXDrFL20nx7ibdyUJyqGLj4O8 nF4j8eX47jH4o8kSiZe+EZfPVMtu7HV01xw8C5NKNZQnAXqWJ3NYoFOanTyanksW8VLHiB8dfxD4 ykqucwzERmNKkwgqLGr/N7nZQORHdbATmzbu8vDaJOaYhMHOzNWd+wlN4370/1au4QaQc7Wd8Q2v 4axCDJWqxuFhvi8yAARbsmckOv1slSSlkzBIqnJdSYJrST0ZWR1A5EWW4eA+YreaI2UZUg3KgAcN axFatKfnryXUOQVuc1IOdLIGIJ91sKNt73BfcNTwR/Jxs49Amq9ZajCHwg4piZvVJ6NW/PCwCHFm GlghnqnC/h3Wu12PEqYLayreFkfe6q81zbp3u5YQNffGuCP9rvK1A1Py3pK3kxVklfnDhxSyI5xH 3roudfFmQ9QmTmPaVRLeA6wRGp2uwv/anUdvY6xMVU9ZVUcPperyy21dd3E7nq/krdih7SZhCqsk pz+Hb8HowoPrnekI+V6z+35BR6JQyGJz4oY+o/KyDKwnbiYlGeP12xHFpVHNOfvVg/qWpfgMnzJE ZeyWt3jH56Jp76brtK3684wuV3uOAwt6Hv92VJzMEw+jxDhu6Dl+tzGNJHiqGNtLoOt9/FjtlgU4 fzYwQ2EIicgDFM778TESU4WhRyRlFH11ZUPUSU8GD1y/r4cWvstlccYE9inw56aP1kyWzlVHM5TA RAJiMYIMG8j2PNJL+sOMcjq+3QM/po9RgGRwpDlHDAWEPtIM+WR0B9QvXo3V/SNnU7t6rFQOFE+Z fwNn8/PQvJ7GMfk0V+2ZmPUcvJ7t8KSpu6PAd6z3mbuPatwGeyqS8GOKcfsAZ2Wt6snUDVjjcKgy Z0ifkBf8tMGn5lD+5RGBqhDjCMQ/D7Ephv9So0W/npsyBi7ABi51ZgN69QHppw2L/GQ19P2WZnSE WjjoNO/ZWQtOqGLWqHpJWDok0oQTJsu9JA/OR9wpY51TG+92qY5dyQJ1Tt06Bw3Mv/7wyLb7X9D3 huGMTUBltN/sX78gY/+qCs7hV0gWMNhoiE57tJ4+4V/y/S8JBu73rooJ6A6Tulrt0RJ9snqZNU4r 5w5MaxruXAZOZdWopDFMcyKf/O2mP38Sb+LsJIgbb/Xw7S2CSZ3P9mjUTBG2rLJUuFHNbc+Egocd eAyEhiiLdVfmNBqCCttl3dTwOTyER9aqLYczAqoBUo2FWlB5ex0RpHiNqcTi/YAyV3VaFCm5XkkS TasApODYub5Vwi9t3PSyPKTS7d08gw3l/cED8Ngd0z+O6an4pTQ5r91DWihLyCJ8bbayVE/ahYBt i9AT1NayednWGbcPawcbD6w31IBbWQxDUD9npi8c3dErDaBC4++Xqd2yOEJJo+eKaFIe/efBfEzi 99sOOGzU0yIWzn5RWeKFqEuAeWqsOGOCqdPCx4LQWFFN3BNIfJAGFSMARmH7weO1z5x5/M5tDaiF SeG3POUPCPPD0pFyGRkzgc5z1SHTRL9IZlS411UnRu1Q/12w8vKWxRrSUawCb0kim4bOpajf0h7D gjqBF5zRMM/RNRqQuN/gOae6eoB1HGxWIEdv+KsYRthoA8umenxvF2848xGKy4VWIBX3HHduh/pf Y4BLN6ITkYM/r4yKvHvus3iCWHF8luv4CXRROxpREndTRauj/McY6FlYxdOcQ67sRywjY4DhQTvx E1G/1ro093wtUQOm0K/6sGfHq7rTlqY/pQYlNrkMAORbRZgkHD5tKxt76kB7RrMHLsevSyvtU8ZJ 6zr6pyEUyXRYt76tCOYp+ZXtP3KIXsbRFCHCoQ7832hfGBm7E5+mRlp5aFefIKDTxhF3ylzB4eSB RdfSEB87idINDovNiNtpRVifPb2bgdvuYKaK/EbRcTAbdABLdzI/z5KK6YOXZ1b7BZB05P/8tBPc IlZF31kj+/9hGc32yi7XHnHaWqrawL666R/uGNnxW+dtrsoPPW6lr1N0JPqN0/pKxfOxAghqHc3G MJthh4ngdhg3n2uY0LFfYFIvrqgWeUVLDFsmjvBWtacbw+vWLm2eAn9DRm7cyaQY7aPAGzL2jnLu 2smIIJp/+73pyZBq6ComvRcrBBvM8Tz0Rhf1abrvLEon7B/868CdI9ic3ehoSNoa2q7EZs7WV46Q FszHy3B3mHIdiTkNOJpFbNxl3cPlzKNaQ9wazsJHR4YsM4w6+IWfTL6tWPKGzr3SPXVM1gnVrp2z 0TtXbXTs5IYicjBBUtJ57jHrA/lYz3JFhUtTT7YwpCsLMcVpT4E64fP8/OuoDlO8vvgKeg11H2M6 VC3AsJ/UZn1ey7t/zov+io9N6/brbxVy3OIZTGPcECgoFIninc2K/QDZqwAD9IKKBqQQb9u1q9Fq 7ibPyugpPEY14n6pk0FItSXXZwN3fwvs5iOG3jWCkJyVddYJxeoxX2142s1Le9ymqtWGNSSRYHdw ZAp3lVIy47vNIWZgYQQjhHx7Lic3G3x8zjscGjLyHOo9uIaELLw4lcQ0LWbI7c8MXCOg/WbyqnsV K4jsiL/VLgC/hA5wK5OYHSS1r+0kLuRG+i1AKkcaVDGRpqzaaodfKpA8xHClexQIP6STjzgJk5fX 49ahSZFrmwP5mtCykq51wM9Fv3jA712OOL3ma6ICeorYcSnP3EIpwlfBsN638hJogXHsd5DiKlae QgQt19iPua3WDshvCygsnxVXVPTli2Amd/VeidTZUSqpsU+jTKXm6kcPqyy7f8wQm/1IDjKCq0AQ U9rhYd0HtaDmDEmhsa3EH9vt16AGDkAL+2StfmyywCHuquajWvZVXUgyrf+zmWwqoROgYhdnwO9I tM/iM1OqtbthVmCKIkHrRqJ+gX33xBFjX562cIjPl9avzGZ/CNyUX7J8IcTOD646Hye4a5Elyfi3 PQZzWTz/cYPr4HI35xcBvTM8iZ8dEeOaw5XGtIwL4jcIQEVY8NWVOy6bSPaLxknWv64nhuGmOvvs wUTim9qlgHi7GPDtNX7QrS6BHI1+zDsKzjt+r1t88DNRL5mdnythD7zChDg8hpZjVnXMOgVpf6BR rvWkiWkIF/x9T9fx17aJULltIGf2kzVfxRIlZ8HYsB3mF627/Xf6ES7XqM3Z9t/GKD7lFRV+b24u 26zIYrXy+OpZ6ERFOjCAJT03AbkBVf+DR/1lD/SWalyfKKDJHYG2mM0jUGFkM67klZijjNPJC/Dd f6I6DPq7G5zJMdt2znLpgCtj4e3AfP60Av4ZV4ot1hOwuBVqh9bylBY2TQ/URoXlq8vGIGGw4hnq ru1dhm3wXGIk9yEgHTitZD0oJ+Y7Guo5nvjhym05qHezroxmp3TG6KanMPjsbhcQMGU0u4PGFzxz n2HeEP87k9eBdRzM7ExTkzqm0+fcY6/17aOqJHUKXolHAwtKywOMxrgOWO1330LUPcgYkBIQFlZ+ bFq9HXJrk97n8r1NoI/Dxe8PvSaqckIDMPK3j3ehKGosIDumH9uck6wG6UNCGc1bXKBr0oKcSRls YQn74KRAWFiSkey9o2P9RIjFq3lGJhv0YM1ySK6aXQjf56QnsqODwkdt//3jE3F3ncIQPO6qk7BO VnUg9DdBln3OOKodPev5u/d+0Rhhrsz2tkJZiPuvP+pyaY9PjHYn4QvfcWPJOV1n+3rzubSXCNG8 dQ+6VyODGvq7mZCH3T16GL681QtwEK7gXJNj+j7kbuKcG2Ux7O7uD7fEvEfmstE7Kn9rjUzgQIcb XJb59QNsPAepEANPx9TH+s3AF1iFsY2zCx9WEHQluHjIStLrRFfo9j/AFxunFym+i45+D+DFL5KW mennsPUl4HUqZzSsTQRluLpyucxvBihzoHcHHptemtjdE/XIF0WtYtLsfVeVnqMeOA61Prb1cJdC wnT1+G+v2DFiIvfBtbHXffo7V3JE6sm5lOxaUvpU48+x2CZVc+6LugVlaewlqG/IViv0ffK0u9XR lafUX1tcJubFdfzm+yKdMQIcGBNNygVnSH3ScQ+uwYC+HWADJu0qxOAxyUO7yq2lvI2ZL8+vZLYV uy5WqUf6VofNd9JYpf+IygozuK+Ax5PRBpNK2Py4sgkboaBQDT6sgdpJlzXuSRg24t1aWxYXF1+1 vNRabmmbJu3cHcpHjwhoN9vtPRPxIxvno4t/rH+hA+CMEVG3X83BH65VlQvJOC6PfMFlL9E2GXRG X1TSH8jZUE0rKBpfrI/7taThzF0KsDBR14lT4adQRzu+J11FTy6jlBioKbTZ/WxoiM8RDww9PrBx B7Yl+0h53bz+ExnnVIRNGVAO8mfnMrlniJoXrp5BWUN9XkQS3KkGhau60wR+FFPF6OcoBnGXZn93 M08EEMyIlyPiRSpoo7uJYtMo1GU2N8g3MT0KihPFKhJiQ7vNe4oFJ9EMCu07ZrMWYGrf/ZbtHMCq MnjDIccv4+NV/j6TOSFAoTlWRFvmN1GzuZDEbsHsK4LpP0jD+4LivZQQEETiAV/zuYmLAQseQId6 NoprMIGCXo+RiVQXN0sjrygrwEbYlza8xsWMDtSQhHPByQClE/SGo7EGxLex2ccbv3PJ3wngs0E1 rI/EChu9tS2Zt3lEAx8w9pAd4DSYv3pN5+jpUjrVLo6fwB37hJRHB+Rpus+OKWZVyfWqp8OjOJAF NDXbWzdgxeammlUHi2f4dkO880hTmV+VgXGLCAfaLnOfXVGx37tnkUb6nK0+6YR/ZGQZ8LyAnjDR ou6b21+2COC3SisltcWdYLwpwWE319R1oo+HaNMo1JPAwuW4/VT5+BbDyvFWb7BIiuOdn99qrsnw d9GJPXs6aq3cNW3eRtJ0H8BOSOYGVUqMczVmz2FRIEgkHjCf5kKdXGNqa4vZeoQc86OIogUbZSA9 bm7RN2sCoRSLNLPebmVVhTAEsrNvmsXmjOnEKhRPTTXDcXPB2y5Fy7Q6QjtNva0pQ6yTJ4Hya/eu OfimSRZHN9QrWZ6nMFp9HKGcI2djaFgYX2bslaSHx9Me6XaeS0/5zLbHniaLYH/0X3xqsqFmNlUw qcekYz7QdgZ05gLvf92Adc+YNqF+45mvPaMEKq17J0mwnt6iY1/JlJiyVDaSW5zeKKDRehX41dd0 3cO2psHvlNiSJ4LoHc6xS6MeIUwQQduasIkv7ycdiIF/HCW4px49TH5L/p/aZM0IzgIxazTWC0RA w0aJ9WAePPKqLiTCh6DexYbnJIt4utgBHV7cQPppNHsTJUIgbtXfSOeHMmFtB6JMX52dJX7dhy77 z/v56Uv/ct37bIAGNPER+n7ftektsA7yzmb9/voq5nFfwKn5RZ07OFmwR+VOESiyQOP/RpxBCsZW DagFIcti11XB9FYc+oXf+o+oqSkTRNKbzJfIY54q/tYV7A5ILlFgzDOb2BJg6hYOLQw9IbVXJnZj JHDyLmUqgDbNgWZzO34o+s8Lds02GLzZBtS55IHdfvD0k6iPju2Ne5IW8zoKFydvnfJXVuuONjVl lm7JnhI9HisdAvsk1+D8aIfGyd7WsfMyrSYnTQxy0DwAFUb+63LTSm9eGQEGUuh3SaEZgKmdtxvi cHpl0tje+BZ9NeOCqIQsSS+t0bTCBAg7Hh86jsBIoLun5frPG6TKpRM/tGaFyPdWgLyohGyBYmxD H2JNRWXcRt7GZw1/3mYe9wMXgKU2Ccly3A5WHUAH9+ZKt+aVl5PvPQJIdD66D41ykF2lBeq3r5YO IizV6rcBf17X66PAcVytY7IVrj1vTyq276y08tG5H6xItgShDGAJv5fRB/r6EIZT6pg2lotEoPZI fT4rQ3/YlLi/biSmtMqrleY44TdeGv9itNDs+6ejPpGiZwa6Cqhn7t6IAatue7M3IKMLl+BU/If9 wNXZVVroeFHJsFRETbSEXaTCNzN0xLPVNJe5GjgTxAwsFsuZoXjep4FEwRejZm0ujjr+ldB6cvAi FWAfkszU9KrAPndzJCQjaA0RdatvWibQYt7SeaP++2+ZJ993SmxaCbt8xsv1MRIe6ks2ng2DHcOr ncg+leELJWdweebwUU6hx5LF+6OZ+NbqsTsM79B1PpINxUgAGAt6/IHMaJhwYZldlL4vZqgUrNmY BkkNeinF8GPeRGUD9KgnECB8dXqJ6thIyx5ckOMLeL57/3s4tk5BfjgKq7myvFLhDzu3CDZZNlaA /hu4T5wMd+m5H5X/Yt9fLb+dUavOeWMLuDW23iximvjxPn/6UXKUld51fdndNE5luZVGtBnoBEjO cfTtOuSQk31ccEGi/SdIaQCelL1QC0WpWhW+XJ4Xs0eaoN9dMhWjK/ybMkWcI+n7w8RVZUSWtxAi 94oUfxBdYrufylgKk2UP/dxlCaM4Bw4d3KjQCiikHmUdVj/a4luhh88i4D6LRz3ti+nr0q0dR1Sl Kpw4D3NfbuoM4hvccrJuhYR7Q0e5S+AcKJ61NwdX0ioTErCylYaCvssI5NmSQqmAyrH4K2Ncf97q RZm9ggaa4ur9yMb0cdypUr5MHhLgsaVQOM30VYCwYrLTX3JbU831aO0aUMJDjL1FqNF1xTpUJ2X5 KCjM/5D9lvHJOnDJeRa/2yz4kWlDwcIspQSewIx85Hc+Tzi7w0OrRA3m/0KAjb1/g84aUu6O6dh7 Zc0lg/jVtkzoNyfqcVOhsxu6oyR/1IXVJ9QJ+sfJYOEo6ETg4Fv3Z1Ajc/jObbbYzm6od9ywkrOm 4BMdrPfKd44qlvNJUd6viPiffcvRuazREE6YGaCHfsfR2USOaG/rk/1f8qagKinFn2gd67YtnCjP gzR4cfcquMXJK9qzb/pLtbAU0hDGgzXFCrHIG2sCX2t+RzuTojbCneGxegzcoJxG99B5bCzfpXaB 3sdnKIkQhDXhXDNrx4LUMSFZg9qnRUV+QYBDUbDeNu5CU6mZL+BgPekIuVuJVtHjawlBCNBC6hiZ nXTONfIqElKHJ5vsgWbJBQQEzO0+N4+WYJzgvDuIu6YntS6a1LyRLi2WmOLSKxHkzdbVHtoMvcH4 +LLRlxBV0NxkZdgmVi1gEhBeH/aOVCAJfphNFx0PkHxUlm1Jcozmn2e02AuT88uwxuxFV61kPqNi D2tAAaHX3FhotYotzZYyoQD3wbfKK7Fd7IwWxmfeoA3fq146Dk71Rcj1TGqyzSQs80uWlovzn3EN TXN0LlwI6XfiGHRWGNo8WS0+xfL8v3rIE+tnbFUhwjuzpH05vrKtMIqDnL2DWemQrFYYSq6EsknI og9RWzXLCds9bSoHSfJhKtrjsd+drGqp79d5h6dAh2g48WFIP1/mV0Y+mfTQdiNrDteNlfGpr2Hk NU7X93/BqqjrjR4uGxAzue9Ulq7SF4EBJmGDtlexYrUAfY2zN9Pl1aXKCJMf9l9sdERNZhqGJPpi QkDSj6JWjHioceYDea/yELvJ242bUgFxeMDc7HCsYmK+Y4RHsvwNzFaONZxqBMp1etnmkGtDsE+3 /UZnGEJny1YZMc9YHYPdFkAcHbD3JtX5QTTGBdue3I65dv8l2rWWyyoIDhTdf6jjCur22LluLtsA lwf7Rl8n8hLTKHz7xzyKqkb10uLtFfH6Mz5a8BuI+jYTugQ+oJ4F2KhuHsFjF5H50hXTj2+l8fkz Q+RDzd+0SPgkCsoVnEBB5Qt0G/jslWdlA4AqV8TlnW7eZVNopxeaik2HDy4806aumyQ/0C0sWR4c RMunZi/qeTDZeLpSXU6Ggmneus7SJ6smh2JYWH99ph/+Q3oUNVic0GBq3EQTYp5KkittlKnz/Mar IZa/Ol/rVmsZkKDvpfS3YQdYfUQLKkMF5oX6u2ObyyHqxoEq0aJ+wAVGovT6UTZ+vjF7KC5P2/Nm 2aqz6iyzSj8Xp4LgS+3WCZFo0XvuD9Ay+7twWwOzuqqm/qxo16H9z5aGF9Zx8zCpsPZhBPN1ArQT oIViT2mIgaFmjsTkUNmZ5cqViSvOHIlOLC+KQTWQEvPQtG8ak/60lWEH9XDrCU6CbIVd8FtjZqge bKdDUJkAib01KSUxX2zV2DnHUlEaGQabjpA1p2pKWuwIfyO97ZrF7Iq9ARxi3jNrsvh5r+a/PSdi LIv3LchY/4Q3dsQw/DM5uUPb+zBdiQQgvl2ZGJHMUZQ/Ax9Hw/9ofp9HJzpGQX5rIhYKCYycNxVM HPcr9fcaleF7POaAz9vmiFymbdE2U4pb2rLjZNKYT4DB94om0IMKQJuE3/rSNwOHUsiRlRbv2Ekz zHPsnrhM7szpeJUkOO6f2hop/eQQHsA110eI0x3GW6niH6p5JkLpU0RtC2N1vy4jS5IQ0ApPl/on 8FEOTxFEn3FKTEMmkSpTx1CQ+Q2q36vJUjX2bOFyjKagkDokt6n6nJ3+N+Qr6crIFxOLZ3qsCNEx iEb4CI0DQsv8VTgHTobmytjP23w7ZgkA+SIiZZCAZJCUAj5h0UiShz+4h0cLqRfSKQGBt4d8iMYR K11xtqvHeSn8B/lgBJRkmop51m1CShx9MTsw3IVNiv3qy1MUqq/PzrsC05rrT1cLDbmCCFuT1RUP qcCDd+U5qHRqp8Vfw52PeRm21c9cqoqPeSdQMT78T4Dizd76/1D8kv1E8W6YWeW3DvRuhIrLrfsw nRGQhhI6HzAvXXT0GVs7otMJsC7fD3wbrDSNVLJbtsLEWvsQgqWwa3rbdBaI/z2kbQbG4ANeQlwC EmbNIF+JTZM8C3/jySYIhHa11pAg++j2vOpJhkcy4R5c6oIkUQy7ig+NTmID5moF9HmbrPO+5Gda /NkglZuqePd09vvWosDqw70GRGZCdAFbRkqa08008jUrmQ1OWd8ebf7YvmmCUl+KZ9TDr3Toz8yt tk8Ss0Yp/el7kYHk2jNz9Wf6HbqXLBSeNTp+Oa6SrcpgX0URM3h8IkXPTtGlRD3+RouGx9NzeBYT LI7+ReGV+N7pdz0UqD/8S7PkXNsS9VlsyXqYQWvEaISA0BP0SovCX6UZ0/H5jz0Zap1doBpClWUw klBjF7bTmqw5KKO0V0esxBgJpC7ICOknS0FHB8gmjwGBWfUyIDgMKRP1EojaghWl0ppPAwzFcLyp yVaGeYw61KawzfzkPdG1I+6VurwdoVRMiVeWqkX9qZKmVaqv3Tw2O+56J++pJSbwRaMMs31rsrSd p8fzHbDgJNLjTUQ7Me/9yEFuW4ni88gzk/1ENc9UU1w9Nhbdw1sGiOihp/xC0lldA8gor/+83Y98 JYTYgnYW+DIIF9s23SbQWghYwmMfY1qsjb6X3hkoX4nH1ESXVpkgZnCKxkH6wczAkn9ZiJkVAIs5 fo4azlOUt42ZAAnqdQXwijSd9cAh27PX6AX5sufZqNbGuu6Fn8Ll9Yso3TWuNn4wvgTxvCjh0u3i sy2BIsvYJdKFbeRs5M8ckXK1WfUuqC6p9OqzNS97Y/Lhxg8AtFmiaxBQaG91ddflv718yduH2e0k xZfDbmBCyOAoOziVoeMVN/xNRvmesaetDYywFu5vK8IqHm/lGrMUyji7LY5YPeX4UFaxEb1hUr8N 7TfmWpFeerdDWtlZpM5n44mgfioJYzvC2Yz2Hch2bF7G8EN+tUyEzuVK4rWmLKsnL6uA8tib3J0J l/NtR2WWuOFdWz+jwjWJFidVcjSiHz8oNGhOb2TyEV1mCCmxRwBH9rhu4Oh/MuKyYthemLQVU/vQ Oa+oImCK983b7zlhf3bnttc7t3FrTPxLb5+344u5bxa7mYh2CvyXbBRU0lj7Z4MelpRtLk6jHqYB u1L71hN2DgnJEslTt1v5OcUH/qkotwNeiymaZDCZHx2bhNV6BXOoChCciAhvSdE07SnpF2h3Deqn uDwJzgaqoXmsaocdos+gM4gs3j4ZR5PvQpqHeasEW7xOSaQX4L15l57TDPiHYWyeHHfrR23MhufT YVKMqy7XkHsmay3li5xCkswXn3mqdR553F2R3zOXqIpiWmyoM/xYDkCuMnbC5PQS656x1h+NP6NP I2H4UEBsp3/nc3Sl/4lntsoQfp9p6g4plrlZYU+C1KpJaUdA22IFt5J+fBUA0XjNFnqBarh1CZOM 9aYHbrRlfnwb/ZkRJkL9OIbktGYqPbrA5Bh8hCGS1DnDcsczZ3eMlwmlHhUlvaCjaX/T9xwxPX5E 3KVzvUgq4v7gOU5E9/HFoYu+VldVchSEfGRpxafLLQ5hBA6oM0/T2KqLOXJsYKY0VIcbZlk3b+ZG UAIl1HZdlOqF+XECEF/UFoCDK9fbD/IxI1t37ZBJzDScPCL1+WMvbX8lPY8/NaYps7VhndZOieu6 6Q4aQ0v4bFsAnVUp1qtHSRgdbcf6FEoW/pKKKZnOO2qc8Cg4pCDIlOgfRbDhND1qixbILc9J1lKJ D/bATbwPk81GEkAm7P6Y1Q7LY+UfVjQH4tIY2YFGhZfNhnCNqSSCndZIq01Bea6ngZggVN3bffxd WlApcswe1Q33WAu/eHVadWyfd0ZHlljhyL6s8IRZwmljZhrblNdyxSZNgK5VBZXjYll0evQFkBB3 zNoU1mJwSeSQdo5A7SIKJWG0PthLlwpojyKqczaPJs8zRmr6D5u4P8rfeMRUnoyvsE8qnk24O4QV xopHqszeFys7av/3mh1m27mqJLWwDTGhsjz1r6r7Ylc0LhRLsAr37jwhc5pIsI5ABOS8u4U4MNcA G8Jt+eJeR+x59hoACODfyl+QCA7/tMBcTAfVWVJiBBa+LDAmAQ7kefOzOcnZv8nkdXJA55h8NG6p P5IrL3GrYWF0v2Sf/mbFEihzgiOElypO5M8HYjU/27d+7uL6+2RJoia+GtRj98yJ0R3Y7kZSQ0GQ 3bF0AKOX9Gkfl5axh1uLqLVISu2FqDQwT+pbLXHScZ50uVH7gMtk9iJxIX3HU4fKAhyLWSwBFMKt 5wucNUXwHKkbQRGHlXS53X2xs0mTAo35ACiTBDqAzq6guSvh2q6Y5P4ovlStydLtCJ46q7HYurP1 KvTGZE7bze1WfdEe6ezntzirxEjli6HzphwEYsGZPk15YmqCyCqUAZnaAOxUe7xx0czZMnkK0vnf b7Zh+Usu5QF0oQaTd3jcg9z34qalL+obBilxh+0en+tRWe6KIEtyKQQc+rpLuGGeiYxsk2JWyFZ6 QoD1hVRBQeytEFG8Ur6GxIgoCEnp25j6KlR6JYyYpGnEmr3gfO9+aacxjta4quM+UtpMiOgg/3+r qQH4LpjPgb9HPv+/fuoCP73zXh9xW6lYIQerGyxMNXP3zeBf8YgxacqrptrxmMg3Fy3PnBmrqp56 vk75V2fRP/dUGaPITcROMoW4VL2pvOiE/1INwGx7FkJgj0JnbL0tdYGftTxdCnmnMq9mopN+4I7S BrVim2LL3Tlfx6qNBWlahI2eYqaxi/e3T5vfth/rhE4m4pcHBOVq5QI7F7sLc5KfJ7MPMphHIcZu 1TuhDfcUTBH4/LODhP7c4A5wlalt8wCPv5vFv1PzW5tMwkTaJGfmmHb5/eWFeOQZHGfL8FRhoLUx XGERQ654CZH+0rDcjEnyXITl4e7fYZJK03xQb7O4vnLACpbq9JRr/PuPvWePo3vs0vrgMO8ReFxF KCBCoyEmLDnRXXrv6Ka7h0KqYx+LLlprZgGfziGwE2tXT126WkVCydk17gwyzm4s+jxie3ogcjc3 LMMwzNEO0QcQaPvtdv4pnPX7RdSp2jy8ak1pdMuAs3q+clEnnLjdxYzPM4AzLDi83YIXoSmVQErQ Xkn7dUoCcQEmy2acd68dgCE7YTnpig/oSIJRx/9zSU8utSVZjV/s+RR61P2w1ETo+G+bcNf+ba17 RsROsvnNjrNtJWPDRHYa4ziVjrGLLwAknh76i1I92pnUWm19A4p6JxPKph6TeIERXYP/yo5gx9cC NNgXtq6X0ThQVW/DJbz0DsOJPZdud5dREEkSx0cQdySo3hKj77fYQCKb6qQXT1YoI9IryXukABod SBLaoOZnYeQVp8F5kgqkMTBI7rUfq4jepq63Rt1WNSO5SlKMXFMmfQIbxyvCnFzRmfvRvkT0wL6v s7xpDMO4o4hlzW7V+crOjs1WCHafj/b4aKUiyjV9C8jjUEMI2sHE7FybFuJBD4QN4g1zMW1MRILi 0j38Tgonsf8RWbvhoO8HSNzjnFAsI+JHVZuZXUEbWLwHMDyZ2EieDU72kuEVmzDsWqsF1+jHPz70 FWOK10w7pYNRltfSxGDaMJCDIJkW4FMZ/CE5x8a5RAL3mymUsuDZDsDNc3BcLVQ+n2sn/2oSA2PN SjVXFnAGRJ839M+eTvnguRF6SDEnRoFg+dmhxo2GwY1CiehxgTYxx8vZ7QG17dYUx/2y9FCkn99v EwiNos8wT4PFyLLUlMfrzKRzb76QPKgGMAPGRudJ6ICKF+fMED8vLVS5AxCU6MC/wYDusEekXHPk 4kl9EWjHyYr3LuQl67MNz8b9ZQ2uQYc7a2sBHFu5q8WOG61XzaWtYymlpFJ/S/DjwwwBa7wMQOLj Vk4iTNdfTzLN5In91WUBVYNbTnO10oOFnjqNuc42xKl/37hN7ihaWsIpgpzfOw+B4YxAVdj37T0V zcNMHazXrJjV1iJULhgSTC+qxvi62U47rQ3bjb/wwyxDEX6MvIX72eWx3L8PQYZvaOCwrDIX4bg1 8GFHG+YHUQLi7xk6gCiSgbPpRwEGROoz0ryPuPiaE917wNmk9xRj61az7Rx9OcHXXceSXYqqmaoC TP8BjldmuNgJ8e2Nebr4MFfyV/HjBDpJrgTGOQabVZxi7wB2fNf6uYwkV7UmFrtDWcG4S/K+l49a LM8/ogvqfp/2JMV9DW6UcR40l9GczvXg1SzloUFKxZstC5HpoQ8y1h2vBCitkpCjiM0hGiWS9OPg wAFg4iTjPCjmWMfpOYqwBukoJMtuhuhKZB+T93Z3/GKqtwTzWxC7Y0zGzMQzjWcRUfuKMRCr/AVJ Pzb99VZ5ZvBwJ9VeMnH45IwRU8RQtTqi8R6oQSjiHT3RYdaChT3/PvRPNLlTIJXVfalMWB/ybE3A ViPSXjoLM7DrWEXQHZAX/nk7ULJiUeTJa0iLlrL7eQXPxImn3rrQl9WkhddobOyF9pdLwIKNQzGn J2/XiDsIpe1kHyn/0njULzvkb0sZntgUCtpz9xQAZsFkWwuzgCuZr9FgfBRHWkUwjnZi9A+DjFI0 UMN6N0ymRO9VBJpjd/iBWgsFngBzTOVWk1dIwdwDdEfmO6n+RcDl5CWtD3XTqUdVwruPZ8KZPm4x h8D43jgAk8hhyDScaiG0XtUdtti4yfm7M4QcsyYqZApo0OzuLTQIukRNcHK8aivls4XMuC9DnvlR E4dbO43ggl688N/++NXZmdWLCucGpCJaL6yqrC+GfCTXOcTqaX3GkS+q9Ep8GDnQZWAolfSThFYu hlRgGST3QU1PICOTNTWJS2F4Xw7BGzZ92JO8BpS9bAlXvtgHUu+2skw5bICLyFUzFwAoQuD48lGB KxAdItgrmQkVAv0nfOVeWBxpkYhSrxmJ3eKEpJoJAAlDZowGodWyjBE4deedgdOhYMaVfV8k3py6 AAzWZurMwu08nmexoD4B/iojVWHdWfZQfYh+N0VMGjvaKFz/n6iiPwtEUAgV8H29cE8jw8NHnW4n IIM/fj61OuRAtCN1uVY2VvKubZImrWoaT8/g1dRmCQrh14DJiwxUIu7V+aADWhh8hWU6g/018oh2 D77Sk6PL0MQnHRH/cuRXDi35pDs/kC071vyM0IKWkQJYxqZGoFEFXmjgij8zJB11gtNEgflXGipu uhc5qGcZu1T5LasxWAR4Z1mJBgPlgEvzkz0tcu5FxOraB1qatfxfPZGsgzqkgPR6R/XXV2a//++s TPVGSseM69SD0fPUktL/oWpAdOCY2Co/Ejj57gxgosH6cU80wvl13iTD8aW6dUuCbJy8jSc4kH1J hh9BXS4KFHrNo14Y9au1XiRC7R57sgfIXaZ6SMMpoYajaeLdposRiQ+VbTdTFCmrOUAdw4RdDUwo pkCnQTsKJ/F3EYjL6hrVQcJOX9wevXf6fdoW9vvzqiEvcdt4Cs2oct3mMpLD2KVEtoDrqK11v52e CATCmPEYzffY02wGxpMGsszl4carPbkXcKAeh8FD1y/8Ego7T8aQTOO+O9y1bJhCwHB2RN6JzqIO emah3rEEtC+n4wXP33EsXt53AXTHzGvYGj5oMk07Px2C6QaeruPwQ2qp8zD0AieJb0d1uy1yeuC2 av5sr5EnioBwqCs1UXvNG33KFPVGBQYcsL8Wr6qwxv52TFYd5YURAQ6uVh29hmk81mXi2V2hP6xB 519jqNTMaQI5f3lKtWQvIyZValJJsnKeHoha4FXm2A2w2bd1/IgaYq7a5VA0rGNF/bS6VNOnQx1i +M4miYYLOpTny6zgnLAr3YbRE63wdo8U7Jbu8Eo2ZQQyX4SPp7Woj9vTEScAZo8fscBcfbfU+Iu1 x5X8LW9ynF79t9aJCAJH347eiVBO6OpyrHzOZUc1C9OTlvD4o0tgs99q1we1W2hD29H7bmEUt02J 01rFMfVS4T1YQf7q1k0snk21caL/96jb/I4SmKEHK7h95yZCfTyJR0Tqk6AqIDNCj+QNHlzIHJ0n Rcg/wb0VwC36pnm0PTRS/Zq/bipilXsBhwAXTWjbHGbEtg55RdcOrXAgH00m341Dt/bzQP00uZwG paROQwkUsjcMEZW1QNMAePXXZofGdlMedNyYfHEOtktLkbyTR1QBoV1gJWRyiAu4iPDemWKbQ02e UjhMY+SJvpT6xC3QvbFZYMSJcORYSGKJbiqm2frwnsWXkeDZNluOK1u6GWK2MNGEIQPe1EbU0i9I AUMEOABJ2rqOrbbS1ieyjCF1me/94zqoRmn16/bxzGuMvszXecIPfPQ2roGABAXUQM+NqaZgI8tW L8dWgCw5pYWGUzD8qJIfCZmS/aYfB+D3zeyUtZKTkT0pkbqT7gO2M78wklgknEzdqEfikgKDfvoY 6jskuGI7fIxU3fEeNWWUo2gQ9Erg5OnmWoTgh+gsEZWJeOdScV3HIOkafHPuhJEZOFgBpjo0p35E Tj4cuMYP6b+/BoJjd9+yfTShziZ1hwfQ8Lbzu1mO0XzPGXULye2Nc8nYRs+1luxOgKOHFU0UW/+3 wwZIG2IsIzkHaREI3837MZTmejjTHiMYeGmVIf8mzAVh4qKsmK7vIYQNLpGMOB8uUxRIb2T21ErM E3vI1zUS9jlyoJ8jhHVXrtg0CrOrQM2Gda1pj/PAI8M7aQ/S5IznATKIP1UnYJBTIM9r3+mDEWE3 m+neorGQjIRczCzlVu8F8a+1FJsTn47ja2Q5eaCTFE1Mf9dWGsoyppcdsyWbhtSKqYM7GMxZz+PH J9W+vEP7CDVAPpYDetwrEMtAHFwI5Yq04+SDj4ktsvyZn+SeKm3/vWEkOlhgPI+xvkQRCWhnHLfq ds/FNX00oINS4Jcrh7cK1cbsl+ai4gPYEDQb+MBAPrjhXDaAdM1Nhv5O/NVSUm6H26P7b7roOcbg mpWoZAOTWbjnwb50Qm0KSq0bhieVp4RkFIMXELFND2CPpq616hgHmfrisOaEY1TXX+r/p+zbJeQA pm8viB27rj9FgUM67mJo1D5hqcB2eFhbpXfMT5KH3w1kjR3YROT1fp04I0qgCBd4NzzIxhyfqE2M F52SKoSjzm5popsVlMmefVcWFSd1F0bwf5/QX6NCC0U/14UrLxEPZLPbb0OvjLBgDYtTKAgSj7dg kGtK7dLEHgByvStcdfzoRNXBd8Jm1Kg3nAIc16PslS8F1Lr/oVMf1UHkTjc5NOeEak3c70uaCBQc zuPYn/h2qzhwRd75/5ITteM3rRq34PS1DxgyvJAlF0Sc097npfGfKTQmVHwnMJ2kLKk9XQauqrN7 o3LhlPLhO/27NE/G+u2vDh9xSZK7eIh/YXDpqLZJrywt58mn7PormDFiED/ZSMdQCGxzEt5uNjMN nbOP4qOJwuCHxtMAZKcs8JVyGh4WjqdBx9nKccolix7VSvJRlTDB1HCal6utEiC4VBB2Q52dZAZw DgSabZl62XKBVZryA+s8YosEbcVfFvuWY9PjgfoFY18VZsUhpGrQ4+OMLkDyLuxF1PSDaWTGKNRx L/hzU3zNfU7a0qTuhJUPJvjVCxeHCl/OhaTbgUXJS2WCnR6ioKQPUjZqdkKmjJMAWwuLpvhe8cbC iw/0AZR9OPI77zM+lNFhrFFnG0Y5UJDikq939RmoxANg9h6VHE0cU0O9PNhq7tp92xgq9TZA9OaS 1OFUBF/l55GW+pIZ/cK/TObzcdRJVhGv2Y2EMaG/PJawp9AHOHnM0qOJ63Gh5ZGxhBeLHudL5B7G oHn4I5CmyntFdQ3zLLL3/cjAtAaWYF64QLQNbRc0UVFhAJWk4fTX3W1r3ZJCje0pJF3aPTjkKCgG TdGCYjCZOeqeAPnGs0R2TB0VM0PIn2+NeAoESU2ENkO/vyzcyP+q0RiCqlsjKSe662iyRoaEHoYB BxUMS+7+TyzS4Lhp6M0xNpnFRF2eS7KerRJtJGipYRU+V5gRRVP5xEnCoeVbKdflwxqrkkBJg/8U jX085EN6z6dbl/YUs5C+pnaaMgqBFSWBV8J5zu5joSqClLbB0ZNYPlxUtAQPeSzljicO9o2tMq0Y c2ABgIRu+AW9/Wx9HVj9jOG6bVGEmAM1KaS1rMrKXciDM0W8oK1GvW3R306GjgzJdJPoDTJoAN9E 4R7za1UgLycK3fkvcccArfM1OkCScc5fl13RqrHeFvLlsaVSmKPXeVdwECpo6Ys/41vkpR39mNhv Lt/G+y9WV4ScqWqK/yZsXnU9owyGHtR9SblrDoVLZshwR5+LVU8n1kYLWSW+d4ctGrJb/fvH0KVU Cv4tf5yVVZ3NX+PHv/25Mn1Er41nmqaPbwN9TEPuNrSx7Aakk5H9zvqDHQtg3ASpW3vH5UerO17k uRDdkg3Nb6gxnbdx8lR7+MGNOcg5nC/WVvh4Cnnw1X3bmP0/ImSq4UiacMX9qkGUBoV5n+hBtDaL QeKCexTaD8Wvi1S0zg2Tm45x2m2v2AUs2f6f5slyx5BeOguJRmxdlfMqz9pO3J495Be8YeKVJ+oU tmyd3ILrscLWvaTz8AC2cCiSZEpF55eJYaGn7dcTAZBTzN8J/9uNu/G07XX5gnQu6HnukI11D/MS FEHE30cJS+DhjqEGbKUtdcn5WZCOWtw2CV2IBI3iAWVD7fzniwmHHrstZ3R7Bn5GhBysIl/y/dgC 8tvWP0oJCInNbmQjgG61tyfiClNO/CAQGOpxXri76di+VaOfUqfWT5bKJ4hgXROenFQApc8Zc8yS lX0dnRvO6qgYOIj/FY/JreuluAfulbzijA2o1q5bemY80uDfsvay4DCEDZLn/XuDihP2ntLJRKMS Yl0zWUxsJdOZYM2UzayOZXYdCjEuXZ+EWUqPYeNzA4L+Gphulr5YGeJUE2eWhsndD0GKm8fL3ua4 V2TcUlM3KAHrzsomZtvJDZKsO1nGZP+/VkuR8J99RhyMeIm0udShpzPF6J+/M/mVCzBOIFlVTRJD 84EJ2HqcxtqdRgLJqc9gaCf2w9ZxP/0mGO3pTAkzpzvluFI/yKy/pRFv2CFI/HiwYL5o+zHvZoOb Uph1uB9cKYFr7UacXWTxPpFZqm0qx+DCvfkQonT38n/Sb5+Ol20C/a91GGIWdhgzqGud2QSaLZu8 JsAn+JkK6NEnXaxa4XWNUp2qKVl29eyzHcTx8cOW9463NDo8EOJlHfvMB6/go+vR0slNl+VBHXHh nI9HTAmrZsudGoOwcc8PmvYmnBrZMwJyN5VPA7p10n68ahAN1hBzEpim7kdqdHxTL6fQ9p063Eap HzvCbXxymkS9sxHX9mVcdzEgNLS6SreDyfcLEV962sCGuieZfAUylcx7qTFWIbq9kG5t1qQcnwHy 05dvDSkWNGstLOE3HNmwqe0F8tg2uMpdF2sN6y8BLq0TEY4bZyQb7MGcbJss4GuTUZsO6TC4YeA+ 4t3PGQkszzBuDM9oDqeaD4hKWD6zbfjvWOyrVOes8HEai5D/R/kEK7LYmc7dLgdWc1CE3ZxG/5P2 6yoxfeOC8MbQf3/sQqy/bd91dfTbTuCDwthkky35PP6YOlZaUm7a16vo8G10RDbxew/6GQ91aYEH C8tXf9tX/wzhBCHNM6lsl7uFwoTfr2qNQR0eAbVORzLWi66/qiIdgXeFhjT6AVzBWH/n9H8pIRoj kje5s+kf0d1B/XdVyK9Hln3tHWdaSPWJLt7mwTsuZruGiQ/oBG9ZTDRxCIXs/B+ymzLtUStYS3ge Xvl43op94aUmN5XMUa4NF15RVgWfjAxtWuIcT7oucuiHsztuHbt559wBVTHa2H782guwmCmST/Yb X8fw57N8RLD1fvdFpZTej7qhwAU1610ZmK1RXTZ2DWMxRQDr+ye47+HnDAkkcZdAD1yqE2AGIrvY nfH/RPnKS06R0ebSkmpUIWqMHlWzANQjWFNt4OkT8SFViNJPOZjNpZUHU6H4Ggk2UmCRhzfdTall 9xHUBZooNzE/+FXKe67PuwhhAgjuCEvfkUlXDQwRRuDTvxtrmRAG8Eo4kTVHcKKQuLBRfB6djsg6 B7m8F5gAhDAEuymBkI6Iuw8lpZBzjOc6nkH7FJzM1SH4LsJbt9xKqJi49trPRpZ0VhlAXz/FlWNg tPpkG+wlU2oCU9bTLyYvXPzzHTK7ZYfyDv1ICu4luiCWPwMLKp9/kQf7u10+TH46UxPRxLxtSDcQ DhAg1hHETNmOpjG/asQa9yIveuCz+iQxRcNCXWmIEfQNsa+uMzAn4H0CqHecG5SwDU2UTJ/BVk0e Ag97EsbCnxPg4pLY97KEsbU9fX/rPE2FX7NtsIr/WYgTs2kogDq8JVwUz8isVBJMmJSUhuljaiFM /FL5+I8m1T1KJb46r//vID33ho5Jn4v4KDDaN2NhVYluwZEj/EyRMTJhSmmAFr/GieXKI4CdHPlz qZotujTdE58gRiZTuKb8optplJSPedE5btxJ/qkqcA2vgpBXvh/2x/IsFB+dHtREKfdfjzh8M5J3 o7Jo9l8ZJNNWiqxXxhqTJqPLWmUXQ2VPvK+qkCzu9QDtmlxfKTYp+sP5ilY81/P8NXIn9b0jRw9a LqOPXf8G8WpIFXKov38s3ulvrtnKx7eUHjXaJ8epEVHyTLFqjo6kRW6ZpBitfjudjyCv3hlXDt93 Vuy+Wa1tWQg+3qJsdFAp4WKxZQrsvsoHM3RqzGEaZwCSGNzQPjopnEYp0aOiJrBoRx7IbrxtVqKb V0izEvr4kcY3sRcveTgmtg/w/TDSlRluk1ImbWSKk2PmZUYDAEeJkrO7f5Eb8j462q60lvk1JQkw eNNoSE4EZLahGcWzDtv+AwOEqPuYoXa4GQrLBmo9AmXU0QtME8pAfrEGp9S1NVE7k25t2pY8xCFl jclY9xYCmMTS93bQgsW6oJ5XJYH/USbwW7nc4zxgM+ESIArlP9bmDifRRD9E0hEtNMCnumn7MDLC bBZDRQvki1i9JLL3DH2JPyDjEWmotkBsyDtUAnWe1D6RozZnEQ8Uzap7s5bB4K0wKvAmm8UqhPRm 5K0yDc3n0f3Z7xsNYRcoNAcLGg2pDWwNxNeoCWZhSF3yBhbn6BxiPjqCOjhUOZ4ZOOH+gZLmM/88 rZYdcXjJQLr0ZxRJnLEHztNQS8qt7FXVrjC+Mjwx5Z3ObvA3ILFdvQqwPwUzHbbi1BTjXo/9xHv9 +9LzcR+04WEgXeymwc+FFeEykCIVbgERrN4wZec+v6nY4wLGpk1TsxgMLcfB53qsvHafFuaiIKH/ nmeWw1YhjuIGiCcz/2mO2ntWzhmCQJZc/6Po6H7gqwCKodC31WML1hQQyppcKF6ybvq52kzh0TnR NRFk5eLnP8MVwjsF8ljJ20qY/zKxwyujuaoikBlkpifta5HBdFzijVWsALVl5Jq6G4FXh27uGiVR vkdMDa5TMt+Soq/ND6f9JogXDzDjiTD1DkOqVLYd41wRt9jT2P1rAGDYdMuG27chQ4gu513OzB9j gO/7SBtr0QEY8abPOTvP8kIT+V224m6dnPguCTAZdoKSFf3b/7yCDhpqLP11/61YYCm+8D6Gqvqn TWy3sjVMV4sdkIhHBpPrmiD/YjbLgom2YmbCjcTE1OSv9F0YfilUvnsGp5WfxCGPb3e0WljUFU7S sHLEf+h8Ukhdqsj73AvJe1xLRRHu8/xm4w/QCkMV0EESbWD+oOUoCgAn/UNQYXE/0u52D9klsbez +lXa9MDUNgevNoibCNSZZeWGk7BqnoapZ89bLHOm/gVnEtX1ORCVN+ftx8juwOI9F/ogSTEKdDo+ V1F2523K5I/nH8l1bQFUcvfdzjJc/pZnjteQUfPMkOnagc5goo7z+Qkb+If17pKKDPQsdPc6zB4M 5ekvsL054hsHNFndXSyP+T0n04PwHpvDfBnCBkCtNJ86VRVKoDzvxQTEzMxucgtyVjo4+5N/rZiz dQzxD9hX8vzcWrVRE6Y7L4ZpZ7RjUoEW0MohOvTKKp03yGiqvI1TRxvyV6+AFQsJjuWLMIfy8RgY uAeljKpoWKXYdtvlILMtI0M0jWG57TPycARCbJU1sYuehZWzJ2i3jV62hsinmz6s3OlAOCVrvtAe k1BTWsQ3F2h3yRhokImqccWywbx4yYANSD/rxK+qi3jgRWb7rF1Cb6e14dzIwfKBMKhiswX1cMr3 zsHHzQYPAMBTgnDl0MfOKJSovT77oAQVsZ/s8j3/I670clvWoH4TwJ6BMJmpl24qqRCVlAMSi0cp A1yQrZF28Xij0uBYtQpEDt1EVBlHTsaT0R6H/Tt2vjhvSc32L34DrmF3efUAG6gKLHNkkF90XMKY wkb+Tz4mYvG8QNUsvT9emXR94ehDVURA/j46Wmc9p0zX9FBWKTImrhHzfe3xzTtT//JD1HF+xVdn aqSbOriFu+MjfExdrWcdN5b9lonFZryH2Ugg6w0nNG/0fesj6Toh6Rvi4L/yTFdW0v+nEyV78fjh 5dS51y+8JWFycD0E5q9Vi9hMPH4XFVGS6aix8YS8Xu/hUVWXZLrbAakUs7Ktha5s6tD+Ty41ErMf sXZhxt1fHIBlgATW8AkF4kkZvEfE6+FZu2jhPVpVCJ1L3SUwqW1I43E48qX7sEMxiX11TqcePDvd uhofEwJ8fWlrlNHshbTe/j25ryDYIv+dpzSQ1TqCMUsA1xJhdAGHumqLJrAeGiSy5HVWQto9RIzN Re6JhkfclK3mWEsrmWUTqSroa2IJGumKmz3KvVIie+jVMJ+5jBTm1eOXBh7jBRU353ku3ysJTzSu fDt85f/HP1FAPvXn7AAMtvtwkEh2Ddd3VyrNfTofrQ3D4mlLQ03AuBw+VwfVC7jc85XzeFgo+xFO BckZcHSMjBVFtV3k9iq4hevELc8dObQkxfwLU1c2Nr/sJ/umy1AaU5Y7PrzUqW8yxV8nz3eyO6lG 2Av5rfnBLRA97T7IjmEWhuwzj7PaojiX7wsxZGsUv28pbRYEDU1lJgkQmZU2fTls+fcCuhmy035l HB44Nrnbj53fOMYi2RJlcX56o17FomRK6NeM082oH62U+nKnN0+Xr5c2MRIekmnYwGOrzlKZmZ/B BHWOKpDV2ou9EVTYfyuz3wAScRkCcayRBTrbV3AbIuoT+VLO0Z6sMI9i36UKOWoOjbQ49vwj9xJl 6Hwg1lzDy/gceMaGIpvoa2XODyobe1fKZXQoS5MWav3rmO6SCEROrTv1Z3oQsVkGgsetPrCgwWsL ctjAcRsl6iqZWPkPHCermJuMQFpJ/py1m+UM8JNXyw2D3gb10ScdVQegyGnsE8aX8oajUA1njFTg RWrRnVE7uYwgD4k4o8eTSH7GH/qrbFavxXgY/bChOWUFMv6+MNmHt3VoEdDxtRJLEHcMUBTltDKX NmCRT7jF1Y/3HjQzsn2dXShwBaCd7TJOt7gxpqubh9qr9NPWwAYkb+lbDSxfrN8cwQc+1D6Z23UL sFix4mr5D7wArPAvRJi9d9+oOMgU6dn/qvRNP6LmKT1mEHd343aNiBtKFg2HShVgry5ty3DTYbz/ ca52Yw8qd5JNTXmf6avcEXakYvuyDs5cWPIc8Tqp26RTQTnBuX/tK0iy63U5Pvt8+ewJTT6bYX5+ 9Q9qncC+n2P8cgFLiHQHHcA0jWea3fZAq8ATALMmbRUim+MqMEMPPfRgGqnfI5ou4KDpnRINl40W I/+XHU0lDDsP4sPsttQnQSLVDCFnA2c+uhkQMBmSKbzsw7gzhxqZUtiGKqCkDxYmpLvd4adBEh0/ eSMSSkBt+2XHA7s0FRum4b5d4R/VrNUXWHhYZzTgn+WS3/XdbG2YUUHv2JP4SjMnZCifuztp5+3q bs2dTfI6U3qFCbtvUxOlEsUuDbjjyfLep0+4lPFtAddFdUyAqvU4F6NBubwPdHlVX3B3jNrp98qg S7mymmKGxP7TNAfMPzUR0JPPQwE79KDiX4lb+Cy94970rmLAjWTUbDP9WvsmerdLyqv2XLoqz6wQ qBGwc4QxzxKA9aQ/cfyV+cKkCoZP1WJ0BbeXey8TtwD4cTZkxE9CvV4wWQ4VL93qEJzhhLhwPKCq Ppk6l7e6aBNYiErX3pulG7r0Sx55gg5lUqL3J6gZovi436G4Fg61h9S+TjJKscII4BHjiZjjPpRM TdyAsLiXnPfMzuJHaTnm3SphumDkj6gERfFlL4ODYbD3OLSvUtTRQ/gOaLMw+ar5QyEIpVGqFWt9 01H0g3XKizC8wD24Vs6fiPf44Na1eoywY7cDZxcpcB+Epb0lxML0SBy0SY6mynwsD2K1e23aRL3v XTx5lZCoKuROf2WBp8NOmcvTRf1MJ6BnV4NlioR1rhLPmTPqch4eJ08D9+7SDbNSxj+lK+ju6Q3Z mW9Ck8Ve4PebYGTNaPh+qvGghGUzZTbxjlEz7UfAp1AaLqLGyXLRUsPZtOzTzF8MnOE54gVBSVpN lAFrvWjfKX7AKeod3Sxf+/PTUYFQwyI7ewOrRAurq4HmAdjG9eIY5+DL5GV1qZDJbE2eEU/Y3jDY ymFiPR5weM9wpvOqdG86pHlxzwrflJTr20CjC/lcfX05sIyvva3ta2SmukV4QKvO+0HFk6RwBzJr gA0muu3caboJ7udVPLrHSvuO0IikjJw/3VCnnWDP+ZVvBfS+aUWZ32dYffeU0a5QjPujrCVTaNs5 sangcfL97JDkgA4yX31FuGi0TMZ22zLb/YlgzuZpo+sU8yl6NQrXnFJx7djTjNLxvrL6FysiNuJa aBXYyORnJ8LIJFJEIigoZ74+XZF1Z2jhOQu0NDwedqv7AJEiBCZKc5xFHuBzBRDFdnS5RIJZihLw R+Runsi822RgwkQHB3DTeRnqQIcq8sep5xdvjl76SmLzO4qNBL6ITJy+L4cZSqNgBUMcAxEgDG/q 0XV+gEQPKOmIEwnoksloKZEmqgB9EvULrtUcdUAvxc2143ZlM39CNUaXVOpCvViK4hCWQnwrMzIq z0tjv4UYNoRH7I+9Cs8uqMHlOf3kTAb71DfwBdTTdRvZcG/e5pv6+5LeZCoT1AofWWrUoSVLEjmd 7XnXhgGqpOyGb3Ny8TnvB1YaUK0uFi800qqqg4TXaG9JTGJfCkZUqj9+v7+FtNgfEB9vx1Bc++5A XpvK4fhptK9VMNge0EKYmC8i2wRKalA91k1l0pMDOrQwhskHE/yFcsEYDoVcEQgSIbxxavwrlEiA rXdseQrlhmz1EvsSuITYD+o3E5smXdrZfcOVHoUshpNgRj2cgHE8/TwhZToLK52MkxEhDkU5Y0tC Ppc7D0KjZPRIM2wFmoXeY6rt/c270Pr5naioZXLUfkaGQ/KPQQBDcaycshrGGowvqN00UrEBFPcE nJgJ2Ur03gFns+SlvDC/CxICoZD5bkzOeDCILIIhYK3386HIy8AGgH3lMzss/deeIornQ2BfwuGS Cug7oNQN4LBgU/KgKvRFjCWamxwLzBWCXhhIS6QhajBanOSxNSMPHgSacfwzQeDEc9Yi9BealvcJ fezs+PD+58ZUkKfst/t10C7hhky5Y2kFt6/uSMk2UePUGAV7BBspnGNDctvZNSyUbyXql1ROBe/M sZXEjx01gwbVzFAGYHksSNcO5PcrXw5Z6vTJoHuR3B5lGZHNfShmTMqc9PedmI57JeUPywRXbjDP D20O/fTdoqqB8gFXxoJG9KqcPRW6b/svtcInU3nOY2H3G1wzwK1tuC6b11GcxrSxxkC2SEPq2YNY QHIL27GgxsxHRXsQXJI6/uugU51Rj4Gpfh/TZnpbobp+0GabXBHQ9c52uZtP3bByLB3NMjg2G6RV VBhPWZXT12cXVEqMg89nOlNyFyoKXCnDN3krriJyHTOBEgbHFGo1RrQRiiljqFis/kECNOeUx87B IQKeW8D0rrZhG8twbeIhV4ikldSC6GYlCAq358guznQnAvHiXPgajy8WU2YqPKG5/h2R8SXURDLp uzmkduOvroOh499yMkBLBDp3tcTBF1+TKLhfJN2HR03GWut6MJreFPX1Mh/4lZo7iY4kF5y3xgi7 DyVzkho1ysIVIkIrlBtUNamkbEtqqc3LJ0f5dY8kMZaiaBmGgSCrTD3JFuSYjT5nruQHZFFWdmX0 SnQqneOQ8PabfrAmrz0jwNKcyTNAoVMUMp57fSUpNOr+NrsuEwZWQgh0rGU1pN4Aw5iwqJ2dTU/X GAW70PyAKvIM4m1Cigg8yo+Dy1CcRQs8hkv/lkP5Bd5ZpHigfolj6i2pmmcXq43W57pyGUMQ4YBt om69IveaQ6QkYLFpgJbaGpX9+8OWX3d2QZFVMxwdfVTW+s1aDTsajPwA2c8aNMBrcQS3Ci3bqwlF UlMdxRoEXQsfECrHPyTsXcenKWRNHlwPoawlOTvdhvwY2BKC1iJG9Mja73tF7kgBiBe7uEyOm41i 1zGMkNeueE3a0PAfM9AiU0TGKqKgasVCxQG8YSdghx8GTiki3gDeYJnyvmFXjKF/yt6kLgGSS0Mu utgKrQc741UIn8GrgjvB4L/y34bKYLc95geD4VT3LXzVA/lrrpLXBj5pf1VyW5yizWbMxDxq92Ot UHmmXMyejsfjEFy9ZQGWwIOkFElFK5gnAsQAvv2neOOZjMFY9LXLLj12bPUttRbecrO7HbITIr6L VkG0P5FG8TehVOtDmPXJ1MDHDBzYrgtXUWmjF/9wtOO5ogCrUbi6pOyUg7+l073rG2Wi3I7fXeQP +urRR8aAzrK7Un2pxqXpj5GpAN59eAWqyXKFYZlUv4gnWgUxG2W6S1+Xi0Pj9xXtreDYxZx0hDQD F/vU2aIHCtjun4IEepUC4zKi5zjw4QygdB+JZ12ZeZZxzNlPNFoB3RFWGNH+RcGzijhvwpbb+chS g5F3wt2ikeJ2wNMgK1jz/CQxZGK+7q+VIGqFODAXnJiqNPeZ45TbprkCCH/qsanRBp8gXNrmp9VA cR0pwuXXuqAQ0YLffZ95HUZVau1DtUApnXWi6BZHEQ/XHX6tLuZctJ+1Fj6yElot0USPeFKG36T4 L0MhY4Bvp/EKGFT7U3FmgTyQBZ4TaRKDfJptr/BeplwB14j3qEIAh+b23JZwb8JTq1dHS3m4HL+v ZAGFd9iUvAPat07zsbqhfDmHj8CFV7pFOtLRgZsKW+HqQZWOso09Ee6ZhuTgN85kTjRHdGAYNoq4 AgmiY1WHqxQcDQksprzwsm8bcVmh4KCC52xer+69QjqtbtgmRpXh4gC3F+HcMfUEdg7StWaLw+XY GIVy8KV5No9f5OyWe7DYTYLbeukmXYa3bN67KWae64VgL0PcDRrsBuLIH1ImdY7z4hVbG1RNuonM FfG/+BKBwLoytNWub4mBbAnTlCh67n08g7rxTjZ4qB1dWKsEFge2ropPddYwZgKG7NoOMCSoa5XB wQawMQ5/BvXw/ItWIUD3gFKVxoW3Z3v3tcPz2qiPu0YYOj+7UF30QGgDnyXqN5mwzpsWvGmDH8cq Ud17Dch/PXjl4UkdBpOoBTIgbzbmJ150wikbzZjX+1ZKqTzbIJ34w8yr63QgYMuKFOeuNZ8SSOLb IprnKRTsUHSz6UcOj54lLyOdGQxoKDq0f+AgZKdShb3QE5Iv6Z9RbhJ2+OTAL1RoP86/ubC8y6bx EdriyTbB8bXUyw4EhbgUact8xeFA/euyLBf0aaWXznkSzQ5GgCBgOWEoVVDDo+f5O6WocHfOW+JE OjtV+fPN3RL2+Ts/hL2kfy80zRreCrrP03L04nbghWn8OyaWYLfrdjLAs9vzebjZVVpECTMlU6R8 CDYA5tlJFMBacG3yHELYeA7NBb0lb9mazNOabRyuFV3potLj7rlZIfe+fyi7eotfYrROkCnwJrST HmDHOQi22L2jZ+SGCGDxr+KXcZd/hTu/P+qum/hGZHZLqZc3YozZXDsS0lGlC3TgARf7sQsCbB6g LhD4fdgcHyuZ+50JjRGgg+p/EpKRVMfbDtGbu7AH7SX3/b+xZ4ZtF+IWMIxfhrAk7MzPRXr6VhyR rJhS9FWF8y8O1q1wbY4fBhu1O1oeGBAeTk5CwRudLXRvzx3YN042qVFirpqocUYluDIuT+/XaUvO ZbpW8luG7Tnonh0ulY95WPJZvFxC+0YwmXSAQlQfMxWX5bqS2zxNj6GgAgy0ijHUMU4RpmAWGj0l 0UictEwWooPLKTg2JMklKvZFAUN9+fkxYLLjJQE4WsU5QG51pbcA9xT21VQ+5CuMRYXz+JtASfM7 oLL1Pzh+EOPpSq/318YFTgUco5afSI4MRxCRIi+yDAqWPXSoMDdfR468JzwAapYtvZ8Mb3Zq2KE5 wFzi7Oc2WSPfhKfZ855CMqZoYzZOcxAALg7mxsdNOedMbLay99R/mf5vzIrcNyvo+7TAE7gebXmR VQMCvXh1loroyvl6jQIdtwJXa5pZ2w/eJUR+4UjFSLDGxaBPZsqD5f6GAStDOZd6zgsreb6u0bSr kV1NEP9XzbRYO8z+10eKhmIqHW/wWZ+b0KH5lQIMgpb1lM+VofM3jTPxI69LCUd86GgsvMCnr28h 1eenTlIa05uwasJt5nve8HItmtDl22z1oGl3DHxK4veid+zcnzGK2+oC97IPTmSZ70oG6wEIh+mj on709pLYcx0D8ToBlqRQUbFXb5rT2FPf3lULTedBTtyWoQkWLFMyatK0gKY/h96pqNP/bIvlx1Q6 Pt5X+p6QUH8i+brZtGgD6mmfSpTIcKJLFi8gOACrYvv3ynumoJVCSe5btoKiJk8+1fgec7rJl7va pK9l6m9fba6vVJjMvWfnh1Ay9dfftGPktoijQG1PKZ1x29/8WqbfCdC6vte4kBw0EfiJubX8CbX1 pHUzb5vQardR5PdZtP2JdbJRKXJoduk7Y14UObTx11L+GSBBVSx6liW80Ac53TDhY2YK/nRBe4wA EcRPq2dpP7xjFIjAVLyUbN4yU6mQ2gqQhzrQ/Bo3z5SK338BlsCFr7+UIqxhkSPH4p9lyZZt9aRl JKylk6D4Kmf4mptn6V27k24IPAkc9/Bzk0DLM7LEhNP/SgoV7MJmCj6QM12Geh9N6pPD1aHe/awI Kb1+dBKbt7dg99xhe6dIKL2GO9tkS99HfGlOZBbhRGtARLmAFWhMAZlM1wDNS1ihT+yR9p074U2u gRDX3/8Asb3pOdDBXBtfYAQB2ylw/TjosS1qV07QBt0o11+Gyo1XSYTounrVCUmTty7N/juQXlaB CP/G5/ornyYjzJnxp8lIGqmDgwWRVYkpG20CPjDlzMCWFS1hro4YUhxxYubmAiHxy0AbthKK4R+7 /ySgxb/u6lqD6LA6teaxYquLb67h7oMmi+zAo1LErJ9P13T/jKcmSUEI3PtpKh6IDDv1H5Nwp5uL ZefmSOawP4l4504yNEaYDm8MG8NU7jRcqnmQ0Z7nv9x91szlIPlB6pXrx3k9SinjJUuNmeo2P+Iw TVQOayBFEYOc7gzX7eJR5QfAIdrB1231FJiJ1Y7A1GE7Pd3GoL6fDrc2kO61k6mBsAluY2VORztU fAG67moExLn1GKwxCjXLqUgGyWl3wwrx32Hr1cLPFWDeuYi8ZsvOR3b0WlXepPftn5AhRlXmaQ2q VlpI2i31Ju6Drvwfrq5oaCbjpGQBY3EuAehtHXGmaXDYYekO95SCCiYk87AnIuzP4NIxRDWigoi0 sDgLLmawvvDXtkWYYDRiYq8PvVsieWi5/YfmoFz2IbIFCjF3/4wfdcAIJv8gbQcLvgmllW8Mq9xJ iYXB7jCCd8ihY8o3zXn19WFFEdqxGZrQwXRK9/Iq81ba29GiudQjVrwT00DMI5qob5/1tw0arUEn KQYE3gM2Oc5ZSd5JqCLdaiWZ+Ni97qa5thGL+5aCsubd7ZbwIyOOjUL+TtccfvWwIhxUvO4HZul1 gaEnWl4PxvU7ug+JczqD+roHul07caoRE8clwazShzcHIdpMh9yU1JIaqudBppKP5ge4NfKJ5blg 5V0M5tTddqsuwbwoESv9NrXymqF0SkEUPBFD0aDZH96d/eAQVcWM+HgwQH+xrhB8Wr20aH2cIK/R BlGdL2i3m26sKvw2yDAq7VpeIJuTtwn+r+HgY/4maDcIxJdHPtJ9inkkgGsrRNrulmbdXhk2ozUi iaHH58ADh7fo4ImgGO3Uugh0BhyNkw7uYvfog4Yn4+Zxo3TIkekMo2M/+5oAb2ujQsSCu8s5iIP7 DQIph278UbPl+BlQnoVNmXK1elIjAukDfpnXnlXIxF5qscEnYY3vJNy6Sj+gEZwIaoGxs5ReSsCm D5Mx4POk0/svZkDXJaHDTAK/1ARhcp301DGvewTTXgUyNRSoavV/XlWxtvcUkVX0APIw9LEQvnCt t+ydi+niSHHZp4/gpuMG/wdW6Jqo3B8k72aSmel9IKpR9DUzaw11OuPrI2rZbHqvpHachPYCr+mV 8c+gdHxgFKuZG3ZKE/oufn5ktQl93EBxifsRWGzvw2dDT5eEId01eZpWTKKwna5JPDBLXyAMf+Dz l+lFcloRfFlWjkT3RHmXcez58hmsL/6q4BJMoSvJSu24U8aN85OrFjEFSasBoZ9LVhoy17+fRurr WnbWlhITmOQgAslfbSWFqd7zL0I0qiiROfvjX3y6HjjPlxpBUMraBMDLHnINK4oQNK+/TOFyo8Or McLvDvkTjr4nh+sEWZ3EwMlSlnNYgGrxN5LYwAi9Z0ta1DEt2SrEq7thlXMNICUXY4i3mPGlVvgb UatoxcGeOolzDwIP7wd3rrr+YgxKxGmimyTojz+RWNUKLFUJ2OxcoPBbHZuAWyc7sQdTRRzF3b2S kqymXa0za+Ggm4GwTyj4HGfXv+w2Y6OWszc8ld2X/A7Hl6yZe4IQyuIZ5qpUS5+muwkkuQmszkdV 6FNc53i+9ftHIgZDdi4Jy+j5BDJMUc+cp5gVm603uwcbgODShSEgWpz6PlLLmBhLWsXABh4JsGiC mhgFMHzrpc9giKshQ21jq417O62BhbnOF0w/YXpoaTcThHLXohdGjavf3WvPrQGJw8VA4A8ye3qI C1GsJPgW/qvgMYX+z2ybWRR+yesXIsFA6y0sPgx4XJGTuZtQcWNqQfMI6+bW5lOFe/l9N6/+GKVS fYhqsTgEhWHYBB9ws9SSUfhiGXZNlCgd2jQw/seaJNAOc2+iXqoB+pbZYK2TQMMfJSP/CQLnKlTX 8PGTFQ7q8auvsQuISzocW+63cAQb5QJcQdAIhew9NhKyWdYlREFButrx2TRgm8GnL/DNDABIEFQR xr4sD+DyOUo+O/JtJx08YL4j+svztkH/ZI7WhDAbfeXpqUy2Tra5OmHY6o0WPWPKraJFBKhOxWhQ VP52CV1Wmrgc88C8HlzSXrSksio7+W/up5A7IrdlIQJX2nV2+iz+BUDeISVsMDbkGlOaOIRSAqIB hqdGp2EKw3w0pyyATNdevuxxr1qfF0W150SUTwfNHdIK8Y4d++CArZ3xUVDuXhJxQPEj/pzh7usK hkOrzj5YKDmLq3kHcq3kCaH4MkkgnEWHHQnMN4KUXmfHWCgrKdcxh6Dvx+ylSGXys0B92NrisH5C Ha6wZIlf+kF0Ni7qU7IJFHPDR56u0Ife9rhkpdhgrboauly9TzZ+8ehjCVt4g1nT+JlUY01FGy7J es6gjto99BvKJ6aWch1aOrbv7JpAXgjCpm7nad6gNEIMf8Q8TZVpm9IQgq3kouh3hQ1pyuWitTqX ASwj7JMUGPgZnDtJSsS1yFTJFK9BrnLe97xB9XQunDbJ5UVXwfVprlA83vUuZOn5ozZA9D1Uq9NM n2mQXvphj+dQ0G//0e//mglesu7c65SWQZHP1tYPJ/nIvLt76BYoVmLcVyu25gFcguvykRWgq+wm iU9ehE7gk+EVtHyMirpEqN5slDe2IkewZMpxTQspquNbIr8r8NJHJZw/p3xu16n6E1nVlGIFhGl8 x1LVxpjoC/Rat2f9wLWCdT62PEOodgURa7cZplycrlqDrQkTVZN5W8uPsOiniPM3fr0acg0gSfIL L6dMq26iIty4Ktn1YsxuE+r9m29RAxSrm+aEE/yer/pFgh6kxnUD0BS4Tt22Jn2Gq1bbeR2v5IcH Lw99WY6CVy0Hn3hUK3n71dGoK+9Zf5+njuEbDWqoojOieIuGqlAXiz3trOuCek4DPJEZwggy/gIm C6aIgxViX7BWDnwPA2OkKWJNj5Ts8rv1rpD4ivnBA5KdR8I3ggtCqJIj0DVc2LaNCH0Hp8vGCLtx LjT6wC5lP1euaCL+dSONaUwRpCT1R4avELAUL5MUvaetjpcZr7Ry8OLYym3llq0yr4L2Mrr1ICoR ZNUJYrrgdeiotMnKY0xUT8B14ImPXZNuVF7L98amugPKrf67924BDB5sengpE7k8slWoD+h7K1Y/ JuY2z6a7s8SvFG4irQozsTPGTxRY8nh8aMwVbbpTvOcwB+G89el7AkuZL9WdWaqzPq5yGnQ3xRiu yUDG1yGZlc9SBwl81C+InntiTIB+kzEkDRCNL8IhWZsDv6S+YspsWZuWt6OpOkcRAJkLhcRBM+6w XUXt0XopSfjfqnmSoHSY3XMUC2vNm0mMvYMw3CERPW3nzzwApmtRdUFXon6lQZfsy8TTV3cYHHJY mRzNHHqvE6A2PhDwXGC8uVtO0pW2lF+V8RornGcq00NbgwyM2pSgZuX1jH3N736Czo7Hpe7YAs+I 91poDYLeDQ06dASBv9POK2lLTIcmjUdZewuRMW4XXK896b6okYUyqi9o/WziqDpJKnMGP2eaol51 iNkKZbx/nnCf0qg6REnYLZQ4xOvQOkY/CIB7JB5fr1X3oWcEqRln3+rQ+zPM6+2n0C9+DHV/hYdg 4azmwRXYirc9rt8pmoGyiJ6mzuVBPyNShyp4DmroT9UzwHSi0+gYWyAMw1XZ8qa5pHP7jGbhp1uy kMGW6X3asbkjSKeOYfHV2iDx6+0hGR8dYmaSQAvu/6BZcO5y0RDToJBCLBxDTkKKPGecfF0uExah oLF8A852rrb981y3BLcyOE2zwpX0NfAbgyl5N6ujinla8ZM+MvIpHYIs8K8YQUb9nJB1CGbl5ZRq f7t3i1L/3Ek3m/3hVUPyiAXAU1bgKM0DrXAAjg39gQrXWjkJtF/NQUCG8xSpTvACOcYlnq0uwSaV opI5cxjSMoA4y7M4RdBCM60RoM17v4t0tGFITY5kWUEgYTDaw29AJ3t5aXrqjseaFuI74Ce2Q9dq 2RPKLoWtNRSXjkgGBTKOIdvZHvMyimW8lE3c5HGcmIJeyhgs66MlE8GJqFdz62wX6aEPkJRklHtR voQEl8eUOUwWbhUNNdL5BPZ4PScVyRpL0NL3seK32P6rHgP6UIcbANYVYZ2aR60K1Ur28yTT410m jivVAoQ3waNKb7RmU74dutvwdcu9HKOI1lXsM8GZlOeXaP6a/S2AVcAJqdO1Ob3ACDilaF6krQRi 37DoBhATVHg1lLAxygoB0T1rkqNEDBZEzmtWGW10vZQjXGxBDCU2gmbin+Tn08qDeqNygFPraREa 2rQvZqM2bI8a6jvUH1anBCjaMIJ6C5fGhlOpB2q4cZjYwlqzChC3PD1P6Oo9du84l6RDRreZlkOi rdhZtjKTZBple+jBwzWF5XD5gQ6msrGmeg1bpU8HlcvcflnNh2mdPrWFo/MADXciF1rd2o3r0ygw 3M+fIweiH5rmJwIMWtATop6roqzCTFqhHcLsQSsR18rUgmyKClE4fzgAX+L4BznjqzZZSJaetwkG VFYslZpbdR3FTSNP9M4McGrcseqeb/ek06IxN61J8GmN8CEfCDImU547PliwsLeyoRw9cANyb9Iz ALCt50jFjG+buaNun5h7vMJOn5KqTatCy3ZlY6EuRBVNIoVNMHmtNybS25glNseejSVIfbTROBGT P9QdaL1KHWZg3A4hi5FbyO7WdSlsEzgkY2Fw2bYBv12JKoT3zKUpArulZB5Lwt51hpPiEwEpdDb6 dRGbvkaw2OClRAxsbo5bGd9ddbKKsj30hyIxBqd+jImVqN2eG3V+AV0g5L7wWw7KV27oBR4SS4Ua yjAplioGQTHruIyQ1jJiWa8NE1KUKmUGgfCv6F44lE7MmB6QOgdp1V94NYyneyH5BgYUXdUyK1uE fhUppT/DfUZO5yxTXv5mVfm6s0T0PyPQMQes2cQvQHdC0qUod+AfoHVAS0i3gVk2z8r5bhFdudNj F4lyFGltwIf3oLHfCa4Zf50iNGxSm84xnYfn6RZJX3ucuA3HqbJPwhekucc1YLkV+Bywt0xaVAHc FmYRUKbQwNhzULtXv//hMiqEa9uxQP1/I2ujayOMFQ7BSEUh7Ezoys0/3Ajdbd0gxDQV1sl/f8s2 DyFYJy+n6D6Hj5hLPFp19hrtMqLuNj4Urv0Qvr0uf9kovwg9j3Aqgf5yHHO/Sa555kWSl3tFJI44 28SdfDfwt1hzrzaSIDFcsSe5lLdBacH30LB2jjSWxZwL+vUEFsD4SkF0F1S6aYL6MCp2fpA7j57U nXe7dLe+Q/+51UI1Xd/EzZsulocWktV6IAKXsjmwAGvJnIKC5I5TQaBfxtmR/LWFH16OK0xlwHq/ 9dG+orhY5xjOVARp7KipZ2uiWchxov1+XijFos1TwO4KwCfVhORGAJc+WyTaoT2BWlsmXQhC71zW XD/Hsktplyoo6EE/ROLQOc+gPMXz4OtjricSmpeY4ith9Pw4CkG0pYkQvdYi42+4qpQ5s+uCiLG9 4JeQTwPeS/5w5UbKaeSMf0lKP/oe3IYBKwttuIHmP+6tvLHP7fN6aVy8VmmdDQQMruYfkru/YKOq lvPtqz9SDyWmsXn09fwhtlbvJ1T5a+3vAgBFnhiCHE840wyOVyamstOb24mGN1D3B4BLBAl+UuUh Dp4apx7+qiY/HRnFYn/vyWCmf71bR0540LveLNcXYsM5mKBr5hYuaWeQq6MxTD3k9GWFG8PjoPBR jejrmZexZGDAEFGjjwx+NxHeR3SzO8RSmleHKLELj6HsjmZ1cqRyJktOBMlPeC1/iRC8dNj4l4FW K2z/06OHvvtIy4gZs8azEWu9ZIkIXCyxNaCyeEvj47hIwA5zOr5Idfo5HF7vJ0kIfnFYmsqXqd1Y Uq6KyiKj7QcTsav6D0VwqyG0zpSF1M1H2L2h/vjkOQfFSzLT/cPvd3tmH8U/tHriOkoWzcW56GJa u6+2BScoJd3LPJGRO5mFCaPDEhiOQsihhOpCtb199gf5nG7SGUzB/8qlIVWxOs8PzkptE9xHTKPw I9pRhxXvRrGy3VMf7gXe6KILY+B8xV/Q3KdBYn8YG9L7knhJJuFG8itNMlVqYEYhvfAyupOMLosz kgiKUKEr40Esx2P9/fDAJPKGVL65AihJFzoGbYMRlqCB3d6CkV4oKb253/tIuqzWeOyhvHwvlYrD NcpV2q9oxhYvxefT2b54DOoSmadkVId27DNAunjhhF5kT24YDrolii6sLZU4opnDaCnw7JV/V5Sb cMC5fdG0zD5Saz8bX8nGpK+JH5KovE7vwCip/Ilvjwhdv9lQIsFizNp6XXq+XPvVzJ6D9xac6ypZ 7A6ZC64PhgDgaaHQF4hBxK/oUn8JfN3+SVwKdUNhdch4BXgYLsCrDaUVWuUBoDm2K4VAnYlafbiU NODRR4kZRApdtpvCDIBqBqHW7vxywlm4i4AwDUqKWi/F99vFzhHoBZe3KHKZsHxS72devO/04efX gfiTuJu3B7EA210j01jBwW/HWiYEm1L1QN5iSU9DdNYHfrRZ/LNehmuBr5RQ8Op/908MKnIcqj6r OASGZW1DU5ToHy8CTGFZQPthWx996+PwsQ7rrxvNoZThGIh7NGBDagg8S6awMyQlr9kdYCuNABSB EtFo2KoYuxOulUv5xI2UEXxO4yi0TZd6s1dNQWLiDmcSzZD99ruDKe/8Qd6RB5Hx8Siemu0SS1rn NQhiOJPKgS+sxAT/Qfolu9r8hp788hqTVY42z+PEqOFaye+Spch1yDh9iA/bYUK0850w5DI3RAwz qNwVRqfrDCyqn/ZS2A8yTzGQo4aLVvZg/N+pIv7cAEecdfh4EZrFH2oLuUl3gcnZQmMbciQxbkav rbCn41uAXn+jWXnK9G4qJxf3QZO2trnAIHZD6qnw5Crl6zqMBhlZ/cpK7nMrAREE6DUoZ/0cMxvV zPReW8xnw/wfQBMRAOWC5bZr8iQS11S3FXp+BMuPrwz5xuWUixoRoePBLdD9+JuM/LggY0O4XZ5U KSF2KdxJoUTj7Ctn0eP27gwHIDw2qG7SDUcBZcq9Vul3YjI6JcgJ5JzjOIAdeX141N2n0+aiesBV M7PQTk+oUkL+sm4aUFafDBfdwKSj8zlMd8FMqvoCfPbV6pG2Vx3sbw4QdHHuXoFoO8oeGAXjQl63 sQUjS5BD/ORjcUWBEDj/CVcMegZitJ55b65u/nu5RzqcCeTuSipSTFmEqr41CjHcY5PXK2E99ecl lJQtrk7vscj4JkaSAL/Hu4FF0Tj1DrfF/lq5IXg8ucWvnc753WsjjYf01exlpR3qMj9SaxknerjR D27V4PHQ4dMaVLu59us/HuFWj+B+DAeT/0xUxA+xctihspaIgK8/0ao+gQpBOdpWdC3Ia9VyyKfj bW/Ey6lQprl/og2SCA4tEibf4uzHeroR/xZzeJwVNbHSqpVIb+YXWRpgNqWKkqwMSv5YQmmwBhlb EvZhj/L0bEVe6f1N+mXH3VpibHvGFxWODY2mWiiQspRaxa4YeBuvjnJ+vwZJ/yDS9coTxfZ+dqkl WeQHRL/AL4mAgAU849fSSW2qZi0UGHzZ+cwdHrjuvKxk22k0HXjBA0Qg8JInMITALzGFehgM0kyI VJ8IglQCwT+MpuqXT+nSEx3RHULNrWk4LcDpZ8jLNofNWuC4Kw5VubA52oF882n8SynkhzmDTz6Y Lj+2f8x8beSuyKJM7tDxTZUwDmg3j32QyrnrO28icQkWR+j9OqjxUiOyL0t3u7EjR3BitS+tasEy hePVnYIBJoL3c4nRnHcCv7J7bjy785xxl6Cz03mbCqhuEemHkkDdy3DzeI7g9AubbA6HKnod7VVt dylABYLZtxkZ5Zk0rcCPdfXo2/Ik3+K2xtuVCoIm1Y6aNFrQNQGU6xYcCHC7+tRiqsUxiCIRTXzK 8Q5fvIPDZ09iSUY3wuYWLwiW1mBlJj22uZdX4+39ALUtSLGD6FVyVLyn5AxtFb8xIUYlPqdNcxq1 OsJuzc9w0Ea7Css4qNWCJaBSl+k5nrisybH48C/PMJ/G18pnTEF7B87Azt/VZd0aQrs92UT3aqDi od0BoO3jaDJFqKswwnPyhxeoTjhlGGwU0IfSMe5+bLa9vL9WPWJoGbPwhFt+cr9Vc8Yp3ORG/GLh CcmmSwidqBE4avKz8IVsS7Lmypq1yaMhUFzLw5H66rhmf+9V8p6tny1NfNY/WBYpOVLUrqBAkVs8 /8WHtd+R0t1rN2u+cDaNx5cYLhBWODzx32DlLys6NlQz/bWzt4mO1LRCylKyR5GV0YMnUfIwYF8p kQ7P387qQYWtqymCScgG02FiVhb6whb/PjfMmDV1EabUsRGYVrtBpimifySCHSgj6uxzt4n6vWRC 2dKHs4YOR4KXOqQgcgVLs6ivO6Ob7lzb0RkwVR5M18VUACwlEGvMqJKjyMsMD3upZRxnkcoAkkR6 ahg03QcK/BqJloUoPg7yCLviCi6+8gN9BEhwOcAqCA0ev7IKc799FfACTKvK1/MXb9aByhWsKi7w Niz5kWqNc3OwRvlm8ync47FGkDRzIwYkomK2q1ZgccmGG1+3fX2kLBWxdeGzsgj0fBQkZ9sed7kQ fyPjBcPTQuTi8uVygdG+FeC+3j2HkBtoJwclfeRtxlw+cm0jNlh//onoVki0jaW5kPGbHswbVgAH xMG/TKTzXlWBqhyfQgYY9MecG5APPe/V4xmf5O/WOqcdJrhgXnJGxbSCgvj7iywYjj8SMbqgfOU1 WiOvsgh4qthhko4fBcod3nxNw/6RlIFPNa20GjaBcZvUqhtCF+ulG0mOVv6cfKrLZ8uvvSLu+oAS P8OXDDEeJtJaJY6QDWL9ImN5/5Rn1EJxsyrfUIX2lieSCpPTg9K1MKBuDdSci52dPy4oQ0e04ypu qJvvGDbSJo0risbcOidjYi3yMcEYkYjfI7QzvZjaj6Dlw/PnsROiOM09yE51SzfiYfETli4zs0M/ cdKOJlH4SsIBjX0nd0D8McE50tmLEd0NFedS7C45FK/1m2DhqudFMeslC0RwU/80EUi6XqqxY2U4 WSJEt1X1ZuWUM/PVkruuEhg/cVGxi/UAlsQ2c886JZbvC+Ktok8DALAWJXetyv83g1Geeds12Byz rC3kDXtuaM2FJwv/NG42eJj1qZ1KQ0Fco+Ikp8iKAPE4pdIbe+U4cppB5i8vQvf/7Dvka1fhJECG qW2iCjdG6yVMXXE2EIiaWuzAsuqzFGeoo04ZuZnk16bvR4eKrhZsK0vSxfxaPSr4MXMZfPidCCc5 jCCqg5g5QdRzfTnehsDMpw9JQKnwJE22vVK/ww86Y5qafQJ8VHARP05K462snRsdXNRp2iGQQ82s iS+6a2spbKL1vd4bkJq0iIAJLF+t/6cs+oGaO/sbrSUZ37W5mHoFv12QzwzRR3g7srbbpPakhvTN etR6yWk5W6GeKukjbERSH7ZM/BgFnWvk1ueLFHQ/MLW4MdoJjHudsQXfx2I4dN6oj/AIiTAiNzW4 jbMQlIoXNuW3EYBLNMZ0EfoZGiNIySJw4QH5n6vmNwfEeUP5wYIo12tFbn3Oo/G5Q/w8vuQdwDP3 UdjY7avz+sJ+JHcP8ACdMKiXRWOcCBT/t805s+qcW9KTPs5TcsUF5miPsKLuYXozqiQcStxI+REN /fiTxcx6qQhPtwZsjDbM5vqBiOoK/1LiHHCZRxUNymxG7IOvHAiF6bfu3ZobSjeyPhU7X2bAI1DD yZbX2ytmRS2NTxyBmrpHSIc/pRkt3OgFuf+TbskNJ2TbUCYncE+qfiWvWpxGTFyp0MxhB8IzGgEW mzvs1JlQIf26eq+PaxcOfuaFf8rtBvD9b6B6gBC/oG8xr4OxtpVvEwJppcxCwxsW71lmwfPMHBgJ 2IkxL817i9Jk2q02Qo5cQrs/OXInO8FgLdJQXkotDiJLUdGD2r2y35VQqCFOY+POnPismoR/xhUC Ts5fDjjQv93mBmLWiLjdScq3gxsSrBKaYda4tIwovcrqCACkzniTKC5x0DRQAuMDEUotPe4URN+H awN8Vc7CGggqooP/7H6R25u6PUurktX/qtjkmTjtBeTnB20U/hx6FTcqsuDMKDpF+Rg7PF6pCV0I WEdojOimsB2fyiXXmk0/y5pKXZhtzgHaGtPGS8+R06ta0YkOpn0kPfYomEujvHXLP43di7K6tEUN jcJoc1KgplEe9sWoW2uChCe9b4iUBxam5dIWXmhAExNvba6U3gpNUl/jysevQpzJBNzLxf9LUXgP XpYib5tdtJxHAe383KbamKJFHDgZkRHRqTM9QSRXByRj04iOBMODUdwjvKsrAlWDVl4v+EMsixoJ Tzvo1jWVglInEIBeFawlkb6mjAg2gU39X/160BsYmk1uWjiLGitcW8+C8FyQA2tPVAgAsTPkxE33 9YE+du5EXgh1Vp1oVhxJb7RMZqut4W/ONdqFT1iCmf14IN6Q2U4LzxBANl8CaXu4vLXRZNvb0Xcm r9/eqLdyAA5z38QYwS88TiUc2GBk7tluFpWfzvaqggO3V1UXJwynTO1x7URj8aqT7GOfRtKqnDUd LqO4IejCwkZBKievJSyTFjYfVC1rtFBjcTH++J/SKeNAmlMHVG4JY1i/6Xspb5jBiVldkEFFLUTq Pp6gc9xiXuvNh3Tvnyeh3eA/w0qRs7eale+cZffAu23AoXtoRqqEhAYruWxb6kV4pcNxQNcgA8AF 9n3cmtLKF5aCYFvo5o1xQUg8/ncSN9edkTe+ArtDipW2JllyThvVUIPcwEamtgEW4tcOr/O1bfNI JEBoSdjR2obFyO4vbruPE9flz4doY137f19wSi0WLIe37ixN8XjsM3tOX8ayFxkmJka8MWJeCVwX 2olgtxcNUlmPa5/FzRiQGgQPQsEMxCAsE77S2ZBjZ05UG1Std8s+uFFCDhLa3C84WBvNX3ZTc6ee uELEackZDqAHJexwHH7z6nmHt5mh4F5wZqGM3DFpqOaWN+OixsQjeIfNHXu3W3YCD+j1xznwLc71 +2NBEsy7tlX2SFV5d3SyXpumZe+pbkJFxP+iBFyb5uGKKGcVHAeq5DRl+kNhMNzt/iz+v3W15cWG mLTQhtE888V2vjs0MM/gstZvfntAJVz6vKYnHn2IC/4VnaUh8NCnZfx+T8OeyfQjd9eVmQUwFyTq IHtXcf1IUFqbZKm5AIlBHdA2huv2R1ikEwhWWbaILEgo4bMGFNgyHGcA0s9231ZWygocxXAoKHPR ANHQ5r+VwswgzREBc0ulHJttjNrH4to4h73eOM/DMD3MqDUSHEUkmP1Zn7eCvJ+coiX732GyldxR FWSiQqthTUCUtgXGzp7r3XTCA6ZOz0vr3Ehu3jp05hJn/zr754TseO7ZXoxSVR7bqbSVDar5ChNd ye6mHA/npRf6PcGTp68wOYVA0/Q6+AjqSLoQCIftWsaY2CarrxplFEooEW0mRdxaodgKTy16U+V8 phJOKPS0+LdW+gVM97cj3pKhT5yLRAoruqCw4TJl9p+fAQVmPBBJSUayHGz6qMciF+gDA7xTQV/0 uwa22TByO1sKMSkD870x/bl96M+OessmdGWqB5y3P2DK4rTybH2vS9pZtx6gjUz+pHZTghdfFnnj mXSxNHEaVaW4lDG6uE3r/P391gPapDmaGnFDPI37xj/7O55LQqWHHe9I4Lx7d6W3rz5RsiI4S5g6 HblBpg090ssgpvSmhZjhBo04z9fjhk/h1VzknX7oL+V4D1hgnnUVqexr/8mQnxFoAq/HPS5k60xi R8cJKoJ6/7c2wFpJNCf/qOWqHRMHF+D042hYMGT2Qqz6p2ZlRd6SfvQ693dciDr/d5pkXz/IepZ1 LL5wMAYtomOudyeDWiLMgCniGqlepz/LUKwex80y4x/T/yqSi8+94Tbd1feojNen62vv3NvEPgyL Zevqg58B81GZQAPTPPAE8LJw43YDxbDf/5DfbiWAWwvUGqnB97fVp314jL8Us5i+T9rTxh/QUW3g 7HeYwSA4QqB/4qzNFmArW4ux17gu3oJK24L4c4ptbEPJhM66O965ZDw/BzuPBj0B85qsvnsNPilI rh48ytAOkbif/0X3xjhl6UeP0dIqBeW/OpGmBuHJJUP+DrfbCnu4gnmRAiXJ/UmvCejxMC13JfKK iwD+/LAUxRrxTadcoHrfZ+Umef3MZ87THA44Gg4+iqiEF6xpMnyNCdheFkjhcWr3UGh7DWqU/Y5d VJItDbKZ2nBmkblX9/gNJuMyuzpQSjk9yhGg+Zln/iO8TTzBGU8nZ/ZJig8ZhcRBwwl5tn/7jPY0 2ZI3YIw8/a24JBeyQC5LjZxa6x9TfLBe6AsPxFyeJnS/9rgR/0arh4C6pkbNprrMLB0J9iADU0oF YP4quzRHmvZ5Fu1gUGWuh8LroBOwpRUJ2a58vYGHYfXEU0YwqSolIesioCsmFpr1bn3o9C39VxKD mElVo5XZw1Aylu0zqNhspk7BstIyEEhIBgZM/Kyh96VEE9owPdZyVrHi8qfQeOVh6A9XF+PpEGBH rOFqYcCyKkto0gZkqZLhQkFXp9v/wLfGnB/TwUr5gU6rsqAaiASLqLTl8+RSHHGfgmFCWavdOBVX WzwooheECWR6AUNrStfusfG0UXzUjcPELZQE8RbSAmbUlCUVnr4NXkN+T45iXGTAA2rucBMoPVEX F3RhybSA/GL0XaefcDDnrdkd0/nzRvWoQivie7jf2g1JnNu4W9jspACVSbOSm4BG+e3tOeqIU6IS tQnxNZbBavvv1M2lrYQpt1hsLduX9np4D9zDMSmq3xDW60JVK9//Sl44jYNJPH65LpSxn4XETc86 IKV4pgEHI2cQzdC5T96VSMhfmJFulIJP6gQbmHxrjFN95VDsGN0K2aQvbaSM7ByONL1+E+pQp5Os FKTHBM2YjIV1EJTJyvR+jNQAc78BlgTUhOIrSjq+44VQMXhNxCk/ooYwINUOPLnTtd1DI+VtF9Tr RlVcz/1sW4nY82Ib29IpXmgZF/mya4KDZtqUrYrr8NperT0Z+6O/FFRkmv4WgUvk0G2LM64S/gEc ntWp9JxsQQ0jhEkcZCgVy4CRiUFRgONz0TMRQplAAjAlsYzY4Ca3W7zpCIaU88NDkh6Jd4IlZ4If K/1eNoSBz10AfoOuGQ12C1leRtiszBScYazzdS3/ggsTzHSq6bw/7Z+qlZKX99mSffoEtozCAY36 8RdrTz80NewmQaW/PEfUhFFOq+7ziIfLLicNrZHPSWYqTirYhD826eWKGNEeqKAI62i9/B6XdOkq 8ySt4Usqgah4OYHqZ39ZH7zaYbkaVgkNQgg7jLrA4uAyABP39+duOb34LsvBRKuyOfaaWFr51dFH qXcSFJ3wtQI8wXHTw0gJeIBi1X7nszN0//3P7LvdEGL5pnJP1jTWri9rk+bm9iueIbShmR8pUfZ0 zHC0RfB/8AHUCJVWo9OAfS/75OZzSKVZ84kVvgqu7xA0PvfiWJjy8VZoRlyNMd4YG56mCdGhyHOL a/RlIaJR/zGVgOWNhfSdlzzZUinqWFMk+QM0C79C8LuyiBYXwfGfv1x5WMAOlXOAsi6G9lu0uwSc +bhpBf3rjnBQjjtL9KWgft2wrHuDfE4mvYlwMae8YW5BDFxANsMku9fSesp/R1zPaOr/MhFcE5OV xb6GGttN4WKmGPUW9vtirOLd2lzXTR4lMqQlk7E+zLAWGlhvl1tT3XwaCGne/y4Rs3lnW+iRkcnx NNv6H9ZS/Q7VpsSzDTnxpEAEfCUTcqvDpGOXRENBQcRLMOpwhohKIJeY3tQ4Bn46kDAvpYkKXwXE w3WJ+yKSBTEmYqJMHHOyKwc61thWu7SizUoZD44D1PFVwbOePsPFrliuJjJ4wTagngt37VVeOVBY ngzvZ6Tn9O4EZQbOEKqZlJJ2gaJOFRW8gAERk1wEVzuF2S1S79dgqie2bhk6SZJ0qUEw1USgNdoy v11qIh2b7sRmAqgvQzET+i76tdNCt5mdI6MlwKEPd4cq5eevJBOU0Ux44l6NTVMm+dctERtSQSO9 U+ejcvyCq0T0m0nTo5h2sbn8S0/SquVDZEyU0BoaxvlZ/esmlsPl62I6By74dX6SC1oFvWMuEiYF cm4KHY7lP0ciDRe2bq/A3vYNyqsjMths/WVsDlHdc+jvploAZ+xqO5URWND0mtrzey7LIns59nBW xKB5Mlc8PwjdJ9B7F3+l/KZYSNhuKIGC/+RjaOucLIGWF/5bxjIjtmL07LuDhr7HqxiurSXVthoP MPiMlbBIChzoBuDp/Lmimv9NNoSRTk0bfvSq7IjViywdA7UbCzUJV6L4kZpNCuJiVWfXyaTg38Ap EPfNg6TJnUxKejVJWmzZe+fnqcTnJchxhJ2huuXS1qBltuDmSOwfb8+A0DwcPfBAAW0l2oKhAtc7 hzLT5vr3gAnUnVOe+eJIrrsZm90HQfFeowGobKKlrxyYLpdKM1Sqd2ThMrFkc5bVqVqlVTtcf79k zzZkc1gKMvf8Vkdu8g1mOitfJMl0WSNyUdEAceHDeMzjHxRteSe66YW9wLlfCcK+YfMIXrANUvp6 8VIoM9Sg2tSBoAqVzAU96NQmi4vO681sX6RM7ajH0rJIz5MktfHsle6p+f1OOTTqjuendoKC3zTH rLsJzONMPc4BHOz6yBQ8WTDkB3Ebg2hY3QjRlyCnHFFK2aVFVidVZz+QEJMbIUwSnmWuYIV95hdm rXq6HUQNLvdghL/Kq/vnoFO23vKSgd/RHQ4IaUz6a5qaBeZZAsFFYpRnWQ9blnF4K3g7OUIGHT0F SETb0Dnhy4vttb57yoyADYh/3mkhfzTJxZxhlSyd6tsZVjy3vmi/oRqjG7VsG9vTxDkxVIh6Jrv2 Zlk6QDJsFu9H+VCoeOMQF/A2APA5lVCMqFcNoLBmgdbzRbyj9TYp8Dw5zmI3d2RtU5Ohy2wywQXD 1nwvGARqScKBb7V3N/uyrM5kJpo4FQbpaFoP4EfTXSEEi4VnaqRUAA2TrabO3nvPcEfH0HJOtYqN 49fDaFJeMsVmoa+gJAE0fR6KlM0RClFFUQxLlOHCcBLF0lQrt2ShPHeGnmEb/p5p4UJDvzZBFnkH OXvomCEoPV//yeqGc06yyouPPbPo0tcXKWtht5n04rItM8XjjZJaqY+dCztFpJYcUGdNyLYYBJsk qCt0tONQGSA6jt/ayctTzdFuvf4kkeWhVTLc2nWgeDbiBau5S1vf2aDcpVMkSH6LvirD0W9sgCne B7XjoY+nXXt9H92YL0NaHCCnWnuf3P5BRZTMpRIW+a2DIFnEOaVOlYC9TmGSPPWbPmDuopKLY5uf 3oVWl/3i/TRyDeiPe3/uzWpygraBDDz8OzmQLSwJA6MEHDNpopRc6Yb65PcxMGkOz5LIibtpc+NP 6gMAiujlDz4vc9u0Zfyue9lZFdJ1UzSG0nMUkrQBEzkipSYhkA9gbDWSelk2Qepg/21WUC6z3mO6 BxNVETPrlqUQ2AEJzWdlLLBMOGfGSAqkPJFVaTwknz5PcA41dyBAwO5JFb5PlWz7iRDscYFz5OTZ rhfJQdO6Wdl18VPfAm1ye/XqNZV0eUqKb36AcQRmLVbjw8yq9fgwan2rAFwnIQbFN8TBvQ41K07q xaoz7c5CrlPIWbWxy7HsJJK7IBloTk3fpHjt3g+bnizkFXYXt1oa5BEKkhVxfFcpKN9/jY4PA+AO A7mgW18H7Mfg++TxIYC3NoyvMBJmwi8Q7+uNhd9Yz7cCb+9eA8ou/yZMA/wfXyOBMaDGW8UC/oFJ 3mHF7MTRSS59V/5ynXBgMba+q6TfteZihYdd80OLIpIoGYnATCykhmz9iPqTZ5GK43xpXF3kOm/E FQVoYyX/b6jbwSIm7UcCBlAorNNOKOl9I4ENwhooUM769+y5vkZl52J6jgAgxiRaC4ec84cRn8qe CjUCzKtmZ4NsenqBnFsUk7Cp3ugs2PCCcqsHngN+sv9Ij5VGxilRU23EF1b/mvBEEJqGayF93nxP CI2YqMkfIpzmoXufXksZjcnU5wf2LcMz9RvsXkiNxdVRbyAzL9Fd89P0R0TnbG7/3M+Q57D8dVB9 iiZx7j3PWwIQODlvQYLznQPQo5x6eNVjTz0yDrGOxwwOjHEpyyuFQVR26ITgLgJWW7llWTr1h/E+ 5pVT7lq7T5ts0wrTfK3vBu6dl6IsoRk276XuRX6fADKI0uhuB9CdWhNZLcJx5+WmAzZsFY15mbUp Yc26zyXS+iuQ/mbFVp7E88ti1AtYora5SCrzh2lezZHmFpkXpa6Nm+ltgXKsRR5vcpGeNSdqMbtj E7BOrQ5uK1tePA5u9YK4qOyysjOjjtOfbkWZnb+iDIkNhRh80z8OGyDQMtFwuFXXVGAoaIBSb00T WpTvt03VM9hEpXHLBbZtF2xtHbZ9BE889sk82+TLNqoEkaYgDzjF11ta/4hNof/fWTKavP1jCDFo Y/NspU/KHTjzi5BkAUEaZtzZaNcV9sx2uJp/dG97OOSdCtur8mbdVlu/Fgj3L0a1yKBfphu6egwj mzT2pwlkRYzI5jXWttoIE1p+ekCychtaVFl0DWKlDPC2bHvBpEZ1agqyn7cLdL+f6KWK+karUNfm VizyQidISUKnPtz2bWL9be7FnvvpQPbKpyy8BxZVuGMbj/4W9/GTl99Dwp5oKkROV3L7KVe1Igk9 L0VHKEiZ5USO07ZytuwkYxVdvi+E2Um0QLXALkY0UM4/VE6K5M0v+FKzT+tMsBENFAw1/NrAcTIa LQFO99LpyLquC0xRNePsMqH7Z8UB2l4yrUZm6Ufllzr1E/WB4C0iR6qAxRfJUtB8dQdQVGYDJ13W JgJ7918x1BY6qOMIV0fkRR0DR941g61TkZeYJwzFBDaD8vrIrwqSqhtv0oyqIlfqSdi1DhNrFSAo i/mYTtWRTyn7jouOCD3P9cdcedzED2dQxhHA6uQ6gBBKTKnnbRqfGmeyoHb4XTSF0kvoXX328Umf SKo6c77P2il9RhHx7Gp+/5FgJa3BppcasRy9PPmz941U1GJ8EASm0R6fmMDI2o/wP/vLvF+uYuS7 yds5y332O4ixaGEbp0VqHSjeQFLW7ghdSvcwSPtnav/ZaydgofXu4/Dvaevg1xr6QQCIEOYZofV1 FEuguU9fjXA+dx+wN2lYiN2y5psEb8Uxxv6C8aNzx/CcHBXec8iAARAGCOKgdmWX6ThDyZT9RouJ 48YlBh3IHb/iuJxdny/Zw+SiNukr+k+8dJwNJorzDoQDlz7g7NM6NWsNTXz+HzPuy7d6WE27rQR1 /8jpngGxTXf+qbhrWDJDBZDRFqK8q6Cxg/OU1QoJerIUTCnJ8YIFcKpdtmDRZsfuHEbdVWWlOUPy g7rvQFgng4wEAwfOlJdMfNdMDCt6NXO0XEZijjuLZGzS5xlmPQa2FK4yhF71SRd/xz0lWWzidtof bMq+Xq4VDBH4nQLP4/jKrF2je6picV6MbClVjKsUnhKgV45UYBLoTfmP/s9S2n5Cb1fH8EGHhEEx E59ONPzFgRuWXpydu+kdI2MO9NLdbmkhbx5IkG7kL66kjWOinpQ/nZVDtHcxAqQ9/Z+cha3jztuZ THznb//So2sE4IXbvLqqnzTLofVxch3FLI5+nJ/lkWALVWS3TPQwdwbyrTf+m3CkwNEQ71l5VY3s WiPh/xbdy2byV1brIxr/hsc4x0dD4p1EAhKo6QJs/BaOuVgM2WbjT3rfGnsQHSKPe4GouVhxvlPx SH454EZcJp0qNMmIeNUeN+kv+zLArKJ5GxeJpEoerCoqdsNVl1dhR6La5zeXX/oQDIp3H0StsAea 7wwcBmHw4H9Lv8v2qqzdlwUFYqIjGap8bHnYLB/Y6M1GySkdiAIOSJj5Gc++W9tUa/e7ebJdAaV1 8vSLcKoSrFSRYFmD5WqisNqp2FVlcBG0Do1EFaRCqRckhssa2hN/pT92NKt/NnV44X0c+BEnwXjM ri7eKP2l/Kb0+Ez4+8NZ0i3R4X1ln1Tau96zojA9YfrUMBMKrBQVJzW1i072kCh2lKnlx4fkhNl5 o8a88EpWuIH0OagO6K9nLZk+cnvqplEihZUXGsM0xsL4+HDyoTSPb82dNZa0XDZ5g7YH/SzPmRop ziGr7uznVuG/IkCkvKutyFXVg7y01qVmjuuFRPsxl2dh+HhoQxXu/FMEmezR4k0P8Sh1kBIOrls/ RJDwSyhbpRmNfatnT9U1B3KXOpr5x9sGCtbli7zv4INgcHG028s+pxZqoPkSuwbsdPwguA/wEMRT R4yDR/Gg95Pwp1CJZuU1AAo+MFS2S2ZGupQVOohHWgXaDc11FZPrMysp1S8BfeLzMm2CEJfKYB19 ZEFXemscc8zGtjMHbIcb2BTtQJow3bdBex/pCxpp6D+Xkr9bdyjq44Oem9JAFI6LkWO5p0wAHHV7 NrXtcDNxj/CSLtbK7vel58155nnYPD3SaYil2CcgVE6pRyRPN11yRuEFKTYTZxamp2Rg3dNP2yCS tlptIz6QKIW/U3PZ39KlBbt6AkdjQU3/pAc7e1E0/EVNwf7YZUgtMu+y0LbPltCIz8LcOs1PNT5f R7nGMNCQWU0oZQp5RmRvtAZsMDpwLP0rBHZFPHBYWZ70NDXfRZnKAadOGQw8ZU5fnuRPBPs190k2 yDFQH09uCciujABKMd89ccmUbPJk1qj+mvNzGLPSwDxaWSuDGaATOdY44/fXYDikawiJn0+2wQcS 4iTlnkfIAswWZYvUfNDkXDL76Ny2hD43SmPg2su3k58s+D3MLaIJMH0CejJJwIyaw1zoTvFSB+14 7pBcG3v0UeWB12dh5ToU/nVrSUSenuAbtphfFgUiNstxq00R+uCgrvwYzcqPO95gOM34XZD/SD+2 VMTlkGZnHotpq/wC2oPW5+SWChUQfOQzkhcQV0g3GinjPEGSoSOYBc3CJx05feaNwI2N74a3xgB5 EfTNZBq3cBD0uNMdKbUBWRnW8aq+6jplq31qErfQcd8o5oem8O70OAOWHuiuNd8PLGgcMl+Y4II/ uxfF54+OvLBkBCvjozT8AtkIjvNN72DAaMGFzkDnHilGOgMK5SaQqemIpOf8bncmAfODnSu9gWCl sytHDsX0zfTfkrnfoG1KXbW79vKY1sFDqSwIZmXlgluzfyVtHcuPs0SF3eeop0uvrJWM0iCPVQ4T EPjwDNR1W5o9UN/nZFE6CJHiC20W9OwrKrI6+vV//0I+9U4EbsWFKna4iYFg/1ZPKi6UWU3HYq6w RxDmTpcCYpmiK6C+NMVoV+gZKIQiOVxYW/d67ALY5BODVZhUmSLVg80VdNmJKzdKOcExvUNdVsJf 7tde8sKDo6oT2EyTRVH9JW8hYf9d04ZIlID2C8xxqJAM1WvFrSAkiVshd9ooC9UgL8BlZCINEiVF GwABxLF4q/ul1+dLtHYNmvTU4rn9a3IK/eYOcqqMyS4oIdnMuptIxfJlS7A6EexARJdO5DIAqmzr OfORWgp50e8H27NXv2Td7SFF+QpqsR4e75psCCwffi2Jox63fcyB1jnH3mQNxZBtLK79n2XV9P58 Hu4wb8VnvE7tHzl8j90Q2uepJNj5FptXwG5HeO2mS3aPDZVxY6hSCER/zwwY78VqmnY5ilobexj3 Wx3SZsc7S0aaRfVkuSSKHeff7ZICjQSmYbmBMiZkOTILOJi1aIkW9ejBweuvBIHevbnTuywfBitC nDpiN4ga4U8KCwI0KzzIrSZDlLybQaeA/aJgb96GgAAN5+RYpTcUVtsWEoXAsoX9Wkjj1YWjjzMU kqzEk8tCJ8V8BJRY2uYlBFJKXHSYDsRFl/feORwIsPh7kqk+JYbt9VpQuZjdtUKqPVdAC1iGPp4c S5moaEIrF3RhrXCQ0kj6UkeXEv3GuvMTGsJuScjL5jhvV+ACBNgkoAoaIXljOk1IrBETV5Bxpxva t98IKSELQSFK6kMCFG7Zyp67EVtSgkjoQs3FRYw2zuHL6WRKWOPCwaATRnQzyQZr3B/geUQxKn4a xGn3AYCBSsnp+OIPtWl/kODBj0185Qnj/iFFlFGYsHjdJtYZHcKl6qsTuYjJS3D3srLu1VgLXidg 6FuGYtA4AD2ye+WQm5fyuxMeVZQvKVEOVN0Hi7IJsCWHfbAL1GCUNYSQJboBD0Yxkc2Xn/f77UsO CWQoR1Nv7KTvlAy5V/pKyhaGZFomww4bRfL0P8Bqvg0fzeCwNNV5Ygjb0M1uQMn9IdtB2i4A4uEZ y1vRTBLLwc8YEkgZTmvLKDdvJtbdfeJb7cFViH85V4JfmRhQXUCHhdQQrdKduckLMM7qS/xZfJyh d9fNNLLROUGLe6uz3hLff3lPyl//vI+q3jeeLfj6E65AywOwF0Jn/3XEWXoFVTy3RhI3nJfL8Tnb bxGfcQpLPDloHi83UVH0sHkGvcM5+uJob8389E5D7VolV3zwLw6PVjaO5YzQfC+mgLLGw/ftqzeZ vMZUyR9raxVYNLCJAGK9XgzPAOwxGT5UoZ7N0XAJqYTbkpD930pXCJkylknr2ggt8Fo6zd5JM0As f4ALS65LFBfBq9W+epJ3cJ8/SPzu/P4Wqg1deDPEF5r5I2cHmWyPBZ8pIWLEUy5A1sUSZ6jkxZ3k 3n7xGgWEnUFGXzxYy/0iPtWTqpLgYropXQ050ySet1WJ7EH7GqvZ1ICrpFnQ9uPYj2vOjMVLnf+1 CtN9sNi7MfELEKKyVfq5uNNtuKYekIdUFS7LKdhE1c2g1ymJN4dWzvnYI7oQ6dhmEMKIDY57iLXm cAszTStjP+boxvdLz6Vze0iHql19aH9KizV4o7s+NwDcMmllaO9zQ0VzsniEIQvEdKW68tO0B6dv /GEoCvVPvVo9oRF4hzDNM9AZfr+xpYXqiJdmrnvh2qf/yx3l5xeJjrJ9dPIyfY8bkILmhDRFTkqQ i4TLIx+SlYbIu7tM5fGrtA878o7+5fvMFvTAWuAE6xUx4BK0eu5SeRWtf4CcBkuizNBy1gr9nFUG 4ED1i3hkhPe52wJf6+T997t5YrNwKAaYi36K8Gfs93tAo8zqOUDdugUmPE2vQQmRtcrXBD/H1uy5 k+JRLm+OBcmyaemXhIpmYN0Xzv03L6FnDGxQfVTOKS3IcAykWlEi9K5J22TS4FYR/c6Pm2EOCyVL nM3XLBETrRlXwuROiOgDKz0g387NUChummYgvUwIfmRng9gcSUnIo3wjBvpb6S7lS22rHC2igrTH CG0BO8H3+lPJZlGlYkO4n5zaGQuRMfcNWqovQaAVRYAI53RMAmyVZH8gYN+0CtUaNUIh77hcYZ4Y QJz1p7ekwutyalQ2o1qgACIYqOfiKoI2ZA+gx0172hu2cBpcilDJJTtCIEFZ+jBazSk8ve9famD/ En/A78X7rOU79or5HbqXmqERQdkTuxK9pNjt2/K5ALdb6DQf2cMi9EoJXp7SQqYKiOejPpEWSWcD p/8RmO0cpntlhUIi0VWMZIcB6/1G7kIYWPJVbN7muZyfSq0zkFIC1e/QCP/vNJ3QA5wbMLkyLVIX 91rTcC8L0TXWqw2lvpLMI43Hlbre1Ms0q/AJ9PTfZ3Tm3Nao2Aq9NHiYlWWJ0xK3/+t6Je4nxmPp IQy+JyqeJ/3ITiakw6Vsi8+brUMeTJzXYpxwbDV+Y3fzf7vR3c2uT1+fvyyaHFu/QiWXshSR9CDy K9GTyU5n6rcKAGG79xEKobk6nqmU48nTT+JDwPlTrFB/sKCwAlqIVMsVTPTg1FoyzOhXdpZYYHYn L3LFXSQ77nD6n9KUVAKma+/lMQLuNBr5kcKz8ZWg0ZGKmNqxF655dL+SXcf+LjJ6p70hgAesG9Qm 8W/4HSeZGfNSN24f32tflg6qMuA3EWFoaZfM4udtJL8UECK8SEbr34IwTIAsAjz59Jn3Nc0A4q33 txy9myJ8DSlSuckcJxR6YQSqD7txNOM3MaFXpXFh4ERhi46rORL9WV++J7ChOg291WGPx2T3VDER V3BO0MBViSOzvIu4dO4+G7gSgSIf5b9CqNQ234bY+sYDd4GT4EDFeP8XGio7j+Lb0IrLC7U1kxYi xVbrOCwuEdlSTzxnRp7im0DHs0wBfV3EaItI8/y5L/N7U0/z9G0lXy/ys4DLY4Y8QaQcQRhy+8er q+mo3eXMAG7Sbh7xy+vSUS9LisaodF12GPYxTUvM9RAhElqMzfuExz+H0BL5qWE/IKbybmnl4p8s ni8GVonMj9I+lAibcxWwRRIrpIzvp7h1SFI2GW4cp4Ql7RHhkX/HuobfjAXy4Gqe2+MjFoKjmBw7 VUmzv7bduj1TvfEPoJt9doCMVhnbbpj/Sw4IaYWecXCogte+u16YjdhyzZpJUvIQijpMgHe1oI2z koTjR5DpwE1HLac4DASI9iPsh9gmn9AqG7zuCQLlMWOyhMSb+swoGzaCsE2SezT4rNgJEldD1JqM pos/AjK7ZXR7NGtRrUA69qGCrgAGCn6GEu8t5cIV0V3mr6c2iKQ16uPaHHE5dfDSWas/NKScrhKH VuFO7jdiKprqzYmCd7iP7aIA8NACF4p4D+iDpZ9/hHP+Lt7zhwyI4ZsSJf+YnJrQM3WJznWmxYSH 4XdxsUsW+gEuU4zccuE53amoXl77Ktc+WhhAnM6KnRg35AzgJNbv9Ry14tseOTVYdvv1+ESp+Rqo 27WmcY8RwRB1i+/lOt5AzeHhOElmmLafqgGt39NC9oHx3Tn1wTmueXwYAPBkL5WqHlT7nfdieFNQ htsI1i2nJXCeBvzxa9EoPfaiVGyR1wjDCPLnx/DTgAW+Vag6/oiKbio2FgIo8yG8dV2OiWSH5VRz GagpfvzH8/c/7hvSqaH2UhWTsHbBJtkLL2TQkru1UiWWuKg3O5Iw5pUk/0XXYwtoZqmXNwvKl251 QYWBigeC390AuQ+xKxIG5c1+NPwukh97MW8ybiwK8VM36yvEEYbwM9eBPWOnQYXe6GTUDlC1jGLz e3GPWadd4Zqm7bbO7WPEEyRLeT5YPu4vII2lzMO5ETyBjWvA7vZGbVCR5VgnNgGVAMlNm+69SvQo wbFQp7Jy8EQwG8dUTIreSDXM8oUxiphgkkWueS07bWQcb1Q3xmE5FQVq5GsnR6askHUrGv5glpjG S+kPkstni8tGzk/fi8gbdlJEuap8iVuA6n4ClVJHGO1hEo7kR7tbvuS/FAqpOEqihONMW4YCLF+7 xppQalIjeoAe0a9r63HUvQt6mYqUwbNGb6MUNR/0kHvW+KNm6irTeBhqCXJva6LpYmAChYpWb7hG OSelw6zQO/42WIsWLHjVk7tox91E/RVj6EJ/9z8N5ZBXwLXJlwXBvLZC95Ch2yb2g7zhsz+E8xvC qMwd2e+t5kcgQfOIXPTTyQREyjEqLYo0d7cB2ylZ50MtGQXhXy0shon9GprwD8W6HgA7mAY4sgn4 fQLXICfpHQwTdaxmuyz0YeW9YvV/myMMxOjRkyWyw+IR/s57c363zN7bT/pxAKAyWK6Eg20GzPKN FVl0TXcamDYxfR78T7Dmqh6cPkAo3NrpF2s+u7r0hgdQ7pyFR5u0bQZJS3EYvsFP5qbbHHW7N6te tEM0LxmsJfyO7lgOlFB97YR2V846XfiJQMZK1OBI2Zvfrqd8R8yqhkSvrEWKFJjVxapVGfRiotCv z2CEhHs687ex/V1KSxFcvYI4zP+KQo8O3B7LuPatuPCWoYRSKYQ6arSup8VnijD6qhV0Mw9zFOTq t8mZJOQMqGKwFx6+l71ixTio3CFNZtq+3rk9IwyXVANtNo3CJxn6q76xahWMMJLbA4GhawAA6GBn NMUqXzcK0B7fLh4Q00XEEeT6i0DCLAuQJ/503kn9vB9Ee0aVps7Yd599LRIpS+TjFWVhjRIYXrDd fMWCVn798+Z3vJHVeaX8HoMXm4koFKfULLoUcCgNU1hjNp/pzauBnPUtDygwYCLDUTp11yqe275k qWI4WocQIEXc4xHbMj+WKBJveFnuJ0wDwy/Fyq4gOiP+0YiMpXoO4v3LQz5wC+6783kDVMYJdAFd ijQLU7dNFU6NsE5DWrpj9kIOHsaIc1NHRoiGH4bPj+wHxZahVvJ8ZcEucPczjCzqTaan9XZKl+eV 1zuqopCJd9VMB0pTyREPBSaHHUTc9yZuwFgsl7acfJUMPf4UBg/1pkV4/5jcbG1OjduDTxfr47Ft iuCD/1f/tMTakn4lhoPY5D3gCBuSmHskVLjc2fj2fpVP5PxeZB9QXBdd2dalRKbm5wYMbZ0j9nBw BA3OjAn1pA85DH/qxQisI17R3sVYo5V+AStCrJRjhn+88vMc1kRWXIoCoFkpLeLVsDmk4Nyo7Fhh Pn/zppN5XP02P3a4OBWFn1EvK3rHtkDC+vB5Pc3UTUue5XJmblXdjzoRejnwkj+DSAmsN1QiY23x iF/+kvX4iSAEcYxJcHCOkNZgUpWP8e14+gZX2xI0W19frnHZsw0iFVf4pVbuBZBeZnykCHueAIog vTcC0gQoFUXP5pJzxCygiVEuZIiT8XJQDbUMHLyK1B0K/zm2O0Xt69CnMTKRY+JyZuwkfc3ivfCB z7GffA1rB4nCdb2K0nAhSedVf6hqPMWJaR/zkl+8RfiICJBNc6uZQ69VmqJmh7MfAo6NeoMNco0/ I/Fe3sF5rOXg1vohRAK3MT1RE8La++X0/OveY3vSGNgsX/Rnlis7GvHo6mIbvGTpT2lS9x3m+9AU N2NXrIBUA1bIOb2nb58jREmAhz7lPrHFMb5jdGoG3ZXQ2Ny7I+hz7XXUiCJkrG9NLBRYL9Cg5h4F JuOYAP2H4eQt5eadAfWuZJhMYubLsgrHwZVAf3+660rO1qDYM3cic872jFRUBvVmVZT8CEiynfa9 MhheVpb17wTEW0erAy4L7nBgEm9Ep6B2oKijdqKfWB8G82DgLLLDWHpXUoFSCsZ1lzspSHds8yLp iF/Xe08/n3LtcWuL62Ku/V6L3Dtxvx77DrlqE3CLxHRDF5JO0TMPL9dlGBedF2mFXru+8vh4rbmq HiLEYucqfmvB+lg2hNu47B1Rj3SUHAErPexSDBQUQcVRojiteF/W4Y4Oei+tWgYV3td44sy5uQwy 0B8gGWNHxnLicRH4Nehje7ah2TSdoK4EUMRIPwjoHubK/O60KwooamDu7ectLA3MjwSHFPYYix91 ngRF720v6FhcdVzX7J/v0MUARP/+PUWVpPKDI4lfY6W5g6ZsBPBLqgli17Ps0klKKmVrgFSEiQWv Qonpe/PKqJNIvk1+QNcWe4gZotMyMt+ReRTHpUSXDfmo6zRcNC6piusLOIVYXpu/dLEW68lfnc7H oxPk9vALAEvjlgnlBhqaoygnLCo19cYitI7AB4eJDcP6YVXhGcGBYMQbzpas7CJyJnnrZZuU4/80 CnS3qgr1ofTDpZc4Z8FO1/VlDVQXkyLHt3SxfMe/t/M6Xpl9r2mXZt/ApcuTy+8et2IlkQqMHDUb YrLJhyQ922YtEyJgzQnfFQoWF6SGDHI78tKox8DuKdszddeIIdTCORG0cU2cYZm9eu2ul15rcIf/ 44TPNBu7wWCdlIVzFapCePlANcX6PrNRddb+Apww4kZZt8UHdowQywh2ZVBh3hKf96VO1mtKd+GT uhi/tQQvl0d9uqqq49UFGWSkglWVmIicwVLfelnbgI3wl5ilp/848FHE9dMs/z9Wx1eSwI09pa36 QTMJvfhFiECTSAv7TMo82/FPoS4NOFUHDA3ERFxDcNFuD29oZHU7csMEhxkKHj6dRbX4iK8lEq4F MPNUo5KxOrxe6mB+BjInkYIKbfLY94ujTUUTT87V5coiY88h0RItld43akbgQJ4fYWI6IAjMZjPp OPeQ5h4r9LHGsmWYdcOKVUh3E6YoVUxvVKIeFyIN3lftO26wcAKlgvXySwdoaxqgLdvkxJcz7q6p mIsDIyk9Vlkuyod31q7dHLyBlvEawPP8xTt9aXb8EOuRxJba3+TruLNwV7EuGRqgbutWw39w6He6 hth9AxRuyXS2lsmEZGn6OZ7XffkBVU2sHOfp0gQPzIT/4Gza6mkDela5FceuppT+NE6CQ2TOBB2f VUf1QlfDd8WY3yHj0DEWFwMPQEiIjz9f96h3u7E0XlMZD5YgQSF8/a/osLhI9fsFIg0M4H8rLJVu pWKmJejyFOactgBnYQYCqRSxPqRvHb01J1BKXHX2n6XM+X2p4sYnub6PIV6JpZ5cWIUGoIX21vON bVzPXg5ZXEyXG/lLkjATsTI8yaYBTWAYknUtcVEf3gwsb5lntjDxaU8ebksyrbPWHnbns4WjBgFu eKmr+jZNhgycDc7KXdquKTLGAcfpT7jhsHfQ2RZdjVHeZlFR3/HgOFYILUyTuoScVGSjYjaqfsXn XgoXFalssdZw58qtlZ5PM+YxokMcZug41lIU1B9XbvV+IS0ePJrjeRw0tDTBJAA3nTgtr6Dr3bnd zD2o6Tsu1xhTXuwN0a4UbV8vVIsKlaNjR4dtejDbvibXZdT7aM+4nrcWBJhM8R7GE5rwviGDGMSJ snvf7rmka6H+gUSJHWpbgbBWkUWCuBNfL9224gyqALvuDauOtzQwHbIcmQr0o7zmGHLPDXLLyA79 tisvxXAlX5KpRze1cydI6ExMwsNayTBzDebHpwXR9VWR0zSmL2f+NxSr9qzQvKzf2/t1O6w95ZEA NkTtL2JhXJMRnj/2SCLCPHEMsTwRzLNl9M9u3IgbBEoqYoX1tj8BP1hvr/+RdrDexop8dERqY6C6 FurzYw2UtQE+ZpHxN5mIBLWk5lvqR0VtGGbo9CKsH+BL09UJttW4ucJ7+ub3xgxIND1WCFxME2GT y72+lGzgt2ASH7aIBjLwlAJ3hTVRPGUvMy1Zzy0nzNEgfBX94hpzRyTRGBwkvYn7spDDpK71EklY Uqjt1+6igno5m/+p234ISVEwhpIlimCZnuXNhskBfEDKQivavSwKqtCLJxmyrQB7zjDNRs8tcO4Z soaJsZ+ruZjcACc+DBVjcWVJUJlZSW8KhfabtLc5uKS2r/QM6lZ8ViJEXv+ERCa+mX23anPBCjAZ xBoo/7nj9ndfx5GL8yGVcUK/z7vx3n0jMuMx0gi4nN0b2mweLa6MKQFTYaw2podG65solQdvrzHD ml2E1u5LqN2vhmODVAE4Ndfg0XJTecWhDhdVBMJSnQmdFt2+3tAIPSaPXj8YwIDwc/qFKZOqPey7 4Q9ShNyFP8z0nrzydCMt8ToFEKrSFrW0n6N6FNHzG/oqMhCNYp901Cr9V/gCq9IM3TrCQuBpcabW 8BZJ9eUMCyHa3PNUaL6HjgED1IZm07AUoATcY3Oi7xFEA5Ft+3n3m2xyK1t5Sh0lfMCfh19uTuxV ii415YDLf19C+7f6wcIu9ziWsXKNxx2cwST6dQIrPW6SZ55Q2ebtIEqODgl9dzfGm7ZSVDuqqk93 XQ+I333cq6h6JbVqbb+deTdxj80YARWict7f38ivLRL1DaX/JS67xU+2a/pIFcyDniP6/svFCXQc UCtFWgkjmXlSXTd9l1lJQxYLbo1+y0v4TGxFe7+0kmhR/L4v8TYvg8lAlf3f+/XsfIReSfYdmF4r nsjCKu+REd3d4sZQKhm9KJnrtY1i7JfpgWBkOviX2+1c+JbFofozRKk7GsUNPLDIXDMYaKunLQH1 wnMKuZeyVYu+NwCTeRcP3RznjBajKX+T7VUyAkPTIzCs7PKjXYq4L67DAtyAGF/qsOlUw3OclCta y9h6RxA2XkXGMeCW2XZCy9gDIJlBAeCvdbUWfgaBldrNOe8IyU4RvsSwCfeVeJwoWVnVLoUA+F1I 0Z42Y8DII5kbYKqt4i+34Oc3q243H07mZBNhHEhBpKwNha8dVBGdD9r1tavDKwGh4ElEy/ZnOXIb ywjrHjZc55RyNOtSdIbq7rxJJMjuScRkcc72icuynveTpqLUBBmd8qFDB/Y3ULyIuJmi9lY/WGIq eQ08GhdKJZZ9xUTurVASFcg56VAyouK8qdx/Vmy7pHrSc3WQPdg9jZYhwmhtqdcwDNfMjwK49DuU /GAuder+NgwdD3Z8vdUZ3ThzV4t96TlMLM61Y0xdSHzfIdXA2IVYaKksP8GapRdu9qZOgYfa/B39 bB68MOZpXHrnRFOMjWQ1GcTAO9K3tS/C+Br0EvxON5iM8xRvoJ9f+cGCP59ycxRQoKLtyNIsK7MM GWAmrFpYm0CUYcyJoa7wUdl1r/ypwyLbtsyV2nzWd7Lk+ARvbxdsgSs1JA23eaxq43r+0E9OJTbG jKsXTBA1Ra6uCjmCMdipz30p9H8IdOqovNnhvD7EZIl62G4pTQJ1RoatIEdQL4je25Elp7t29wU6 3pPc7fDIG9l7WBx1Dh4h8FddD8y4VXfXeqB6HcAsTvl8PrMK1K0bYizZInLWX1PbOa2O9wtCuxNo I2dE0G4Ryhv7Fj0gbszE1SodEIz1Z7yawtSlvSr6weDYSOgtn9tGZqEFLAev3A91jYiBY9r82ImA 5wBOnpxYH5PIMr3HjeKWhQ+e8YnEhIAkeDC5xH/1Cvy22CoxoqHqGjCiZOG2/AeehEo2sPnhzFfh UYFl+TCEo5EFHwF0d7MvmsWBMZewiljj6cOo5By6y0J5s0153rzvwlozfe/niesDr1+GqBfP4+vy GB+/b5OCiTwNuEd0xp7wLTsB6PIxhJ7tH7t+suLdKLLe0o2GY4GsClL9EwjvUPLLZ3ueX546Vdr5 EO2+KucOXUzCgpudUlJsusbIjx1GGrp+kYnOHhEapQFsXprlaFvLIke/XckHppQ448Ii2ctAkZlB gK7PVGZ5XWSU36CjQRgk8k7Kg6J4sLHpmD4NIVdplNzbEgCvbql2W4Eq4sagWTsYDg7lrSie/qWq e5cSp1tevkDThu4TLEu3i5Aav7rR/S1EgxDoISqn4G46mt8Qh3s4McIg1uM7SoUHtgnGcTNNgf76 bbzuy07UgcldRr2B2oEdePGh68WiNo9T29kyttjpZ+K+hl6GlrDBJEseSbXmkcBOGAqwZvdoXHBu 5LTic7xlYRN6+IPWBbEj2qc0yBY/NmpxIqa3T3bl+ydCHM5wvg8yw/rOwxa7Z5uCILFVdgg6Aaqv HA9IebVehstdvvPYIBJVa2lCsMWiq0CFVm/lnpOoV9gqbDsd3D65hSQAQ+DILRI5lkcJQKUzW1l4 lzOl0Q7bt2SIQSdY3e4kEglPPan0wfz/wzoZCQUfMRNZAcmo+KCrdi9SOmEz99AHU6i9SXNfYvVb arAcNb8SMyZrOQDTuoo4U1yJBT/rrA0Ae3SZc8jcTT3NKEjIEdrDFIuQrmV+92GGgEyaNL4YpxmK ejEytRoOkDkast9+zHyhMCxvWe8bJgdf2pF0Lk7tBkuRpXk6UIOgbvyyWJhDgfuPAZuHgx4ncmu0 cnAyMzjEwEw7m1jviiQPx8sn3qnepWaZP0yHCXAE3Sbq+iqBhrf7nayJqPpAH8alDAoBiWdXoI0o iw+NzP3v6zHmxt/gB/UwEyaxbKJjCZbkij/7CaoPOgFB9D/AoZmTMDnELM01WF+pzzRC3QIgjNco 3exwdKZ5Lp/jLvrPQPhDjsG9y5/ALRAeS7V9FehecQcWlu8bBx6vzwso9fd4XeQaOKTwR4CBTz3+ rI2BK6tM9pV5oXvX5mYl4QTJo10FVwHvzDUh3fLCSY2pMwWzNwvU3ASM3iEqatZUbLxkR5dn2TIv kLlfKF07tvnEHj8EovYQrdUcNEPKt9gvE4mQoEq4rrAtabR4n6z+dmiZwbz2vU2QndKwnIxmLYU6 hoMUcyCvnOilS6mIg5rgVsFlgnkYiCnfv/RLU94/xWs4SF3tDmtiXXsAV8yfCWKG+M90rVEaXspg qpWwyT0XI16Q5rkSK0q1cNS3Va119DItcXT0pFiFhPPnGGdkKNi3UI01oSLfA8KQnrzFfRQMGDRc na8WXFI3GyRp/bfauwuOPB8EgUm7ocztXDU3MXeBWpJ/RbVHTjrCNMveJzkzh2Thx8xQDSa5w1pH ep4JtErccyMS1VmWUw/4IUu+M9OZiXUwStkrHeRJpiniWLAUCAK8G33BztHV5htgtYeXExyT6330 wY6kXWqrP0VTEHGe+CEIcXlMiAk2Y7UseT1ywyQs9BfTThehkzmDAKwr7bLVN2K0EDWWp2OhtAtc MqpVIbpcUiUh109Pv/VxVR7ZI8HnecKpPqAAd0GG6CjxjJoPK4TiuC7OFGeZtZWAzk80c4PTCxyb +cfm3qLvvYVzTVpER5abAaO2xnzAYhWfCAn1MDg0JbH7cEMu3bOgstW0nIHSELe3k8g9tfyBDi8E PE52po+rHhyaNIu++qS1fAu5MY+VG54udWSY+JaSyvRmpy2MA6efM6YU8ZXthv25PoXwoJksXlEA dEp4YH3xVbgUOTLVPE22hfCrjJzFKT1znpsrXaRB0Wjp/F7rxj4aXFPWNvBgpbpV9vFOo+l/7fzb kWVwiDnFv3ayCYVzpeXsAYLLJfcdaewSGFRGEFnqAFjqRMq/6baKFNUyu52BiGEOF4DceCm6LcwP V45ndPqMOOjE2/pWjpZ2bJIHQw1cKkSgs5Wu/XSVLD1LVY2VmnjZYeDRQDYfnP5Tf5oPI1BQ94h9 klYcgnoeo6iM49gJzA05bdVgnLXCMWpi/p3Ol8WEeBB5T/3nzBm0O90MbCtzz3gbnYD5GtMeMM1y YehKp0+STlosjgbmDvElhkv9XXpyyeEbK/qdElj396A9Pb5P9aHaCLp2to1SEnYV1x/Aa+eDgQSH MF/eon88m4wPyvXkaPhd1xr+5qQp20+ykPhjpEVDOtOTVI//AGSjpkDk/MueUI+8M/a85HW5sk4Z GJJi84qQ9V6zqy+GQjtX3GQkE70wkSWkTpTHk6TffwIpbDaUARh0arcZ4Vjuc02c4rDMAOEG4JB1 J9iulwAwjSTzaZKDu09D0fus0v546QXSAevyGDWdDubXZEB1u7/4Mh4FBoRSNjABAKXHozt9kCxD ljLs5qVBbNG4SsROEQyfLCiylfFSIqdx0yMNt8voBOIBfPs8L04tcKlA3Km3MPXQcUoPKg5mu9Dp k9qS4r/rmkAajsNRLAmE9KEQZmkrGqxvFVusouIjIlrwEzhaA4VcIFljt6ecQTatzTGtuquwCYL4 9XcJ0oj0DSK4Z/fHTjxcNn9KAWL2ge0rVL8MZrrh2EUOcoDaZD8hs5vmM5jQ/piCoGVWU9cvZflY 4yyxmWHBa1bKv3OuQyKDOlcmO6ENS/18Arpxz7dH5gGVUPseXS0QHXk72UWRzgF6fnCcwJ0Q5ZsG ZlXabUhO5CH4uHBvxCF3AnlkIocLUVZNmNPdwdjr3eaX69ZpL7bjf4L4ubtlNGupgcogYKIv5RLq fJMEV0dHVm+pBApjIydzzJ6HFgtjmVRo1JG3dX4Q9yNoppUSpUiqyB0PSEEoQjpFbr7lxybKei67 H7YJ1b+jfihaIUMgLi25mMvhCPqJVE8ZsjTY02+6YeKI0+CVL6WCBbK+RZV2w3GaE8Oy6kYXVGm/ pxEYEAXGQat2YQNn8glL5FwWfo/cynELHhAKZjIqC5v4qoPQYEBTdhw7fnzpB2YVEDkkwZOgoYyg gfvRDSqu2l4ShxynWWmd9oLHzVgsBXbRbI1pKmtXU/nGRTSrUB/EJcpbbNONPWdlaGOqXcxfBBjc AIccpYbtEJk5ft55HqmzjieHqWf4yyDnADNiqQgpJjCnj5TCVGpCI+tNazhVa5ORa6CBWS/2qMHZ gbU1OUD6lkyuH7uFCjEZQBEc5H3Kke+y0CCNtWV3AA+T7KpABWDZqwNQtvSi6AqmzoLl//UH7XBj nvfvlp5NfcjuY0DaLSEjnd8ciyGmyeuvo3oIzFy7bsyeBaQsyBog7QUqDfCjb0njQbNiYHbHN+uR AYysJIX0zE7PxkxXfni/buh+51xJbHJrGGEwSokHkgEMLYdes+LYYru3a3BuXckOnGhCJ57aNa5I jlYOwAVARMtsJZGHKSqzYREvbZhlTxZQH5XzFG/P1eRLHutdVdiB+SnknICftCbeue3UZxyQtjy1 pBm6jHiUm0N/aOQ4UowTxrob9+W+5f4+B62yXoAHt9aAIP61nEAnz60tassCg7BaLlsHPqEFAdOm c8vj91Fb9ViVvf1tBCc+MIwee3SB6bKaUEpl+CZvk8rME4g/Cjc82hiY1E1u47MhbomkjUGJLUsF PDuZ3e5b3Y2veb+JQvkQeZcBSvDU7WFRSfnflyS7SHgdxxa4u3+0uQhY8onrO8HppqzTN2b4GBb5 widj6/mTAJhBnsanlWsxQ6b6d/SdgiC/bQj9NSaBaYN3xQCdzn1AAKE/AnSsbncCfVbzBvBxHW1H DE67LCT+4pm9V8nZkSWIc+gNO7QL1fHatLD4326oWd0q6U+0VSj4NN8rc+3tGAVbS/DJa6JUY+DF EhxETQI9nnosg3dOFdMVjkdPwxhETa/gOfQ3cwdT4C45SMJeVFpJR65XovyaeFy1qwlGV8N0hSRu /7VkjF5DcxrSYAaQaDocf5KpTYdwdQRphd7Lxy5FmZcEkKftcHAQE8fPDAfNWLz8o21NPUAjTvFW QPsqI6YL5mzBSmcUYKWhiQqwpdz/jgHHmrva4Htuu/DBSy0GPmOZCLuP1S+fHNtpCqENMFuEcq35 SWSs4hF0JwcF8lE31nD7W3XjCWFsm/NBhokT2VEn6xePHOLRCs4e8hx2J0jD9KI8dmGcsId+L4YG 3c8y21HqwTQlYh4LvdIPm1+ybTaZwXzrOedh2Qtll50+nSZ8aql0cr3PRYUJmW2/J4CF+DnDYEpV ZQCnoyA+jPtKk2UxiNfQJr0lZhkRH3FR+Zbn6pb3hxVwBt6bprd15RCUClpdjZ31YSGYcpcJthIe XWW0XNS9ZeBuUi+cmnQ3EvS0xqo22IIrVzC1HenJdZFiPQwCrdFvYrNB9GhYR7+Zl3bMJnuUVolr QETkpKj6b3jWme4csjeyqpDDniSwQ3jTVS7bPMO3QdGs8xPFz0LgRl8VB03T89Yq5RWp9JYqiKUD x/SVs+Bifp6jKO5oyeK65K69aJgpqglQIj+U3R+E5+1THaH3pdwDRkbriP0Gh/YVIatM8/Pqgs4o RlWaealOK4F6+POcdnR55C3kLx4iwEuX1VYbaF2iY/VrfhBdbQiQSwdzFBMgZx30YBRf1yK8sCd+ G2ph966oCv3bi/kpjuqou+e/1DAqQBBAHhReKXcrcq5tcqFBDuFdkmU1YnGxhFBBjcTdPPG09pnv PzM6T0sM51V5TZpjxqyiOstqvrvdSIG7JCUKQeJzfnDnwJznm9zs5zqWGQeEEJk3qh4pUi/ut5MO jlCkkXl4R42xPZfKHn+99UBlA22ERoruZTpmFLr3S6r6pT2VpKlTuYUMwlu/11+U0uoVpYdzrTbY 6oi7P9Yi4IvvK+H1gEAPb0ASkKbthfXGcMbSAPl34+r0/WQkoXNZ8Db4hG0BDmkSQJcT/EnycsLV QEOigHses92sj3C+BAAY0IejBYyRYM8BKeUYO55G7zVNEyfZVdzzbMEg1Nijp0pACXCyLa16IdeJ azUjCOv/+sr3XaaiFMDlAJFF9vm0vq99Sp9N2+0bTLLgbJSGTSaQmylEdawVgCKJN97JebLNqhnk YUL2bgSzSfWzE5Kv/QfYZ9gckm9DxWH0nOknuEvNNwI5TWiUBiR+nua8x7wgY5CRKwamQHbyhLbq M2QkObDh75d7XknckXiU/FmGEkcVxWkB8rkJjuItx0Zr3LBTWavlMjO52q8cqZoCvN/wF936H1xk WFpLHfMl+31odI9n9fFcntG76jj7RwOX0/Ong5SP0xv2Ni4zwd37qthNDUZZiGRb6BLLjU5M6hED eSGEczxCzPczOuw8oCaXYJJ+/q1mw1lzyJjwz74PAf9FsnApv7fWQ63g0FrIegKeuVOGNnaYuORQ eaD1hpg9DVa13lMX7i/2r090f8UkRlRvnNsN3Ih3v+w2MU8jm1Kg8BfPeTTieC4Q4sypt3xAzq1y Pp8IdTVaCrAAIY1+48iGmJ63Z9aLUza7ZTXOtz1DePVbLSeaT1fxkwV8dD4VaCvmwfuwuDZ2i4R+ x8kfNJFAOyYloW1d+ew4mvyNnuMdnUuZj09OsPQwBKMhkDXRv4SfJj8vSDlJBiG3v4tok7Ix4RrB AonI+TsIT6crYyQ6H34Kv86obJ+xX1TrcXHKNwVncmCe4Wt/wgjJ8zjTz2nPXnTL2xr6n8usYxhv ycxg7EuCAmqY9yM9Zy+CO+UnwCzPWryh8vH9uSviEGzkZj4uLeQV+xV3A85JO+8kOFUjoNIHPOqS pTH+ZegRmmBAFZx9BuEEF05HVMVzPjVdhloAM2hLD9nOB68NK8DHpLcgMBnq//CV4HolIPGHCVBX 4HfgMIn5BETjzKTDcuMG0stmmhAc978aS/o60AX6OAyW75944VQFSEF9xE23LqfVsw/+Ipl6TOqv p8jw/JvHBoQshY2xqGj68e9dffFyoQ89TDJs/8tcVANKpGw2iv5ecDIn2p+h3YSuXf2oAofHk96d re0DbmGMeWSLWHVYFAEGaAQP2Zvxxe2uSPTt8hzxt+jBGBZxr8xOPAolSdThMPNSC4Lhy9jVS5Bg 2m+Kpk2fGmGXGvdduyG2YhYyUf4+HzH61p+aPZwDJItBiTMls+mv2+WwMypNzP+Dnxf0PKbzktRv 96htgWHou0lTsiJGMUsQ9UhO9VGFByAEabJrMU6N0+LFpnFHU85AhoaAGleGC2N5OSqvValtZcd7 0lpYHhsw0Nv5hm83bHBH3csvvU4olOYLGg7Ajmo8EUxHXefmm5boyljjBF41E8RQ/o15FrCMV4KK tobKQnlYefNevrToxXcUVvyqYrx1EXnL8G8CPZnbTP9HiTDizRquDRd7jkOIUEWP1ikLDm7IEqTa mxAknYr9vEXoTeGhz2saeHFpaUY1yQpy2inJbrl7z6XNs3oriTKtGJo3Zr1y8LeGoteTmNCTRKZZ toeZuL7wl6F9LY2Z86bZg+dwnidJ/HlLJkA5JM4+FjmgrsP8hFDQcGXVKvAAWMlgsVb4em0mMBQ3 PcWxxaQWPJdUqVb4Aqe34hh1KrH/ABc7KDPvYOS6xDHJ55VrbsFC7gRQvAhMSCayoDyBiHM432Gk 6gHt3w== `protect end_protected
apache-2.0
8c53b39a977d941326985a52e8927753
0.955216
1.812943
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/14-MESA-IA/asap-alap-random/mesaia_random.vhd
1
7,988
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.13:55:20) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesaia_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31, input32, input33, input34, input35, input36, input37, input38, input39, input40, input41, input42, input43, input44, input45, input46, input47, input48: IN unsigned(0 TO 3); output1, output2, output3, output4: OUT unsigned(0 TO 4)); END mesaia_random_entity; ARCHITECTURE mesaia_random_description OF mesaia_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register12: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register13: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register14: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register15: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register16: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register17: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register18: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register19: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register20: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register21: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register22: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register23: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register24: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register25: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register26: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register27: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register28: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register29: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register30: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register31: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register32: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register33: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register34: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register35: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register36: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; register5 := input5 * 5; register6 := input6 * 6; register7 := input7 + 7; register8 := input8 * 8; register9 := input9 * 9; register10 := input10 * 10; register11 := input11 * 11; register12 := input12 + 12; register13 := input13 * 13; register14 := input14 + 14; register15 := input15 * 15; register16 := input16 + 16; register17 := input17 * 17; register18 := input18 * 18; register19 := input19 + 19; register20 := input20 * 20; register21 := input21 * 21; register22 := input22 * 22; register23 := input23 + 23; register24 := input24 * 24; WHEN "00000010" => register19 := register20 + register19; register20 := input25 * 25; register25 := input26 + 26; register8 := register8 + register16; register16 := input27 * 27; register26 := input28 + 28; register27 := input29 + 29; register28 := input30 * 30; register29 := input31 + 31; register30 := input32 + 32; register31 := input33 + 33; register32 := input34 * 34; WHEN "00000011" => register18 := register18 + register27; register27 := input35 * 35; register33 := input36 + 36; register34 := input37 * 37; register35 := input38 + 38; WHEN "00000100" => register4 := register4 + register35; register18 := register28 + register18; register28 := input39 + 39; register35 := input40 * 40; register14 := register32 + register14; register32 := input41 * 41; register36 := input42 * 42; WHEN "00000101" => register4 := register6 + register4; register6 := register36 + register25; register18 := ((NOT register18) + 1) XOR register18; register7 := register15 + register7; register13 := register13 + register23; WHEN "00000110" => register4 := ((NOT register4) + 1) XOR register4; register14 := register17 + register14; WHEN "00000111" => register14 := ((NOT register14) + 1) XOR register14; register12 := register34 + register12; register8 := register35 + register8; register15 := input43 * 49; register17 := input44 * 50; register5 := register5 + register26; register21 := register21 + register30; register23 := register27 + register29; register25 := input45 * 51; register26 := input46 * 52; WHEN "00001000" => register19 := register26 + register19; register13 := register15 + register13; register15 := register32 + register33; register26 := input47 * 53; WHEN "00001001" => register5 := register26 + register5; register6 := register22 + register6; register22 := input48 * 54; register17 := register17 + register31; WHEN "00001010" => register1 := register22 + register1; register7 := register25 + register7; register11 := register11 + register21; register5 := ((NOT register5) + 1) XOR register5; register17 := register20 + register17; WHEN "00001011" => register7 := ((NOT register7) + 1) XOR register7; register3 := register3 + register28; register13 := ((NOT register13) + 1) XOR register13; WHEN "00001100" => register11 := ((NOT register11) + 1) XOR register11; register10 := register10 + register12; register7 := register13 - register7; WHEN "00001101" => register11 := register11 - register14; register7 := register7 * 64; register10 := ((NOT register10) + 1) XOR register10; register2 := register2 + register15; register1 := register24 + register1; WHEN "00001110" => register11 := register11 * 68; register9 := register9 + register23; register3 := register16 + register3; register6 := ((NOT register6) + 1) XOR register6; register12 := ((NOT register19) + 1) XOR register19; register4 := register4 - register10; WHEN "00001111" => register3 := ((NOT register3) + 1) XOR register3; register7 := register12 + register7; register1 := ((NOT register1) + 1) XOR register1; WHEN "00010000" => register1 := register1 - register5; register4 := register4 * 78; WHEN "00010001" => register4 := register18 + register4; register1 := register1 * 80; register3 := register3 + register11; output1 <= register7(0 TO 1) & register2(0 TO 2); WHEN "00010010" => output2 <= register3(0 TO 1) & register9(0 TO 2); output3 <= register4(0 TO 1) & register17(0 TO 2); register1 := register6 + register1; WHEN "00010011" => output4 <= register1(0 TO 1) & register8(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesaia_random_description;
gpl-3.0
4de6ef32d3e296e58774970dd9fdfeeb
0.665623
3.284539
false
false
false
false
sandrosalvato94/System-Design-Project
src/polito/sdp2017/Tests/IP_Dummy.vhd
2
896
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use work.CONSTANTS.all; entity IP_DUMMY is port ( clk : in std_logic; rst : in std_logic; data_in : out std_logic_vector(DATA_WIDTH-1 downto 0); data_out : in std_logic_vector(DATA_WIDTH-1 downto 0); address : out std_logic_vector(ADD_WIDTH-1 downto 0); W_enable : out std_logic; R_enable : out std_logic; generic_en : out std_logic; enable : in std_logic; ack : in std_logic; interrupt : out std_logic ); end IP_DUMMY; architecture BEHAVIOURAL of IP_DUMMY is begin address <= conv_std_logic_vector(4, ADD_WIDTH); data_in <= conv_std_logic_vector(666, DATA_WIDTH); W_enable <= '1'; R_enable <= '0'; generic_en <= '1'; interrupt <= '0'; end architecture BEHAVIOURAL;
lgpl-3.0
e0ab0ee5ea3b29865c3e8cdd19992f3d
0.616071
2.538244
false
false
false
false
jdryg/tis100cpu
register_file_tb.vhd
1
3,972
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY register_file_tb IS END register_file_tb; ARCHITECTURE behavior OF register_file_tb IS constant REG_SIZE : integer := 16; -- Component Declaration for the Unit Under Test (UUT) COMPONENT register_file GENERIC (WIDTH : integer := REG_SIZE); PORT( I_clk : IN std_logic; I_swp : IN std_logic; I_enableWrite : IN std_logic; I_srcAID : IN std_logic_vector(1 downto 0); I_srcBID : IN std_logic_vector(1 downto 0); I_dstID : IN std_logic_vector(1 downto 0); I_dstData : IN std_logic_vector(WIDTH-1 downto 0); O_srcAData : OUT std_logic_vector(WIDTH-1 downto 0); O_srcBData : OUT std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; --Inputs signal I_clk : std_logic := '0'; signal I_swp : std_logic := '0'; signal I_enableWrite : std_logic := '0'; signal I_srcAID : std_logic_vector(1 downto 0) := (others => '0'); signal I_srcBID : std_logic_vector(1 downto 0) := (others => '0'); signal I_dstID : std_logic_vector(1 downto 0) := (others => '0'); signal I_dstData : std_logic_vector(REG_SIZE-1 downto 0) := (others => '0'); --Outputs signal O_srcAData : std_logic_vector(REG_SIZE-1 downto 0); signal O_srcBData : std_logic_vector(REG_SIZE-1 downto 0); -- Clock period definitions constant I_clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: register_file GENERIC MAP (WIDTH => REG_SIZE) PORT MAP ( I_clk => I_clk, I_swp => I_swp, I_enableWrite => I_enableWrite, I_srcAID => I_srcAID, I_srcBID => I_srcBID, I_dstID => I_dstID, I_dstData => I_dstData, O_srcAData => O_srcAData, O_srcBData => O_srcBData ); -- Clock process definitions I_clk_process :process begin I_clk <= '0'; wait for I_clk_period/2; I_clk <= '1'; wait for I_clk_period/2; end process; -- Stimulus process stim_proc: process begin -- Write the NIL register I_enableWrite <= '1'; I_dstData <= X"0001"; I_dstID <= "00"; wait for I_clk_period; -- Verify that reading the NIL register always returns 0 I_srcAID <= "00"; wait for I_clk_period; assert O_srcAData = X"0000" report "Error, NIL register != 0" severity ERROR; -- Write the ACC register and verify it was written correctly. I_enableWrite <= '1'; I_dstData <= X"0acc"; I_dstID <= "01"; wait for I_clk_period; I_srcAID <= "01"; wait for I_clk_period; assert O_srcAData = X"0acc" report "Error, ACC register not written correctly" severity ERROR; -- Write the BAK register and read both ACC and back at the same time. I_enableWrite <= '1'; I_dstData <= X"bacc"; I_dstID <= "10"; wait for I_clk_period; I_srcAID <= "10"; I_srcBID <= "01"; wait for I_clk_period; assert O_srcAData = X"bacc" report "Error, BAK register not written correctly" severity ERROR; assert O_srcBData = X"0acc" report "Error, ACC register not read correctly. Should have the last value." severity ERROR; -- Write the TMP register and verify it was written correctly. I_enableWrite <= '1'; I_dstData <= X"1234"; I_dstID <= "11"; wait for I_clk_period; I_srcAID <= "11"; wait for I_clk_period; assert O_srcAData = X"1234" report "Error, TMP register not written correctly" severity ERROR; -- SWP I_swp <= '1'; wait for I_clk_period; -- Read ACC (should have the last value of BAK) I_swp <= '0'; I_srcAID <= "01"; I_srcBID <= "10"; wait for I_clk_period; assert O_srcAData = X"bacc" report "Error, SWP didn't work correctly" severity ERROR; -- SWP regs in every clock cycle, till the end of times! I_swp <= '1'; wait; end process; END;
mit
89b25fd61394f0196390258074e698ce
0.594914
3.239804
false
false
false
false
rcls/sdr
vhdl/burst.vhd
1
1,587
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.defs.all; entity burst is port(adc_data : in signed14; trigger : in std_logic; data : out signed15; strobe : out std_logic; clk : in std_logic); end burst; architecture burst of burst is constant bits : integer := 11; constant ram_size : integer := 2048; signal in_count : unsigned(bits downto 0) := (others => '0'); signal out_count : unsigned(bits + 8 downto 0) := (others => '0'); constant zero : unsigned(bits + 8 downto 0) := (others => '0'); type ram_t is array(0 to ram_size) of signed14; signal ram : ram_t; signal data_1, data_2 : signed14; signal zero_1, zero_2 : std_logic; signal strobe_1, strobe_2 : std_logic; signal trigger_last : std_logic := '0'; begin process begin wait until rising_edge(clk); trigger_last <= trigger; if trigger_last /= trigger then in_count <= (bits => '1', others => '0'); elsif in_count(bits) = '1' then in_count <= in_count + 1; end if; if in_count(bits) = '1' then ram(to_integer(in_count(bits - 1 downto 0))) <= adc_data; end if; end process; process begin wait until rising_edge(clk); out_count <= out_count + 1; zero_1 <= b2s(out_count(bits + 8 downto 9) = zero(bits + 8 downto 9)); data_1 <= ram(to_integer(out_count(bits + 8 downto 9))); strobe_1 <= out_count(9); zero_2 <= zero_1; data_2 <= data_1; strobe_2 <= strobe_1; data <= zero_2 & data_2; strobe <= strobe_2; end process; end burst;
gpl-3.0
36ed08477802b0045f9668fae5476745
0.606805
3.124016
false
false
false
false
jc38x/X38-02FO16
benchmarks/LEKO_LEKU/leku/LEKU-CD'/25_20.vhd
1
6,899
Library IEEE; use IEEE.std_logic_1164.all; entity x25_16x is Port ( A302,A301,A300,A299,A298,A269,A268,A267,A266,A265,A236,A235,A234,A233,A232,A203,A202,A201,A200,A199,A166,A167,A168,A169,A170: in std_logic; A39: buffer std_logic ); end x25_16x; architecture x25_16x_behav of x25_16x is signal n_32,n_33,n_37,n_38,n_39,n_40,n_46,n_47,n_48,n_49,n_55,n_56,n_57,n_58,n_59,n_60,n_66,n_67,n_68,n_69,n_72,n_73,n_74,n_81,n_82,n_83,n_84,n_87,n_88,n_91,n_94,n_97,n_98,n_99,n_104,n_105,n_106,n_107,n_108,n_109,n_114,n_120,n_121,n_122,n_123,n_126,n_127,n_128,n_129,n_130,n_135,n_136,n_140,n_141,n_142,n_143,n_149,n_150,n_151,n_152,n_158,n_159,n_160,n_161,n_165,n_168,n_171,n_172,n_173,n_178,n_179,n_180,n_181,n_182,n_187,n_188,n_189,n_190,n_191,n_192,n_193,n_197,n_200,n_203,n_204,n_205,n_210,n_211,n_212,n_213,n_214,n_219,n_220,n_221,n_222,n_223,n_228,n_229,n_233,n_234,n_235,n_236,n_242,n_243,n_244,n_245,n_251,n_252,n_253,n_254,n_255,n_256,n_262,n_263,n_267,n_268,n_269,n_270,n_276,n_277,n_278,n_279,n_285,n_286,n_287,n_288,n_289,n_290,n_293,n_296,n_299,n_300,n_301,n_306,n_307,n_308,n_309,n_310,n_311,n_316,n_321,n_322,n_326,n_327,n_328,n_329,n_335,n_336,n_337,n_338,n_344,n_345,n_346,n_347,n_348,n_349,n_350,n_351,n_352,n_353,n_354,n_355,n_356,n_357,n_358: std_logic; begin A39 <=( (not n_358) ); n_32 <=( (not A202) and A203 ); n_33 <=( A200 and n_32 ); n_37 <=( A202 and (not A203) ); n_38 <=( (not A200) and n_37 ); n_39 <=( n_38 ) or ( n_33 ); n_40 <=( (not A199) and n_39 ); n_46 <=( A202 and (not A203) ); n_47 <=( A199 and n_46 ); n_48 <=( n_47 ) or ( A201 ); n_49 <=( A200 and n_48 ); n_55 <=( (not A202) and A203 ); n_56 <=( (not A200) and n_55 ); n_57 <=( n_56 ) or ( A201 ); n_58 <=( A199 and n_57 ); n_59 <=( n_58 ) or ( n_49 ); n_60 <=( n_59 ) or ( n_40 ); n_66 <=( A170 ) or ( A169 ); n_67 <=( A168 and n_66 ); n_68 <=( (not A167) and n_67 ); n_69 <=( A166 and n_68 ); n_72 <=( (not A166) and A167 ); n_73 <=( n_72 ) or ( n_69 ); n_74 <=( n_60 and n_73 ); n_81 <=( (not A169) and (not A170) ); n_82 <=( n_81 ) or ( (not A168) ); n_83 <=( n_82 ) or ( (not A166) ); n_84 <=( (not A167) and n_83 ); n_87 <=( A166 and A167 ); n_88 <=( n_87 ) or ( n_84 ); n_91 <=( (not A203) ) or ( A202 ); n_94 <=( (not A199) and A200 ); n_97 <=( A199 and (not A200) ); n_98 <=( n_97 ) or ( n_94 ); n_99 <=( n_91 and n_98 ); n_104 <=( A203 ) or ( (not A202) ); n_105 <=( A200 and n_104 ); n_106 <=( A199 and n_105 ); n_107 <=( n_106 ) or ( n_99 ); n_108 <=( n_88 and n_107 ); n_109 <=( (not A201) and n_108 ); n_114 <=( A203 ) or ( (not A202) ); n_120 <=( (not A169) and (not A170) ); n_121 <=( n_120 ) or ( (not A168) ); n_122 <=( n_121 ) or ( (not A166) ); n_123 <=( (not A167) and n_122 ); n_126 <=( A166 and A167 ); n_127 <=( n_126 ) or ( n_123 ); n_128 <=( n_114 and n_127 ); n_129 <=( (not A200) and n_128 ); n_130 <=( (not A199) and n_129 ); n_135 <=( (not A268) and A269 ); n_136 <=( A266 and n_135 ); n_140 <=( A268 and (not A269) ); n_141 <=( (not A266) and n_140 ); n_142 <=( n_141 ) or ( n_136 ); n_143 <=( (not A265) and n_142 ); n_149 <=( A268 and (not A269) ); n_150 <=( A265 and n_149 ); n_151 <=( n_150 ) or ( A267 ); n_152 <=( A266 and n_151 ); n_158 <=( (not A268) and A269 ); n_159 <=( (not A266) and n_158 ); n_160 <=( n_159 ) or ( A267 ); n_161 <=( A265 and n_160 ); n_165 <=( (not A302) ) or ( A301 ); n_168 <=( (not A298) and A299 ); n_171 <=( A298 and (not A299) ); n_172 <=( n_171 ) or ( n_168 ); n_173 <=( n_165 and n_172 ); n_178 <=( A302 ) or ( (not A301) ); n_179 <=( A299 and n_178 ); n_180 <=( A298 and n_179 ); n_181 <=( n_180 ) or ( n_173 ); n_182 <=( (not A300) and n_181 ); n_187 <=( A302 ) or ( (not A301) ); n_188 <=( (not A299) and n_187 ); n_189 <=( (not A298) and n_188 ); n_190 <=( n_189 ) or ( n_182 ); n_191 <=( n_190 ) or ( n_161 ); n_192 <=( n_191 ) or ( n_152 ); n_193 <=( n_192 ) or ( n_143 ); n_197 <=( (not A236) ) or ( A235 ); n_200 <=( (not A232) and A233 ); n_203 <=( A232 and (not A233) ); n_204 <=( n_203 ) or ( n_200 ); n_205 <=( n_197 and n_204 ); n_210 <=( A236 ) or ( (not A235) ); n_211 <=( A233 and n_210 ); n_212 <=( A232 and n_211 ); n_213 <=( n_212 ) or ( n_205 ); n_214 <=( (not A234) and n_213 ); n_219 <=( A236 ) or ( (not A235) ); n_220 <=( (not A233) and n_219 ); n_221 <=( (not A232) and n_220 ); n_222 <=( n_221 ) or ( n_214 ); n_223 <=( n_193 and n_222 ); n_228 <=( (not A301) and A302 ); n_229 <=( A299 and n_228 ); n_233 <=( A301 and (not A302) ); n_234 <=( (not A299) and n_233 ); n_235 <=( n_234 ) or ( n_229 ); n_236 <=( (not A298) and n_235 ); n_242 <=( A301 and (not A302) ); n_243 <=( A298 and n_242 ); n_244 <=( n_243 ) or ( A300 ); n_245 <=( A299 and n_244 ); n_251 <=( (not A301) and A302 ); n_252 <=( (not A299) and n_251 ); n_253 <=( n_252 ) or ( A300 ); n_254 <=( A298 and n_253 ); n_255 <=( n_254 ) or ( n_245 ); n_256 <=( n_255 ) or ( n_236 ); n_262 <=( (not A235) and A236 ); n_263 <=( A233 and n_262 ); n_267 <=( A235 and (not A236) ); n_268 <=( (not A233) and n_267 ); n_269 <=( n_268 ) or ( n_263 ); n_270 <=( (not A232) and n_269 ); n_276 <=( A235 and (not A236) ); n_277 <=( A232 and n_276 ); n_278 <=( n_277 ) or ( A234 ); n_279 <=( A233 and n_278 ); n_285 <=( (not A235) and A236 ); n_286 <=( (not A233) and n_285 ); n_287 <=( n_286 ) or ( A234 ); n_288 <=( A232 and n_287 ); n_289 <=( n_288 ) or ( n_279 ); n_290 <=( n_289 ) or ( n_270 ); n_293 <=( (not A269) ) or ( A268 ); n_296 <=( (not A265) and A266 ); n_299 <=( A265 and (not A266) ); n_300 <=( n_299 ) or ( n_296 ); n_301 <=( n_293 and n_300 ); n_306 <=( A269 ) or ( (not A268) ); n_307 <=( A266 and n_306 ); n_308 <=( A265 and n_307 ); n_309 <=( n_308 ) or ( n_301 ); n_310 <=( n_290 and n_309 ); n_311 <=( (not A267) and n_310 ); n_316 <=( A269 ) or ( (not A268) ); n_321 <=( (not A235) and A236 ); n_322 <=( A233 and n_321 ); n_326 <=( A235 and (not A236) ); n_327 <=( (not A233) and n_326 ); n_328 <=( n_327 ) or ( n_322 ); n_329 <=( (not A232) and n_328 ); n_335 <=( A235 and (not A236) ); n_336 <=( A232 and n_335 ); n_337 <=( n_336 ) or ( A234 ); n_338 <=( A233 and n_337 ); n_344 <=( (not A235) and A236 ); n_345 <=( (not A233) and n_344 ); n_346 <=( n_345 ) or ( A234 ); n_347 <=( A232 and n_346 ); n_348 <=( n_347 ) or ( n_338 ); n_349 <=( n_348 ) or ( n_329 ); n_350 <=( n_316 and n_349 ); n_351 <=( (not A266) and n_350 ); n_352 <=( (not A265) and n_351 ); n_353 <=( n_352 ) or ( n_311 ); n_354 <=( n_256 and n_353 ); n_355 <=( n_354 ) or ( n_223 ); n_356 <=( n_355 ) or ( n_130 ); n_357 <=( n_356 ) or ( n_109 ); n_358 <=( n_357 ) or ( n_74 ); end x25_16x_behav;
gpl-3.0
eb2715c4db0d47d353db3f14829c80c8
0.503261
2.038712
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/parityenable.vhd
1
6,023
------------------------------------------------------------------------------- -- $Id: parityenable.vhd,v 1.1.2.3 2010/10/04 06:07:06 stefana Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------ -- Filename: parity.vhd -- -- Description: Generate parity optimally for all target architectures -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- parity.vhd -- xor18.vhd -- parity_recursive_LUT6.vhd -- ------------------------------------------------------------------------------- -- Author: stefana -- Revision: $Revision: 1.1.2.3 $ -- Date: $Date: 2010/10/04 06:07:06 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ParityEnable is generic ( C_USE_LUT6 : boolean := true; C_SIZE : integer := 4 ); port ( InA : in std_logic_vector(0 to C_SIZE - 1); Enable : in std_logic; Res : out std_logic ); end entity ParityEnable; library unisim; use unisim.vcomponents.all; architecture IMP of ParityEnable is -- Non-recursive loop implementation function ParityGen (InA : std_logic_vector) return std_logic is variable result : std_logic; begin result := '0'; for I in InA'range loop result := result xor InA(I); end loop; return result; end function ParityGen; begin -- architecture IMP Using_LUT6 : if (C_USE_LUT6) generate -------------------------------------------------------------------------------------------------- -- Single LUT6 -------------------------------------------------------------------------------------------------- Single_LUT6 : if C_SIZE > 1 and C_SIZE <= 5 generate signal inA5 : std_logic_vector(0 to 4); begin Assign_InA : process (InA) is begin inA5 <= (others => '0'); inA5(0 to InA'length - 1) <= InA; end process Assign_InA; XOR6_LUT : LUT6 generic map( INIT => X"9669699600000000") port map( O => Res, I0 => InA5(4), I1 => inA5(3), I2 => inA5(2), I3 => inA5(1), I4 => inA5(0), I5 => Enable); end generate Single_LUT6; end generate Using_LUT6; -- Fall-back implementation without LUT6 Not_Using_LUT6 : if not C_USE_LUT6 or C_SIZE > 8 generate begin Res <= Enable and ParityGen(InA); end generate Not_Using_LUT6; end architecture IMP;
apache-2.0
6eb9579121ea6e8b5539e59ae54ba268
0.533953
4.661765
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
docs/sample/input_dp.vhd
1
14,195
--------------------------------------------------------------------- -- -- -- This file is generated automatically by AUDI (AUtomatic -- -- Design Instantiation) system, a behavioral synthesis system, -- -- developed at the University of South Florida. This project -- -- is supported by the National Science Foundation (NSF) under -- -- the project number XYZ. If you have any questions, contact -- -- Dr. Srinivas Katkoori ([email protected]), Computer -- -- Science & Engineering Department, University of South Florida, -- -- Tampa, FL 33647. -- -- -- --------------------------------------------------------------------- -- -- Date & Time: -- User login id/name: -- -- File name: -- Type: -- -- Input aif file name: -- -- CDFG statistics: -- * Number of PI's: -- * Number of PO's: -- * Number of internal edges: -- * Number of Operations: -- * Conditionals: -- * Loops: -- * Types of Operations: -- -- Design Flow/Algorithm Information: -- * Scheduling Algorithm: -- * Allocation: -- * Binding: -- Interconnect style: Multiplexor-Based or Bus-based -- -- Design Information: -- -- Datapath: -- * Registers: -- * Functional units: -- * Number of Multiplexors: -- * Number of Buses: -- -- * Operator Binding Information: -- -- * Register Optimization Information: -- -- * Register Binding Information: -- -- -- Controller: -- * Type: Moore/Mealy -- * Number of states: -- * Number of control bits: -- --------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library Beh_Lib; use Beh_Lib.all; entity input_dp is port( a : IN std_logic_vector(3 downto 0); b : IN std_logic_vector(3 downto 0); c : IN std_logic_vector(3 downto 0); d : IN std_logic_vector(3 downto 0); e : IN std_logic_vector(3 downto 0); f : IN std_logic_vector(3 downto 0); g : IN std_logic_vector(3 downto 0); h : IN std_logic_vector(3 downto 0); i : OUT std_logic_vector(3 downto 0); ctrl: IN std_logic_vector(14 downto 0); clear: IN std_logic; clock: IN std_logic ); end input_dp; architecture RTL of input_dp is component c_register generic (width : integer := 4); port (input : in std_logic_vector((width-1) downto 0); WR: in std_logic; clear : in std_logic; clock : in std_logic; output : out std_logic_vector((width -1) downto 0)); end component; -- for all : c_register use entity Beh_Lib.c_register(behavior); component C_Latch generic (width : integer); port( input : in Std_logic_vector ((width - 1) downto 0); ENABLE : in Std_logic; CLEAR : in Std_logic; CLOCK : in Std_logic; output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Latch use entity Beh_Lib.C_Latch(Behavior); component Constant_Reg generic (width : integer; const : integer); port( output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : Constant_Reg use entity Beh_Lib.Constant_Reg(Behavior); component Shift_Reg generic (width : integer); port( input : in Std_logic_vector ((width - 1) downto 0); CONTROL : in Std_logic_vector (1 downto 0); CLEAR : in Std_logic; CLOCK : in Std_logic; output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : Shift_Reg use entity Beh_Lib.Shift_Reg(Behavior); component C_Signal generic (width : integer); port( input : in Std_logic_vector ((width - 1) downto 0); STORE : in Std_logic; UPDATE : in Std_logic; CLEAR : in Std_logic; CLOCK : in Std_logic; output : out Std_logic_vector ((width + 1) downto 0)); end component; -- for all : C_Signal use entity Beh_Lib.C_Signal(Behavior); component Ram generic (width : integer; ram_select : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((ram_select - 1) downto 0); WR : in Std_logic; RD : in Std_logic; CLOCK : in Std_logic; output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : Ram use entity Beh_Lib.Ram(Behavior); component C_Adder generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector (width downto 0)); end component; -- for all : C_Adder use entity Beh_Lib.C_Adder(Behavior); component C_subtractor generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector (width downto 0)); end component; -- for all : C_Subtractor use entity Beh_Lib.C_Subtractor(Behavior); component C_Comparator generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector (2 downto 0)); end component; -- for all : C_Comparator use entity Beh_Lib.C_Comparator(Behavior); component C_multiplier generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector (((width * 2) - 2) downto 0)); end component; -- for all : C_Multiplier use entity Beh_Lib.C_Multiplier(Behavior); component C_Divider generic (width : integer; const : integer); port( input : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Divider use entity Beh_Lib.C_Divider(Behavior); component C_Concat generic (width1: integer; width2 : integer); port( input1 : in Std_logic_vector ((width1 - 1) downto 0); input2 : in Std_logic_vector ((width2 - 1) downto 0); output : out Std_logic_vector (((width1 + width2) - 1) downto 0)); end component; -- for all : C_Concat use entity Beh_Lib.C_Concat(Behavior); component C_Multiplexer generic (width : integer; no_of_inputs : integer; select_size : integer); port( input : in Std_logic_vector (((width*no_of_inputs) - 1) downto 0); MUX_SELECT : in Std_logic_vector ((select_size - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Multiplexer use entity Beh_Lib.C_Multiplexer(Behavior); component C_Bus generic (width : integer; no_of_inputs : integer); port( input : in Std_logic_vector (((width*no_of_inputs) - 1) downto 0); BUS_SELECT : in Std_logic_vector ((no_of_inputs - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Bus use entity Beh_Lib.C_Bus(Behavior); component C_And generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_And use entity Beh_Lib.C_And(Behavior); component C_Or generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Or use entity Beh_Lib.C_Or(Behavior); component C_Nand generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Nand use entity Beh_Lib.C_Nand(Behavior); component C_Nor generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Nor use entity Beh_Lib.C_Nor(Behavior); component C_XNor generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_XNor use entity Beh_Lib.C_XNor(Behavior); component C_Xor generic (width : integer); port( input1 : in Std_logic_vector ((width - 1) downto 0); input2 : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Xor use entity Beh_Lib.C_Xor(Behavior); component C_Not generic (width : integer); port( input : in Std_logic_vector ((width - 1) downto 0); output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : C_Not use entity Beh_Lib.C_Not(Behavior); component Tri_State_Buf generic (width : integer); port (input : in Std_logic_vector ((width - 1) downto 0); enable : in Std_logic; output : out Std_logic_vector ((width - 1) downto 0)); end component; -- for all : Tri_State_Buf use entity Beh_Lib.Tri_State_Buf(Behavior); -- Outputs of registers signal R0_out : Std_logic_vector(3 downto 0); signal R1_out : Std_logic_vector(3 downto 0); signal R2_out : Std_logic_vector(3 downto 0); signal R3_out : Std_logic_vector(3 downto 0); signal R4_out : Std_logic_vector(3 downto 0); signal R5_out : Std_logic_vector(3 downto 0); signal R6_out : Std_logic_vector(3 downto 0); signal R7_out : Std_logic_vector(3 downto 0); signal R8_out : Std_logic_vector(3 downto 0); signal R9_out : Std_logic_vector(3 downto 0); -- Outputs of FUs signal FU0_0_out : Std_logic_vector(4 downto 0); signal FU0_1_out : Std_logic_vector(4 downto 0); signal FU0_2_out : Std_logic_vector(4 downto 0); signal FU0_3_out : Std_logic_vector(4 downto 0); signal FU0_4_out : Std_logic_vector(4 downto 0); signal FU1_0_out : Std_logic_vector(4 downto 0); signal FU1_1_out : Std_logic_vector(4 downto 0); -- Outputs of Interconnect Units signal Mux0_out : Std_logic_vector(3 downto 0); signal Mux1_out : Std_logic_vector(3 downto 0); signal Mux2_out : Std_logic_vector(3 downto 0); begin R0 : C_Register generic map(4) port map ( input(3 downto 0) => Mux0_out(3 downto 0), WR => ctrl(0), CLEAR => clear, CLOCK => clock, output => R0_out); R1 : C_Register generic map(4) port map ( input(3 downto 0) => Mux1_out(3 downto 0), WR => ctrl(1), CLEAR => clear, CLOCK => clock, output => R1_out); R2 : C_Register generic map(4) port map ( input(3 downto 0) => h(3 downto 0), WR => ctrl(2), CLEAR => clear, CLOCK => clock, output => R2_out); R3 : C_Register generic map(4) port map ( input(3 downto 0) => g(3 downto 0), WR => ctrl(3), CLEAR => clear, CLOCK => clock, output => R3_out); R4 : C_Register generic map(4) port map ( input(3 downto 0) => f(3 downto 0), WR => ctrl(4), CLEAR => clear, CLOCK => clock, output => R4_out); R5 : C_Register generic map(4) port map ( input(3 downto 0) => e(3 downto 0), WR => ctrl(5), CLEAR => clear, CLOCK => clock, output => R5_out); R6 : C_Register generic map(4) port map ( input(3 downto 0) => d(3 downto 0), WR => ctrl(6), CLEAR => clear, CLOCK => clock, output => R6_out); R7 : C_Register generic map(4) port map ( input(3 downto 0) => c(3 downto 0), WR => ctrl(7), CLEAR => clear, CLOCK => clock, output => R7_out); R8 : C_Register generic map(4) port map ( input(3 downto 0) => Mux2_out(3 downto 0), WR => ctrl(8), CLEAR => clear, CLOCK => clock, output => R8_out); R9 : C_Register generic map(4) port map ( input(3 downto 0) => b(3 downto 0), WR => ctrl(9), CLEAR => clear, CLOCK => clock, output => R9_out); MULT0_0 : C_Multiplier generic map(4) port map ( input1(3 downto 0) => R0_out(3 downto 0), input2(3 downto 0) => R9_out(3 downto 0), output(4 downto 0) => FU0_0_out(4 downto 0)); MULT0_1 : C_Multiplier generic map(4) port map ( input1(3 downto 0) => R7_out(3 downto 0), input2(3 downto 0) => R6_out(3 downto 0), output(4 downto 0) => FU0_1_out(4 downto 0)); MULT0_2 : C_Multiplier generic map(4) port map ( input1(3 downto 0) => R5_out(3 downto 0), input2(3 downto 0) => R4_out(3 downto 0), output(4 downto 0) => FU0_2_out(4 downto 0)); MULT0_3 : C_Multiplier generic map(4) port map ( input1(3 downto 0) => R8_out(3 downto 0), input2(3 downto 0) => R1_out(3 downto 0), output(4 downto 0) => FU0_3_out(4 downto 0)); MULT0_4 : C_Multiplier generic map(4) port map ( input1(3 downto 0) => R3_out(3 downto 0), input2(3 downto 0) => R8_out(3 downto 0), output(4 downto 0) => FU0_4_out(4 downto 0)); SUB1_0 : C_Subtractor generic map(4) port map ( input1(3 downto 0) => R1_out(3 downto 0), input2(3 downto 0) => R2_out(3 downto 0), output(4 downto 0) => FU1_0_out(4 downto 0)); SUB1_1 : C_Subtractor generic map(4) port map ( input1(3 downto 0) => R1_out(3 downto 0), input2(3 downto 0) => R8_out(3 downto 0), output(4 downto 0) => FU1_1_out(4 downto 0)); Mux0 : C_Multiplexer generic map(4, 2, 1) port map( input(3 downto 0) => FU1_1_out(3 downto 0), input(7 downto 4) => a(3 downto 0), MUX_SELECT(0 downto 0) => ctrl(10 downto 10), output => Mux0_out); Mux1 : C_Multiplexer generic map(4, 3, 2) port map( input(3 downto 0) => FU1_0_out(3 downto 0), input(7 downto 4) => FU0_1_out(3 downto 0), input(11 downto 8) => FU0_3_out(3 downto 0), MUX_SELECT(1 downto 0) => ctrl(12 downto 11), output => Mux1_out); Mux2 : C_Multiplexer generic map(4, 3, 2) port map( input(3 downto 0) => FU0_0_out(3 downto 0), input(7 downto 4) => FU0_2_out(3 downto 0), input(11 downto 8) => FU0_4_out(3 downto 0), MUX_SELECT(1 downto 0) => ctrl(14 downto 13), output => Mux2_out); -- Primary outputs i(3 downto 0) <= R0_out(3 downto 0); end RTL;
mit
5968a15d96b58d0c63f4111ed9f64c26
0.613244
3.031824
false
false
false
false
jdryg/tis100cpu
alu_tb.vhd
1
5,733
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY alu_tb IS END alu_tb; ARCHITECTURE behavior OF alu_tb IS constant ALU_SIZE : integer := 16; -- Component Declaration for the Unit Under Test (UUT) COMPONENT alu GENERIC (WIDTH : integer := ALU_SIZE); PORT(I_a, I_b : IN std_logic_vector(WIDTH-1 downto 0); I_op : IN std_logic_vector(2 downto 0); O_isZero : OUT std_logic; O_y : BUFFER std_logic_vector(WIDTH-1 downto 0)); END COMPONENT; --Inputs signal I_a : std_logic_vector(ALU_SIZE-1 downto 0) := (others => '0'); signal I_b : std_logic_vector(ALU_SIZE-1 downto 0) := (others => '0'); signal I_op : std_logic_vector(2 downto 0) := (others => '0'); --Outputs signal O_isZero : std_logic; signal O_y : std_logic_vector(ALU_SIZE-1 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: alu GENERIC MAP (WIDTH => ALU_SIZE) PORT MAP ( I_a => I_a, I_b => I_b, I_op => I_op, O_isZero => O_isZero, O_y => O_y ); -- Stimulus process stim_proc: process begin -- Addition I_op <= "000"; -- Test addition of positive numbers (op = 00) I_a <= X"0001"; I_b <= X"0002"; wait for 10 ns; assert O_y = X"0003" report "Addition of positive numbers failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Addition of positive numbers completed"; -- Test addition of 1 positive and 1 negative number (op = 00) I_a <= X"FFF7"; I_b <= X"0009"; wait for 10 ns; assert O_y = X"0000" report "Addition of 1 positive and 1 negative number failed" severity error; assert O_isZero = '1' report "Invalid zero flag" severity error; report "Addition of mixed numbers completed"; -- Test addition of 2 negative numbers I_a <= X"FFFF"; I_b <= X"FFFE"; wait for 10 ns; assert O_y = X"FFFD" report "Addition of negative numbers failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Addition of negative numbers completed"; -- Subtraction I_op <= "001"; -- Test subtraction of positive numbers I_a <= X"0001"; I_b <= X"0002"; wait for 10 ns; assert O_y = X"FFFF" report "Subtraction of positive numbers failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Subtraction of positive numbers completed"; -- Test subtraction of 1 positive and 1 negative number (op = 00) I_a <= X"FFF7"; I_b <= X"0009"; wait for 10 ns; assert O_y = X"FFEE" report "Subtraction of 1 positive and 1 negative number failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Subtraction of mixed numbers completed"; -- Test subtraction of 2 negative numbers I_a <= X"FFFF"; I_b <= X"FFFE"; wait for 10 ns; assert O_y = X"0001" report "Subtraction of negative numbers failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Subtraction of negative numbers completed"; -- Negation I_op <= "010"; I_b <= X"0001"; -- Test negation of positive number I_a <= X"0001"; wait for 10 ns; assert O_y = X"FFFF" report "Negation of positive number failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Negation of positive number completed"; I_a <= X"FFFE"; wait for 10 ns; assert O_y = X"0002" report "Negation of negative number failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Negation of negative number completed"; -- Set Less Than I_op <= "011"; I_b <= X"0000"; -- -1 < 0 I_a <= X"FFFF"; wait for 10 ns; assert O_y = X"0001" report "-1 < 0 failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; I_a <= X"0001"; wait for 10 ns; assert O_y = X"0000" report "1 < 0 failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; I_a <= X"0000"; wait for 10 ns; assert O_y = X"0000" report "0 < 0 failed" severity error; assert O_isZero = '1' report "Invalid zero flag" severity error; report "SLT tests completed"; -- Inverse Subtraction I_op <= "100"; -- Test inverse subtraction of positive numbers I_a <= X"0001"; I_b <= X"0002"; wait for 10 ns; assert O_y = X"0001" report "Inverse subtraction of positive numbers failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Inverse subtraction of positive numbers completed"; -- Test inverse subtraction of 1 positive and 1 negative number (op = 00) I_a <= X"FFF7"; I_b <= X"0009"; wait for 10 ns; assert O_y = X"0012" report "Inverse subtraction of 1 positive and 1 negative number failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Inverse subtraction of mixed numbers completed"; -- Test inverse subtraction of 2 negative numbers I_a <= X"FFFF"; I_b <= X"FFFE"; wait for 10 ns; assert O_y = X"FFFF" report "Inverse subtraction of negative numbers failed" severity error; assert O_isZero = '0' report "Invalid zero flag" severity error; report "Inverse subtraction of negative numbers completed"; wait; end process; END;
mit
33f6e1f3bf63f63204d97365339684a5
0.613291
3.69871
false
true
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/carry_equal.vhd
1
11,904
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Qe7tk6eZ4Ofu4z1Gr2vRcPi+HIFf49ptXTUeIzRJGvA17ow3QrSgs5TH5hcU1ctsN9igu+jgtiyU 9di+z88pzA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iciP9aOCeDJXsxSm/eFfZuaLHV/Me8Ep1q+EVbWxA1xeNZIWAKALRvlzPULtU8QtLGTjOHfTthEO cAHrabOxkDYaomXiPORURrdYkCgLkuyB+Jw42fQ3NMAInHcS8pTlON4ZOftWApmkpG8dqqrqUqTz rPQoOLMnMz/LIMYKPyI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ssqQIqAfsFiZZe0lB4rOyHz8fWTjakBd3t05qDqCewp63AchD0Mg7btsqdfWp4CFKxu92BzQRI0T PfSsShBOAtVJ0teSP0kQnWDbmKxfXsXitODey65gGdT76l3rjXnSh0bSIFkv/9qPQ23SV0RtnEDH 9lpIIp99nVvwUv8bhET7rS+Qtv1uJRr23uKiipXIl/30EDJnkJVTAIQ6IUS9xuP7x3UvxzKCFpv6 hHq8HYNO40UVOwq4SyMKNiwf21a9yoJ0yufA/jsL7sakq1dJ63T0u2wbjHJ7qtN9S01ffYbtRo2v gL29HIT2qJcA0+xVsgj+/NQ3RSS1BPCcdUfDrw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pcJKl8B5sWGFawrH0PyjLp8rZWq1eIAQNS0QnRSIfFQTH0UaW38FBwDBI5fufIkst7hV8LMmHn2I kyA1hanlzxN/Wx29Tivl1m259ndOHcCSiiCkCu6UrAX8H6bbSb5K/8pUwdlCN86BvBdbLxJ8m8AL kXbtBQBp+KvytM6YfrY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VuXDF4mgM1nelAom04RLplS0cqsXMZxYWciZXLXlUmLh0q1CJFTSuoN+zN0pkZM3rz9FvJmtet6p eqCaICDHOanVo7GUKE2sPloKs61RORoyTpc65/TE4Wx75p7/yCZVLVxXxbtTuMpslKXiIJWRAXo9 ybCMJ/bu7+nOFh6enCt0qR7NLlpiwioqO1THTI9jWLjcDWzhtcvYUQBYsuzjjDkTsM7YAARRtdmy nXJmURpHpzxK+VcBnQgLQiB3SicqmRWMhGgEb9gyXB6V2e2dMTUZGB+Rk2URV8QmZHvGiAHduv5M PQJV3B8K+kybfmeEItZ/UCb+SDyETl0oVU078Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7072) `protect data_block LoiTaW4x2rWn5a+rakaITabjkDvdUM/RB1qB1LyH+Z2X646sefJlxUqURZywZ0z02e+ADqDNOkV5 +PAN/Wo/4NwcXsdlU4MLfqoakUe91bNIc4FyUp9OOnYtWIne1x3rfsCP7U/ntoU8+yDuYhdMt63Q CdLCXcnrgCU67RetS0I1pAPXZo2kVMJPG6DsgdtRdbkdQulHo+1Y28XZPoRhZyI2iW1j/VfCu0T9 paKPsx7xGfEFWNn6AHbtico2k8QFGjNcV2QSugfqPkTRXKWicEWh/JYw8hNTrHWbSkRGyPPaG2C9 jC8aGa1tHGrRFrh2fUMYGqErMgibySAtxoOMLaKeCuwOgzKlB94CPUbGY0Po3T9FiIU9kk7z58IB OOHmF1iQTLvaQ+mQnBzVyWhYjSf28PkZVmPUptiJAht8J8V3/Q3DAiWA1e5Qt+L/EcYhRkY2qH4f +87azmyWJ+WZ4JOV5aUx5EqD/ntMkbTvDTZ4A379I1fWveiu4Y5NR7ZwVKmO+RkNVotjGg11laou pb8ZYC4n/e58veod6LCf+rYJ4GLt3J6/c3ZSqwUGWmZpzWluUDBi6KRHH5f/OdlPVky/Hz6PsMnH Zl+Bs6/5L/wmh4mxE+bV9aFeTqYSC1HGOYEwyLKFgJBAeZOJC0wWYLMsPn8iAY1duW5pHuNIQ5mv wa/4DXUN/dmlaJ9dSJ+mjaXkcRFd0ZdTCFVm3XhTCkO8Ej5J0gqbWFn8jy8zIenoaWaFgwxdd2FE ZHXBboEUGTJOXWLGwMInWu7yg7syH36661RnzgZZ35quJUjb4roRson7GaBM82iqmDOq5BQ8opR0 pEr/4hnj8Gg5Za+hNfZ74v4jFl6gs6CfLQndjd9GBZM1NEGBk+8MYBqVlIWKwspwunBIC3XlhSvd g74axa1cdEFGbAVM+qWD8q8UHsN44HZ32Yww+vY+pjS8IlIncuEcpQfWKegKAqku07O+hqsYRtB2 WGtzRkXhA5kzkXvHZRqbsadrxbg6WWlgDWxJ8N+Elr3rRPQ44bmIDWyCNRovhndVytKDZrZY/SN2 7lTTp8jqMvUNTckd+PJKCMepjloGEOFtQAq8Rf7BADfOLVE5d2hFN7RAyXmPn5/zfAqKPJZnTQI3 J4lrDXv2Is8fiIKmo86ZWw6XkvUYdt072E9/7Qfkkj2kru6ktPbg7xfffsCCkEjERv+trAm7Jv2p nIx5ERLg2LWsrWmIH9WVfBEgKfMp0o7/1U5cEFGbmI4/jVqXlAxsbq6syl1WGL6Bv27hBr4gbV2S u+GI8dMonman0utUh2P6WQOxVvAXuvbsJvmaaeJyIkOFdDvs3vxAE/aJONsBbeYzIEztEJ8T43uM gVvXoM7Tw6plQKunMVIYOgdDy20Xq77WOV3iYORaQWCfy/kGMYIhVklQLOhpHt6Rj7251lHeod// 9x4pXkQ+y6YNRF8srJM4ZE8A+PCbSi66W++AUsf7mdSOflYoW/87Z7Hh9wox/E/M0V8gHGuqJeJu DrUfdaifLnW2Hd6uHhTYcX+SS9KZ2Kmflx8e5ioxJMu749EMfi1+q2EFNPmDpvABvtwqUZhc0oVH hg2ePRK63bDP0osHJ6eUXv61IPT+lIZCzITkd7s1Ux+ED4ruHiyZ6RcKTd3+nll/wvXb/DbmFJE5 XwSjTK0c8D0Gv+DC4ZUPexN1xr4EAsMgeXX8CliCEo+DQ9hGcwyYyk8QdRrhssvEB+EZWh8yudPj FwE6saFUP+20zn5vG4oPWz+kuZtUNJ3S3B3Xu5bdRRULkmEqQnxBPVGyzHqZ+bU5Iu9BdN/sXsxo kzN8wmPN8vqSQV/eA/XQAPSiWGIXn1onZoZntMJCMhOWGre2oJIo/aNexq4AcvsZe62Xn1+6OENp rsoxTX9fswk1oxZNY2cS/7KyCTEdwOiJOB9TJQyjse3y1zQKcwm+XHC5TsRysWIiOpdy4pjbT9d/ HEmPa+BDov0EX3Kj2wVQ64LiLVzUtg9xVIvrc456IshX82DuQvFOO7U0IpLVF0dR+JijpgXik5Bb M34Oy5VXWZqyLpRW9TMurSVahlukIXviKtlaWqvtWJBdeixbh0iks1mIzoKGoSbpTCbzZ/7I9b2f LK5ycaNzghkT29HJI625KTrqe4fhslWBvDWA+2Q2YQqfvGct9piL7nsvPG2BmpjKRfTy/Hlqm3pl wTLvoCl2DNrCzVtGcYF8JkxYOPwcRWupaPQurwyIZz9g6PX+xq99Mr93ADd+k7BFz9o6Qwx4DGCm vxsXALCPTSGZvxpWF5dxSXOeMSKWUNNylHAtlIQa7mSFA40ZEvPtMW4TQuAtWZQRruUeL8NKDLlX 1tQ4yCJIVLeuXC+VofqYpDTtMWsSZmT91ayBR64R3n8ga90Tww9NH9LXyy2h447sS1UYA9RfhT1Q mzDYIxCNz/EEMmdUvCMgq//OZ411oWCQ6HeY52t/y0V7/CgSp2m/U8VcYGFvr2FVGBJWQTwIyY95 g825LwzlKME0tYuOX/1vmONCiRglbqG/9d+fNUgL/+ek7r1W+k5dthmQQI5cf7+r1R1l4rq3pl4u YyAgDgaXizkGPIt7LZAG5zj5V/pALSKjJY7p3olQS/VoGcn+J88JmtOMnzTijKm/dnZya9pbxA1G bcfTd+MAoRaJexnc4Ey3xhDqjmYbaQeb6eAQsJlp+dJtBWFXnl1VMYPij3dTt84wR7G3ar+5MJYz Q0Rqeb6vtUm9zozOrcl2ufgverFMs/PuqWpFCgGPFXVa/ZXyWjOsz9HJwDFWmwuMaDL+nLMzkxoF Q5IhPl6vTw9HfxNlSRnKj/ocGAKZaGGhnq4axSrn3EnnDRevemsu3XsWQKs1WxUxI76krK9d7TO0 iHgC0NEATlI4Vxi9hYMkmlQtsAsEDzIT/ObaOgOe8dQgOWNafdWWyJ9iqUrqReMHJtwmIk/x7lJB RPxcx5KYTYR09sS4hjA+EnUCKief67U+u0PXPhtJua+6miofGI8G3cETsrenHeXiGietSazFb4gl 633PVqYw69hy7t5jkE8w8Xou+O+O1j0ozZ82xNbwrtnWtzzslIkNxl6ACfEERboPGK2T4fTNvSdZ 2U48sPvx476B5TgvM75P6SVw5XWqHkTQCfUwBDkiQEHw++gzZtPY0bAxelp/hGKbcPHqEt98qw/8 RCZn9HpqK+C/zdJ+sMcqRt7lW3OxPQKVuE3x/4RKswBmsQbK835zHHm8hUQxOoTfNtWThWcPKlTb V//RDrIXkAj602UA+jknlGlprnFIRbBwz/AQXnoyp4RGPkLRjRPsRWNtXdH/b0XcCyjpjM0abiKm VC5rU8K5eJ20UbB/TKJ8MRaXuPK79F1UOC4AXlSvON7JJr9JnrGX9ol2l6YMzKPMm0igHnPMdEWu s/pbHxzD28G8JkNdMLZICgmWWxzhFYkvLHaVvA4BrGP1+JkR8YWDaAKQ+MLMF3EamvrvtmqEl/Ex NaX9C7yaVx+MVQvWNUb9sSzZQgAoe2ESx+StdH5bEJ23Bklwa0mAS1Y0oX2VqI/HG8B/TwfiEsKj zBzkXRvnaKYhfLpl91TAzIDEuPuWZTVq6ANZeI3bXzG4NH84TXlkP1qeLaUDFYwScGCePXfbitJ8 FFmG3JI5xiWH7nE7YdWiIMwROIjVPg1QnE+m+EaBOYOXi0Tp/WSeuUIYX1rZ5zYiowtLZWx0go0/ vrOyz8VxSG4gRE6Uw3EYQe9ELzLDqZ2dF9oBhcZFkuwucK6eHwSNaOcuPwb5PO4L2Fq3qhCQISj6 mUhy/krJjVS3IZQEo8Ohf9StDpUc6B1r1RYW41DjPFkv2ji7E6KxDrWwFvZ2spCn5dhRRAZrvZk2 GAxs9HE2FnMB78gYMN0+VTgxSLt7kqn8El8xMZyhVlISis4wo1w3U11RyCyOMKa/7m8Tbv5JG2qI SSX+88wG38XzHGJQCYnokLTmfxfdOrysL1e26oEfOzAipq2jo/KHaBTXNOkLGedeMODVmxbVBE5D aoB4pkMInTcSXtMewc0cQcdkPunKkmoUDqQoaq6zBAMQPvwQbEjLavA5/V0LNWbOiBLKDrPnDWEq MrvU8aJe+ykeRcX3+r3LeJjIsfEiKk9XULTzABkOeG8A6N8FI2pg+S6OQ9bP1DyFfJ4x/svVJ0Eh bjrfd7M65N4msHwtQR+ux4cpbs6cLa9pniGBn69C5sqqi7GazyGL1uyA5MF8+4EmmYVewUr5BEjN Pr2e+ClUA4aJ4mjU/VtIQ7olj/FivFZrM1uYIDEwZdxSDK/qeecLp6ADqU4hD8WY0XgFWY9evTtB xkz7f9z8MPmjW2wNWw2dUEgR+cfDQY7+b4uS5KXn8giw3ArPwJWxIcz9XbcpLGvMGZYUCdJZ4lts 7vXiJeL9UlYxvb3krXDOkihA8DBZ3Ce0jj4r/7pd0fsphwJJ+HUnZTo5Pen7n1hXGndQo1cUZ62h wBxzr/efCqhqAv+JoIq1Dcgun3kq7zUlsq1E70RzhGYFMdVMimZIyPALQmS6J6azjxyRlgex8ofP mVUSi4Df0JnfiDGFN0j+RqbsIwqxYqaC9qI1vLmcSwr6N52bOxMUT2IVLmk15e4o/Sbj8DOPK+yA Y9Gkq6KtxusDs0N8Lmtyf1tUCiRAudOrxb3bcLea1ULo2zxB7ds0OYowKXtqwONXbM0kCDTVxR2V 9wtr7HNV+RfdxpvfYemwCdDpUGHJGCAYfNgrEC/k+8oUS3cLlpym3M5uFwHxPmoBkIq4jSFMxO2v JKgD5sTxh1dCPSpbn2CNDCRfz7aqL2jPANhI5ITKvzHWt+Sapge2VEQu6HIIoO28otFsYOnRjryb DaxiDpsIktkCoe4WSE2b0F6dvDcun7CA+WOvFJWa1q6C8RQn5b6ZEOtZxo+IMI4DtONncuertNXq XMk1yCovfPTXvIaieNY+GNy9+QnlPmeq4Iifj7RDJ0YGqrN835SwjQMugXQjGUy2ZDu2KVWjX4H2 BWaGRi9tZZNKOMR6pW+QsciCNYt0p1/Bk8CJk5P/f+ge2x1BQv+ApPuuKpTngIL7qQ/MJSWsuKjX 5zhyX1gI9UMpBm66iUiauC66T/AnBEBO5FdiETc3qf+7Coegt9/2SpdX9zXnbSjZ1p214AXfOYTc 82baesxP0vcP5ga80fKsHYGnG+5jzt/BO7IZRsS4W6XyUcSUGbrBXe+oUMLD0M4HBLj6qLa8sc6c EClqE3Qt43Z024kdueOQ2ofcwhLujqwoxYFVb/HaUe9yDRcufMJC+xO5N+GvbyUJkwvjebr2klGw iia8t1YHgRfLIM4Jwm18rM5BFybMK+mSiPHklFDTkWyQKXcMUomKHCgvhOnknQD+iKZSBd+kIH2h BdKQ8KzjhbuMQDh2ZoQLmJuwXkmmouTnvoPC4IKk7r0LY9krxXPSpkAZFvXi9FzdNqRHbZmtMdbq leq0zbVasAO+qoGhX483dqxfDn85trvAkc8PBBStXqZl3RDVkAv4urpV4M2lC5fOIW6nhGXJzSNi 2oGjv5L+24nPhukwNQuElbgP6cmuSzHVNfMLEcF9sw24/P7yfFFiKMr4B0UQYSryGlIYJI4Is1Ok tJDq/ObiSJjVJZ191j/yvoeNrPSaS73IHgdaUh9jltYUEMUwFkbSqDQwCFQzvKl8O64MI9qvLLHA LgZPcG3k3ku8Xbbe8p2ktuN4yU92Nk8ZI59+jodFTkOad5Nr+WciwOTbeU3PmseqMRi5LQRwg+FN n+HLREY/GB42fx7jwNqLQhEKVpQbFCtR/UXml8eQYkMGxkmzK/H+eEwihzP0wOnSZNaT2dFs9vhZ w4VgS/ypPjZNoi119qMRBSpXG8YXazOkKSbUqN/xvGgKHjMZyCNDiYsDL+alucTwBYIKwpwdA4/y VxDb97CgmkRqJCe4REpdLhw6C90k2Ag/TowiWLaXTFiTDgF8m2ei104FtYBdn6PP9V3tCkIRblZL bu25DxZYa7dHiwSG9hXMFvmgNovRQtDs70iai7dPiEppioh+K/R3OuiPLnTPWRw5MZoOFhXJLh9O dmdOpY/b4R/hLd0QDxreBevLNaWEjmSFFjmP52eFKD/9Krr6lFJyqgDW0F39X8wK7q5En3GISlHs 27D7p39Q+RLCHbqU0tsDGCNZC74UJvshXtnKZy6rKEn/nGGc8hrCncaP7+svmRt3kFSo9oX7yIuO uWTKdzSrSzIehnUB1ylYo0vxJyjkfbEtqCTjnnSopi4vOueX31bZ8mYDK48pUTUlT+Ewk6Q5bq4B XvD5YUfRoJL+yUVYp+aCkIaqMmWGdfRSlGITyuMVSSbAEo9IBT+0Bp5yeI4iDPFBpcfCtKA/eTAE iNzKUYaTp1JB+l603j9FyBbp68Z68rdBq/Gd1tvufEtHLov4XNgSKsfSbTH6/gNVLFXtBmYRpuxj p/+JdmyowzTWFZIQmjtwMA78NcRYXNBi+Z4hwBv0aPYOK+FZ//rsRf5VZbh4pjP93YmWWXTasNYr DOI8JZP4M0AtinRrbiH7uYpt0vWKR5UEp08r5kXroUjtF+rsfreSh4h/riCNQncaUeczcumriUO7 tMSBHrvp7ERm3iQAEwnzd6XrGmrPV2dh14HZ4xhxA5ha3mcBfhVj5p2w+XyptvL7U49OJTWIXuL2 LPwNseRAjzx2Wmjpv69J0RefrqbvxHlbBNNDhaiYRiFGU717i3Fd62SzK7vWirA4qzKNMHIovoii LpR6n0Vi8Cc76qrDaA7t5Q5dVbtRDzbdrRy4pElrFiga9WFPRlWMqftkPb0y/gH1LY35k6W473Yi 5Eb13hIKz7Qe6fP5sIXF0oW86O8C5PXo5i5gw6BiRXuebIF/b3RuCObNPRA7BLem8zw1B5yK3NTd f1khkNMyAPhblYxDA1nUyeVVk95D+rsMFD5mu/Bwg96xk0jyINQiPdBnr6WcWI1RV3NUY6GFILys HfpLaK4NoYAXZW5c9JdIBZGGjdZu9AMfNxtkMrPwhaitQJLLV6d/3QqHWxD/7T9mfag2q4/hbjx6 Yp0HBJLoa1niydobvtSCsyTv0FyHY8+K1ckWfdrqalq5zzuLm4Z14I3eBHpTpqO16OqjmxnP5onv t4XrKqJUAGvC8orTCf4IQyDvvO+yAqD3IGJTSssbTukQMx7CWYVSAwX6IXjO+V4+X1DxxuPvvQw+ r+5c63Hvh9srN4ubJM1pG4iv9aoG3+QIrYQS73NiKrv3YPA2CxmWvc73E6g2rdGQAH+qS+j4dXMV OH0xM5hArJbuRvsWyA77d2rAQllhunXfyehKCKmINDsX9PDvHowOycShPW4t1WmFnS17zDLH6/Vp d0Y4+iGkgvbVLZ1TWMw0w3PCNMUDBYhjpxSt09wJGVF5YR/4Bh+gDV05s1Hasn+7S8uux/lxxVOb JqqHic09et7hWhDjgNCmxEsKamRh0nk1AAtU1ndhh9qnsMCcSYPRM6UfkXMiHuOBZwjO8Hq5cDOE rr7sKA2MfzKHM0vgZ1xSjvLF2vwxgKBCZrsTtrD0AfzlUt6rfImRcMxu/YcUu76k89tTFOT9RpcS 5vtPR07nGvFYB+hWOiIJTLlOFli+RTZ/915K6B21640p7YOjK0T6eAzHUiWNz+nFNHeuKkuo7ct/ dcR3PN2SSZSPLmBNEQIq2Qq7t+cyXR6uOASDxdR+xvEZJUku0z5/LBoy8LZGNCF8a+ke4ZVJyJbf tKVOn8a31yF2nzSyV9CXvtBT058xiCdTvZsSvPTNp0KX4DJXV4myeB4ybaOFn65LnlfpFqC3UujT uLZGYdCpEx5ZSESA+b9QVkY2fFDNnRzKw8mEIqVivs4QffMVAoXJvgNhRnsG36ugFgACXC1bbGdZ XyL0UZ7dMImWvhiwTv+msLjqBshBj0ZoG195v73cCp39T/QOGbyLtnmpYOjhclB8WfnSnxT5yJbh jrn5GPbQRG0UXoZ1L4pcLj7vBhJeTc7Ft9BDfU6/sGsgo/MgzXMaArp1gUk636J9ZrrhImgNd8CG jPKK5RqQ1mANScHeS9wRFiQx4/9gdd1yWW6EYNizPFstwR4B8IodHctCuvCFbPz8KwYgQ9HV7PaY HR4s/gxl7Sbf3e+x90ruptmKcnPjr+Inj7qVJIu/KzDg3xxD27dlPq/n/Nf/qSJuD/Ju0OA0fxS/ IytXAxD/JCz/+R/VThVest/+yViS0NUuX/b5WGBDhqgB1sZtuzeujbAy+XPwgxo7b4T7NwwnixOV gV0v0WqewKStMoucRfFwyW9hT0oIGf6M4YlxBCyDpRj/S9tenzhJ82XTEMkuccPKjhGqHX7Xba58 FXAM7yTXrkd8+Gv8NdKHFFkHbo0gI1fxzPBD9OvTnHYgHBFLC61fQcEcCwTVaEDfYFBpFjnAJHi5 +RLYpX0/fPplK3wqZ+Amb2xRbj1wWYud8oJADyt0MGU+/k+N0xvkQdidbr2V2KxRAPEzlyYvj+MV XmmRY2HaXhjukTA+UIjR7sdCi1a818pnAxHtuz09uIC/RSoQOcTw+drJwIhmj1xV5He1hJwZpOV/ 5Y62HXPUHwr6XLJ+VJP44jwzWCH8T9PMSZ12fNjJBh1T8OjB/zbvLDuaUHfU5qVYhu/KTm1fZgIn dPupEEK3cTxsj0e3cTQBufvPkg6Bwc32mNWJ631yFGZ4sRsSeNKB/3MMGLzviSeJJTd5Q8Os/sXf 28kjVAwC2LNwL4Q+c0xmelSKoEONdmfLNHfw+d0nP2KHNHsLWFghAaUhFM3+hdMrIi5wuNwhWkGy erndAlkzUGQnSnSj8BWt7CGVPKjQ32VwBRMk34VoKFqPf/bpytNjs88219+39dY8ztaRcqf4WedF jJ59Vwm2M0pQtCFdjX5m5u5AJuEGFS0iaYZ7shOgGLr2YaFZOj7P2rdNlkjcIhj/L3fQvx/+9uak 6q6akuD0jJyaI9EkzMfyOAY/U9mmJFKSR6MMA5XgD9tVNzdsffsnfGbPxACbcNeLbzBfibiNMZFQ p7M/VFouFHNatHlxxVckJmiykIY0S79ETNkYLpDfrowpN0KgwIAn6xcBLFAKXq5uyByeJS/POnwp UB3hB0R5PyToLfK2VfBptM5q2GaSUKwDWgxLYqyU9Eh18P8hUA01/un9cszjDvcD2gvViivPh/3d /vfNuM4v87yveSpNm1lBZH/NyEP+cY6H7jNhdyw7zuBXgQsf4JZPEOq/pIDK7Wc9bUIMnPXZHIKK EmBDP+0AeT0KqiwTzaloEBoskk1uazaChT3Q6d6PiD6QRzroCCv3aQ/eksjjduXppUAJmyVAa3J7 868ixDbB6DDYSs0KNkpBG7hbcrxBaVVUeZrZQ5e1dol0tZOF2fEnalWfdoUj1UIV6Q+t+P7eyJir +u55hQ== `protect end_protected
apache-2.0
4f790bfabd5549c13e728ab0934a713f
0.926831
1.882353
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_logic.vhd
5
41,428
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Zv2Hd4g9AlOUWNT0BfhzHBMEWHoqPF2fnLmeI6LnbAHXElIF5FlcuuWoA+A5ku715GjIsik+aFg1 18/R6u212A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lh0Kpqb55zsN+U0q46rGqvJJvdX8NRcCVyfRm2Y8gB9JM5COpuiYl/t5cy0ckyBBUbM+InUn5i9u aysPsWpEH/a6TCFLLot5JOQ42xwdQhK2YpSvHF+Jud1RnJRXF2uuBQPuz/wsbJRinAA5MO1O+MK6 kKyjgHRTykoZa4fWjoo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YV1jz/FUblAxpL6DA0Nu68JH/UQobISC1N7gTb8V1JuwEhk2ID5nESpHZgtkocebrR/Ibk14TefX FTiToLip43yq8pYmIR1RBJRKQ8TkNCFM67HB+R7j0oINTK4d4tJlsJBYFYM5WKILhzid/npd5cfZ e14YTmANKL4IU2TaaytqO2jL3G++8gPBWFXH6wwl0rEJgz678oqtVodWUpj02Fqui5bLpVJt9vty gq+Z2eRqWNVClhi1Qp5mrRFJPpdEOdaOO2iqWZPxaL05Ctg6ITtYWEEb511TryT7ApPEk80ij6Zo DmBn2t1kVK2RGHWZeCL9rSu/YDepcfhKC8fkmA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fnNzo5b3rCZfiK7RWo0OHmDS7fgv0LGfWYMnkT4/wqu01vEeUaqSFYirsBrEUTeIW8xz75l99fG1 gPQc2fbGAXBEMFgUTjapsC3Ayli9XZ2gNThgZcuYnd4qCpV1eFddPZPMskkd6oBHQVGpYox3mwlm X+7eml9aXdb9dTFWmxA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SPIIGBg6z+n+uSLCPFmxHCsS5hoTQBwvF0gEvvuGPs5MwN4PoUBbktxix5ABXiI9w2Ipjk6OQOCP 3XXf6uaW7OEaimjbE+J36xtjqhVW3P6TNS/DoSzqfxwQhMznZSm7mxZsKYLJ+jwo3/1WKud737PU shOmPHhrJlbkdmiavUsuvZ0Gfb9XZTt79DgmjNYiLD41l0moM9OJ0cxILvRMHzVgNKykBEuI+7gB pyuO1KnZeuPz9tGwNygC0TqqFCg9Ql9K7a/nHf3ZhotV4sNdy8+Ta4TWkVafvsqbmqtOXeBI6pRf pXv8NS3vp4Dwh4qfVLWUVXdgACUP2SbEBgOUZA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28928) `protect data_block KUCJWKWAEVLyYbov6wvxhM9DuDgHPGWxWK4VxaP7BluJEfbjycKgXKIVDLDWotb39rxlWNTa0221 80qBQ2Lqyqi95ibWclxRY0XyjZBXklyfrXH3CQbzpQVX6M+8h2OrB+TS/bIzuT76gm9M0ZvXfoGh iVX+Oopgyuq6YYjZTfsVSDJPYujXG+cS4fa5NEwGTxHFstTCkujDdvahsgVYZYTvwfGYHttfpe9/ dtkRpFW3y94rBoi/lQgSh5EfSW0bIl18lsGXZ9CRk7/vmFLNpnbgAeYdRsbMYyLPUMbdtE/mkBt0 oQybztcs8j0BU8+3y2fddbILgAeAPAp70mUfSjUyv0x7m2gJ/eEVflRNDDi5MYY2oE3dCg6F1TJu +0miLZMpUX5BsJZFspeQBwrBnMGzjQnoMQ96TIoHe2mWNkHL8l0BYu15nNtDDEA6gLt+YIHNcpuJ KmKuoJV9Ely9r6vTk33OET2Sf6mFihQ5bq1kM528Fe8PC+5II80DySHqm7q/+WBWN6azQ/Rdm6d0 zjJxleVzI8npnzHVAPNBfWc9D3m7dDw90WHC5hrAyEHafn0bLwOvYzrpxQegMx9cNXaM5qLEgGMV 424BIo6vbpquY2EOFvJbX+8Xgx5MnYwx1drHjpc+eHmhzSfEVuBFD1jmwG6R9AnIOzaShviW7BDU NGR7AFp06xYt1sIwIIgRzqP+ExpDFSJBh3PVQ7CYoLTX8nOkWw6wcEM2V/UmrAlH3t2AG2K5jW13 LH5pFrv62Vhl2MZ5XSHi+Mp6JMoj7GyJgXSrVoNjqMN8D40Q0C0Mto9kjXDzz0lCz6HhKGn5D3iS Uuu2RDB7c/IUl+bFiOxBe3FSkm2IoIhkuqfJyDGzaCb8np+5dPo8Z3unXmyRFvsT0FJ03EgzWI/n SiZUyt1P46VPRM4FvEtMexUw2K+Dq/2MRT3q+NE8L9YhPY2tf1QI3GzbdoFuWTzECIiagrZtECMj oS+TY8uXcsNnM8Lcoq+I2Evw53t7B158k+bO4eSs0HKPE6KCoKbpQAEx3T8toP4bra3fSMJQMQhF H0T7V4Izz00PC8oZP4yf4b7XlKVQxSvbl40uMDYFLz0bZegtFL/kl3XQByRftuio9aqC222M0u0g mVbmcjIHAv0lt8OUGYae3SnCt7Znz+6vLGf5kVDFxlFcWoln/lJHBSNavlam8sqxkjkam6bPZXdt zX+fPPhmq9c6ChdkWDx4cmrWmFOtn147W1/9tt8PxbHSenUzxd54fWTH6YgnAq8D6kiJoQULUgy2 /c72BPcR7ipqRsm0huDrOgz85D4aTEpmwdQAN5qR7Sstr1ws+ooqSEikIxtynvWptPfnGB6iHy5H MyihygP5AHm5Vv29N3ctCQsOnjvw6B0NJETNlwueqcRoXN9Qw0a/73VnUiAwZBpi4cL0l28n3Wso 0/kCicQTS4FDuiTREDAQlpDLrttin4/4fhMwJt28XpJCAUs0qNuZCj0a5MHD7G5iVOcCCuon3FFt prBkwTs7LexiNBM+qADK6AsQqRMJSzt8X9YnSoOFFrGGoH6VTFPoWUnlFhexdUX/AFEU00QuUYoT 7qmAEip8O115XwhqgHB8j+2LHbY5zLBP749AK5Gvzd6SMtVatb8c0nTyF0ign7P1Y5ou8xnm8Fft tZabFQ2uzmJY5efnqi+Old3/hYK9kfoxkAnraN/KNPrJi/tEEvMCg5J6yE10k6dE7BO8j4/8Rclp eKFGRf2AHwbkR0a2XK3poSA7OPAvFNqHMZBBN2qZOH+0Hr5GoIa5v8SzkWRUEqZlerWytuqqz5Az kffEf96A4PjJKlE6JeS29yhkEGzeimWexp7Oy5gKj+wDOfRNWtOc3agMBAG6+Vk5PTjiPyTE9hYd iV7D1fEM6aKWsIKkZPzX5HERU9VZ1REfKaNLiybKdg9HiwZMs1N+SJG1bauixfO6+oBoT7oAcAdS MjBeB7Jfcp3ok8sFC1UYLxZWG+KAmQAxhb31n6ksPVG1Gi7vwzreAT4mMX9DHslabJyojEoaHEpX AsKFE7BdefcFgUCCBCF39maqBsRGATPTkhscSjSwccQ2joTDcv4MB6SaILdvgiTfreMOTdpomCOD 8dVwMDkHmJjTfhgBbWrQJBywjXHg98XHKdAFntT1TcNsTVra1mGt0GC/7mes/qAGZDX+YhLXMRXj 7+EPubRStLGFwT9Pmf9jgqXFEBV2v9QM0im0V1oJ9NoV/7Lh9unNXjMpMKLZgnSeQ7GA2VK/H/B3 uigurNjq1/f0XNIUjl5RYRzaKqT5m+D1bRewGQXkzdZlM9yu/ykdyqn/N3Ur0XeKXAphGnrmHj/S lFuwiImHGe0vgeKzIdAyAJXlHx3AYvrmU2L7mamFnEg0DU1b5gFSW8Ov0XAGk9OnWmQMWoEwEXSy IwjMYznnvvLK/9Pdxyn039mokhZD50E3q2XGY222CoZi7ii0TzJcHRPjqecseIzFdy02JGqCQ19+ hCOzi2uqwNMYba42Q6g6zZbAKHxwJr8KQBDcY1dJitY5t1x5aXV4+jxjkCw37y3oEIz8DP/nXjEm UziW+j+4jer9mP0UDwme2GhdvvNpeWgBlMU5TZZSAMBgyHsqFbsm9zZ5kPZ7yqgx5zZ71rY3YK4R ZL+2oHgxoMDQDtWxS6Okihemgxv+3zjcKg9y/qooF/JVRRTKIBbR9+N5twNUvAZZxZx+hCBrDVQn Q67pRTLxQ+o0G9X+CcYPncgVPZHxOGNWPfuXXD3KnbleLU0qUwdB67z6pm9wzZd21PkuQIw84Exb kSR1LoUzHTK+2FH9DI5i/2r16R1TYct3epp/pJHlTf6lAyYxyBSMDBScMLyPQxEzjtftvSTjf9eF Vs3dWqpghl32kEopRyWddPOEd0Zo6Ad5+rHJWIu9rC1NOTfRsuS2qzjfswFpihYV1ZHZNC2VfkyZ jAnfGRW5kctT33O5lh0JRESG3EbGEGO60HafHVRJvPYMzAva2+3wIV2jpm1IYZFqF/67JB9wup/Q R+mGUok5NQZCzVf28fr6GXloFnFSTMzGANeEHAa7vcPhp46bBtwruIz+0Li1yS2+HOlLF85BwiJo g9KmNRx+7MJib++O4de7VRd+NVdSkTvYJEnSSHKheZN376pfqB4v5G1hiR/RfqozKGRDGTHXQMDt GM6IgJSrQflWjAEAMaNwuX/eZ7nk1m3qimbi3ixwJu8UFwDD3rghMteRKOIDej8w+mvCJDOvL5l2 vwLOqaK4hQfRlm2QMrVrhIgxnjhtcGovmk8WRceIZBSmOCXJUJ8FkIOdmX0/1AHya4L6hv4rLRnj O9l01CTxGqB8Dj3wqOuY8N7GtVQi8waVt/qrT62beaqO7bKGxvO77wMI1qub0cPT9LwCgNzil4ur O51DBQe9pw/EuGBKEpVfUs/UPMs1ZYHuWYXY6t6I+M+XVcc5Z6YJ1ZS+DFh0eXKMwe2ZnS+I0E1j PFOTnOSvE6eo6EMulk/yEGRUtVuiB14hHWVQZgjWOF4Ja8Q58iLh5YwQ9TDOzyACEixpuq4tTS2c bzvA7bD1XXx6MVM3E2qXr/ATUJ3NZDWnpdwr/+bCImyi97Win+uagGaYI9bAo8XJzEKKi2ISTm0v HcAJM1RjZ6ArKXe6zdEUPt9z6hFLuv+EjAjWixH2qaLzM+BSPhwIiGQ9cDSLULFudT0BQgn7NxrL lKC/5W85YUloZoYWVQSEivknI0Jh7178PfMHP6N8pvU/pW6RZn9AcxH4Zt2ANJuf6eBjzJx/dkug Du2zZXzo1im38wmtGT9sHOH3WiKujTbrmrSIGu/CeRlSJjtVbuomdOVvCoSX0om0ta0YYlQVQbS7 SyLHzCWzR8kmX3yhT4wetpycvlS3AIpl9m+rSOYbnW+KNC1hKLyf9sdHiuMz+ggM7GGR13Kf225m GIzthc9ShW4bf1a7hQAgxL++kpUodYC7O9XG/VFYaO1pcco+pkIxDFa7HRm1kxi2canOoIg/Zjin Olfh5b8H/nfuMcMWEW6iFmzA36z6RlWyTNqEzbZBooTxqxkfdzZYCMNaGV0EtVzuaxVqHDcVSJ91 GjsIE3febR/rmRP/y06xlJclpXeeYoEFusej3ULJ0WoHTVJpKwaiYfAiy3KCjtsOribe7XevaItJ CU/H3Qlm/JbNVYMy2LtNdsqjfFj6ItJNkTFt0iEy7VhsHKPsYvtYeSShALkLDpTjb8rxjSvij/22 UtSloyCn7he2A04Iut54X2M10eDs+vvbekOZEg05XoWalRiIhxeaK68qQ18apOj1Xn7aWGjEQTWr z62NG0KPFLHOFfM7NeGN/RP6FqgYJInmmayxZ8dGTbCTppHpv6S4O5e9OuWtVQhdvPu1Rj1gcz7i UvCIGXfm4Mg2tul79m06aTNkgYCOT6yi1WWhKIKgISdUO6BH738Uyd9xvBZjp6s++wmNVPzbaxNE ludQDUBCRd0pszYiQS1K2mcc2/+ARBQQizKysQvwVHY78N+ZcwqnwIca6spmLe1he75u7nb8IxTp PwFlB42DH33ouUGTW0yzSYbdKi8HabZuq/47aHVsGxc+U526iwR4qQSPDF1NKqqD2PdfEjf/Oe3u wFKtuIz8fqZ3D+QaGI9SqJx8oWK/Ecyuy862lOjqIYEy31GkSCCfMsj4g5lEeJdIFQ4idAiHY4Im J5qHJZns9NkzC0DMaJITGZiF6LK4Ep8BH7yjBLTcy1DMzxyCpqogin2d7aejIAtFoRMx5WLkGijU dN6+RBLOE6XbgNnNOUnU2JkEKGBehooch1/nNao29mQyz1psrfLmjf0dtnntWuptbMcmhRioP9O9 htzTqNNQzNW+YFTiJjPfMSpsOcnCcAWM83fYaavlaVmMmTPjOHnuJedCmUvvhIw6zyc2YS98DXz4 eGKmBSTOmVLmTcMbvS5hBTEKlJmHBGqyrr74GQgyyf/s6SOQQHhZ34VEqqVoJCMrqockGYFARoes 06ylddBAujcpZzHq/CBxa20nxcj86qxpVVKT7A9GulAtqq3sERtc0mi/WW6r0aYM2SFxeR1cyAFf nNK5mNGieGxY5AkP60W7d4qFo7jyIMhmbKh6sObYNIoQ4NxaDt+5aKKR83Sd/Aget6uJGVA3AydZ dLSiX2AWI/DECjIQHPixNeS9z2X/93TQOLJeKZwpH5/tZMDabhUGJ1qqxVwfizwJ0xr14Su7WvIp w1Xe/+p/ZUPdtfyUTFhknNhAsRhvolOrrrLrqdOD5xEVzyVJMHn4uTpM6daAIdGlsD4C1Yxs33UA OXGcblimOTISihgCiUCnQu+bsNe20u3X+NbtwyPU+XdzHdu/95tnslbShbBT4Z0LPPzxfQkVBm/N ijIGJiQEXfdlEZLIwGzvo96iR4oJ3dFzzcYJAmulBS+WXSgjgfYYTUBEPG3OywRVuf62OlgBhlww Due6kZAHyIFY3d67RaZ6LTCZGLSmhjATZNR8UAiqEQgdibEnwc214NXM0KYHDDi/E+9B1jT8H9jL EDdLuJvoYdegjDPl1UDiI77jZ5ywntZIECjavId1872lpgC4hGSE7YmaGas9WgKeUW4DTYfIflD0 5HWHGfr75yVQOBieOxoC6PgsMKIJrwd84AQNgvFqA/6WmXNzkqKB5QqimWfDUnT3AAJVuHTv4ziM SfuV6UdgkzcQ2TPdnbuzNJoYeLV6M0iwaU9UT2oG3WlPXRUxIQhGgVy8PoCBnKXCf5Y9ON6rf1h8 JOb0HU6YEcu+FNqyHRPmsNeSE/unlDOcWtNfxkdwmzihsT1Cj5l+qPK4VBrNbQ8Txr7TIwjqbfhL HdB4/OPB6IZDQacjyD52duNdrsv4VrTLqWcQjSHLkRADZV86q+TCFX9ou0Z1tJdweNuRWD981/Mf mndhLeTXffirc8MGRsXSbaveS+wkQkksjPF5/Go91v15Jjr7UpPClm5vHf32ZmzDcdc8Wg/5j5RY yP1IieZvkl2l69CJ5eRk+pwcDTiNZ8ohtp//wBt7cx2dvv373Z/+Mw3hR0CYCoNW2ReyhZpS2HKd kM/KF3Fhk0KeKIDK5pqCF8+Iv8qQNKZL8jq0J0DXcz+2+YJHo9TurAVblJbVGQYGq2zPuV/az7pw p8NtRB7jgukxciSaVV5p/lYxbKUEZ+g3+WBCSawl9SCdY69H/1p+N+g4Uvm8eMgkCZln0AJSWAxi F4B47LiJXoDhrG0YzJPOC1TZNUHQI7O3Nz5Kg1kmiOSsboQuFGkerJy8f91NDo7BYTO0tBxJGfiO gsMKU7RkYE9ZW/bDHMlzPadEL+PRqcIzhYRxZ6RKJGy9oSNIddUh72b2DbhuxtQRPJ/4vv2oH4jh 2jlK2vlykN95l3bm6aq6cnmvne06fn+xuI7We0/LkRwbPC13ORHp9xJOdKKqPpWU37N7DcBAVrjB xfI8iuaDENgWrRA4bnZ1HFvjcY7IkDRuAGcoEc5bbPy2mHAk+t52NRd7VCCIzNH1aeeprwF+rgzz Lt38bldn2VsfxJ/cyOMx3qO6gbu331osojTJzudCD+kHE+UY2pKuGnyl4Ibk30KdENlsinFzxnvH rB4Fy/l77iM4A+Qh5MGS7hSJqLAWswWvwUvSdmwfjWRaHSz7a8mqXe//tDIn+NCF7l+mymYqSsS8 f0kiV1CQ/WQkz27s0R1GA3RiEOjkuhjEtk2bb663E89abZS9zboDVD4sJUDTv5QDbPSgpBQz8qag C3svu/uwt4MttUaN2vZbqK5Eqk3vUwZzuLV14U+Q6zFDoZzRJY1iUrrDfebruq/GWS4CjJhv3bqv 6+uA+l5hRZcnyq7Yfi3Ej8Ii7CaxEcU62BX/uMGdDH7tQqRI74Ca04zsvi2nZd38v+5+g6pGJ5Jd F1aJvXrStRfpalgElPn57T1ZkX6stFdfqonBDjnurOd66RBMHO6dJOnYNfchZl4QfzAB9o39fVPG jdBWXWi9+fHZnU4rCreMV0X30VEr+RM6DxvRXxqBWCG5gtjOnhSKE6ujKGlwdmrlkji//sGPCGtH FIyWbh6bfN9KyswuUDuC0acvGUrQoq9RCeS1MFPPteCwnK6WbYkGG5KqHJ4bAgIjtU1gE9XBoTav TFexDFojYL3/D+S/JDiCcO32lvgVh00OBJSB8OnFUN5Mgx9b1FYy3kJN8Qy3zqpzml1j3pbC+F0X hleQ8iBh/rI4bJfimFmt144l32JYuB2hW3YuGVt6TzYQ8wTGFP9X57j84NWNCQ4XnMRkOP2mOyJM LLESn1gjrY1rtIT06xts65PY50qyCYoS0kfvGlMTEHlcYFFrDTO4rIfPsonrJ6w6LyH2EobEpfGx 3b/IsM7KEs0W4m9pnGwfz1NUZyUwlBxuQPVD+BJA2Qmn9eWOiX8OZ8IVLJAzNTddrD4Wx83vguei l39IRWHoBZPn2Ba+HtUloXtvmgFFcSRBL+ExljTA8Gmxxvl6XShViXA0pvHVz/JdvSP9xpXoXJGp 9YEdmfoBYvfhuIc0gDQ7z2IIdKpPohxGl6POKCApveYjIYqCly096GfYKzxBBuj59YoSXPNAbWN7 fl4Pbmd6CaCZosZ7OX0ecTL3sQq+PR/Gu0ZvKuF/XqUomX9yvO21I4G6vzdU2yNAibOthxpx9V5S fyeNBIfFVPi92e+2KtbkEL/R9H4AdE8LSbAuFRqcl7gFRTfm+Jftb0fTQThC0YbbWr6PSZQkWsai TbUOsicW7vxFtYC97h1XN7aht0t/W0C7c+TXq6o4/dvfZ3SoB3iZQIaDa8k0Dxfy6CW6EjveSxxi pJ/Pz5iwgACF1WFj1RW23bpApYkPnAMHdhETRimMcePPo46CJDg5UJcFpReQi3OSg9p7VW/1K1SI hHVmqUCIh/C+p/FbqxG+X3tGkFiW5deD6tPKu4XXY/shAJDF8lRf1gv+gI/60MIO/obPyzzpFge/ LZUyPjNAAkXRRq+Z/H028EPIWxexKtwwMKigUzGIlZz3nDayIFH3Rd0Jfx6HosJtXup5uiHzls5U g+MtSkjahdbV6b4AxwAWqvJ49w0R9ZLCmu3YQJ+BgQXmzy9RTmLrmrj8cfme863L8YmvbQwafnMG GuPxjO+gYe4jvnqAdB3A5XPwHrIqTcJdNZdVwcg5GP8/lcsiRAYFbzE97gfP7nbWQRRScFu+zpRk 4i99Dt9sAHVb+b0Iv6YiWNilDxgo+oLioQ92GYqBUvX8P2upAzYH6lJyfbPadNox/ZFpguDlsZ49 JYeC5bU5CWiNoh7NOggZmRHj4Tt1SmCtLdO7n7kKcGrSSYhM5rMXGDOnjxYDxOk3vn2+v7e4zjZ8 wlarbrNhrMNbxoYZ7UY+5TX7PQoqPm8tp8a7SYbnPdbX1tiTC2lqizC8Ol1swA4Ld/BzSySSX8Bc LKilL7YRc9OZnQ+HyEsdGP4PzW6NWyFoa2+xn6fw7d1fia/WduVm6z7JJnQoAWjLe9Fet2+3NNrO ejGgwb2S3WJwetTrnFwYncOxrQsqBqLhEByG4qjApdvNhtlEUG6UTwyky2KaNfk1G+MmR7VZ7Yqz EQAhd4SROzfi7ZC+0dd11SThUonJDYy6Ur1xflC/xnT/PhU2D1+Q1fPUZZW27Juw8ZrD/s9OU58M a1Ku0bFf52HNbkltyvwvG+j62w/IDkyHJuCSNADwD2I3THoJlSpy+Vi1tyAjeXvJt+hhK0Sdj5SJ +NXAWzegYJMd48DLAhVA33bVtLtjZOn+bKficw8smr+Nj5MrD1RgDVVCbRn4uOos/9G1LYzAHi7/ wKj0+zg08NpuVK0Wfqy7H8L2oj8UDZE20ZH2Uko1yNNMmA1e9plIl/lX53lwR+4BCdHAljQF7sHG Z0hfti//az3A4s4NhQDTkJ2Uy3Nju7QzGVja0l/YKTlP727EYVsY7Vy6e55E9/Df2Ns3m7IQ3oAI Pv7a07MQ7Gb1a3g+6b77LUAkZf/JHU3QKo9Bk2nvCQJVFLEZaoflfE4DqtGGCpP+Cgt6Il70Jr32 Z5XbG0UyFTIUk1Ksno0I6cR4Ox1z5u107rKsngoUbGlOLyDA5w9fMw9bXow1QImLS785r4MmgmKS /4d8BxqEs/TwOlu33q45UfnvYK0po+ThEWBITTEAoMIe/CPtPIrZZa5Szt8SmnQ9ekMVlT7RJoaS +bi4ZI+PTI15p38kJORvvZ4OMtZCTjV0Kz5XGWowLtZXgSAO6oct+r4rPz2h7Z+YVkm7xGPtCA2d lInyOP2H4ZS1n6NdtjOMiormpMIQ0XO8wNKplGwUxJj/pNXjj/Sw9Z6khvocdGE9jL6JNa4UrJP9 fg5+YIe0FQ8i7Qe8TGdRo4mtSqVn9i77RdHGMYDsJQ8aen9lFu0GYQlWU6O0q6lHmZ7S2mDCJpSE kr09rNyfZbuJtlw5TwS9xcbN80N7t2Xr8lEdd5OsgboahSreqd59P5dyKlvvIoIPUIK60RpQflyD HSCo/IXUs3eiTJ6UkmeaAmR/VVCcCyYSELKcKOYWZXFrbr22jGuGGSMKlkGawUIfFm2kLMw+GKsj j/bkWz6LTz40/CurcyCwBM0ZX2QTWps0LW1fizv3or/1aRt/JkvmNdtjUxPVVtJQUDaJPZzstTg1 KI4W1eeE6VXcwi9woLhKNNMyV9tqgWdBlNHEEDzWgONGGvp4Iu4cW91wX6K2oHX7oB87ur7KiiG5 KuIG0ZP+zdafj/sRxX8qSF5qRl0953nCns1IKVaESHCb00inLDIGn2YlDjMhq1jcNt1V+oefD270 Ywgsel3Lym5rBboLP4ziDNyPsxb8f9FEt33KnGhsKEeeTzytnEN4cV1gYPyqVkLfNQN8RgvlnUHU p88aR/7T5E/NT61/WaDb27oc3GuaiML9neUyIAZlWhaQaiiqepUP3KPosZfRTzd3SI8SjRR25hUi xbTmZD/g0y179fRlpJcgjmzCGTEz4RxzILJbLVPAptwPiS81kggdRNAPwuvcbVJ+qhGWg70iIai4 O5/3OjpGp9mFgVfRl9ObekiKMXiYcY9Uhhv9nIbmD87Kk7IjFqbZQqh4GtXX5sGtZFTXj2VhRyew /umHnsuZMTSNUyjethO090KMH1ZRdGYShZ5ZEuAmd1ES0dhtE3UHbinZ2Az3o/KTs2ocPVOflVA/ sIppnI//zLWmCgv9RuZt/ocGIS6r3lFwLtUDnCoUan1IvIiWd+ZQFaoRgos69paR3MGJeEVNaO1j HbU4vH9EMgANtuQx0ax84X6F1/MfNp864zIabtDMNkwh7iivagnLcsxkV+IZtD4pewoM5hP/MVzq bGiUkDmZanZfg/6290w6554TJthJ3s33rfE9QPc5ktF7wqKNrAJfr1dV23bBJnG6NWxopv8i7Y9t XE2NQuM1clFIyb2GiZY3q7tlYXnsq9HO9XncRcoOvdvpDedR7pgdjyR73FcBDlMNMeYp6aEe1zjz 7xpu8x5eSsuRHWdgqHOwm7m63n/c84t238nV+CyQU/AaNN0/pLuapfZ/lZoxF0rWPOKl6zlI1tlA ZmjT/l6sKdYPe5+PfC+we33f4Nc9TylU2AMlvyR7SaWLQhNz/0Qxwunpi622pHldHaGCbXjfMzNN LnEVaVTWoUmgjU+pMXlzJAGkRXl31WAxbKnnkLpQElzBmDIDaIgseOrshY5oXK+rCqryMwrVsNpK y8qxhLJZ3Klo9ixBWpvjdoPj6rSGwAdFBoRHMIm5Bl2yk5efKjHDZ3Rr31QBPtTCMSwh3T7Rh8Qf iALHzwmh2PT8XwzMj3oAZkawlcDHNHchG445oQ0Bdmim+OiyGBKL/zj1le2jOqBflENaVxmRZlLm LQ2jjFNv2RbIbD12REDpn5/tzW9OtiLxRdQbGYkZIsNc5XPBJ5iSMSRXdyZ+WBPI7VDy/4piNXhF pO9Ui08OmfPqFxe2r+bn3LrKiL7TuDrFxSy6TEWOWZJZTGqs3ukzR9MmjkomiQMZQsuK8CriPIy2 HGXE7tM4YZzQ4y1lW7y1U8HYj4b+2jU89u2VYxQkxeEL/0RrHHyWyg5NQmHxb9ikC9pz5rX7Z1+C OSAQkYOvBeKlHhUyTJQxh+U+BAG9WpccUHnLLPygBlO8TVej+54WmIRguMrG9Vmk9Un8fu900ks2 dln/eQI4lH35LkHIUv4R5/zBRFw3fYBBGKIZZijskY7l3ZX79DlAzPS7xCqwzbZn+z6Y/YFaRIOS bxzObI0sv6fQocJaxJDXtdPcKZ+LdmfAhthRMqrIy4u1B4UBXBJKGfKyvonYjAGE+nu5sYpKWguX KMA0J0tSxoDZq0xbwfC6u2ZftS75sAIkYsqbVh2iPvOv3ELHoTkVBlA5MzjIzEHlGm52/ZAf30HA W6syOBOL1s0wXLIJkwQFJkqAwZ2pgNsm+mgfoJhyB6SCJxNw6oPsuvMAFgevc/eyNEHxCFp6abrl DcwAKsZbaxTARQkdhkdy39l1lRsRuLU5EHiEi7OUSsmYmv/0AQ3DcQIjBGuhfo9BN9J6LcmoHksH lbG2R7kO0Vswzmmb9MVpc7vg4T80dKzlMb/CcjkRZQzynEQdreUfPKbtksdOudqONY9N3FQmDGZa AynepChK+hLYQZmDDrXL0uFtqXcxI+I6TMZtaLca9fvLXZPkCty+rCoybD8nAYkoF7ir9Uor2gny iRoBdhB+OYXx77fX+NJc2LxTH/upZtRnSwvfqfL7tpul3rbzbx1Tu2oM3MklMwV04PHdb5p7brzU ZnW6E8pdT0mRPvYUGPHMpEe/51yCF+Wm64y40b3MyOB6MtK4ka/U/ySmdjdvMzxqQB0d9m92hV7E EmLeM4fJ7UgKSG7xcDx0q6/Ws/7AcnvjmFIxIlW5Om578wfHPfGMTeIE6tOpSKtzw3iRCjFgEGjB kibrC1H/W3bk0voEkj3YpmWYMyV3ATWnjcoAbL3t66CGEtzaxfyLSq77FlT6sN2HiI/r5quSb3FM 2YyGBmIcnks6jKyN/aE+UT7shzxIYcZyrMtlPE1hlIAsru09eGXOEAfwHb7jjCBPTwGydGT28dPt m9zDSixlxAdaWkD5zoS4QDn9Dgn9XnNsZDUZwd9T/OPJUMOmyQ1U2mVt/cSiwUkJn3aG7D2VBdE5 hMwrGLpNDRuOva1l+L1vcLGdrczgszbqawVMcm8uHIF6rHz6iPRUVUJNhBpuCyFCdB0NPKFs2wga VaEoKMqgrjuNPXKt2lu1kyhxJq739snDfQNWHb+wnNXdvu16vEDz81wNghZlRzeVttRxBgIFfDzk J79VgxzWRZm8cVyQmSvyTT+v20TNlAZn1gz2jr/1zoMjzSmGIiszG0Yewz+TZBmTxyqWTyafuUvx WKuE9uyTrA1xkH6iXZGPMYIVUhMPfHPh8B5tIJHd7bvUSF4KXziH5w2dvAdAvmD/EKt3scABjG3m LCTnUshhLcwLG8NGecGdlBDCXccw38Qd6IASKK1LBnssBapXDAc4jQK6chDitKrTYeBRVw1J/Yje ns94TM5Mcf+Ld5gjpzYls3K71vBzwYtaV4Jk1tNrX7pEioW+a+lvJk4aeTfnbCDPsPOQGkMQRzBq CRDE5wMNg6c8MRzMJ7eOrXL/kuw7RIv/T9ksQHFWg+5TdpdMtlxLjaYpBWIxIHJJpml+EIKLGcYX uklNwzMqmb/QsU0x6nCjPYhfU5QslFmYRr3+kirYnwuP6UYq4UATC8WuFlPAoWunm+Emm6c8G659 BW+LZ/ksudD6Fpt19LwM1+4lhwUWY+KlwwROHOB0TGmjxSe33nt39VtmGpOtcAr9VeWlUo2FntCZ bD5D3Zw3sC8Y46fmrNDjH7vvmopLj8mSDuQ4DNzfTHLHk4jqMFHMHmprsKTFMOL6B9wzRp6O4cat ktFzNWZzGDn+fbfkMMrizAt/n5iKJj3CnhQ4fIjPIrTwfEm/7hBhPDVlsZQFSFaRv40K0UA2XgHe PV63p++NpLri27IJFZxMlwLyacyvWFbikXnbkQkTalmnSJFNCYANfp8ucmmWgIsrrRo3PfFb6ob6 9hmqjriEOi6fxFnD8wln56D7vbq1tdIK9IN3Vz7snVMaADqW6UVtZ08kR8VxZGcRODEeHqtGesXx QcI7cd5+8UXSW0e7eS3NYOJStt2R9j29Gv+Svq0lhFNjDQtDvCYatA4zKSr5kRnz4G0CGDRObIP6 v5l9kvD7pXWSQZ3ZIafglFuKfpD1zYNsSHMqmMfYAqS9A75af1gGF2eFWO0rkgxTmcwD22W2C1/T O9/vCBe4zjgNqFad0u9hkUK90jC1Zmrn2qnGw3i6jnYMNu3ToA1XQVofGlLE/hsxosRcMKk0Y3Ps CF6u9d48nRwctaSgJWi/mhpVqJh4bZQ/Fnnq6rod6idmV1uYA97dVWs2mS78cRHUJ1S9XXcGavFM 5GaDSRRkKCGJP/wsuoWmrUMg+RJl1c70ZuCB0GtWOAT6EAN1F6lx+kBWlyCnVV4uExmopLVSZ5x/ bmDgF8ooIM+8hIz9EJK28HGEl95E0THy6TxvSwrgDm5mbsxJqVZUhIKsJSCQA53DbbtIBh7/7iam y7cLz0EGxPukiidJLAUgfZ8L5lNL+hkSHBrxMhDy3uSga73xtCanesurRf/4QxkIpcQclMnhFbju xssuHVh4NeenLWf8VFzvjXF6TUHrWye54JhkuKkfAfU1MX4VkwrInxRB+8VMyfvWgwIcBwox6mB6 LHwOF7D/Iwb6FfYcv6mkeQDjYr1dX9Sq3bW3iBZNoJFt7T90dbH8ilzJXOsdFw3AeSpTWhKBBrOq NCrKKHNIpDTlaVzQzP+CHgBkf+UMRK3tJRu963dvpLLnc0C5kt2+A4lniAWignj/Xr+89V1XwWDi Erqu1z6nyhEkVeF5h/oHF1ACQw/b6V5hCqSTTDoEKHFDar5fNTlSOuYX/qd4NQQ1Qv74xGAZqg3k VZqLGgt+bNgZijzvHgtW1X1XCfCRYgZyZOqHNkErrFWRsytST6HukimulVczmecCYrNI2LW1cO0V XP3BEw5jQ7IwHT1DnyhnoOSN4kHq1cnSujD6oh+wtlhYj7elqLOM00tMa2ApQxZJArDvvI8eQvfl yW5W2wfzL5hv5hQda3Zec8vkusrkPCgX1ZApf4MkwcE69ybyQt7u/2CO0JuMtiNai7pY4d2/7R4N M7NeJ+gXk7XEfo/emtX1/uq10AfFysBIeF81CdYKWxTvsNcvMxkNNZS/jJqdGCcAJP8EHjrxy8SY HCYxn3NCCKXks1f1Sd1rcqt56EX2vSoly1LNMA5V2PjMlkM6KQGGyPAcKJiMeU0yWn8FY7uIokTF 4Re5QF65r+CSVtOV7VmzTUcxvPuE2t1xZz6uEfaa1/qIzvPydL9pGcUsFWAhIGFF3bg6x8fViA4f WXQ2kn8wgdxxldPPdzuFotvwC1vtjRw2NXrLx8rtQ8cmLzqC4bO8cSOhwaAkf8X4OPqd2BxtKEIH Vf8NZR7QwMddgRK+AezbMvZeKKgZEEFZKVhjlSLdHf3Q48EwSO9/206oJsFYqNptbBLOMlzZa7nC Uy9rz3hEpjZNk9tbA0hBWj3G/lJ8VYnKpzkGYQzlfZ6aiTI0EPMRrtU95M2n78wOks0jItpmFc9i CAM93D6YwXNai1Ly2FbuhtQuuWMhBodvhX5ZCfNESFghybaC8Sh/nVv3ymZz9Bd3TgD+W2hPYIKK 4SJkXbHGGOGcF3q5gxhAw9dck5EopQp6im04WkUB4T0+9D8vGCwC63K2SZENCQElgBwizl383eeI /t0e+hRY8I/tE1fCZ7qoytAQtwxGCozhu4y3LjkhpzsEbVB5ug68/PQAD1zhz10o10PVWMpUR2W+ tFIB1VL6WXy3CwD+QEwc8F60r7HMMG/jZrN9wEC+2xR7axqX6feCbSBoaAnxVpxKhbpt9e/bdUyG fzupHZed+zMTIK23QNmg7f4S9JEvBkXk4wmkbxRdttmyG8NwYnaNmCJhDIEAbuY9vT/tO3BISW3F QssTImDLggsAkRoIzhYM0JHD0lUeoWr1Dh2YMSPm6k5/IWVLfEupNCXzrxrdk/udH0Qrqg6kEcjs GU0PEym7LWH3D+PX4Hu3VAe0gP04J16rpOxjLwLeyUTmNcjMx40KZNHYhcoiiVuxehQISTDUkkqe v8WkrhIiqt1rtdvZsLX5Zti5oPO+GYckivf43+dxhMxEwK2igQ7RAL9xDfwD+1cs9vcfXXQ/W7N0 5o53sNfKMoa4Nx0sNFA5R1Ak1hXY6/YIkvhotOj6RAqFtfOC+9VbK/09+7EloKKIhLxMgMTQQXcZ XJ4YZc8ExTO9LfYrifAHloCSRlYZe10e1yb5Kcz157BUJuPWMbYOYpbK5amGrQ6H2jfXfR+5XHxn ZAP9qt3bHOMlBP/Wad8sRmgln3nXqwzuHUu2JcsvTAHnfaF2eHaoZfMuS2fx9LKqnFuIou2qCi0i E24gFcOdKKNabuzq5OWGg215qX3SGWH/TN3zO9/VudFJp8X/Q0+ncr+1DN5BTjmTPU+xgyH8PWkr UJ+lc5C1sn6q82PRK18F2wFBLfNtqJwux9lJkkmoECjfb4olYkHUlcciOePy4ozFJOZn1rLAT/ho WIoags74SHqJr26zgmj3wcDJI59s2Z7YlRY8ltYgpvP9wFd+avsvlRPmO8UboPxx86nPBPNrsuJq /IJhg8SqpPY6prqK2j1GLDQ647BNJgreVQdZTV+lFhwhtzvkdcb95XKeIDLNZANm+9t81tBbMLqz kNWzYwbnMmnQTyLpHb4vrL0hMBiblbTvcubfVGTGSIrg1b+Roe/+fJh2cfzOx74N8Gx1aFKnJSp/ zz14abC4Y7ZUDmMTyNG+9AmWyj2xgCVecomDE6ypSpD7gZMtbiZVshXPtX5Yo2syEW4YlYofZrTO gC4hdVLh4aVasEMJejY3rt2lvwTK+BougMIe2JeBGQWkx/6iE2iPfW8XZp+MQHUM4Fqg7AsJ1R5G SoAdS9oIPegLlOJNT2TphQd3jN23r2ObuPXBDnLkupyt/OYJqn5rxDk6GfvC/XofC7/3qBV0UA4U gsI3bRVvPYIaZ4ofjoH+SnK9g3mpLv9ExdfyRtSm7srTaE75tRuX8JyxHFUe3KEyp8yf8YQY1iGK ixSm19UvioZ8Afl2ccBvGYi40yTJVtLSKASG5WdnEfBSgMSTh39511jLszl6dPsKvxfUdIGRHxwy Elq+Pea69n+WytUL3zEQUhV3dKFNxQkPQThgl1IMECBgwSn9jDqP1baiqavUxkHSpL6DCCRPJU8l bUT+2hOu8TyyJjCZbZHxwv7pN/K2rXFF6UUGOGfpYjJ1p8sn+jGC5kBTITcr/5A2sQe8RXnL364+ J1JkAOLgBRK83REkaRJnm5KSOk3ZPk/m5QsSyUQzVJC1QhKHfEuhQIqDl16x5BU4Vh/BWytXCQXa Bit+93wN3q8WiDglspjDnYoluGGbBebdmEtG72bfwjCG8BYm4xDrz/k/mo/aXOTRoDoOg7FtNMyc P/Szx/tu01UZ6y+feew12QXbrexXJ8A+GC/CHjTpQ+N/RZiVbGZdrcDcep09gSiDekleH4SB62iK fTROaF5YTWZdFZtMZpLHm1cRE2/JagRGPUGjAujTTjeZRtTm3l2OgCVilYOV+DuwS3H4Plh5Wyjh bL7u3SrTemqa6o5yBsJpBHsZSdSDsbIxj76X+cpUUqrZyKb4Uq6lsjE/rCP/e1utLLiHPS53ajeC LmufXSrt+kGVv/fJQb6PudlpjpTEkZnr8VI3xc4ZKTXC/O+MO0UEHBEfoGZsCqWUKsSSEk1veJS+ YCgzLiyEh1eE8Asz4yj3Ff3ek0WlI8qfjymMWKqkQKx3iUyTU3xC410XRZ7OQk0Z0wOTP57BKoYO oOqe5FhRBT4wDxj346T0Coq9UJjS7Bg5K6G3cA+qEgEF3IDhmUOORp8wVvLgPhw1QMQ+WXIhn2zg Z0edUm58SBWe2uNmJwKb0p0DhKfS66z9ix3qly39zOVuSMjsDwNO4/InGUpGqACaBIiPE/po8iG4 nKOJJjUql9KfP2d3LoC0a1M84fVsucylgMDbz7WIIlEb7//fVs2k7gubTpkokvUrwopQRNP+SW8/ tjRZW22Fp5hRwp1KiLauetqLH3kITHjE/tvZcoZk0ZzvyTrhcaEXDipOsV1Pa5Z2wIywtc+GkhHC TkcxKSWqi0rfEoDqTg9Jy55gtEYtV7/qDVk9KojKkrXRSndZzdXt96N4zbuO2yS2DI8+OvtPiA4/ VY/TUf1mA/EXGxrjQtKD1YBL9z6xwm2uoGV+cwpnjTT1oySJuA49vAZCuw8PxPQAptFzuGFlnq7A 8652+5pVnxtdgA1HYQ7bTRRXA3FcN5sw2G6CcLTcSFVHSKDfF34+FLAQtnEvwaFwxR+ySY/5dco/ 8bySG/RdUm2QM+QwQBC4Zcncz27Af/bzUAIN/KkGb87LGpGqJu7iN/f5C2HM3buzC/ZauL/MaNYw 8O1MPGGdLKG/ZPJmeolzbV3Ca9AJac2NSJ6odP6sjFN5+tJbxYfWVekCJmu35gwmO1EGI7NcybKv 7rpHout1Ps4ZSuV5A1E9dTb2KV7Dv5Z1Q2lU4Oo7C0VJteM5oBmpyhjopAhpXgrL+wFfmV4UQLbe w3cAqkrZa6g7FIyPfUOcuYd05KZ+c5JoRfPF+eprjFxCXjgFjrgjt+Uvc0qKvyA7A9So+I2IAY9L 2AY6SLN1z0hD7Y/DhqxqB7O9Cyp3mBrLpwSFZ9Ax2pGj2wOIK4vuPkOO/SCbVadOvc3W+1btHgj0 IXjIBMuF/YUpLHxa8SvNOPGLbBKjnml3KVCegy+ld/3re2sUdk2NkD8cVOi/e5vR/jL8tHby09xC iCqpRwlItQjPB9Zfm/G4b1PsydxgiYmOduH+NN/VJP56U/U6eY7N9cSQZrJuHFfIvHnxD2OEv7hm vcrm80IA2geVK+wNkG4amr+HXx56eF/aIvx3QVHzwy+IH5YJ1aH0R4IkRehi/2fY0Ks1ZgSOdGDV T26WIUs2XWN4I3lAbgljlT/jSZ3QBTr/afiBTAorpflkTKpzhbkOJHZuirYHLcV8FbA5slM2FRry vCebkOnIMq7MJrXx/eYdYyAkCvEHE2kpcHND+w8f6nVwxwZ7P4QkwaFhIJPuEWi5Bp5C+iOMhJ05 qwzKa+soP+4E6svn+im9eSTgWGuoZ3jTuhNpEiModAmWTo7TG1SJT7u5tuMMDPdFBIH2NHVTEVgs Ag2h0feOIsOyIkF2OQwk2khK0z1kEL0VLVxAguc+dk6BDy8AqQkJd8Vcjli2Gh+Q0XPuzQE428u4 DGHi5yfzfYfRj2o40al2c5SaPufE/uyqFm6+n+cwNhnNvUOZ7px6gFkae/hhVdwqSnbPMgOQSTRH cMIK6TvAM5LSWGqm05FhQKIhHKLSHVjNXIOrZb5q2Gx5r8o+9iYKkt0gdtNZ3ejH4Xb+onwbMIir NNKMeuXiOhJ0argfIUFVpCEHMF4dTl1+scdGdQ07M+m74lNh9qoVtWrzmWpk3jkNaAMtsmfR5wUA QYjy2iln7RXnYmUd1xOLjjFJjAjjtM1bJgtzivoRuu5GyXJgK8TkcLym0EAarzgJCSRm9TAh4JJs BvbQ+gU4dAjSsN6yEt/xqVkMto1E4JQcxwTGGa3oQ7sQ985KktZh4iPEBKPpJtSVXyfX+edMkmjO DtIQub4pBhxy2Etfxn0Cxmc/4fT0tN5ryNH9b0f4O+hqvLNQLKg205/vZq4/VIPQ9Wxb0X6+rXoI Hufc/MDjIW3gfNkzGY9aE58amP12WXdTOcl59TUHlXSG0GbxBU+xZ21chnD6Y9pgDvlagvGqP1Pd tSsz8CY8OIkI+iXd71oIQ43A1ND1zGsd5uDZ92PC4zj38GzQP4jtu7pbzfI9UWiMQEQKSQkJilGJ ARcvusZCdvOze0zRODbc6/qbaRPynjBVrCHjNWv3cENe9v999Ha50HdWLIbF7DIEiAMW8nah+OBO O6ODMkVIwWU5AWJMk4fhRV1ASG264aGdtBXgBdOFss4s7kEc3wgYIOpFy7kh5jTfMoZHK+iYYAFE fncKr4f3lmCysNboeDpqacytxQNo+KMStfwO2roJvMbMJS3anAw06G3zL3s04WDCJUNv0Zoi44Yk SOIKCYFh9SFMHIegq2P+1bCaaRfKIzph/0SZtIH1BedzzFrWnaTIGk62Ep+dZugE6ZLeTceBwyo/ TS40oQ2OogvNpN8et52T7krJD7UsZS3q2+U2XV/FcITa64Kjtw+dZ/RIgEutPKv2Lqg4Y1mPvV1e oE5sxnQC66QG/q5fBtyA+wjj6anxbT4Elw/MwAgZpgXcDqLlScT2FA99dRQ8o8PDE5vb1SmmywjL AZJm2+67M4Nef9u8cevduHT/uKNxZBb1uck8yXDj0T4JX6O23VIUFLifKVb/z0c/VBMVB0/2s4fD VlbYh5XkdZNufpfoCAIH+2MzdmAQSMjb0w2JlG5cqnzPNbkuuOUFESvl0th6Hf6uKt2Dgimw/KTz kg6YKX7u46PmwcCYk9izmfysDL6z75+HPr6w72GeIZ0Jcx2oYQkq+yHkUL0pncGoXGUEl9GEwkFC oSm1FGHIJ5rfx/FAU7kS5azp0Jrn3E1wiRU3GFp4Zb9ibK/ll24Ws9xXj57RG31Tik2tIuBMW2WL 3HWerqTLa5owjmPsdvnxKJWAN1kI0Yvx1pdpcZXlZfq77JBo3vBB4bzA8B28TYOLf8ALlX+XTXoW eNHPt6jboFalTeg4CTcMo9xqINgCs53VEyXRVakUIQ/Sj+rBxYF2WGfZGvINj5686lRjwHKuvRDe XK7qmReEhpZas9S8xWSwbhjKlyUjATiMMowO1j73ANbXqEQ27/pSvYsbqxSKRKhkAXkRGoTDCZsJ C8kyXe9jL3UN0h578/+0mlIwnYqhLz1UVkdy6xlPW4x/Lhz7ldiMzjjReJr6kB+X37Zw1EBUhEXS 34zuOtPKLSwJhKD0XebATlnzosfrjCrFIAI2g9hrrB3xGeC4xYzeacmKbZGqiL04qVtxtNRcJqwr FWrWB9bNlOzYmkcL7jBj5ZKjPviHJmx/CJzhFVbRuayWK+xnJjgF8VojvbEKIDLEJotA883m9oR7 Ltq2oRB5MLz166ewYzYXFugxUDIPSG7/639oOeZWZOoJ7JaUUeQtaIqyKoOXRgpp1vLZ1x2o2jDW GdUL18djw8CHIso8KhSVQsC5D7BzwN22B4Ihni66yhJJ16ir7bnbUGwEmEFZ6LKrJ1FQn7PhK0ES +tuGbhR9VUxvPxChkiv333ffBnEbN2avb/cHRhL0nuKKemM7wzB5LCRaRFogREH190fKlxiGEGOL UKJnltbRgbq9EgYNXf4ZAV7oQldxOZ0KhEa5OjIqO6zUN1S6b4N7d+VU3o2IGGmkz243o2a8jy2Q kAIGYtNd/V72jVbAJaHSjrhZUUowRlToKT31LXOfqVHVPHRMXImRg/ZgbSfzWBf/R2aNLRcJw2yt SRuDcZq4dSJ8wPRpFAyBvzfJeCbTbykUjIknoe0V0erAfvcVj3kwLGqlPU9li6qisRnpjB2deXeq BLfu4/4LAd/44dOIqnriICUgdhe1BCfh6CPTX7H7X5YSwk3JAGm1/GH6yLlJRHGIYxM154VV9j5c DYHMSxPYXmmbMtD6U+6hJDR4SXoQ6LIYqAEPO1fTcHX4zphIIjLUMPVr3cTh+DXfzxiiDpjzP3su s1bYEbFjyEao6pLil3w5TQB6feFdqcxDS4MFxsdH1WaNRPSXlAY7TQmcsJFjczFWrWYf7TvN+SrE Ha9ipmOBZDK3knom7ctf4XkQ1oPGhhHJ4g6EIovNXqXPSNICp4rnjWLaIpdVRwJWOT0fZr+rUUhI ZVFhe3uRguV+rVtFlkOhed4lusLzA6G5kCbZVUaYx6/OkLMUndyU4752+7lbHMq0q65pq8DBIVV1 2pqiNLiN8f7wGWAaBKwkDXK/u92DI0XWshwhrqSpSsO7TkLElKfarTmLEejwqNkCI38H8SEHOBU6 d/Au0BZW4+Olne4l8NhEN1kWu0TMZwk2OWJvGMMe+DXF9K0Vz4UuuXbHbH1lKbUgR9XXIB5OgC9w L/znvsoIGJptI9yuX5JH77RQgQRStQMFLoUn7uJEeF65zHxGJV74vDHEzNqRotXVUg6z4u/p/9Mq p9G35q34Vre1+v0C5yUuPhBlSuKG+3iX2hl98FMaUrQZplL7mL3GoWINC6DFJT0qiyIzMuSL3KKm l1Uv8z3RcmNVIdnMNWWrDofcPbknzEsyi6KKOWRWv7CpTW5a5DAeiTBon8JKy1JGAdB8oKK4vkym GhTjF5XmCmpbEotjym3OxhjBUUBhIr6UwynJ9Akg+He33H8xDsqUQKB92mERizcKTMHZvFBGc9Gy A+Mtn0lp2HlEABdLpJcxGi4A489VCyCtCqNh/i6y0+61wXOwPczUzjJhutPrv7tES1jElGOWaU3y dGO5yvfq85ebTLH6fUomNYSjeIisJet72FjcNAEY3okY4IanLugfOaXLe3aMey+Sfj97r/zH9/oa DiTJAdACTQAaVUJ0AvAxGHuR8Jbzcy1anB4IvvWEfMfizMOUl/HEXJPHRgXLTudRX8/C0LpBLumB Xq/dyxl7TC/nEpKLcKfNIdQ9bjxqtcV2qCRpyw+VFVaixo1jhtEMs6etor3wx/gdAk/5U6nGeCha qtQt94gZrOtrmAU7kqCv4lDoIcrhasqNm2p6yu18z8lnw5fiiY8rG8n0PyJROtf/XYWba3u/IDYi rakovvaSfZFvZUCt3h0kbNmvT4nd1TNzilXrC8NvsnM9sUVmMyykjM+QJOFWynNdFs45Sqy1ChGq Ojl+7kaj2J06xSkcCkZme0wcTajd04vz+RaNwVNPjIFxIzEa3Lfk/EeeVv36MDkG6OilZhkEXsAK k120juK7y5ypvnBwi1ACrsJ/8CUjWY0xz58LxhKgNBXpoYci0pDomslCWpavYZDY6Rnw5oK5aL+d hxIRvg1QSv1o8yfU4s4JFhpBXAaBU5ZOPVm9daF1JhUB/skXqOinjX3GUtFesFTqylcRAG3Uu7Pa QRugAEWUHuc1jIygUva7ePqbVTWMpD59W72e4Bcf9Ai6ZqmAhJ8aJwSuqK9Ci6MuWCPIOu7mFH9x xVTO+4NctLX7BlsCNYayWgCkszPvhNOb0cKaa0gfRgKjrE9adiuzbpIE0HB56+VUVxbhU2um1Xkv EhITVjtGyK5Lw+45+fuNH+RkmWKuwbQUddJjNNXojdDRHXdQQYvr+e83d4kfqZT+j+/kNLf+rGjQ /N6yiZJUQkOMoSUlUrnHesS659q2YpYpRU36TwTAnadsunIlj1vra5Ud6gzixH4pulRLs+fQYwk3 DmkobsHfWveJA0n0sqNoxv0WBRn6NJoyo5Pk5SfBgXxPYSfiFwlc4NdRa4eHuyWORARtsyFO+zK2 EFj8x2EVR7PSA4r8LOIUTwUbxV5Cn9ahRRCwImetXy3b7RD8MEE754pEp8tNHI0b4KlZnXp3f9xX 7Wn67SPHExomth7hsxG2o54uVqNjgGLx7TGtYs5o0eSke1chNWP3JRys7eXVs3EGat7OHW7F1/ST yFTfsNALG9qjfTapufXnbX9Y5HmmEN8qe38SSRpX81LxmRBuYuXETuI1t4+y2cfWl2zci3TFZKDL KNwNgiYfLusrxDSda80J0KPkYobxzYE06kFaPfvIdgQioheFnn2y6Xh30WWjSsb9HhH2g/xgoBpu MtE1XDomU0620n1JGTwO7Y/zEA9z/eFwyzzMfZpFzBHw0UAc006pjWY+S8q8f+F1zs4OB1XZK5hJ ZDtKnaDyNIWB67luRgQ/jcrv8VAdta6PGtfZSkwb5vBkg51NvKgehUSX+tPcvIjW4BRKiHXXtIcK AkPUSxdqmZaNuku/uPDYSoytlg/JcW3cZ5lJrIaHNj9iV9TlS12fZryb2ubBuEcyc4ckKe2K+p2z VqMujSb8kFgnn0OjJRimU1JSokuB6xO9WdJxiQb7r5zQuVzZF80/T66ZH5Ca1cGW0UL+GjI1I82P iwmnwpqc5UNGOfl3eezHgHKZ7HD9wvnsFV0e+OKZ1nmUqwHVvNo/UxFTddcD8zhEPNRWWFIuR6Sh fhREMjyHjKt4SCxdi4oGOF8hKXmHP16WtRbd1IFZT4ME7S4AeTBT1b7qGPoFbdWMIVoMsTN82p9R Cq+XONl4UM17+t4jgZ1wCGlTbO/DoQJ2VonRuDJYQR/A9UsNd1FnLt3Yf9Ugqvzq98p7Za/s0bRE QM8yaJcq05I+eix5oAwRNNAFV60xwmrVm96iAkwdS0VSpc5njOlIiI5X/PU3Gt0+y/3n8jPXJifO eciOHJjaUj+cDs9eo5KcUSha2Hkafzqk6WflAg1ADtI5BkhBwkIt+IjqAaWJctuKfV0AnWKoEnHq K1TCm72yONTa2kiV9CnpJQxJmP9H2LOAsjN9k24mdcDJLcg1fsHepvtDXgSYJ1T9Teih58SuKaqN zHZPiFQZWJsKtr3ZYQVUDka1dseMIy9gefXlE7Aulx2XCFsSnoePXP6t5jqRE3Ln5wjNQetbRA4a rIQcTnArbh+f9C1ZCxEuCQT+btQ27kADjQih3GLE8oL4xX5K8N8K0Bau0Kl21inveEnsmddxq+3/ MteMTOrQaFEvODYrYW53wq2cVXgoeShAxmKB0baVVunY6YSnfDO0NM3riqMoDnvpM2bA6akbvccD hmwLuN8KCJPoKIqcWp7bH6OZwJ7V4hhRpF25CwdJWkPXnCdbKfh51b/c+okVJeTG88gygjE/9CtC la77W71l5ic7annI/znwFsVaaE042nnEuiQX4LsMRxD1/zenyvN54PZ7mb2K3l0vXNVsKsTXnwGZ JD7CROkpY8463I5jKBvU+D35+AIcT3BsdsMNdL+wL+jt5LyppA/XDRExMys5OLe1NCMgkrckmoii KE/l1rN2oJKgqiwPTLc3ABHzKGUoWV6nNHyxBeFML8JuinbwgW3yMZXwFR+HPyD58Rd/PdP+0wgj ZKzBkdVBko1PA5qKzo+ADRqZZdw2utDlAFqUxBW77kEzq8IEmTpFJIc32EH8H5pfXDcPJcYKFq5e USVI32/Xu0O46CFrs+UBcbp1+Xw+M7ItH9tGaztAgjqAKpJV/nyr8KHPkBm6ihQRKdBesi5nbHuE CRM6sLVek2ZeI0UjHl1rESps+Jk1sT4mPGziw+3CklxI0uB2HNdbRXjSqNWHkL1gn3kCRbSPLP6k fH2AlzebK+0C3jQ4EBu/TKgF3t9n5He066jgbDLyFEy3Q0ufcoEUqsfHnsnxNugaiN5YIzL0UYQ4 fnW/WeKWY1rcAg+jOwxoUnYOcWT+1S8jHDb6SxTa8rinzqh0nxo4UUJPSQLIDniGZ0uAEieaL4+z UfaHKHY4td8/Wn0UDTzFnumzAoYEosDn0DkDzg2WhCgN/Kgz/ZfibueL2X+Rna1FyNFAKKygxNsq xLmD/Rrr/NGIBN9LqIQfAAijS5jGi3JOJubkRKaA8z3do7SkFwc2Tn6k9ZvuOh13b8PyAp+E0VoH UaNqcuY918lFA+9LFG26ZND2PvbQRj80UfpOvbfn8jax6if0EJ/qaUnO/s5ahhmMCeWEQF+TmQ3v kv7Al3Yo7uQNXBotgxVgj9jdb8Tfn/zAcFtk12Mr7H4IpxCkesQn25L14TQuR8RC2QRPE8SBZTTN OtXGXXixwp5Q1aLA3fn24GcrftY2I/lEcW0L4A4WMh4BqdJSTDySIPyTflWBo0BvqSvQBFapYfGn n11XMxnTqa1RycZtlXbDQf+sF2Z7sWFxYUvW7NNiWdrrvknHzoK4mkQ9Z2/gxiC0N0CEDQwFt37V rMs/AmRsp3Ewn8vcrvk6s4tDpLIAIzMLTA8/11sBsXt36zmhwbO0vObtLazOl41u0VBPabDeM+QS b6tq5Ny+gKcHeE7+dZC0GJoToV7TDbYtnYjmN4hXGYfOJcAn5d0VNxbQWG27OvaoHkUVFee/8Vit S3vden5eE8smxIM/wxuddhLTkteDb5YVzyN+QktwFMoFYcsHh7xQKSG3j95uN3zTkwHT1O1NxT+q mVibX930a1ljn/tYDkqcMLyj6zIPoNbHrECOYTezlsaRrQIiaTEr+Sy2eVJicC+C+pslit7VrNwU fjTmjXDcW+vSX1gZn7vSLJtjPMrz7UBq5VQe5OxZ4xMtezbwWaYZQX5Sy7ZWswWUSbr2BNfI4M49 DTGZB8fN6q5lH469CRgmSAG/kBLpXcnG8ZUDc/U5UJ2UJLzyEZCiWRQNE5c2sX7QFso5qPbBq6r+ mZelz+PsiGPS+QnnNrodQ/A10l/MAjqyy2KM9NqPIeCd6pcwXQgiWVCE7IcnTqdJh6NFoI26B9Bt 5Nuzy5E/+Fccatb6rc5cmMAfFVvfLAE44FJTrKSNDFLvCBrxiuW20kuZpGuQZH4PVPK6GmfM4+yW gaJYHhGLzJXl09pf2ZNAgWUYeH4+TCoqX+lQmC0n8PerHkELL+50nQkau5wBvmcl5Zm16uw/sq3A bd9oYhZeiLX8Z5A6M2xlJrFSgTVrTnEvFAjZdQUb+W68PiiXQXdlVxKQTLU+hlfOjIF5kssJ+Inp HXNsB6iwoNbHHA/8ga3kAn86bNZgna/47XJZKy9LsnjF0O7oEo74PrqJoHmNqkBm0gxf/8OcecpW vRre/21PARreTxG2A8+po1NXP7463V5SYYn3s8FDiuwpB/nJAnFXoIXAWG83RNlPZvXYF8KwpcBS Ww5XkSrmYOXlzSpBVG3Dle13vcTRFdLTp+t8phxg+6fHPDw+77/1UBMC29r4hKSErTCVED5qsCvf tDwoTUk1Lo6vGNwPJ+wSsuZIEjFCb9QMSEq0H65k7BjxVpoy88U15BH5C4GuBWyNcHU4Cf64dtVp mpiQFNjyAHvuXo+2N4hy31tO9o4de5X36xdwkZrPBkxkzmz3Q9A2VDJh6t+k8G9cqqLvMEsDYC5o hhFb6DlsN3adXtWkT1usw0RLNOFHLnlO29Fb5AdTfnF1x6ZD8CgAKWHVrwZ1OtQtUQP//z12FHrK 5YQ59ZfBnDxTwJ4PYlVq6MCBw+d8vswnx+tycBm4CUI+hf9RSYAclrzl8mDFJENbn0cPzC6oFpd0 5GRKC/TeatqkWvwSDJZ+blcxOs5rEWo8BKilNzAvYh35HmANKzkfWJ9h7rih0G503/A+/3KK8KCl UfKKIW1EoKHe+g3ZFmNun/HwNS87h0/e6jtPUmllyXBgIKAMT9ydRdemJ4VcuncOrUROkqZ10mSJ 7vb5lSp6R3PKdlwpz8nQ2ubHcwEjlzlXbBeUJvxBDySX23KKmhZexJLMkbWO3GZkqW5FumU5igza up3uxJGyGlY5Qwege9VsaI6w+uTdHkppust4aMtAUV+tw2FckQluhYWLgw1QkyPAaS/9NkhZX9BK z7NlQQ0L5e11OHceEF0s76JGyfH+Z3jljvBn0KQ5NzkhvaNHRZzUhh3bsDQh+1Xe1UqVsZDjg2O7 G1vzMlSv5JGKxuOOaGcGDyOuWDenKmmRgkRAhsr+tQMbK/qLVw1EPMdUpwW0zSMX61VibBE/ABTM K6zCXmhxLojbwZNosVrbjkbw0wLHGQ0PiFWOkkbYc4VY2lVOt859g7DwA0oD3AcCd8iW3xWRoYTh clRpWQKLivpTDNQqynIHHgJgcIN7yJnqRG/G1EgcKShOvOwRsv3kpiV5TNMrVksZX1Q+0yrerOoE 1OnWza5xlBAiDP5uLMy+7rJhP4+Pem5zNXkK7AON4TYrA2mG8E5SOmSO/y+aced5q5zPZOoGm5ZE fQS5K30ARsmXsgEpTl+uNms+TmCycQQdAEsMYYu0l9zClCII4CE+PufvNzIPEE5CR5VKxsn8aRhD EKMX4dFDZ9yII2q/KA6hWLCwD4wEH9OULsRHyMyzbDEdvr8VcoNNwRjkqrcvlsAfcZj9k6L0aqbx pOHEXDcOzP7yl6WEy/9PRB3dylhXqIkJog0968lXBjYwzKferlotLuUms74crYRYhEgtH/fPmy4V i+MUUdA7OIlzRQ71kNjySL983iJjMsfUlvywLTee9Q9E9uFFgqspiM3gMZu4p6EvLOdDzSsnWq47 eA6sSIYoOQjEHkGMR3Ybg6aFn+hKkcyv35RB5PmvU4TuvnYIWktoV6n8ch5b3fi36QlJhXVbGg5x hJmrjUJ1TnqNeteSxvZCc0T00whoN0+r1N5sGdXfomGv6NgNtRqnwXoeugB5Uyu3lw9L6+zlL6Mp mUh1aVxl1QHm27bC1QenBfyuzt5Eitv2e/bhBcAz6BHh5bex0p77ARSiYyjxO0WfRHY8s1AW6DJm V3+hNoqdgPdCjDVk8SrMmevpGFT1bU/5eE71/ZM3P3J/1+O/VgR7EPfzR35ff4cMIl6fZ0YS90Ye XoE4HhBdycC+/ko+rLSESnMGmqWcm1Fx/nw6RqM2uVGUxpFEAuUDjN8raj+mKAoRlnYB9HeZuFEt KJJth6Xfdc7eD5hK2BeB/wTW4t3eWh8kp09Jfa/3gGePmPz78JyjalWUdgwew4R7ktiT2m8l7XIF ezxC3bbGvGayC/1ELoAp+OAt+qy6HZc3w9QGrX8b6Ohm/YcFYSDC4FuY7WzcvSCX2mxNwz2rZFhK wBCnjqYwQWy3ZwcrR6FEeYvWy7tJXZpIIsMclcUmMbNHu/lTnne7Hhlliic/gUzgwtzlqFrglmdE 5lFsRl0xShTzJ9EbQW/c1aRWihzHUjXMHdSxx7vK8+we0QGWMzcRsW5qXHV2qEQ3aZ0tiQB2qqq2 QGi0P66taKQWBRrXrXtPreqD0HELlXSJlneekU+pZ283/25aVwyE+ojyqg0ZPgwl2GRbxlKn17RY JYdvfWAxjrSUD+rRB+K3C8mWBozO7BE6u9ZHYvOqRgahzwOyWS4naMT0/jg6YFjPaPtr0VCGlzOj wf8flJP5rKpYpfxxSoQVu1wyhwO4CEwGdoUXqzqZSpO9nJtzRrPMajyfGbBEaxLFdoEvrKhVwU4O rTeCN9OiRUWfTfQOxTKXsxh1tS987J8zCDW0mJyaZhkj/AelDT7imzWaAF/DYf/ugute1BGNn3Oo bagVISxF335BuRfO/GaKdViDoVNHzJkggUONuJwXQBge5IGq283NNmbGQUkcjVFOTxivlGIkm6wx LOvBAWAv9Z/6BVg5bTL4rp2+ZvvtQ0aSEI3+QYABW/z8ba/Q+gzI3TubzSpsvZgJFNWoj1soF3xY 6x5+diCM0FhnaRl+L/dksSaRvGwWfgDmqmoZ6fYSzV3f7K3FXmT/9AUOC1k0kWuCREJJ781ToRK2 JYlyQC1Xj4ZzpeRNSQh//CNBUCnFA3uAlKxvlCQqzOQSHdCDiyEuWEB2xMVP1MPrmmXjeCIMDWZD 4FsLLmaWKhKGi/lfoxF9awP1xnHO0NCoZ81xOu0Y6YWtjt8VDdN5Qi75//yIkwwRFyPaUXtVuhbS NmsxFIKZbT2BB22xqp9JzJWqq3KexMDxe0GKgbpKVYvf0T+LXnl2gi9lVxkvW+AgiGrkb/Bos/Km GKhZ+i5LLEqc5nk0JaS6BB0nBJ3YkXfTzRiYnmSA6aqolpu/bMRg++YhrSaBNJ3jiOjdAUPQkMMf ZT7RirC3IeMkEu1/CCIx49JXtCChXDvwcjW1pLlPYzMMPSbI+npLF3hknBPxbOVhf769shs+tRZ3 bUeI4wARJYEKcfeC+YwQXPGMzG8c2BNjVyuI5/1wMweKF4wMoIpW5ft93Sjt9Jy1Pk7mlx/eqnHj eGQE3JLyfTkJ6tbtQ3ySCEsW+qKyDNETjSUJOeSwVjlk2UIoJa/QmZ40T1FKQTvwNYSG4jLwIVnE eFIjtigaZXjbZPgX3FR+Mu/zzCz1IPNQ4mVuC4XnhOLqly6v+rtSBKE0tDRzwn1ge1SmlM4zYkV1 geQxuytpBu3gf99I+gSu1yV765qb8egeIYp7Kop2hGD2pViHDLDd6xBd8zc3Giu1GWljlRey0SWz sf0Ci6DzkAbE3LNsjCNqgGN0m9etMHTaO7pyr3HxVhvq+QpaXijdTYSy3dPIqpe8hpdO3mX1bjMR gEulTi6eqfW93cEYpEaxEJXrTCnBadu8vD06k6Vk+2a3DDdH94PaUIWoVBic7OrDB4wps74Qpi6T LGPny0dpmnUz5pjM12ePXpxawiD9anaEc1vsS+Sy40aNvRgsl0umVYXp6ZJqjOGzc8bnNc3px+2q AGmv2ccRwkOX4dTLC/HTAfVN9EcXWmNOTHkaAFWQ01WyoYQUYQAXA7mMCt4I3q7SNw/T67T+NVsF Ksl1ukSUTbPn5ED9NiYxLIxgSE468BRuKivV09Ay+CFggxaQQoQdTrCU4zPz1QEAFu/035ZQjovC MwrRzxChuP9IJyif5tvbQnSSkUvlG1e+kWadb4V1+IjDy/ucjSSDWN4BnqQusm9zXe4zQL1E4n3i 0f8yP7TP9QWrv2j5XMQW69SF1stI0DOHIhEvuTmMlAqILXqKMXL8FHqs3Hi+Ug/xzcXGqlZ7u+K/ 9KCTo4bK1gklflbE3/Y+mkSHIFCOow6lbwVOs0C0BY58Gk1VNXMt9SOZV82Do8ooIvcG+awHEJCW D5RJ93fy4jL4BxQ3+E4+4cwWftfJnmRwuVXSFFZF86zp9sHsff4L4S0/Jj+q1EjCGMicuwhhH4cS X38HM78R9nPeLKXSvEemhBZqJSS9rqYxi2jiVJ7RF0w/KaJlaJyH8Rnchg40qnGIpPGjy66II9ts ZcNVA8c6JdZMP/8XOqB6YcleGW5TlJO5tVbGAF5ovkOwPTE3+j9XJCPGIOdyyyWSUDe6352j2e+R MhMcmpEP5H74wwDt7vnGwPmjrBa28NLOSxaQOR6boL1UZ8g9TNnGLM9MYu/niavDdlNKuN5pYBpk ApIYT0L4jXACYuRlYoct7AapPMhzSmEPKksOH8iNZCWyooBID/sXlMydOSZq2OcXMtgT56vR8RbG ZIA92BJiwOSp4Oynio6EHV/AINsJrVgFKdNVaGxZqX48VI8VFIMiG1KTlyoHTu8nRRuLy4ocmiKC BA9NakuFnzJ5u0Ey15xZzIsmW/36fMkiiAtwVi23vpPkRsAXKGyp+om/Fo3qbLH6I0861C6/qIkj rEDpNVUu9RNP/fPsSaXeMfcx1W8XWydPl1dtN7Sm1GS7ozHNza4k9J2nE2/77lk42bJH1mZavv7k N7pCyAOam4y66BDe4DZisB0nH7Yg1Fn0VQxe9Xtq6G/MeHiVYDK3xeM62ilWccpRcuHkhKCiZvry MuPQP+KhglAEJZYG/8/kuV+HBoevJUqfP9elFaZn2QdLi6nbEbkk3OCN4JqIg9ete7dcf2A5j3vV JXHnmlloFpTNgVU6ZdPh5odobehDvKW5EwowKeRwhvWfnC/PF1bNksEd11i5aekIWFEZr9lsmaWX 1YO956FeWIvzOz7UAW/FvjNgQQccumh6fAAXXeyxN23l3gH/8pFX6tmjLWfl282OGyIqWtAJ4oBE buA4V6cljIOz8lt3Z1JQy7rtvhxK2p2ngeNQF/hjnPSF6vLt3OjQ700VNworz7YsMhzGgpkcKtZ6 AwACJiHDp42cTYpwW2eE13BfcdvzIWTg0ieZO0qI/Dy2mTR8fChJwgcmzVydV516jiqLyl1Wtmra oPme2SVRS8m/TypwbIqIQEYxT9yYMkIcmni9kTEcc/m8BLmBZucUdBuf2OAuHS/sqGQB8c2P8KsC AluYmLDtffA1Y7I9UC4AAhQpJWDN7DWcksixpnoGqXW2SCXdMy5T287e0qyW/7etiIr8huA1yR26 xA/UdGoxdYiP0MIFX6ywPaTDkiCXX4oHw45McennIAq0jAZa28uetki0L6zqZ99+XPxyySHeQPRk ovUo9C6LdBog8iCC0nEsfGf2M5tT7qOM2D45EeTGQwXqBA5loksmy4E8Zq0pfP1xNS3AVh+B5t+m n7CdFrPa7aBpZ1zFSVnuqo2EEM5dNnwD3YkeNshih3DZUog3zO2EEGqUpCMX+kb/82olNyXnF37l 5skwTjsq87D/2Qm7yFtspVXZ/dwW26B5cLqWBe9+eET7COeU2eb2RcpXFsKtKWiaEPLpTb+p17P8 aCd7S3YVbHruaIuipmUHGEDOi0mXbGZcwpM8EIGl866oe3vGAX+qxSysrWazRLX/NXMW/B6p2BpG UBBDgTBAa56tNIt1c9Xb3p542L9sXUxsMV1FywUUrq0HN94Hyqw+rewMJq0WQ7qHXDgPmdASOLaG jrd8aLAyPPc9SHKUwfzHoG4xOD5WbG6fdr1YBnmzr5WQkQ3CjWHg53YKfFohpox3n4tDrmyenX9g 1qKRv6XY0BsGKSsdmes/zu21fH3+opWH7m+WYBb61nEvNT4qTkFHtN9zE0CMBAaXPuhcAfTsxocl mtYamboSvpd6zaf+M6elgdlxLmZLFUUtwO/f5M88BLlKaf7R20Qf8gmAYcHc4BvO7Wu5TNWg17QZ W+uZMafvv5JHwFgnN4eOPq8Vv2vvA6inP5iKxym2WlWaLbUS5VyfELUClM5TsoRhBG8/Q6RZpCa9 K+XSZHibix8GxNdjUm4HJ6noTXpvThLafmQeg1rVIMXgN5AxiV5jCaVhu3XAfyUKHRpHsaxbHed7 /zxMlnb7gsdwtv+//XfN1K3+ziSgbDK1jqBxOuWZEhYvANj2Q8jFXabJ9Ib9axIdEXZfUoS2dTxu jlj6uiq4aXJfNdh2C/A+upj9hFEquIRBpfrDjnqQvCT2WGU10oYt/QeJByNVswtlnlu8fs/K6BmL 3Cu389qqEKxYv88jNGbmEkF0pO2grP3SDpKo4JLA0MisXG9Ys09ip1SyyOZgK2r76cb+ynQ0dOdJ vbn0Q7fqeuEVzhCy4pvvdwvqYSlwWV95Ae9I1kq1GNks8GfOTwL6G8GZ0CT0mz0tBsxKSEnmOwzE 6iX+5/+gjsU3sYpAuRBdbnhJwCE2rMqwH+V/v+mzbRAj5ybYayo3nv592LOIy2E0ac7YdwHYjgiI Om4W69ZyybaS9t0Y0XzHABLfW9q2Eec3EkGmZ3pF8VB1SIxbnKUvB7/B8MqJWFaaiIMdyfrBBCiQ M7JEnXD4GHJaptvqljEYhizdWgyUmfaNqY5RLL5/MXZ+HzrFQ4K29pMXot/vwTAAJzMyNNTD7e4/ EBEGZ040Qik2C4aISRlHKANd7Tjov5Ge6v4enjczvmX5CvEdfyQdV7q/5BKmyDsDVid2nGYhtjWJ Pnz1zfGoB1EzGkUf5hSdhNOqmpF/+EZgmGhmJ+SauM+qrLHW/PBrrKBHNVY1OX0S+WU1rfwjGFAN YWuTZU5JrM1LIGvDlrLpOHCRH0zSEWrNQuluqhyh/q0XJ6wX59eu2aBYZ7DccG9krKRdQ9ZNJCjk tQUZ4BVdCBavYOVsYCUUP88Mhv31//I2IM10mnfhZVPd3UbY8G7HrQHFLeU5+MSAV+tjhSenw8iX 4ntKXINu45cWz6iiLCDTNoqCQgDXncgx1y11PxXN3Lsf4p/xgMxaeNKu/lDzM6X45w4YI3foHvzu A9bGsu1aFDQx4ihkoPi39rOASD5JSQMVcWKTsNBwznHGR2ay3sGGsQn6788JNR/sz1IWsPqeQi8j bKqQeD0Sljdp7pOsWeHR9JqhRoS7fqySL4veC1zBLv83InYr+boLlWWi5FuoUXREWo1FW8AWtE5a 43Pr63Cq521fkFpENcjkhzJii3H8Jz10t+HNnWaFtdG2fwkLP4hdtZlGUvDpC94dWEg1bKRXEOSj BId2gnAIE+HoA4OBaKUOfiuRdPQpDzRF0BT63vx5YK1RErLUt9L9Ac+N9/Horigmr5XD5omhE1bm 37KvbCg0MqNrQWxkt+/ge79NHYvxFRriHSTdzA64i8dCHseEZvuQhCfLPPUSqnDTuNGYDIEkDpbr Fs5zqe5EqdRJVT2d6tyKU+LWjXdVEkuu4xUqmLAP/PSBpg94GQ+PIvNZJyxoI2yl0rhtsqXERlwm pjhKvhb7js39tqU6IlDMWlIS9PfmCgtmIEuCskzjkHgupCPn4cuxF/NcsyXobDbS8appdGaXmwfu gHqd5lQHvMXQ232CDoh2pfjk31XNfvqqpG2LV9HRpZnjLvyFYKVxW3CB56E7zZVe4RL/19t7xajZ M5/3PC4zoi10pN1biIcYmm97F/3XJCayMZ6GSzdK2wf3yIJfMrxLCTdBjZevVtpiwI+ec1rJN9zB fxSfyDwX0/GnjwhnZV100WCLRco3zPg+87TjaoCekHCNTUpqPE2MvBEiUXrOo4vahdH5kn+BYyIM ubXeump0r6dBlf4orOAtSYv7XI553EqWs+wQpZykO2HvzlcDkya/bwayxmc7p5H/w+iYNxPQT8JR YYIeL4VCzdx8UmbINhBD7u7M7XqgIk4z1sRek22PjLJ4mNqdXuStb/kXlZysVgikETBdiautiNNy 2V7+cdhTVgAQzsW5Xrd1UyAkcCa6KNDr8SAflQKekWDd0Qn5gH2bHCD4LIuby1etPdJD5Pe/PgM8 1v76TjpMJPBLQi6l3ciOkiisfkJk5OoW/Q+tZ40vzudVOruf3dRjpiO+nfCwGlSNEuT+ewdrgw0n HjFR8OC2O+SHz4GrP7JFmCc/gl2IDRP8aKPW245Vp4SrmknRf6hi9AM9uD9Re0iYHmtUnl9kUaUf GZVzqEVGmw6DJqaXPuF4P7K/UG7o56e5WK/zh3nLQ3P01wJNU/7lvreN5EH1Qh27x1lO575jcKOl +pIb6OGEEavCYq15e0A5D6u/SExK0X37rxVKp6UoBHPe2u+ytlapFfrKx7sOWdZ/a0000ssL9lUG hWgIh5Q1bVPk3xWctTM+BN3kh3pHfnrOAvSh6eTUzrQNBj5PO0WHuFbk3VY913+ggON0oUbGKBiZ eeyGxU1I95HSaIGrKsr3RNHYB8dNLeXHlDrhZXA1Edtjk1w8aBqFp2tv5n91DI5WHkLQLtT7Q1Y1 PpJgNwPwtz16nCWYZhrcwo7K6SUy6sN4DHjxRSL+HmMmUqm48GY3EmMUDRXFBr2kX5GFlxjaVTlo Mx7rMrqunFJrpBidyPhGDSLNiMiEvH0dcL4CeLoxEV+ZszZcCd+kwxBFQ1r4fRp0GUXlNuyyR6ue jkx85iKcOEhZR7WRZoWvKn8UQdAQH7R0/gwQKRNaOpr7bwO0QRZJukqNFCd1UGt0T2vzhAQ4MYUh 8f1jfekpGHyUtNbVpGB8ZHOCygXUutaVZVBB/nAj+u9rcbWqgUoiEz64s9fdcrS5v0tEg8gbBUCY hv6NRsPiQmspQZrCHzmiOZtcC78UkF5ENQqDywc+4Jue/S3nSN92+S39p39CRKQ9KfjqCCujbESE YQ/uzonwDipNE7rmQyZlp8Q9c7nKd8bo40YCqtZC8ufq8p91MNU2iMiDaBT9E8HN7khk36qV+LSy Xbky9YTrTFQjv+JVu5oDnl5HpD8YeBDBcEj/4RVdJPqVyuHZccufFG6bl6mS5FUWNEilDmTrezba B4qW1Mue2v8RZz0GSjNiAqGRtFh/Z5usBdWII5HCmrQpjLehCz4J34d9+Xuo02bYXTEZAiGEoE37 R1X0bSpj4U1zb3fLswuU0unP+zw4VnDzO15VWObj2ZFYqQn4esLIsSduyPqRII9Q3H7BvGlEGAbP ko/jvpaf3EMirvdEDmM5yqjJieGrRMqU+NWt5neObcxx1UXBfwt/qlX3ICUWgCJZnpW9fZTvAx6W OQvZwUJB14CQIlTdjzF1Ejo2BpevI7bE6bEw4jFQKboG1Y7/hxuaau48DNpG801SSWLSxBGvieB+ LLcvV3vsjIC/ePAWUmIO8gyxFFsa28RkL3pHR7BGO3QLaAFCLQ2gUbRJy4Rk32lujPinBT4mHVHW LNxQqRfAuvT+82ZPt4eHyBwGrGYMO5CETn/cThJRSErMQ9WANPylY5CmGEdZaecM9QgLW40THbOY seDloCrFgFDubUtaR90mtDfy4H1hK9aDojs5Y0ADB79kaJuTV1F9XWhYjakh89uPD0lv8NVhutU1 /RHHT10kXmLx15WOJIJGBMN1UkbP+X1w+8bhFF8HyrKo3TdB4tr8CYRWmZScEoPcPBxfympPk696 1cpFrFfutyqCQZgTTL8QwOndt3dRfkV9F3m4ps0lFQNtaXz/sG71Tp56YPrNoeZe/sdqqWGnONht 0QaZezfH8Oc5jA6JvR9QUl4FlE65o5t3hv6g96mQ1/GFTqySjZ7hCrZRlEhblOanMZNI79AW9vw9 yJbAugJCTgNgPDbVJabjmj1Snt9ODjNMytP3/PGvQc2cAXu/PiNLUFMr/tMBXNe2+Ixpn+UeXJJs zQkqe+VlQtX+j+dUAADSiG+O5dyW1fC79nzUuGezmtGeyckQC0ArDOvB75xNMGBOivZrIZf83Qqg axcnj3k/mr5tqo7i1yiy7Be625AYuNNXsA9IL5nLE1oi2QCVQJ95jTXul1cFkGPOJwO7rUwpWB1G Bf0YsyFI+L7v1VoxeWVbz1xmeWsMwkJUKemHVmp/W9+g6v80PukmQCwQP4AMYW9bdLNNb1RAGn6K nHL//b3HIwbpiWlVd6bxKPI2/DJh7T5a6GHumpMzu9k4/p8gJON/WFAenMlSJqviZ8y3QD0WduIf s5BhmxlVDqqM+kYYgWbepClTmnNvh680y49RLlHXHfxCjf+0qLeJXsMghPHlkKWu83Eji/2NDFex sv7VGwlAZuJWBnqCbhncJwkYLaSxWQv37pD8TfKRyHaP6uFVmNBbrLOxCF3lfj7Do0ly+1ZJ/md4 Old3OEL8EZ9aAlgO9BuGee3oQ5pkoFcINN169A9xV4vgQlNA1leB8ZbGp/oFsUfa5jFGFFm9vihP xzOladkxrKjQEANCAsDnw17Gb+ZU4QJsnNYqxw+FS22ubu3zW6457dCUewxN8O8nwK1e2HP95KXZ l77HlcLieX8sB3rvUd8BGSzDPHPE8UiNj0wQVmG3+Q2kukIZ8s8d2Szif3zBeSTixAiHh6UDBGgc 9sPFs6J1Xcu9s9wMoHiHr0N5Hh2XPmtrMlFE1Kq9ho8In3FtvSOywujrM8KcENOTzjFJv7obMzzU QyvlHZri4alJm2RCWikOD7q38DfuV6ifvIAys0OE6uUaU6VzgIigBNTek7B5M3kvHD/PG6fbFmOK VOLRtvhLsX1Fp75RXOeCSTFdABZ6r1D1QKpY8tOOhAN88Tc91AgXH/sgRcilWu3BVPX/zILOj1Cq O384kzuAvNGfl5v6+oKaIkxu+ZftuTKmXU/72zzcJXQlNVaisJ7AeD8iszkS+IZECcXZpL1UPYQG D60UT92A98WaJpTWbXgYGR1px++A/GyXQihubPiycGheGKNSQifeQOfBcKeaAwzef70J1R19SSmE zAhBdcOmEGMQiZeCWe/MYCYlE8JIQV6oRXHh+huUHjFNpChttXmPaXoU5ZhgmKekpHzsOgJD8R6v ir8Bu+42uF30COpj0DULBXy6+9tYBCnbeufxhxk9xcNmC1iWV5tcjgpatNUHhtjk8gP5S1BN0HFD TW785s9nyacfveUG1jZ3OqOS8+hXw0YhaFA7K3CEeYoRHlAoXeP6w1xWhxWFq5oVSNtYgm25/nCs T4ltLfHoHouCqzrx8A1YMPK02Ik4tBWkLoAL4SY/q6c823TDOvbDU2K/bwU2DXdWFfeg0NQekLaf CC8cKIherbZZ+kkALKSKopjAfZP5wi61QqsH0PsrrpNdOeo8M5mPnYmPi8qfPwlEIagCVs4MX/Ha 9tNpWZZUeATw8FWst/wNZAJRpC+/DFctrVFkX2sAvqJSA98s4ZExVNusXredMF99WJYQfqek3Osn aUwZKAAMrWRjw02ZeazeCUcQLS1jtXy87jHhZNqNurgt65NutS4tFVguXQuVmhUapnK3Ql0BjNmS bI+9p+e0U9TjNAGhXCSF9rF8jse5fYAY5T9T4mAfMsm5enzkGxpe3ZUhSIVP+0skTXi+thbuzx/r inCxnTnWVLIVlzOG5fMCqequJgZGgUOudewecaHRJEz0RKCGjq+ETFv2MD70cV2zmLNMbjsS9zpl myRv1uErBXZd6bLqqSb65fRXG0EwHGzz++V1L6GiWsZpqY2V4BNbQlYw3BDmA5Dry3WkaTHmquaE EmZCW5It7MfEcQSLBe/Pys9cLzPwP5OZTtwiGUvvvqEK3LkJJrxM3Em3Ypsd7Bl9eos7EGrN88LI IbwAF0kXki5qu+x2R+FU66idOKZw0OouolgPeiFwz03a4oen6KpW+6TPvQozMIp3FtWS6gqH3zG4 svIleH0Qh0S+7mukjonQ/I/HH1MDWDSYqH3oDD+B+to8d/l4ph1m7+fDBsM0I77XsuuMdr6jR9RP 8hkcrON1/yUODfdgsGHXaSZmdLx/JRKUpwZr7zix5DZLMdQ9z+C64WjtuDhlyBg+IawTSOgRbLhw 4Td/zVyv61w0cSg79WSRqIH60/FMmR/PnqYjWCE+oA16VgmTQGf0VsHDRkir4AlQnIZrfm+aN7bg vKnrWean1koQ2rAD9QPv0Ih16gyTcL5kTktO4IOTTf+eAZNidcm73/Ya/DYZVgBBJA81wjWomFEv lyudGpDOX4z3saRJIl/xSlE+grchltYKoCK8L7k9CoO9wzDkB4emZKeGfCW/fJHcPJ2eDUhlwsng VWJC9ijDz7hRq/EDC4Xa7QOSyF8UNokoXxtna/LwYqcQkJQ/16L5ir9LQhyO8F6SlyAxepHHTSa0 PSHcpkXsxs1/z57AGTkb/5jrOjcRM+kh/tu/Uv2OjmKDzQHCZNHN7t8khNK2rejsDddjDxW6UNcv IuILJfF0LX8p9qYzrhC1Q4XrJUvMIZPM1sy84soBfUSeVIsTADKMV7fb5YXqJ08c4q6LISlTGosg VUNqrWGIyAQkUh0tFbqOgJaIAJUi/hDcQdCF/KflCA+4y7m+mC8zAOW/l2FdMuvvm+2EdwsvruWS bg93nUwNYEwrvlZtzhFTSepI6YKOm/SAimhHsyGEXYfVA06CxNVxrOI3omeLcw0rz6ht/agA5z2U rLNmq0a7BwEy7H8RKvzTuRLku8QrPSx/RvKYBNp821gNcjSAykwAYVE1P4EBsFUC/qiljfFqq/kG eGNLfWm2E2a66XH22GRO209PEEc/IsNa1qJelabDOrYa2lcOwGyDz2zYqZ4wmetsM2RwRS2298vG GM3ucekKjuYy7duL5A7UxkQaPiVm/bkraR1GenD78WyRnOFEVlWEGLsMtOlnUP5+TQ1gJSGBpnVo 0BVlKcJYWmba+LNx6StuSwZBqXYvxrYUgAuarM0= `protect end_protected
apache-2.0
8cfcdb67b51f9fde6ad981b4861609cf
0.948465
1.830991
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/c_comparator.vhd
2
658
library ieee; use ieee.std_logic_1164.all; entity c_comparator is generic ( width : integer := 16 ); port ( input1 : in std_logic_vector((width - 1) downto 0); input2 : in std_logic_vector((width - 1) downto 0); output : out std_logic_vector(2 downto 0) ); end c_comparator; architecture behavior of c_comparator is begin P0 : process (input1, input2) variable result : std_logic_vector(2 downto 0); begin result := "000"; if (input1 = input2) then result(0) := '1'; elsif (input1 > input2) then result(1) := '1'; elsif (input1 < input2) then result(2) := '1'; end if; output <= result; end process P0; end behavior;
mit
e4fdca7346dbcfc16f6e69661d32fadd
0.648936
2.741667
false
false
false
false
jdryg/tis100cpu
ben_tb.vhd
1
5,679
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY ben_tb IS END ben_tb; ARCHITECTURE behavior OF ben_tb IS -- constant PROGRAM_FILENAME : string := "F:\Projects\MyStuff\TIS100\Assembler\input.prg"; -- constant PROGRAM_FILENAME : string := "F:\Projects\MyStuff\TIS100\Assembler\output.prg"; constant PROGRAM_FILENAME : string := "F:\Projects\MyStuff\TIS100\Assembler\sample3.prg"; -- Component Declaration for the Unit Under Test (UUT) COMPONENT ben GENERIC(PROGRAM_FILENAME : string := PROGRAM_FILENAME); PORT( I_clk : IN std_logic; I_reset : IN std_logic; I_puw_dataValid : IN std_logic; I_pdw_dataValid : IN std_logic; I_plw_dataValid : IN std_logic; I_prw_dataValid : IN std_logic; I_pur_dataValid : IN std_logic; I_pdr_dataValid : IN std_logic; I_plr_dataValid : IN std_logic; I_prr_dataValid : IN std_logic; I_pur_data : IN std_logic_vector(15 downto 0); I_pdr_data : IN std_logic_vector(15 downto 0); I_plr_data : IN std_logic_vector(15 downto 0); I_prr_data : IN std_logic_vector(15 downto 0); O_puw_writeEnable : OUT std_logic; O_pdw_writeEnable : OUT std_logic; O_plw_writeEnable : OUT std_logic; O_prw_writeEnable : OUT std_logic; O_puw_data : OUT std_logic_vector(15 downto 0); O_pdw_data : OUT std_logic_vector(15 downto 0); O_plw_data : OUT std_logic_vector(15 downto 0); O_prw_data : OUT std_logic_vector(15 downto 0); O_pur_readEnable : OUT std_logic; O_pdr_readEnable : OUT std_logic; O_plr_readEnable : OUT std_logic; O_prr_readEnable : OUT std_logic ); END COMPONENT; --Inputs signal I_clk : std_logic := '0'; signal I_reset : std_logic := '0'; signal I_puw_dataValid : std_logic := '0'; signal I_pdw_dataValid : std_logic := '0'; signal I_plw_dataValid : std_logic := '0'; signal I_prw_dataValid : std_logic := '0'; signal I_pur_dataValid : std_logic := '0'; signal I_pdr_dataValid : std_logic := '0'; signal I_plr_dataValid : std_logic := '0'; signal I_prr_dataValid : std_logic := '0'; signal I_pur_data : std_logic_vector(15 downto 0) := (others => '0'); signal I_pdr_data : std_logic_vector(15 downto 0) := (others => '0'); signal I_plr_data : std_logic_vector(15 downto 0) := (others => '0'); signal I_prr_data : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal O_puw_writeEnable : std_logic; signal O_pdw_writeEnable : std_logic; signal O_plw_writeEnable : std_logic; signal O_prw_writeEnable : std_logic; signal O_puw_data : std_logic_vector(15 downto 0); signal O_pdw_data : std_logic_vector(15 downto 0); signal O_plw_data : std_logic_vector(15 downto 0); signal O_prw_data : std_logic_vector(15 downto 0); signal O_pur_readEnable : std_logic; signal O_pdr_readEnable : std_logic; signal O_plr_readEnable : std_logic; signal O_prr_readEnable : std_logic; -- Clock period definitions constant I_clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ben GENERIC MAP (PROGRAM_FILENAME => PROGRAM_FILENAME) PORT MAP ( I_clk => I_clk, I_reset => I_reset, I_puw_dataValid => I_puw_dataValid, I_pdw_dataValid => I_pdw_dataValid, I_plw_dataValid => I_plw_dataValid, I_prw_dataValid => I_prw_dataValid, I_pur_dataValid => I_pur_dataValid, I_pdr_dataValid => I_pdr_dataValid, I_plr_dataValid => I_plr_dataValid, I_prr_dataValid => I_prr_dataValid, I_pur_data => I_pur_data, I_pdr_data => I_pdr_data, I_plr_data => I_plr_data, I_prr_data => I_prr_data, O_puw_writeEnable => O_puw_writeEnable, O_pdw_writeEnable => O_pdw_writeEnable, O_plw_writeEnable => O_plw_writeEnable, O_prw_writeEnable => O_prw_writeEnable, O_puw_data => O_puw_data, O_pdw_data => O_pdw_data, O_plw_data => O_plw_data, O_prw_data => O_prw_data, O_pur_readEnable => O_pur_readEnable, O_pdr_readEnable => O_pdr_readEnable, O_plr_readEnable => O_plr_readEnable, O_prr_readEnable => O_prr_readEnable ); -- Clock process definitions I_clk_process :process begin I_clk <= '0'; wait for I_clk_period/2; I_clk <= '1'; wait for I_clk_period/2; end process; -- DOWN node for input.prg and sample3.prg down_proc: process begin wait until I_reset <= '0'; loop I_pdw_dataValid <= '1'; -- Wait until the data from the UP port is valid wait until O_pdw_writeEnable = '1'; -- Report the value read report "Value written to the DOWN port is " & integer'image(to_integer(signed(O_pdw_data))); wait for I_clk_period; I_pdw_dataValid <= '0'; end loop; end process; -- UP node for output.prg and sample3.prg up_proc: process variable a : integer := 0; begin wait until I_reset = '0'; wait for I_clk_period / 2; loop a := a + 1; I_pur_data <= std_logic_vector(to_signed(a, 16)); I_pur_dataValid <= '1'; wait until O_pur_readEnable = '1'; report "Value read from UP port is " & integer'image(a); wait for I_clk_period; I_pur_dataValid <= '0'; end loop; end process; -- Stimulus process stim_proc: process begin I_reset <= '1'; wait for I_clk_period; I_reset <= '0'; wait; end process; END;
mit
c073f077c15a4e6f2da8491e0d53b496
0.59764
3.234055
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/metaheurísticas/mpegmv_hype.vhd
1
2,905
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:03:50) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_hype_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_hype_entity; ARCHITECTURE mpegmv_hype_description OF mpegmv_hype_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; WHEN "00000010" => register1 := register1 + 3; register2 := input2 * 4; register3 := input3 * 5; WHEN "00000011" => register4 := input4 * 6; register5 := input5 * 7; register1 := register3 + register1; register2 := register2 + 9; WHEN "00000100" => register3 := input6 * 10; register6 := input7 * 11; register5 := register5 + 13; output1 <= register4 + register2; WHEN "00000101" => register2 := input8 * 15; register4 := input9 * 16; register3 := register3 + register5; register1 := register6 + register1; WHEN "00000110" => register4 := register4 + 18; register5 := input10 * 19; WHEN "00000111" => register2 := register2 + register4; register4 := input11 * 20; register1 := ((NOT register1) + 1) XOR register1; register6 := input12 * 23; register3 := register5 + register3; WHEN "00001000" => register2 := register4 + register2; register4 := input13 * 24; register5 := input14 * 25; register6 := register6 + 27; output2 <= register1(0 TO 15) & register3(0 TO 15); WHEN "00001001" => register1 := register5 + register6; WHEN "00001010" => register1 := register4 + register1; WHEN "00001011" => register1 := ((NOT register1) + 1) XOR register1; WHEN "00001100" => output3 <= register1(0 TO 15) & register2(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_hype_description;
gpl-3.0
422be6658d9f4331aa6c79a8d52014e8
0.67401
3.256726
false
false
false
false
witoldo7/puc-2
PUC/PUC_567/PUC_2/demux1_4.vhd
2
839
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity demux1_4 is port ( -- wejscia we : in std_logic_vector(3 downto 0); adr : in std_logic_vector(1 downto 0); oe : in std_logic ; -- wyjscia Y0,Y1,Y2,Y3 : out std_logic_vector (3 downto 0) ); end demux1_4; architecture Behavioral of demux1_4 is begin process(oe,adr) is begin --gdy '1' na wejsciu oe, demltiplexer wlaczony -- '0' wygasza w 3 segmenty if oe = '1' then if adr="00" then Y0<=we; Y1<="1111"; Y2<="1111"; Y3<="1111"; elsif adr="01" then Y0<="1111"; Y1<=we; Y2<="1111"; Y3<="1111"; elsif adr="10" then Y0<="1111"; Y1<="1111"; Y2<=we; Y3<="1111"; elsif adr="11" then Y0<="1111"; Y1<="1111"; Y2<="1111"; Y3<=we; end if; elsif oe='0' then Y0<="1111"; Y1<="1111"; Y2<="1111"; Y3<="1111"; end if; end process; end Behavioral;
gpl-3.0
1a2983adbb0815e308ecb89206dbd003
0.599523
2.35014
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/result_mux_bit.vhd
1
13,849
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block F8CStg3yDBVDIAnLskSv/VsEFA/nnWyMFaJpZHzdrZqyrHW+Odbk84wDZj3KbDpLowiTDmlYukMh +NvhufBpcg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pL6N0j0Ve9Cx/pTg8jzLqFGlYWUUawcPHHHHAiguB5E5fzBDqF5S7STU2IgJ0J6M1xtV0bEA9vIt tUuTKOO+udVJCQWS55BZ9E4Sa5r7z/bh+XIDHFBfXRvyKW9XsdBuZ6ywp0BI0QmKJPajhgypPg07 5Rf+j/GmD3skssy+bO8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MD9sAzJb9aqqT1WxL3EZqcRzs9I4guD3UXlcqj8+pvabcpWjRvIrFCbPv2zeJsKFPfjygV+EUUl3 tBoZplW8RbwPGf5Xg/iXxbj7CE131Gwdyqqjrjd9wwAwE7vlEimWwbJoiCi8Vw/AR5USkJIph6bN mEZLqOkrqfpV8XvE8z0Vagwa9KcXonQmd2sNjCMVev9wjNsAQbxyZoPhPAiyzs510jDKmUS5TcVB jOLts3P/nwYrA+4qF5MhV9Xoo6anD3jbXAJmbF2jUnZSVrhWiX8bk0v3CZRhuQR7UfEsldknB/gC 7xQggACMSR9V/H20/awaZKDwZaal91EIoGhEkw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mwvbolj7yB8LjFaFwzDLeNE1mWTrd9KnwkmN2vudo0LhwQquCpM4cauehi/qOAnJ4Sp4EtkNkUla dMiWcklqPUjv5/6/ooGae1sahoDzCFDS/Qrgx9N+FBV1tdR6mZ5cVuf0Mk2OHHgK0DgUwMiJHHiG 1efhZls1jNNjheiQUQg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kS/f6vCtl4vzbOQ8iG9L5GiJLptGQG0HeCPTLa+cWexhB+IxQRlSh32zsuSq5yMZii3PWas/Qae+ nXic0A5+a9twA6ec2ioyEWuldL0YdARjSUnlItTyTuKlaShh+oCJ3cxBuA9uKUz0lVbKvkBm3n/L Sgv2rZaDDrLZywsMTCAOyY999NqAirZLdF8133/4GjjqwKEF4nt9e9zrVq6q3Ol8PFttnIbwf8b+ uRO5+6M/vUuO/vDB+yRw9IJQ8L/4w9JFNK7PQWWyPvh/6EgisDTYoEqXE3Y/4FGu413LD6aCXtbs Z57rUp16i/imPTC2ndbUVWYqedjBAAEpN22MuA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8512) `protect data_block /w7waQzwvVEVPk9JX/4ygjG/BBtrKjPhJl2IVEDJYh2O+veb62W3o1Z/J3a2IyUv64UYwNPnI4QV lhcOXUi91CrTvMCaroIiEbfc52b2CQ/Tk3U84evU4P9iNjCEIye/UJYmO31EJP9U0pbusmuTJeTv wkRJl8Z71sYV/qhFojfP9v3zkstX2TfYJH2XIWBS8bs93E5Q8yTfNUrf1npqcpPwBnGZTnJglP7f Ncb0Yn6NweN0OzzC9ajVNZKhrFI3/kMX4c6m4FWHpx5mFtAh17/O4U9QAqe0KTpp3vLLeyka0Md3 YDMWVUvHpRDerieuxQ0MYE5l1VbWtK5bfc5ackMnVkPst5eRmvSLPOZFA2kwGoTczl2TAQunUGkZ CVYs56+XJp71A9M/7Uz3+2Co83v3Qzf5qapWGjJumGVZC/+flxDJ8o9Rb4t16XmlnPpamjayLbWv xD88jv/AirPxC/7qcqZ/P4Vk5JfXkRHvdKp9MXWekBFLCIatjTbjFbfDmad6W0zqGu5/NDXz4YK5 Denal6c2sG+LOdCujdbFR+YQBAjRnJGsfnjow7dhZ8cIpWvjVMnDinfZx/dmEb2dokpFvRh6Nlfz cwhD6/tw5Y/uu2MIWW/i+ZRNE4iPRsko/OyGhnv3tTDe9sCaJXzwI6MAf7o2md3hAqFFqNspHqGl ynamthv0pQv7gfUznGIBn1igO5vUHF6PXBxp+rjQMNHfayxkxdUyLogmLHIoj84TZnCqiUEfsYwg qfFfA1T2xHmYIZba4rQQwb2xQTEcIBnSeinHbm5NGw9hpOajbRETRKkR1YdbjwzonwiUcvrQf7WW piCmyJTzAjqcxcGIvD+2GU/RVhDtshkvmUf8tSLZwomhWrOasmb7y3S2sbI9cjA39SHpOEhHy3+b 0T6FUWHrQm2WfT1NJVCcxK2QhZ9f5kbtFYHo1tQR57Snxc3Uh8wjQ8SIpJb22VFsVXfj6HWP64HF n1tybNOuBTDt2mB6nfsRIxYNIxVGjOjGnuJU2Yt7/7G+w2yxjCxI6niMqi76CWUSMwJ33zZSt5qf 4bpCN2Lyrxg+gRChPvrCvF4ijqMtxZFUHpuFDvt0cxcCM79WgqEnu9LjGez0rqDVeYvQdero9ooy 5tRqvV5ZZ6FAQ5pS6M/riVJqpFVFd6QLw+OvBzlWp/w4Li5t8rSVP335InwpQiFSp5Xv6liISxPs UHWPCRa3nhYviJhg/4pY4x0cHfTGVrda6N6FhJF/RH/SR/1p5rbV48r/RIBMesvpbWnUp+gwET+j 0J0e7Lxlfu8Ncs+0KRty+EE8aJgVN786oysYBuPc/K2QeaGqu1xmCN6lmK0vXnuuN2AxPz36LP2A UqLlQDqEiAEdM4zqpP5FdaajXXZxRZBLZy+As23W1OqP3Yl0lyLJ6TX9VoNDW83pZjo7HRSYd1MN kH89GqqZjPGDbqmy35GAbe//ZlXKGQNhVugv1lJAnvGZfJOlJUCBsnfmTwkozSX/5K3JK8Ynh23V qkcGX7U49v8PsPT1SnltZdgteKxSOvj9iyszERkWh0KjSvAeDyqncAkv0qvVcJwWkeHI7b/5AVVd sbokWWx6IHt9UXQxvqcixu7FvkIDFfbIi0ryq3Hq8EsZte4iJWMJmTfidr3WZ1nL3e3cQvwdEG1X VQjrQ1vjSL6HLlJQ6kb5AAoHDgCDyu2A+fhZx9w3BH+HiG88rvKbbOPl2DPryodHcIe7kDoShKaK RESXJge9sZumnWuDhj5Tc7SG+C5zX3YMvwJTfXz8DQxMKjyOm1jurZLiUp/7MFlPoleJjokS5KxZ 8OAQxQldH3KkntQjR19YfZTGFimcDMt03u8uGteUw+7v3c+sEIXFVLAzBgGHYoXnYSdX1KrmFt0+ hxFkjplSc4LAphITeOdf4bkHsDm6rVEqMoAn/kUxTZye2w9zE7iPXRBbUXCndqo8rtT/GG+Qn+gm A01+K6m9qoXsnl65KoG7s6SsgMzg9BM5wiXJJ9GdH0/d5qSCzQn+egM1Pl9ffW3X6yvKSDRH4yBD zSkJfiumAKIa14cjGHFFodk67hfu/yWBEppXYdZlC9Q3V2vGYpyXG0L1J/ErxhBxU5Bgg/R1aY4s OF/DY3i+O86QaPEfZQES8YfEZ3ofCs47RJtZo9byPW5PO53eLlCVYlMq2zyNWfjaU63XvH7N9AfM bsIklmPrXbu17iaLsP4PSlcI7eOaExXrYJktCcPda9KeWBOBCRDi1b5GnrqyJxe/TJNpkX76pVuE QJ2S2hbwAI4TBNGESq8dAHNB80uxDXyOcGgGbnhn1GcHdF6v6ReLxniOcA1O5Xc8URwaYhrLFhAf FTi+bLx0g+CtwGUjRigmpcwwiNGRXWRNZhrDDhYoPXfVkd/aaUPaE3d/jPW52hPq1Rr1OquxLQKy V2wRMKlge+KeViKuplQ27c0wijGPAwWl8lsXitkLHEn9Zqc6O7Bgu7epejbF8vf3vKLQcIoTAc5m YalB2VIMlDYcVjBJ0M3lVb1R8N3uj9ldblwhO1HWw4nP0emQ3nVYh85yNGB9o76iC4UTMLuCxaFZ +N7gVwXyHXZ0p1QJf+3n+/1NFeAHtHW5YLgHItwUEoUjbO0/NB81g1l3EMppHKebrGcmv2Pcv79B hEjK1pxCO2D72cj2N8hzqkfpcIsRvr8+KWlzqgR3aM7YKjaXwAtnyLpMLJfAX3eCwIup6OMqqEyB q/f74FfxKKOeSkpXa9oMkMScdZX02CYhaI26RfKIVY+d9Z44onjSKjiVn4IdKs9I+yCavzq3gFuC zTcHsFTMP0uBE88jTUk5zWSaexsTU24ar2/Q8IhaaZ6PyPH5ezGNhlXcscNP3Nktp4W7gTHB5sr4 hVKes1Zn3jL5Ou6uNgpbwcbz3fGPuN6ryCsSbhUR1ivWpmsIjDmYKK2/ZO2RoKPXn8Zd8kAANXQv SvfYlM3gtbMfuihdMxn4PkxKlHGr8Zr6IUyTt56QMULlrL8ephB5O8HKkLi9Eu023WKpMGy+pG9r gTv2BWjNjIgVAOhzMC9389e91h0gku/M7YNWeSN9+6LHrHahPypaG5PIryupPFaYECBL+h+W7yIQ UhCSjfFSAHclnF7nbAYglWEzbVlnG+w6nWBmZXm/MimhnwSQWnwOd22GoqOOvhPx1YCKSYa7MEa1 /mZ+4hcAsOwxFGxTado0mgks5trlNTHlYo83PcshuZzDsx6xuy1IA8BpnXPn1/nQQyiy+5F0qQZR S8Df4SOUD3y7jDwhqsVpnmaDce9UnVY5mSYZrJEl9ea/Z6IN1vGEg16QLb1wXJrH0KWuSUyswkN2 6dikMBpZWRScHW412aZAKorM2FvRHt7AXU4Y0ACv4+mjTz6Ci3rVko2bv2wxpODwDbsmAAd3cnq1 lq1u/X1eG/Ii5nDJYGV5aGW1okD1l+ppT5uqw/GlSC3f+gaGEbyLXNpnNm4SK68lmN0M3rk24596 9crQfSwyJWbb++IYbrnN/JqoHY3JoDNkOkKtyTEVeXiLH0FijUiYH+CrhrhGS99HOryI26QzsVXY jbvKU3HeRuLj4KDOoxEqS/891QS7yjF7nrWtpA9J9gGokUvT2GMk6nWRXJRPMME7u/D0kTgXpLKk xrzRFqIeGelsK4CFpdkaZ7xRVIO7vNFADaS5bxqLesL0uLyLwBNjsfepgLp882Ni3vyyY4ai6DKX 8Quq6N1xQ9HeH2zJz9kgAeuKykZHGIiSqt5ilCaCSaDD7zK3Rw73Ip9YPVfco+iM8yFhKUc/tlD7 MnWJh/MZA5OkEiPeDwyffh5v2pqgOP7IQqyldyk2X5ku1y4UUono4TkL1xvDGuhvm5CrXDGyA29a KJE4fLZ4CGmoNxCzuYz3+C5Yzeq/YYyAdKNgr8Z0ZswXzanezhodcL06Oc2ww0KGqMW/RzXTORjx yAdnElnGtm86OjvXM6M2KdCtnLR/7AGsRNeb47cC14OxDiwlEwsyi0hATgr6JPeVPLU6oFVOAEmv 2kDMh5XQWnhpWlHRtOjRPbUj3hhzsiJnoW9IqD7zmh+v/h/xj4+T+E9v3mjtz4TnNqTj87Cwrzk8 prF582vw4/mvvUqG+PStnuJA2XuGpxG1faP9Kjj8LBmJdPKK+lLxmW+IM0lk7VPadqrnyKGs0kiU q09sdaCwbxjnXzfGKdlbwJSvJiZqBfTr5fMs33msWVCETjWk2U9D9mNHjOyX86fNeg9UFDNx+dN3 fCCzFSFUyJCRQBU2dXKCmPjeX5opnN+lFGS1mxG+0PRjfnbtyw3/OjuKV1APdGqMF/YKpf1Sy12l bsOuS2lUpb6cg49o6Ya2DxzKmiQ4QFc7WKBzVQ4CvU286jzl0nC15uvWWYaHSpo0njGZL330LZ10 kr6/xarMGyt/oZkcTl6b4a8rMqyPFIhMaWBqqf3eSdk3X/Jirq7YJp8+ACwrra47uQzfMKEQfcyi o+VQmEHNkta2k/trDMx3O/B9nUJnbXArIEhSEkEzQjPuk/u6fJGP4ZDz10xEOiU3oZgTbPdhNl10 P409XEtLYgKS2lhpBICUSjRu6lKS7Qqa/zH01nGxT2DP+WabwpfLMg6e45GOLI7D6AwWJqu239mU B5I23SDuXGSmxPCE1zHL48H2nbxiCRX2SSU0RP9tDwaUHBwkWJfZEp+KoLkrA2fedY6hAH8y46pe e08nyAsIb+SwUjOCp7Vw238ebhT/YW6dJwO2zHg6/WhG+/HqOM8iUIjWGYUeTnxTrzUmC1N727P6 7g2OxPIehhe4asnrQY9Hu6q6AO4Y3qE4Is3YpRmKZrEg0BE1qzUkv2GZsK6+1gN4qKGLPJrxrEMa TVkIBZP0t3aiU83V+aBs8TkSLXwwZe4v7lapnhyCiXNWSIPzsNHdOoJPHU4vM5amjOMDZ83zPfUG WaPNDsuB2WCI2nE+9oTsoXTbAxnxOE+jeOBumbypi0VPk4F5+lUfJj/a/zEBdRAyiml/CAPDCN8/ LvtohVBTRu2CN882hwE2yIqlrhgwSNs3f24ALf/JeT99Ckb3lMD2SwMlb7sNOOgtTN+Adp7w8d+5 4ejWOKBbFheoAPvtK2iJI0IpOX8IYmcgRA56PiQu6BxxCHh8lNLnr1SJrp8v3xbqVZ4pSfHit3hU L5zATmTvFRT5JgjPPocjA8k1CEjM6KH+MDMbg85AU1Kru+ciJYyh1VC64kA++toszYVV4J3exbOm izG3RoLyHy51nmCLTSVz9lC9H1R/ZDInZfgQ3xfK0Oau7fJ8Fzs2h3dfIhUg5qV6LFF2LPBUDSR5 9RaZQ1tj+qv7uYzkuW/eLDM7RaU2ExLEvXQHhb7WPZOT9CzTF6JGAxGk1vMLjr7do9a/QqPRu8O4 5xU9eTOwpZwAErPEyEE6Hw2eYudyC1Assn+EXTp43aXWgzACJrEVjjZxdx77xOtouNZ6wVpFsPop vXaSHMxnEQqyxLsmym33lKLU+KMgHhQ1ZtUx87SPB3e0Y+fRO0DryXZYh2YXaBrXphbIZOT6Xkkg om83msSJm1lgRiE0A2OsLVsWyInL5rsbcosWcL5g8q/9508JrF1jLVs5Nb+qcB704awS5fEietLJ wWxTnSh1QgSmHpvEDLNLrT7/BwPF9JzeIoT3/HsrFe+RsFwg24UxV/hn2F/5nyzinqe+2rzKHpoI vHFDKifPsD0tkBZzpajQEZWpMpyYNIgq439ZWfXqPV8vcl8gRbTPSC4S0IYikw1I2xFt4ZHTPegC vwsqsWQ/o9TmtbeE97UBqRbp6lTDEVWHAWRZerOt62ZAQHO/Vg1PqXXl8vABxwb/2NBAQovVsDnj iZSaQsR1HIxvGJL6l86JwHbDwyeXTU0ryr642l7LaOi6KGZ52iuzvazkPJ9Y4NJ02w8XghppWWlM qfZ7L9td+ZgvVXpojZSEePFMuJxSRSJI/9Aeuh1hJavy943J5v5SGBxVdwmjWqtpxSdkFPCnO0+7 1MG3xcgat7s6Zv9/aJozDNJ7R0AtHcaIOWtYzJloHJp34/20Op79oi1FFDUIC0UDpJjQz3jW3hPR pyKDW1PI6ACrDMsc3PBvppY0GwmS8ElNNhI0Psu4txH+r+TZPaxQWf5tGfs5IA+WKhMMudoHgAHF Bt+6GCq2xwCG7oBF2nZvHG6y7J/fF38WWKK2I8ir1el2Ij/MlnNS7T23GfMSeAetaXu7Z6d4Hs6N EYuryIrxhOQK1/0uBSbmLsz6/hB1oEkXHZWzHEpmHl3F8egAzUrSh8778DMGR/jes+Es89z6u7hz aEYE3c82eHYtO0tP7w4PbQ8Bvc2vyE64fLv+lrhw6NxHLP8JDoQPEuHMjQywfb/XPhNQ+MCweTTZ oxOczRAw4i9p+hqDnS4aCekL30j0nOC/DgRDCZZIS9lyPUKFADNmQPedBBwQ7TmCuvEof/4CZyAv 6u02YNJ1LLND5VaG9CxNPIv48bQl+fmR7pEfoPmRSDhTJXljSzIyS7y/myHT0eA6Z/91J50UZtWT aCq2cGtzNaGSLlgnf9+zKuoPoPGgKowGN3tw1nJoKn1to3u2rrmzjWAhT1/jhH2nRYutCijmfUzr 5gZ7tehUPyKzxUL9pHrVZ8KcQbJzBcSngXxS3+9jPXkX/iH/Yl0QPQKG/oO0m5RgfI9OysX79m9j J9UcVS3jsNbBppBWCaBwbbgdD9ccIgFw8+JZObkTEHzaiGsHkJUH8USmcrXo1Q+iQlyYJajF0QrL 8KdgZH0UAy90qrkQ65A9u6L1dsCWY6pk3UlbZvVcnCtURmimD8xpNuFotKbskaFE9wFJR2zf1GUU d6phw6ar2NAXGfSPdFoJ8DH25GaRnjlThIeuFJt2/qE4e5RGQQhnXtlIJdH1CXleMycQMTnw4JQf SzkJy0kdaWBDdQGK1RbqRNadXRY2miqhgItt3+nBNpZBbJXXbJTXZEoEDwqSnxY4nlQpo7kYKRcQ ZvZdVUjCbPsT/30y0ibek4BKPGXRm4s+5HI7WYqxbUT8UaUlwIfMmsLj756V+H777XL4lqVXCnoC JtMVURd5ye5kvxQuGn+4sniFiEkd6X8r4mVvpSJwdyxy9z9P/j2Ol6SVZKkOKkMqvX0kfaOx0m13 DsCjzq6TpoM3uE1zrP80p6WTvWd3JqNuhJpD+bw0EAf0eDjK74pazyUqbSjJcdLaqoi/kvki+Vfb CX3XrW2pG9lBGiPxgbuOO+dCcqbLzg9ugut2ySIzxogR+AqlZTwdiQrlJih31ONU8mGG6DC9qaj4 0pnX7lEdyXnzux6ONV6F1pVI4QzdXtM1zllR1+R8Ox1jzWZElpGSXcc4+LbvB5THjcI4ngirLTHz TmtXvrbefPvJ8zmMkml5dIcSAgotDRIRuatim5RD/u9TqEJbz3jaCtfweSL0aoDHNfP1sAJyvx5C gZMAFVlGtTM2AsoC7m/aNQUAxSm6fR4qQf884sdHqnWOmeSu1NsEWZyUDzhcftl5NrZ6KefZy+wE Td1L/yC1/aBWnuXL7OnW9z4mdMVCzvGCsxpjmUTiQdEMOGEf4aiQNqe/dAbvuOcBotzC7GyuVYqR nM2lrf/pznBRIRRhDRVLl1+93lJcRcy9FaOvJ/VyVeIhcIYEhUVO1sdNOjkc318viufXADpp5Ove 16ynUJjEXNSkw1fenwJ0TPcSnZqBHc5vKdRX7gjloPkCoh/Yv0V8X0J5hiYiqzlzSaza7Hhd61O3 0DYakTIPLZgMIz0vJyqk8Aqvv/Mo5ZZuJfVvu1UvZpZPVDERlIrKV+kS9DV5lHOjuUA2ZVEjM6W2 B66XDaU4jAiB0dFnvif8ms8og0AGj7SBwto9Gd1FzUdxlJ3uyNnPxop+i7VkaGCwOeZ7LbHRWhfc 68BhBlZaxTKJV4C+sDoky9lbaC6yO9pf2ZqvBoeE3T4I4aVWnPOVSkjdeeWIcakqa0wi1gfeRJo6 oTWso3GFRb1JhjX4fLFeSJXfHvIQIF43iksYaf/VtQdO05jTHvvInVUnnraCp4KwG2Rp/p0FWFsI OadKXGjAwHo0oZKBXpZB0Yz2JLkAoI/0K1V5xs7oDhkEUxAjJVhpsIpkHtA7majkks1fBXGtp3kC Q5xF2mAU8XnnTr8K/QfcxA8P8FTo1e5KjPeXGEoIIjBdAoIysykPHwHnS3lgUv7bnKicvkpvxCzO W6BrEr82/bnFoqYIrnOllmSBfxH1h7Sw059BEynaL8siK70hU2Xuc8WodbTtqNoWfc9S3toAnHbR l4Z2mKX/1JVr+huZkJsVZIaDmV7096tKeiNG6MMXE5juV1aEdmynDaniUVk/fm1KgleG9DWu2v1i STq1DyhS0iGLJVWpWp5gMQgTgrlFiKCYcISV1/+rIPjrbqkjUP+pO3x7tePZ2Vt1zUmCDM5VoYON 8Hw0/doAgu8k+13a2/YcYf+DE3ISrnXoShCr81hwDGWnvCslkC1t7O8+wMhu2VAkIJrxfYgrxcgK S9GObvkPxAkBt/fNxg6sRVF0ofQAJiZE4vfotEJ8oHjigpSGQCUXYIZe2LQ2XzaB4ZTEAiuykMYZ WoXANOeEPBCxEmH1wFiUJJP/gM3B1vic5G0eKQVcTxpgpLEMJiPF1tXoi0NH25bVfxZBTlBzqsmT WB1ALU+43Li8y6RWIN3nv0YcYh37e/lFvZ9XPyZx6Y3FlL3cHaOzZ+UmNBj5JlmzgQBPAE+SXRPt OGCYx4njfqJY/bkve3/wXIVCyTnzIjn4o61wUN/NByX/vZhgpGf5dPqeY6CT/QTcsMvvVIeIvfrN i7WKJrVNt23aiQi5dhElvPKiRnGv4dLVtwaUyqTbTAYptnqyFnlMXPEI8ZhMOPvJ10Pon2Ga29b/ 4miczh6KExPCxTlIjRIJKGKtvEf2FOcnrHbQDHfvkecaELB9x7rt7rj9Bw962VsYTOu+hJd5M46N 9Yzjh8FFDs3dWhs2Tz5p6el2Yqj/zoM/O4fta/EAuG4eVN4edo8qmjGGr5ctTDvYbdz9xLWLRSkK a0NLBZ0hZLNoRwRR7akEW5sQKAwt5VM66ndaC7TL6k7Inq/KC/iTXlB0i4+p7oqtqHV7X8oaG2kC JTAQBwPXaRfSTUyg2ydVaH2SaqQla9of/EMZjseercbQ/qpgnYLDub7vhh5kxYWjPR3mgOFYsdLS 0xnYGvS9Nuj8fR97XV6DG0bQnNpM62u097UrWlH2PXN+w+bB2FnMDZ9eyx7IF43/kcXwg0ko6SOz u7fPnrXgThaxYXj03xYdov2SNDZ9yrbx7x6WcFY+6jnU1iYgDZ/dOmINEDQda6+FJSu4yDKIqbXz zUomq8RPuhltgXgVpaMQ8ndd9dMoAOPMJlzzSmTkReAqTr3DlWIxjB+S4Ii44JDletw2McN0H423 gEjrykQyyBBWobg6oTOxKp5DNEpTNTLYpMcj8lmJmKRqyrqgK6iiSAlFq7DSegk+lHcC5OMRGTpT yx3MHxhbT0YnRybkm0CTCiViq6ct61UWP4ztpPJuYhF+ysHHRFI+XI1QBRyh1F31CIVuLOHqiobE F9rWdxCnFQNfdOOJL20lzBfHjKgDEI1Judu9XLx0HPw43lXgvJgInSQjzspAyhRe4NKL1QN1O60C sJqDwl5QIhwXGjnb3P7vdJw1lqVMYQpY81BlRdHDTwoehsOM4o1ksmASUrKIHAqNFkhd3CBpbYe/ ZL/y/1xoliwuo7U/Asbo7xuP+YprSBEmaBCRtodOH3gJ143Yd2Z2AquTXmPiBlaLk2ONz9hLJF4W NQQ17pSmWbN1rTmUhyZ0XbjVJAVSb5Rp254IEBXtan0c/Uj3hkA0NtTuzaanvSdkQxePzV3qTm52 /0aQDNZqOdo2EcGqcD/P8ayKoVsD8thNDyQ7KGn9gZZFpHMT0BxoWC5PAhvZbCUHsDi88drAwXp+ TeeDtoA2S11JW4hXPQoy5o+8UzO0WlSQKqbNPYRaPbGL122DnHiXoJDLJvbjdDnL2Ri+h4wtXzaG Pw5ZQZGeMwRjKKUaNc6vknkexPSQ4z77L9LffcQKeEvzpuSCS8Z6BML21J/bx4s2SD4ey7eSOBRV P/qfcZ8VwYVbHegj2ivrtGgCK62q/sAgHVQslEeiS/+088XErXALhxaSNmEp8DosuMGsZRSUV5S9 PE7xQ6TJ6Jp9U4E3nR0CD5xbd/lNgKq7UeirpmzuSQYyi6zBom8KAM1KOrcNs1Agcy5G/bjhkIwP BkJNAq5qZyKJB5sYTAX9vm16NLjEdhWN/NKftaHxCYU6n6tZaiuTyhiQr7g5SbTC1dukWF4WOxu9 Bxb0QMFglI37XwytBLmzVjlcALCEbbwKgG4GjQtgPlczCTSYMkApR37aXdPnXunUZ7QgA4vLeJSY dJDyYk6FLPe5z6Y+cQ3AEcL5Y9vayY2xabYG1Z85UYiEYSXwpS9itwPf4wRjf3XZNHECyl7RmNwN 2ZC1G65C9fXBYi2zK1x7NBOj6la23a2sTssU+f7LPC3YhLpRivx9754+Lck7Tp/EznVJdsm6U1il /LRc1rokghM3J2fbBxv+7Dtn2mnC6a3BstZWNcYiFVEk2IjeDTyjS3EK+HqyWgGVEn8rCo4iwHLg o7+Ph+bkjbCkxrDG/ZkN/6UfYnBGtXwUrVPqO/fFpkE6TvUTcCu3Cobo7j5rgWV+YTGN6y0NaLJi tVqzUSfdk2pQkqKaXMb86BJWCKeq7uYVIW4aULXqI9WDW5mJxyjfCIJma4G7Tf0/P4zRk+ThaIO+ ZaVaWE5Tk9qQUu5Dl8g9Hi7GTIM7Dxxib13gsYKx4zr0ZKUgWK/+KaAn+0Dz1Dim9zhNKQfp2+Q8 fy6dpvPt3uflwwLZc3ItNKtkRP9G0sUbt4eiClRfF7IGxcYb/udOYynD0nEJhu1tOfQ1G56TUqlW KJRdMqNSUIP5/lkZSOdBflMdBbNZ2RYXrZGenzuz2HOGKlQgfBCfLNxPxgvHJO66XnG7wo25bStf +SGI3NxKIp/gTRCBT5rbFmJJN/5lqVBYNP+zpSr9C+rlk9AEQCBOocmYqXpNPkEFEpAUHqLp+/HW aq7kOS9s74ETGE1YMjx2we9mBuAAFSiCu0XjtlFD1e01cdiOIF5tkagcwM0cBZIXtTY3lTILSzME krmMbecB6QCLVHx3Dsf7krfaaIo5GCikqBcWHY7tyt4QVsT6nKYuEw4lquT1BlbnhhvE4nMlSS8+ fRC0Pdocsvoj3678ipe9toL1EBrTJIQJzib7ze/BOBDnZNH/d6T2BT1lwJIRapWCzDuLxjcSmQH6 sgXgJ3DJnfWcnsuYYgK/pnEFxA== `protect end_protected
apache-2.0
a16d6e6c69e7fb2e67cbe7ea162608ce
0.933641
1.879615
false
false
false
false
jdryg/tis100cpu
instruction_memory.vhd
1
1,723
library IEEE; use STD.TEXTIO.all; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity instruction_memory is generic (filename : string := "unknown.prg"); port ( I_addr : in STD_LOGIC_VECTOR (5 downto 0); O_instr : out STD_LOGIC_VECTOR (31 downto 0)); end instruction_memory; architecture Behavioral of instruction_memory is begin process is file progFile: TEXT; variable l: line; variable ch: character; variable i, index, result: integer; type memtype is array (0 to 15) of STD_LOGIC_VECTOR (31 downto 0); variable ROM: memtype; begin -- Set all instructions to NOP for i in 0 to 15 loop ROM(i) := X"0000"; end loop; index := 0; FILE_OPEN(progFile, filename, READ_MODE); while (not endfile(progFile)) loop -- Read a single line (1 instruction) readline(progFile, l); -- Build the instruction code from the individual characters. result := 0; -- Translate each character to its value for i in 1 to 8 loop read(L, ch); if '0' <= ch and ch <= '9' then result := character'pos(ch) - character'pos('0'); elsif 'A' <= ch and ch <= 'F' then result := character'pos(ch) - character'pos('A') + 10; else report "Format error on line " & integer'image(index) severity error; end if; ROM(index)(35 - i*4 downto 32-i*4) := std_logic_vector(to_unsigned(result, 4)); end loop; index := index + 1; end loop; -- Read memory loop O_instr <= ROM(to_integer(unsigned(I_addr))); wait on I_addr; end loop; end process; end Behavioral;
mit
3ebded22a0463e2f2da658458df45dea
0.587348
3.689507
false
false
false
false
sils1297/HWPrak14
task_3/task_3.srcs/sources_1/new/tri-state.vhd
1
737
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tristate is Port ( -- Interface to byte_ctrl scl_i : out std_logic; -- i2c clock line input scl_o : in std_logic; -- i2c clock line output scl_oen : in std_logic; -- i2c clock line output enable, active low sda_i : out std_logic; -- i2c data line input sda_o : in std_logic; -- i2c data line output sda_oen : in std_logic; -- i2c data line output enable, active low -- Interface to the outside world scl : inout std_logic; sda : inout std_logic ); end tristate; architecture Behavioral of tristate is begin scl_i <= scl; scl <= scl_o when scl_oen = '0' else 'Z'; sda_i <= sda; sda <= sda_o when sda_oen = '0' else 'Z'; end Behavioral;
agpl-3.0
0c3f78280b059d90adadf6fe19073a85
0.645862
2.901575
false
false
false
false
rcls/sdr
vhdl/quadcheby.vhd
1
5,391
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.defs.all; use work.sincos.all; entity quadcheby is port (D : in mf_signed; Q : out signed36; last_in : in std_logic; last_out : out std_logic; clk : in std_logic); end quadcheby; architecture quadcheby of quadcheby is -- We implement: -- d/dt U = - D - alpha U - beta I -- d/dt I = U + V -- d/dt V = - alpha V - beta I -- Q = 2 alpha V -- Letting A = U+V, we have -- d/dt I = A, -- d/dt A = -D - alpha A - 2 beta I -- (d/dt)^2 A + alpha d/dt A + 2 beta A + d/dt D = 0. -- Letting B = U - V, we have -- d/dt B = -D - alpha B -- In s-domain, -- A = -s / (s^2 + alpha s + 2 beta) D -- B = -1 / (s + alpha) D -- Q = alpha(A - B) = alpha [ 1/(s+alpha) - s/(s^2 + alpha s + 2beta)] D -- = 2 alpha beta / (s + alpha)(s^2 + alpha s + 2 beta) D -- For reference: -- V = beta / (s + alpha)(s^2 + alpha s + 2 beta) D -- U = (A + B)/2 = [ 1/(s + alpha) + s/(s^2 + alpha s + 2beta ] D / 2 -- = D (s^2 + alpha s + beta) / (s+alpha)(s^2 + alpha s + 2 beta) -- = D [1 - beta / (s + alpha s + 2 beta)] / (s + alpha) -- I = -1 / (s^2 + alpha s + 2 beta) D -- We have 4 channels TDMd over 16 cycles. -- We want an overall bandwidth of around 200kHz = 1/1250 f_clk. -- With beta=4alpha^2, the bandwidth is about pi*alpha rads/clk -- = alpha/2 f_clk. -- Remembering that f_clk is 250MHz / 16, take alpha = 1/32. constant alpha_b : integer := 5; -- Split beta into two parts; one applied going into I, one coming out. constant beta1_b : integer := alpha_b; constant beta2_b : integer := alpha_b - 1; constant iwidth : integer := maximum(37, mf_width + alpha_b); constant itop : integer := iwidth - alpha_b; subtype acc_t is signed(iwidth - 1 downto 0); signal U, U_a, U_b, U_c, U_d : acc_t := (others => '0'); signal V, V_a, V_b, V_c, V_d : acc_t := (others => '0'); signal I, I_a, I_b, I_c, I_d : acc_t := (others => '0'); signal Uaddend : acc_t := (others => '0'); signal Uc, Vc, Ic : std_logic := '0'; signal phase : unsigned2 := "00"; signal strobe0 : std_logic := '1'; signal strobe1 : std_logic := '0'; attribute keep_hierarchy : string; attribute keep_hierarchy of quadcheby : architecture is "soft"; begin process variable U1, U2, V2, I2 : acc_t; begin wait until rising_edge(clk); strobe0 <= phase(0) and phase(1); strobe1 <= strobe0; if strobe0 = '1' then V_a <= V; V_b <= V_a; V_c <= V_b; V_d <= V_c; I_a <= I; I_b <= I_a; I_c <= I_b; I_d <= I_c; U_a <= U; U_b <= U_a; U_c <= U_b; U_d <= U_c; end if; case phase is when "01" => Uaddend <= resize(U_d(iwidth - 1 downto alpha_b), iwidth); Uc <= not U_d(alpha_b - 1); when "10" => Uaddend <= resize(I_d(iwidth - 1 downto beta2_b), iwidth); Uc <= not I_d(beta2_b - 1); when others => Uaddend <= (others => 'X'); Uc <= '1'; end case; if strobe1 = '1' then U1 := U_d; U2 := resize(D, iwidth) sll (itop - mf_width); last_out <= last_in; Q <= V_d(itop + alpha_b - 2 downto itop + alpha_b - 37); else U1 := U; U2 := Uaddend; end if; U <= U1 + (not U2) + ("0" & Uc); if phase = "01" then Vc <= not V_d(alpha_b - 1); Ic <= U_d(beta1_b - 1); elsif phase = "10" then Vc <= not I_d(beta2_b - 1); Ic <= V_d(beta1_b - 1); else Vc <= '0'; Ic <= '0'; end if; case phase is when "10" => V2 := not resize(V_d(iwidth - 1 downto alpha_b), iwidth); I2 := resize(U_d(iwidth - 1 downto beta1_b), iwidth); when "11" => V2 := not resize(I_d(iwidth - 1 downto beta2_b), iwidth); I2 := resize(V_d(iwidth - 1 downto beta1_b), iwidth); when others => V2 := V_d; I2 := I_d; end case; V <= V + V2 + ("0" & Vc); I <= I + I2 + ("0" & Ic); if strobe0 = '1' then V <= (others => '0'); I <= (others => '0'); end if; phase <= phase + 1; end process; end quadcheby; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.defs.all; entity test_quadcheby is port (clk : out std_logic; Q : out signed(35 downto 0); last_out : out std_logic); end test_quadcheby; architecture test_quadcheby of test_quadcheby is signal clk_main : std_logic := '0'; signal count : unsigned(10 downto 0) := (others => '0'); signal D : mf_signed := (others => '0'); signal last_in : std_logic := '0'; begin uut : entity work.quadcheby port map(D, Q, last_in, last_out, clk_main); clk <= clk_main; process begin wait for 2ns; clk_main <= not clk_main; end process; process(clk_main) begin if clk_main'event and clk_main = '1' then count <= count + 1; if count(1 downto 0) = "00" then last_in <= '0'; --D <= (others => '0'); end if; if count(3 downto 0) = "1100" then last_in <= '1'; end if; if count(3 downto 0) = "0000" then if count(10) = '1' then D <= to_signed(262144, mf_width); else D <= to_signed(-262144, mf_width); end if; end if; end if; end process; end test_quadcheby;
gpl-3.0
49caa9262dfd50525df5c06715455b5e
0.519384
2.804891
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/mdm_v3_1/0d9386c2/hdl/vhdl/mdm_core.vhd
1
92,795
------------------------------------------------------------------------------- -- $Id: mdm_core.vhd,v 1.1.2.2 2010/11/30 08:14:03 stefana Exp $ ------------------------------------------------------------------------------- -- mdm_core.vhd - Entity and architecture ------------------------------------------------------------------------------- -- -- (c) Copyright 2003-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: mdm_core.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- mdm_core.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision$ -- Date: $Date$ -- -- History: -- goran 2003-02-13 First Version -- stefana 2012-03-16 Added support for 32 processors and external BSCAN -- stefana 2012-12-14 Removed legacy interfaces -- stefana 2013-11-01 Added extended debug: debug register access, debug -- memory access, cross trigger support -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity MDM_Core is generic ( C_USE_CONFIG_RESET : integer := 0; C_BASEADDR : std_logic_vector(0 to 31); C_HIGHADDR : std_logic_vector(0 to 31); C_MB_DBG_PORTS : integer; C_EN_WIDTH : integer; C_DBG_REG_ACCESS : integer; C_REG_NUM_CE : integer; C_REG_DATA_WIDTH : integer; C_DBG_MEM_ACCESS : integer; C_S_AXI_ACLK_FREQ_HZ : integer; C_M_AXI_ADDR_WIDTH : integer; C_M_AXI_DATA_WIDTH : integer; C_USE_CROSS_TRIGGER : integer; C_USE_UART : integer; C_UART_WIDTH : integer := 8 ); port ( -- Global signals Config_Reset : in std_logic; Interrupt : out std_logic; Ext_BRK : out std_logic; Ext_NM_BRK : out std_logic; Debug_SYS_Rst : out std_logic; -- Debug Register Access signals DbgReg_DRCK : out std_logic; DbgReg_UPDATE : out std_logic; DbgReg_Select : out std_logic; JTAG_Busy : in std_logic; -- IPIC signals bus2ip_clk : in std_logic; bus2ip_resetn : in std_logic; bus2ip_data : in std_logic_vector(C_REG_DATA_WIDTH-1 downto 0); bus2ip_rdce : in std_logic_vector(0 to C_REG_NUM_CE-1); bus2ip_wrce : in std_logic_vector(0 to C_REG_NUM_CE-1); bus2ip_cs : in std_logic; ip2bus_rdack : out std_logic; ip2bus_wrack : out std_logic; ip2bus_error : out std_logic; ip2bus_data : out std_logic_vector(C_REG_DATA_WIDTH-1 downto 0); -- Bus Master signals MB_Debug_Enabled : out std_logic_vector(C_EN_WIDTH-1 downto 0); M_AXI_ACLK : in std_logic; M_AXI_ARESETn : in std_logic; Master_rd_start : out std_logic; Master_rd_addr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); Master_rd_len : out std_logic_vector(4 downto 0); Master_rd_size : out std_logic_vector(1 downto 0); Master_rd_excl : out std_logic; Master_rd_idle : in std_logic; Master_rd_resp : in std_logic_vector(1 downto 0); Master_wr_start : out std_logic; Master_wr_addr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); Master_wr_len : out std_logic_vector(4 downto 0); Master_wr_size : out std_logic_vector(1 downto 0); Master_wr_excl : out std_logic; Master_wr_idle : in std_logic; Master_wr_resp : in std_logic_vector(1 downto 0); Master_data_rd : out std_logic; Master_data_out : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); Master_data_exists : in std_logic; Master_data_wr : out std_logic; Master_data_in : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); Master_data_empty : in std_logic; -- JTAG signals JTAG_TDI : in std_logic; JTAG_RESET : in std_logic; UPDATE : in std_logic; JTAG_SHIFT : in std_logic; JTAG_CAPTURE : in std_logic; SEL : in std_logic; DRCK : in std_logic; JTAG_TDO : out std_logic; -- MicroBlaze Debug Signals Dbg_Clk_0 : out std_logic; Dbg_TDI_0 : out std_logic; Dbg_TDO_0 : in std_logic; Dbg_Reg_En_0 : out std_logic_vector(0 to 7); Dbg_Capture_0 : out std_logic; Dbg_Shift_0 : out std_logic; Dbg_Update_0 : out std_logic; Dbg_Rst_0 : out std_logic; Dbg_Trig_In_0 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_0 : out std_logic_vector(0 to 7); Dbg_Trig_Out_0 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_0 : in std_logic_vector(0 to 7); Dbg_Clk_1 : out std_logic; Dbg_TDI_1 : out std_logic; Dbg_TDO_1 : in std_logic; Dbg_Reg_En_1 : out std_logic_vector(0 to 7); Dbg_Capture_1 : out std_logic; Dbg_Shift_1 : out std_logic; Dbg_Update_1 : out std_logic; Dbg_Rst_1 : out std_logic; Dbg_Trig_In_1 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_1 : out std_logic_vector(0 to 7); Dbg_Trig_Out_1 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_1 : in std_logic_vector(0 to 7); Dbg_Clk_2 : out std_logic; Dbg_TDI_2 : out std_logic; Dbg_TDO_2 : in std_logic; Dbg_Reg_En_2 : out std_logic_vector(0 to 7); Dbg_Capture_2 : out std_logic; Dbg_Shift_2 : out std_logic; Dbg_Update_2 : out std_logic; Dbg_Rst_2 : out std_logic; Dbg_Trig_In_2 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_2 : out std_logic_vector(0 to 7); Dbg_Trig_Out_2 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_2 : in std_logic_vector(0 to 7); Dbg_Clk_3 : out std_logic; Dbg_TDI_3 : out std_logic; Dbg_TDO_3 : in std_logic; Dbg_Reg_En_3 : out std_logic_vector(0 to 7); Dbg_Capture_3 : out std_logic; Dbg_Shift_3 : out std_logic; Dbg_Update_3 : out std_logic; Dbg_Rst_3 : out std_logic; Dbg_Trig_In_3 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_3 : out std_logic_vector(0 to 7); Dbg_Trig_Out_3 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_3 : in std_logic_vector(0 to 7); Dbg_Clk_4 : out std_logic; Dbg_TDI_4 : out std_logic; Dbg_TDO_4 : in std_logic; Dbg_Reg_En_4 : out std_logic_vector(0 to 7); Dbg_Capture_4 : out std_logic; Dbg_Shift_4 : out std_logic; Dbg_Update_4 : out std_logic; Dbg_Rst_4 : out std_logic; Dbg_Trig_In_4 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_4 : out std_logic_vector(0 to 7); Dbg_Trig_Out_4 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_4 : in std_logic_vector(0 to 7); Dbg_Clk_5 : out std_logic; Dbg_TDI_5 : out std_logic; Dbg_TDO_5 : in std_logic; Dbg_Reg_En_5 : out std_logic_vector(0 to 7); Dbg_Capture_5 : out std_logic; Dbg_Shift_5 : out std_logic; Dbg_Update_5 : out std_logic; Dbg_Rst_5 : out std_logic; Dbg_Trig_In_5 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_5 : out std_logic_vector(0 to 7); Dbg_Trig_Out_5 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_5 : in std_logic_vector(0 to 7); Dbg_Clk_6 : out std_logic; Dbg_TDI_6 : out std_logic; Dbg_TDO_6 : in std_logic; Dbg_Reg_En_6 : out std_logic_vector(0 to 7); Dbg_Capture_6 : out std_logic; Dbg_Shift_6 : out std_logic; Dbg_Update_6 : out std_logic; Dbg_Rst_6 : out std_logic; Dbg_Trig_In_6 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_6 : out std_logic_vector(0 to 7); Dbg_Trig_Out_6 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_6 : in std_logic_vector(0 to 7); Dbg_Clk_7 : out std_logic; Dbg_TDI_7 : out std_logic; Dbg_TDO_7 : in std_logic; Dbg_Reg_En_7 : out std_logic_vector(0 to 7); Dbg_Capture_7 : out std_logic; Dbg_Shift_7 : out std_logic; Dbg_Update_7 : out std_logic; Dbg_Rst_7 : out std_logic; Dbg_Trig_In_7 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_7 : out std_logic_vector(0 to 7); Dbg_Trig_Out_7 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_7 : in std_logic_vector(0 to 7); Dbg_Clk_8 : out std_logic; Dbg_TDI_8 : out std_logic; Dbg_TDO_8 : in std_logic; Dbg_Reg_En_8 : out std_logic_vector(0 to 7); Dbg_Capture_8 : out std_logic; Dbg_Shift_8 : out std_logic; Dbg_Update_8 : out std_logic; Dbg_Rst_8 : out std_logic; Dbg_Trig_In_8 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_8 : out std_logic_vector(0 to 7); Dbg_Trig_Out_8 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_8 : in std_logic_vector(0 to 7); Dbg_Clk_9 : out std_logic; Dbg_TDI_9 : out std_logic; Dbg_TDO_9 : in std_logic; Dbg_Reg_En_9 : out std_logic_vector(0 to 7); Dbg_Capture_9 : out std_logic; Dbg_Shift_9 : out std_logic; Dbg_Update_9 : out std_logic; Dbg_Rst_9 : out std_logic; Dbg_Trig_In_9 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_9 : out std_logic_vector(0 to 7); Dbg_Trig_Out_9 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_9 : in std_logic_vector(0 to 7); Dbg_Clk_10 : out std_logic; Dbg_TDI_10 : out std_logic; Dbg_TDO_10 : in std_logic; Dbg_Reg_En_10 : out std_logic_vector(0 to 7); Dbg_Capture_10 : out std_logic; Dbg_Shift_10 : out std_logic; Dbg_Update_10 : out std_logic; Dbg_Rst_10 : out std_logic; Dbg_Trig_In_10 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_10 : out std_logic_vector(0 to 7); Dbg_Trig_Out_10 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_10 : in std_logic_vector(0 to 7); Dbg_Clk_11 : out std_logic; Dbg_TDI_11 : out std_logic; Dbg_TDO_11 : in std_logic; Dbg_Reg_En_11 : out std_logic_vector(0 to 7); Dbg_Capture_11 : out std_logic; Dbg_Shift_11 : out std_logic; Dbg_Update_11 : out std_logic; Dbg_Rst_11 : out std_logic; Dbg_Trig_In_11 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_11 : out std_logic_vector(0 to 7); Dbg_Trig_Out_11 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_11 : in std_logic_vector(0 to 7); Dbg_Clk_12 : out std_logic; Dbg_TDI_12 : out std_logic; Dbg_TDO_12 : in std_logic; Dbg_Reg_En_12 : out std_logic_vector(0 to 7); Dbg_Capture_12 : out std_logic; Dbg_Shift_12 : out std_logic; Dbg_Update_12 : out std_logic; Dbg_Rst_12 : out std_logic; Dbg_Trig_In_12 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_12 : out std_logic_vector(0 to 7); Dbg_Trig_Out_12 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_12 : in std_logic_vector(0 to 7); Dbg_Clk_13 : out std_logic; Dbg_TDI_13 : out std_logic; Dbg_TDO_13 : in std_logic; Dbg_Reg_En_13 : out std_logic_vector(0 to 7); Dbg_Capture_13 : out std_logic; Dbg_Shift_13 : out std_logic; Dbg_Update_13 : out std_logic; Dbg_Rst_13 : out std_logic; Dbg_Trig_In_13 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_13 : out std_logic_vector(0 to 7); Dbg_Trig_Out_13 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_13 : in std_logic_vector(0 to 7); Dbg_Clk_14 : out std_logic; Dbg_TDI_14 : out std_logic; Dbg_TDO_14 : in std_logic; Dbg_Reg_En_14 : out std_logic_vector(0 to 7); Dbg_Capture_14 : out std_logic; Dbg_Shift_14 : out std_logic; Dbg_Update_14 : out std_logic; Dbg_Rst_14 : out std_logic; Dbg_Trig_In_14 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_14 : out std_logic_vector(0 to 7); Dbg_Trig_Out_14 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_14 : in std_logic_vector(0 to 7); Dbg_Clk_15 : out std_logic; Dbg_TDI_15 : out std_logic; Dbg_TDO_15 : in std_logic; Dbg_Reg_En_15 : out std_logic_vector(0 to 7); Dbg_Capture_15 : out std_logic; Dbg_Shift_15 : out std_logic; Dbg_Update_15 : out std_logic; Dbg_Rst_15 : out std_logic; Dbg_Trig_In_15 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_15 : out std_logic_vector(0 to 7); Dbg_Trig_Out_15 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_15 : in std_logic_vector(0 to 7); Dbg_Clk_16 : out std_logic; Dbg_TDI_16 : out std_logic; Dbg_TDO_16 : in std_logic; Dbg_Reg_En_16 : out std_logic_vector(0 to 7); Dbg_Capture_16 : out std_logic; Dbg_Shift_16 : out std_logic; Dbg_Update_16 : out std_logic; Dbg_Rst_16 : out std_logic; Dbg_Trig_In_16 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_16 : out std_logic_vector(0 to 7); Dbg_Trig_Out_16 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_16 : in std_logic_vector(0 to 7); Dbg_Clk_17 : out std_logic; Dbg_TDI_17 : out std_logic; Dbg_TDO_17 : in std_logic; Dbg_Reg_En_17 : out std_logic_vector(0 to 7); Dbg_Capture_17 : out std_logic; Dbg_Shift_17 : out std_logic; Dbg_Update_17 : out std_logic; Dbg_Rst_17 : out std_logic; Dbg_Trig_In_17 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_17 : out std_logic_vector(0 to 7); Dbg_Trig_Out_17 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_17 : in std_logic_vector(0 to 7); Dbg_Clk_18 : out std_logic; Dbg_TDI_18 : out std_logic; Dbg_TDO_18 : in std_logic; Dbg_Reg_En_18 : out std_logic_vector(0 to 7); Dbg_Capture_18 : out std_logic; Dbg_Shift_18 : out std_logic; Dbg_Update_18 : out std_logic; Dbg_Rst_18 : out std_logic; Dbg_Trig_In_18 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_18 : out std_logic_vector(0 to 7); Dbg_Trig_Out_18 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_18 : in std_logic_vector(0 to 7); Dbg_Clk_19 : out std_logic; Dbg_TDI_19 : out std_logic; Dbg_TDO_19 : in std_logic; Dbg_Reg_En_19 : out std_logic_vector(0 to 7); Dbg_Capture_19 : out std_logic; Dbg_Shift_19 : out std_logic; Dbg_Update_19 : out std_logic; Dbg_Rst_19 : out std_logic; Dbg_Trig_In_19 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_19 : out std_logic_vector(0 to 7); Dbg_Trig_Out_19 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_19 : in std_logic_vector(0 to 7); Dbg_Clk_20 : out std_logic; Dbg_TDI_20 : out std_logic; Dbg_TDO_20 : in std_logic; Dbg_Reg_En_20 : out std_logic_vector(0 to 7); Dbg_Capture_20 : out std_logic; Dbg_Shift_20 : out std_logic; Dbg_Update_20 : out std_logic; Dbg_Rst_20 : out std_logic; Dbg_Trig_In_20 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_20 : out std_logic_vector(0 to 7); Dbg_Trig_Out_20 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_20 : in std_logic_vector(0 to 7); Dbg_Clk_21 : out std_logic; Dbg_TDI_21 : out std_logic; Dbg_TDO_21 : in std_logic; Dbg_Reg_En_21 : out std_logic_vector(0 to 7); Dbg_Capture_21 : out std_logic; Dbg_Shift_21 : out std_logic; Dbg_Update_21 : out std_logic; Dbg_Rst_21 : out std_logic; Dbg_Trig_In_21 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_21 : out std_logic_vector(0 to 7); Dbg_Trig_Out_21 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_21 : in std_logic_vector(0 to 7); Dbg_Clk_22 : out std_logic; Dbg_TDI_22 : out std_logic; Dbg_TDO_22 : in std_logic; Dbg_Reg_En_22 : out std_logic_vector(0 to 7); Dbg_Capture_22 : out std_logic; Dbg_Shift_22 : out std_logic; Dbg_Update_22 : out std_logic; Dbg_Rst_22 : out std_logic; Dbg_Trig_In_22 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_22 : out std_logic_vector(0 to 7); Dbg_Trig_Out_22 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_22 : in std_logic_vector(0 to 7); Dbg_Clk_23 : out std_logic; Dbg_TDI_23 : out std_logic; Dbg_TDO_23 : in std_logic; Dbg_Reg_En_23 : out std_logic_vector(0 to 7); Dbg_Capture_23 : out std_logic; Dbg_Shift_23 : out std_logic; Dbg_Update_23 : out std_logic; Dbg_Rst_23 : out std_logic; Dbg_Trig_In_23 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_23 : out std_logic_vector(0 to 7); Dbg_Trig_Out_23 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_23 : in std_logic_vector(0 to 7); Dbg_Clk_24 : out std_logic; Dbg_TDI_24 : out std_logic; Dbg_TDO_24 : in std_logic; Dbg_Reg_En_24 : out std_logic_vector(0 to 7); Dbg_Capture_24 : out std_logic; Dbg_Shift_24 : out std_logic; Dbg_Update_24 : out std_logic; Dbg_Rst_24 : out std_logic; Dbg_Trig_In_24 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_24 : out std_logic_vector(0 to 7); Dbg_Trig_Out_24 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_24 : in std_logic_vector(0 to 7); Dbg_Clk_25 : out std_logic; Dbg_TDI_25 : out std_logic; Dbg_TDO_25 : in std_logic; Dbg_Reg_En_25 : out std_logic_vector(0 to 7); Dbg_Capture_25 : out std_logic; Dbg_Shift_25 : out std_logic; Dbg_Update_25 : out std_logic; Dbg_Rst_25 : out std_logic; Dbg_Trig_In_25 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_25 : out std_logic_vector(0 to 7); Dbg_Trig_Out_25 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_25 : in std_logic_vector(0 to 7); Dbg_Clk_26 : out std_logic; Dbg_TDI_26 : out std_logic; Dbg_TDO_26 : in std_logic; Dbg_Reg_En_26 : out std_logic_vector(0 to 7); Dbg_Capture_26 : out std_logic; Dbg_Shift_26 : out std_logic; Dbg_Update_26 : out std_logic; Dbg_Rst_26 : out std_logic; Dbg_Trig_In_26 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_26 : out std_logic_vector(0 to 7); Dbg_Trig_Out_26 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_26 : in std_logic_vector(0 to 7); Dbg_Clk_27 : out std_logic; Dbg_TDI_27 : out std_logic; Dbg_TDO_27 : in std_logic; Dbg_Reg_En_27 : out std_logic_vector(0 to 7); Dbg_Capture_27 : out std_logic; Dbg_Shift_27 : out std_logic; Dbg_Update_27 : out std_logic; Dbg_Rst_27 : out std_logic; Dbg_Trig_In_27 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_27 : out std_logic_vector(0 to 7); Dbg_Trig_Out_27 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_27 : in std_logic_vector(0 to 7); Dbg_Clk_28 : out std_logic; Dbg_TDI_28 : out std_logic; Dbg_TDO_28 : in std_logic; Dbg_Reg_En_28 : out std_logic_vector(0 to 7); Dbg_Capture_28 : out std_logic; Dbg_Shift_28 : out std_logic; Dbg_Update_28 : out std_logic; Dbg_Rst_28 : out std_logic; Dbg_Trig_In_28 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_28 : out std_logic_vector(0 to 7); Dbg_Trig_Out_28 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_28 : in std_logic_vector(0 to 7); Dbg_Clk_29 : out std_logic; Dbg_TDI_29 : out std_logic; Dbg_TDO_29 : in std_logic; Dbg_Reg_En_29 : out std_logic_vector(0 to 7); Dbg_Capture_29 : out std_logic; Dbg_Shift_29 : out std_logic; Dbg_Update_29 : out std_logic; Dbg_Rst_29 : out std_logic; Dbg_Trig_In_29 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_29 : out std_logic_vector(0 to 7); Dbg_Trig_Out_29 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_29 : in std_logic_vector(0 to 7); Dbg_Clk_30 : out std_logic; Dbg_TDI_30 : out std_logic; Dbg_TDO_30 : in std_logic; Dbg_Reg_En_30 : out std_logic_vector(0 to 7); Dbg_Capture_30 : out std_logic; Dbg_Shift_30 : out std_logic; Dbg_Update_30 : out std_logic; Dbg_Rst_30 : out std_logic; Dbg_Trig_In_30 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_30 : out std_logic_vector(0 to 7); Dbg_Trig_Out_30 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_30 : in std_logic_vector(0 to 7); Dbg_Clk_31 : out std_logic; Dbg_TDI_31 : out std_logic; Dbg_TDO_31 : in std_logic; Dbg_Reg_En_31 : out std_logic_vector(0 to 7); Dbg_Capture_31 : out std_logic; Dbg_Shift_31 : out std_logic; Dbg_Update_31 : out std_logic; Dbg_Rst_31 : out std_logic; Dbg_Trig_In_31 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_31 : out std_logic_vector(0 to 7); Dbg_Trig_Out_31 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_31 : in std_logic_vector(0 to 7); -- External Trace Signals Ext_Trig_In : in std_logic_vector(0 to 3); Ext_Trig_Ack_In : out std_logic_vector(0 to 3); Ext_Trig_Out : out std_logic_vector(0 to 3); Ext_Trig_Ack_Out : in std_logic_vector(0 to 3); -- External JTAG Signals Ext_JTAG_DRCK : out std_logic; Ext_JTAG_RESET : out std_logic; Ext_JTAG_SEL : out std_logic; Ext_JTAG_CAPTURE : out std_logic; Ext_JTAG_SHIFT : out std_logic; Ext_JTAG_UPDATE : out std_logic; Ext_JTAG_TDI : out std_logic; Ext_JTAG_TDO : in std_logic ); end entity MDM_Core; library IEEE; use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library mdm_v3_1; use mdm_v3_1.all; architecture IMP of MDM_CORE is function log2(x : natural) return integer is variable i : integer := 0; begin if x = 0 then return 0; else while 2**i < x loop i := i+1; end loop; return i; end if; end function log2; constant C_DRCK_FREQ_HZ : integer := 30000000; constant C_CLOCK_BITS : integer := log2(C_S_AXI_ACLK_FREQ_HZ / C_DRCK_FREQ_HZ); component JTAG_CONTROL generic ( C_MB_DBG_PORTS : integer; C_USE_CONFIG_RESET : integer; C_DBG_REG_ACCESS : integer; C_DBG_MEM_ACCESS : integer; C_M_AXI_ADDR_WIDTH : integer; C_M_AXI_DATA_WIDTH : integer; C_USE_CROSS_TRIGGER : integer; C_USE_UART : integer; C_UART_WIDTH : integer; C_EN_WIDTH : integer := 1 ); port ( -- Global signals Config_Reset : in std_logic; Clk : in std_logic; Rst : in std_logic; Clear_Ext_BRK : in std_logic; Ext_BRK : out std_logic; Ext_NM_BRK : out std_logic; Debug_SYS_Rst : out std_logic; Debug_Rst : out std_logic; Read_RX_FIFO : in std_logic; Reset_RX_FIFO : in std_logic; RX_Data : out std_logic_vector(0 to C_UART_WIDTH-1); RX_Data_Present : out std_logic; RX_Buffer_Full : out std_logic; Write_TX_FIFO : in std_logic; Reset_TX_FIFO : in std_logic; TX_Data : in std_logic_vector(0 to C_UART_WIDTH-1); TX_Buffer_Full : out std_logic; TX_Buffer_Empty : out std_logic; -- Debug Register Access signals DbgReg_Access_Lock : in std_logic; DbgReg_Force_Lock : in std_logic; DbgReg_Unlocked : in std_logic; JTAG_Access_Lock : out std_logic; JTAG_Force_Lock : out std_logic; JTAG_AXIS_Overrun : in std_logic; JTAG_Clear_Overrun : out std_logic; -- MDM signals TDI : in std_logic; RESET : in std_logic; UPDATE : in std_logic; SHIFT : in std_logic; CAPTURE : in std_logic; SEL : in std_logic; DRCK : in std_logic; TDO : out std_logic; -- Bus Master signals M_AXI_ACLK : in std_logic; M_AXI_ARESETn : in std_logic; Master_rd_start : out std_logic; Master_rd_addr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); Master_rd_len : out std_logic_vector(4 downto 0); Master_rd_size : out std_logic_vector(1 downto 0); Master_rd_excl : out std_logic; Master_rd_idle : in std_logic; Master_rd_resp : in std_logic_vector(1 downto 0); Master_wr_start : out std_logic; Master_wr_addr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); Master_wr_len : out std_logic_vector(4 downto 0); Master_wr_size : out std_logic_vector(1 downto 0); Master_wr_excl : out std_logic; Master_wr_idle : in std_logic; Master_wr_resp : in std_logic_vector(1 downto 0); Master_data_rd : out std_logic; Master_data_out : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); Master_data_exists : in std_logic; Master_data_wr : out std_logic; Master_data_in : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); Master_data_empty : in std_logic; -- MicroBlaze Debug Signals MB_Debug_Enabled : out std_logic_vector(C_EN_WIDTH-1 downto 0); Dbg_Clk : out std_logic; Dbg_TDI : out std_logic; Dbg_TDO : in std_logic; Dbg_Reg_En : out std_logic_vector(0 to 7); Dbg_Capture : out std_logic; Dbg_Shift : out std_logic; Dbg_Update : out std_logic; -- MicroBlaze Cross Trigger Signals Dbg_Trig_In_0 : in std_logic_vector(0 to 7); Dbg_Trig_In_1 : in std_logic_vector(0 to 7); Dbg_Trig_In_2 : in std_logic_vector(0 to 7); Dbg_Trig_In_3 : in std_logic_vector(0 to 7); Dbg_Trig_In_4 : in std_logic_vector(0 to 7); Dbg_Trig_In_5 : in std_logic_vector(0 to 7); Dbg_Trig_In_6 : in std_logic_vector(0 to 7); Dbg_Trig_In_7 : in std_logic_vector(0 to 7); Dbg_Trig_In_8 : in std_logic_vector(0 to 7); Dbg_Trig_In_9 : in std_logic_vector(0 to 7); Dbg_Trig_In_10 : in std_logic_vector(0 to 7); Dbg_Trig_In_11 : in std_logic_vector(0 to 7); Dbg_Trig_In_12 : in std_logic_vector(0 to 7); Dbg_Trig_In_13 : in std_logic_vector(0 to 7); Dbg_Trig_In_14 : in std_logic_vector(0 to 7); Dbg_Trig_In_15 : in std_logic_vector(0 to 7); Dbg_Trig_In_16 : in std_logic_vector(0 to 7); Dbg_Trig_In_17 : in std_logic_vector(0 to 7); Dbg_Trig_In_18 : in std_logic_vector(0 to 7); Dbg_Trig_In_19 : in std_logic_vector(0 to 7); Dbg_Trig_In_20 : in std_logic_vector(0 to 7); Dbg_Trig_In_21 : in std_logic_vector(0 to 7); Dbg_Trig_In_22 : in std_logic_vector(0 to 7); Dbg_Trig_In_23 : in std_logic_vector(0 to 7); Dbg_Trig_In_24 : in std_logic_vector(0 to 7); Dbg_Trig_In_25 : in std_logic_vector(0 to 7); Dbg_Trig_In_26 : in std_logic_vector(0 to 7); Dbg_Trig_In_27 : in std_logic_vector(0 to 7); Dbg_Trig_In_28 : in std_logic_vector(0 to 7); Dbg_Trig_In_29 : in std_logic_vector(0 to 7); Dbg_Trig_In_30 : in std_logic_vector(0 to 7); Dbg_Trig_In_31 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_0 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_1 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_2 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_3 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_4 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_5 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_6 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_7 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_8 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_9 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_10 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_11 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_12 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_13 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_14 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_15 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_16 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_17 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_18 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_19 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_20 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_21 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_22 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_23 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_24 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_25 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_26 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_27 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_28 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_29 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_30 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_In_31 : out std_logic_vector(0 to 7); Dbg_Trig_Out_0 : out std_logic_vector(0 to 7); Dbg_Trig_Out_1 : out std_logic_vector(0 to 7); Dbg_Trig_Out_2 : out std_logic_vector(0 to 7); Dbg_Trig_Out_3 : out std_logic_vector(0 to 7); Dbg_Trig_Out_4 : out std_logic_vector(0 to 7); Dbg_Trig_Out_5 : out std_logic_vector(0 to 7); Dbg_Trig_Out_6 : out std_logic_vector(0 to 7); Dbg_Trig_Out_7 : out std_logic_vector(0 to 7); Dbg_Trig_Out_8 : out std_logic_vector(0 to 7); Dbg_Trig_Out_9 : out std_logic_vector(0 to 7); Dbg_Trig_Out_10 : out std_logic_vector(0 to 7); Dbg_Trig_Out_11 : out std_logic_vector(0 to 7); Dbg_Trig_Out_12 : out std_logic_vector(0 to 7); Dbg_Trig_Out_13 : out std_logic_vector(0 to 7); Dbg_Trig_Out_14 : out std_logic_vector(0 to 7); Dbg_Trig_Out_15 : out std_logic_vector(0 to 7); Dbg_Trig_Out_16 : out std_logic_vector(0 to 7); Dbg_Trig_Out_17 : out std_logic_vector(0 to 7); Dbg_Trig_Out_18 : out std_logic_vector(0 to 7); Dbg_Trig_Out_19 : out std_logic_vector(0 to 7); Dbg_Trig_Out_20 : out std_logic_vector(0 to 7); Dbg_Trig_Out_21 : out std_logic_vector(0 to 7); Dbg_Trig_Out_22 : out std_logic_vector(0 to 7); Dbg_Trig_Out_23 : out std_logic_vector(0 to 7); Dbg_Trig_Out_24 : out std_logic_vector(0 to 7); Dbg_Trig_Out_25 : out std_logic_vector(0 to 7); Dbg_Trig_Out_26 : out std_logic_vector(0 to 7); Dbg_Trig_Out_27 : out std_logic_vector(0 to 7); Dbg_Trig_Out_28 : out std_logic_vector(0 to 7); Dbg_Trig_Out_29 : out std_logic_vector(0 to 7); Dbg_Trig_Out_30 : out std_logic_vector(0 to 7); Dbg_Trig_Out_31 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_0 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_1 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_2 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_3 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_4 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_5 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_6 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_7 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_8 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_9 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_10 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_11 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_12 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_13 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_14 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_15 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_16 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_17 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_18 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_19 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_20 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_21 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_22 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_23 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_24 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_25 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_26 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_27 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_28 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_29 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_30 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_31 : in std_logic_vector(0 to 7); Ext_Trig_In : in std_logic_vector(0 to 3); Ext_Trig_Ack_In : out std_logic_vector(0 to 3); Ext_Trig_Out : out std_logic_vector(0 to 3); Ext_Trig_Ack_Out : in std_logic_vector(0 to 3) ); end component JTAG_CONTROL; -- Returns the minimum value of the two parameters function IntMin (a, b : integer) return integer is begin if a < b then return a; else return b; end if; end function IntMin; signal config_reset_i : std_logic; signal clear_Ext_BRK : std_logic; signal enable_interrupts : std_logic; signal read_RX_FIFO : std_logic; signal reset_RX_FIFO : std_logic; signal rx_Data : std_logic_vector(0 to C_UART_WIDTH-1); signal rx_Data_Present : std_logic; signal rx_Buffer_Full : std_logic; signal tx_Data : std_logic_vector(0 to C_UART_WIDTH-1); signal write_TX_FIFO : std_logic; signal reset_TX_FIFO : std_logic; signal tx_Buffer_Full : std_logic; signal tx_Buffer_Empty : std_logic; signal xfer_Ack : std_logic; signal mdm_Dbus_i : std_logic_vector(0 to 31); -- Check! signal mdm_CS : std_logic; -- Valid address in a address phase signal mdm_CS_1 : std_logic; -- Active as long as mdm_CS is active signal mdm_CS_2 : std_logic; signal mdm_CS_3 : std_logic; signal valid_access : std_logic; -- Active during the address phase (2 clock cycles) signal valid_access_1 : std_logic; -- Will be a 1 clock delayed valid_access signal signal valid_access_2 : std_logic; -- Active only 1 clock cycle signal reading : std_logic; -- Valid reading access signal valid_access_2_reading : std_logic; -- signal to drive out data bus on a read access signal sl_rdDAck_i : std_logic; signal sl_wrDAck_i : std_logic; signal TDI : std_logic; signal RESET : std_logic; signal SHIFT : std_logic; signal CAPTURE : std_logic; signal TDO : std_logic; signal mb_debug_enabled_i : std_logic_vector(C_EN_WIDTH-1 downto 0); signal Dbg_Clk : std_logic; signal Dbg_TDI : std_logic; signal Dbg_TDO : std_logic; signal Dbg_Reg_En : std_logic_vector(0 to 7); signal Dbg_Capture : std_logic; signal Dbg_Shift : std_logic; signal Dbg_Update : std_logic; signal Debug_Rst_i : std_logic; subtype Reg_En_TYPE is std_logic_vector(0 to 7); type Reg_EN_ARRAY is array(0 to 31) of Reg_En_TYPE; signal Dbg_TDO_I : std_logic_vector(0 to 31); signal Dbg_Reg_En_I : Reg_EN_ARRAY; signal Dbg_Rst_I : std_logic_vector(0 to 31); signal PORT_Selector : std_logic_vector(3 downto 0) := (others => '0'); signal PORT_Selector_1 : std_logic_vector(3 downto 0) := (others => '0'); signal TDI_Shifter : std_logic_vector(3 downto 0) := (others => '0'); signal Sl_rdDBus_int : std_logic_vector(0 to 31); signal bus_clk : std_logic; signal bus_rst : std_logic; signal uart_ip2bus_rdack : std_logic; signal uart_ip2bus_wrack : std_logic; signal uart_ip2bus_error : std_logic; signal uart_ip2bus_data : std_logic_vector(C_REG_DATA_WIDTH-1 downto 0); signal dbgreg_ip2bus_rdack : std_logic; signal dbgreg_ip2bus_wrack : std_logic; signal dbgreg_ip2bus_error : std_logic; signal dbgreg_ip2bus_data : std_logic_vector(C_REG_DATA_WIDTH-1 downto 0); signal dbgreg_access_lock : std_logic; signal dbgreg_force_lock : std_logic; signal dbgreg_unlocked : std_logic; signal jtag_access_lock : std_logic; signal jtag_force_lock : std_logic; signal jtag_axis_overrun : std_logic; signal jtag_clear_overrun : std_logic; ----------------------------------------------------------------------------- -- Register mapping ----------------------------------------------------------------------------- -- Magic string "01000010" + "00000000" + No of Jtag peripheral units "0010" -- + MDM Version no "00000110" -- -- MDM Versions table: -- 0,1,2,3: Not used -- 4: opb_mdm v3 -- 5: mdm v1 -- 6: mdm v2 constant New_MDM_Config_Word : std_logic_vector(31 downto 0) := "01000010000000000000001000000110"; signal Config_Reg : std_logic_vector(31 downto 0) := New_MDM_Config_Word; signal MDM_SEL : std_logic; signal Old_MDM_DRCK : std_logic; signal Old_MDM_TDI : std_logic; signal Old_MDM_TDO : std_logic; signal Old_MDM_SEL : std_logic; signal Old_MDM_SEL_Mux : std_logic; signal Old_MDM_SHIFT : std_logic; signal Old_MDM_UPDATE : std_logic; signal Old_MDM_RESET : std_logic; signal Old_MDM_CAPTURE : std_logic; signal JTAG_Dec_Sel : std_logic_vector(15 downto 0); begin -- architecture IMP config_reset_i <= Config_Reset when C_USE_CONFIG_RESET /= 0 else '0'; ----------------------------------------------------------------------------- -- TDI Shift Register ----------------------------------------------------------------------------- -- Shifts data in when PORT 0 is selected. PORT 0 does not actually -- exist externaly, but gets selected after asserting the SELECT signal. -- The first value shifted in after SELECT goes high will select the new -- PORT. JTAG_Mux_Shifting : process (DRCK, SEL, config_reset_i) begin if SEL = '0' or config_reset_i = '1' then TDI_Shifter <= (others => '0'); elsif DRCK'event and DRCK = '1' then if MDM_SEL = '1' and SHIFT = '1' then TDI_Shifter <= TDI & TDI_Shifter(3 downto 1); end if; end if; end process JTAG_Mux_Shifting; ----------------------------------------------------------------------------- -- PORT Selector Register ----------------------------------------------------------------------------- -- Captures the shifted data when PORT 0 is selected. The data is captured at -- the end of the BSCAN transaction (i.e. when the update signal goes low) to -- prevent any other BSCAN signals to assert incorrectly. -- Reference : XAPP 139 PORT_Selector_Updating : process (UPDATE, SEL, config_reset_i) begin if SEL = '0' or config_reset_i = '1' then PORT_Selector <= (others => '0'); elsif Update'event and Update = '0' then PORT_Selector <= Port_Selector_1; end if; end process PORT_Selector_Updating; PORT_Selector_Updating_1 : process (UPDATE, SEL, config_reset_i) begin if SEL = '0' or config_reset_i = '1' then PORT_Selector_1 <= (others => '0'); elsif Update'event and Update = '1' then if MDM_SEL = '1' then PORT_Selector_1 <= TDI_Shifter; end if; end if; end process PORT_Selector_Updating_1; ----------------------------------------------------------------------------- -- Configuration register ----------------------------------------------------------------------------- -- TODO Can be replaced by SRLs Config_Shifting : process (DRCK, SHIFT, config_reset_i) begin if SHIFT = '0' or config_reset_i = '1' then Config_Reg <= New_MDM_Config_Word; elsif DRCK'event and DRCK = '1' then -- rising clock edge Config_Reg <= '0' & Config_Reg(31 downto 1); end if; end process Config_Shifting; ----------------------------------------------------------------------------- -- Muxing and demuxing of JTAG Bscan User 1/2/3/4 signals -- -- This block enables the older MDM/JTAG to co-exist with the newer -- JTAG multiplexer block ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- TDO Mux ----------------------------------------------------------------------------- with PORT_Selector select TDO <= Config_Reg(0) when "0000", Old_MDM_TDO when "0001", Ext_JTAG_TDO when "0010", '1' when others; ----------------------------------------------------------------------------- -- SELECT Decoder ----------------------------------------------------------------------------- MDM_SEL <= SEL when PORT_Selector = "0000" else '0'; Old_MDM_SEL_Mux <= SEL when PORT_Selector = "0001" else '0'; Ext_JTAG_SEL <= SEL when PORT_Selector = "0010" else '0'; ----------------------------------------------------------------------------- -- Old MDM signals ----------------------------------------------------------------------------- Old_MDM_DRCK <= DRCK; Old_MDM_TDI <= TDI; Old_MDM_CAPTURE <= CAPTURE; Old_MDM_SHIFT <= SHIFT; Old_MDM_UPDATE <= UPDATE; Old_MDM_RESET <= RESET; ----------------------------------------------------------------------------- -- External JTAG signals ----------------------------------------------------------------------------- Ext_JTAG_DRCK <= DRCK; Ext_JTAG_TDI <= TDI; Ext_JTAG_CAPTURE <= CAPTURE; Ext_JTAG_SHIFT <= SHIFT; Ext_JTAG_UPDATE <= UPDATE; Ext_JTAG_RESET <= RESET; ----------------------------------------------------------------------------- -- AXI bus interface ----------------------------------------------------------------------------- ip2bus_rdack <= uart_ip2bus_rdack or dbgreg_ip2bus_rdack; ip2bus_wrack <= uart_ip2bus_wrack or dbgreg_ip2bus_wrack; ip2bus_error <= uart_ip2bus_error or dbgreg_ip2bus_error; ip2bus_data <= uart_ip2bus_data or dbgreg_ip2bus_data; Use_AXI_IPIF : if (C_USE_UART = 1) or (C_DBG_REG_ACCESS = 1) generate begin bus_clk <= bus2ip_clk; bus_rst <= not bus2ip_resetn; end generate Use_AXI_IPIF; No_AXI_IPIF : if (C_USE_UART = 0) and (C_DBG_REG_ACCESS = 0) generate begin bus_clk <= '0'; bus_rst <= '0'; end generate No_AXI_IPIF; ----------------------------------------------------------------------------- -- UART ----------------------------------------------------------------------------- Use_Uart : if (C_USE_UART = 1) generate -- Read Only signal status_Reg : std_logic_vector(7 downto 0); -- bit 4 enable_interrupts -- bit 3 tx_Buffer_Full -- bit 2 tx_Buffer_Empty -- bit 1 rx_Buffer_Full -- bit 0 rx_Data_Present -- Write Only -- Control Register -- bit 7-5 Dont'Care -- bit 4 enable_interrupts -- bit 3 Dont'Care -- bit 2 Clear Ext BRK signal -- bit 1 Reset_RX_FIFO -- bit 0 Reset_TX_FIFO signal tx_Buffer_Empty_Pre : std_logic; begin --------------------------------------------------------------------------- -- Acknowledgement and error signals --------------------------------------------------------------------------- uart_ip2bus_rdack <= bus2ip_rdce(0) or bus2ip_rdce(2) or bus2ip_rdce(1) or bus2ip_rdce(3); uart_ip2bus_wrack <= bus2ip_wrce(1) or bus2ip_wrce(3) or bus2ip_wrce(0) or bus2ip_wrce(2); uart_ip2bus_error <= ((bus2ip_rdce(0) and not rx_Data_Present) or (bus2ip_wrce(1) and tx_Buffer_Full) ); --------------------------------------------------------------------------- -- Status register --------------------------------------------------------------------------- status_Reg(0) <= rx_Data_Present; status_Reg(1) <= rx_Buffer_Full; status_Reg(2) <= tx_Buffer_Empty; status_Reg(3) <= tx_Buffer_Full; status_Reg(4) <= enable_interrupts; status_Reg(7 downto 5) <= "000"; --------------------------------------------------------------------------- -- Control Register --------------------------------------------------------------------------- CTRL_REG_DFF : process (bus2ip_clk) is begin if bus2ip_clk'event and bus2ip_clk = '1' then -- rising clock edge if bus2ip_resetn = '0' then -- synchronous reset (active low) enable_interrupts <= '0'; clear_Ext_BRK <= '0'; reset_RX_FIFO <= '1'; reset_TX_FIFO <= '1'; elsif (bus2ip_wrce(3) = '1') then -- Control Register is reg 3 enable_interrupts <= bus2ip_data(4); -- Bit 4 in control reg clear_Ext_BRK <= bus2ip_data(2); -- Bit 2 in control reg reset_RX_FIFO <= bus2ip_data(1); -- Bit 1 in control reg reset_TX_FIFO <= bus2ip_data(0); -- Bit 0 in control reg else clear_Ext_BRK <= '0'; reset_RX_FIFO <= '0'; reset_TX_FIFO <= '0'; end if; end if; end process CTRL_REG_DFF; --------------------------------------------------------------------------- -- Read bus interface --------------------------------------------------------------------------- READ_MUX : process (status_reg, bus2ip_rdce(2), bus2ip_rdce(0), rx_Data) is begin uart_ip2bus_data <= (others => '0'); if (bus2ip_rdce(2) = '1') then -- Status register is reg 2 uart_ip2bus_data(status_reg'length-1 downto 0) <= status_reg; elsif (bus2ip_rdce(0) = '1') then -- RX FIFO is reg 0 uart_ip2bus_data(C_UART_WIDTH-1 downto 0) <= rx_Data; end if; end process READ_MUX; --------------------------------------------------------------------------- -- Write bus interface --------------------------------------------------------------------------- tx_Data <= bus2ip_data(C_UART_WIDTH-1 downto 0); --------------------------------------------------------------------------- -- Read and write pulses to the FIFOs --------------------------------------------------------------------------- write_TX_FIFO <= bus2ip_wrce(1); -- TX FIFO is reg 1 read_RX_FIFO <= bus2ip_rdce(0); -- RX FIFO is reg 0 -- Sample the tx_Buffer_Empty signal in order to detect a rising edge TX_Buffer_Empty_FDRE : FDRE port map ( Q => tx_Buffer_Empty_Pre, C => bus_clk, CE => '1', D => tx_Buffer_Empty, R => write_TX_FIFO); --------------------------------------------------------------------------- -- Interrupt handling --------------------------------------------------------------------------- Interrupt <= enable_interrupts and ( rx_Data_Present or ( tx_Buffer_Empty and not tx_Buffer_Empty_Pre ) ); end generate Use_UART; No_UART : if (C_USE_UART = 0) generate begin uart_ip2bus_rdack <= '0'; uart_ip2bus_wrack <= '0'; uart_ip2bus_error <= '0'; uart_ip2bus_data <= (others => '0'); Interrupt <= '0'; reset_TX_FIFO <= '1'; reset_RX_FIFO <= '1'; enable_interrupts <= '0'; clear_Ext_BRK <= '0'; tx_Data <= (others => '0'); write_TX_FIFO <= '0'; read_RX_FIFO <= '0'; end generate No_UART; ----------------------------------------------------------------------------- -- Debug Register Access ----------------------------------------------------------------------------- Use_Dbg_Reg_Access : if (C_DBG_REG_ACCESS = 1) generate type state_type is (idle, select_dr, capture_dr, shift_dr, exit1, pause, exit2, update_dr, cmd_done, data_done); signal bit_size : std_logic_vector(8 downto 0); signal cmd_val : std_logic_vector(7 downto 0); signal type_lock : std_logic_vector(1 downto 0); signal use_mdm : std_logic; signal reg_data : std_logic_vector(31 downto 0); signal bit_cnt : std_logic_vector(0 to 8); signal clk_cnt : std_logic_vector(0 to C_CLOCK_BITS / 2); signal clk_fall : boolean; signal clk_rise : boolean; signal shifting : boolean; signal data_shift : boolean; signal direction : std_logic; signal rd_wr_n : boolean; signal rdack_data : std_logic; signal selected : std_logic := '0'; signal shift_index : std_logic_vector(0 to 4); signal state : state_type; signal unlocked : boolean; signal wrack_data : std_logic; signal dbgreg_TDI : std_logic; signal dbgreg_RESET : std_logic; signal dbgreg_SHIFT : std_logic; signal dbgreg_CAPTURE : std_logic; signal dbgreg_SEL : std_logic; begin --------------------------------------------------------------------------- -- Acknowledgement and error signals --------------------------------------------------------------------------- dbgreg_ip2bus_rdack <= bus2ip_rdce(4) or rdack_data; dbgreg_ip2bus_wrack <= bus2ip_wrce(4) or bus2ip_wrce(6) or wrack_data; dbgreg_ip2bus_error <= (bus2ip_rdce(5) or bus2ip_wrce(5)) and not dbgreg_access_lock; --------------------------------------------------------------------------- -- Control register --------------------------------------------------------------------------- CTRL_REG_DFF : process (bus2ip_clk) is begin if bus2ip_clk'event and bus2ip_clk = '1' then -- rising clock edge if bus2ip_resetn = '0' then -- synchronous reset (active low) use_mdm <= '0'; type_lock <= (others => '0'); cmd_val <= (others => '0'); bit_size <= (others => '0'); elsif (bus2ip_wrce(4) = '1') and unlocked then -- Control Register is reg 4 type_lock <= bus2ip_data(19 downto 18); use_mdm <= bus2ip_data(17); cmd_val <= bus2ip_data(16 downto 9); bit_size <= bus2ip_data(8 downto 0); end if; end if; end process CTRL_REG_DFF; --------------------------------------------------------------------------- -- Data register and TAP state machine --------------------------------------------------------------------------- DATA_REG_DFF : process (bus2ip_clk) is begin if bus2ip_clk'event and bus2ip_clk = '1' then -- rising clock edge if bus2ip_resetn = '0' then -- synchronous reset (active low) reg_data <= (others => '0'); rdack_data <= '0'; wrack_data <= '0'; state <= idle; shifting <= false; data_shift <= false; direction <= '1'; rd_wr_n <= false; clk_rise <= false; clk_fall <= false; clk_cnt <= (others => '0'); bit_cnt <= "000000111"; shift_index <= "00000"; dbgreg_TDI <= '0'; dbgreg_RESET <= '0'; dbgreg_SHIFT <= '0'; dbgreg_CAPTURE <= '0'; dbgreg_SEL <= '0'; DbgReg_DRCK <= '0'; DbgReg_UPDATE <= '0'; selected <= '0'; else rdack_data <= '0'; wrack_data <= '0'; if unlocked and dbgreg_access_lock = '1' and not shifting then if bus2ip_wrce(5) = '1' then reg_data <= bus2ip_data; shifting <= true; rd_wr_n <= false; end if; if bus2ip_rdce(5) = '1' then shifting <= true; rd_wr_n <= true; end if; end if; if clk_rise then case state is when idle => -- Idle - Start when data access occurs if shifting then state <= select_dr; end if; bit_cnt <= "000000111"; shift_index <= "00000"; selected <= '0'; when select_dr => -- TAP state Select DR - Set SEL state <= capture_dr; dbgreg_SEL <= '1'; selected <= '1'; when capture_dr => -- TAP state Capture DR - Set CAPTURE and pulse DRCK state <= shift_dr; dbgreg_CAPTURE <= '1'; DbgReg_DRCK <= '1'; when shift_dr => -- TAP state Shift DR - Set SHIFT and pulse DRCK until done or pause if bit_cnt = (bit_cnt'range => '0') then state <= exit2; -- Shift done elsif shift_index = (shift_index'range => direction) then state <= exit1; -- Acknowledge and pause until next word if rd_wr_n then rdack_data <= '1'; else wrack_data <= '1'; end if; end if; if data_shift then dbgreg_TDI <= reg_data(to_integer(unsigned(shift_index))); reg_data(to_integer(unsigned(shift_index))) <= Old_MDM_TDO; else dbgreg_TDI <= cmd_val(to_integer(unsigned(shift_index))); end if; dbgreg_CAPTURE <= '0'; dbgreg_SHIFT <= '1'; DbgReg_DRCK <= '1'; bit_cnt <= std_logic_vector(unsigned(bit_cnt) - 1); if direction = '1' then shift_index <= std_logic_vector(unsigned(shift_index) + 1); else shift_index <= std_logic_vector(unsigned(shift_index) - 1); end if; when exit1 => -- TAP state Exit1 DR - End shift and go to pause state <= pause; shifting <= false; dbgreg_SHIFT <= '0'; DbgReg_DRCK <= '0'; when pause => -- TAP state Pause DR - Pause until new data access or abort if dbgreg_access_lock = '0' then state <= exit2; -- Abort shift elsif shifting then state <= shift_dr; -- Continue with next word end if; DbgReg_DRCK <= '0'; when exit2 => -- TAP state Exit2 DR - Delay before update state <= update_dr; dbgreg_SHIFT <= '0'; DbgReg_DRCK <= '0'; when update_dr => -- TAP state Update DR - Pulse UPDATE and acknowledge data access if data_shift then state <= data_done; if rd_wr_n then rdack_data <= '1'; else wrack_data <= '1'; end if; else state <= cmd_done; end if; DbgReg_UPDATE <= '1'; when cmd_done => -- Command phase done - Continue with data phase state <= select_dr; data_shift <= true; bit_cnt <= bit_size; if use_mdm = '1' then shift_index <= (others => '0'); else shift_index <= bit_size(shift_index'length - 1 downto 0); end if; direction <= use_mdm; DbgReg_UPDATE <= '0'; when data_done => -- Data phase done - End shifting and go back to idle state <= idle; data_shift <= false; shifting <= false; direction <= '1'; DbgReg_UPDATE <= '0'; end case; elsif clk_fall then DbgReg_DRCK <= '0'; end if; if clk_cnt(clk_cnt'left + 1 to clk_cnt'right) = (clk_cnt'left + 1 to clk_cnt'right => '0') then clk_rise <= (clk_cnt(clk_cnt'left) = '0'); clk_fall <= (clk_cnt(clk_cnt'left) = '1'); else clk_rise <= false; clk_fall <= false; end if; clk_cnt <= std_logic_vector(unsigned(clk_cnt) - 1); end if; end if; end process DATA_REG_DFF; --------------------------------------------------------------------------- -- Lock register --------------------------------------------------------------------------- LOCK_REG_DFF : process (bus2ip_clk) is begin if bus2ip_clk'event and bus2ip_clk = '1' then -- rising clock edge if bus2ip_resetn = '0' then -- synchronous reset (active low) unlocked <= false; elsif (bus2ip_wrce(6) = '1') then -- Lock Register is reg 6 unlocked <= (bus2ip_data(15 downto 0) = X"EBAB") and (not unlocked); end if; end if; end process LOCK_REG_DFF; --------------------------------------------------------------------------- -- Read bus interface --------------------------------------------------------------------------- READ_MUX : process (bus2ip_rdce(4), rdack_data, dbgreg_access_lock, reg_data) is begin dbgreg_ip2bus_data <= (others => '0'); if (bus2ip_rdce(4) = '1') then -- Status register is reg 4 dbgreg_ip2bus_data(0) <= dbgreg_access_lock; elsif rdack_data = '1' then -- Data register is reg 5 dbgreg_ip2bus_data <= reg_data; end if; end process READ_MUX; --------------------------------------------------------------------------- -- Access lock handling --------------------------------------------------------------------------- Handle_Access_Lock : process (bus2ip_clk) is variable jtag_access_lock_1 : std_logic; variable jtag_force_lock_1 : std_logic; variable jtag_clear_overrun_1 : std_logic; variable jtag_busy_1 : std_logic; attribute ASYNC_REG : string; attribute ASYNC_REG of jtag_access_lock_1 : variable is "TRUE"; attribute ASYNC_REG of jtag_force_lock_1 : variable is "TRUE"; attribute ASYNC_REG of jtag_clear_overrun_1 : variable is "TRUE"; attribute ASYNC_REG of jtag_busy_1 : variable is "TRUE"; begin if bus2ip_clk'event and bus2ip_clk = '1' then -- rising clock edge if bus2ip_resetn = '0' then -- synchronous reset (active low) dbgreg_access_lock <= '0'; dbgreg_force_lock <= '0'; dbgreg_unlocked <= '0'; jtag_axis_overrun <= '0'; jtag_access_lock_1 := '0'; jtag_force_lock_1 := '0'; jtag_clear_overrun_1 := '0'; jtag_busy_1 := '0'; else -- Unlock after last access for type "01" if state = data_done and type_lock = "01" then dbgreg_access_lock <= '0'; end if; -- Write to Debug Access Control Register if bus2ip_wrce(4) = '1' then case bus2ip_data(19 downto 18) is when "00" => -- Release lock to abort atomic sequence dbgreg_access_lock <= '0'; when "01" | "10" => -- Lock before first access if dbgreg_access_lock = '0' and jtag_busy_1 = '0' and jtag_access_lock_1 = '0' then dbgreg_access_lock <= '1'; end if; when "11" => -- Force access lock dbgreg_access_lock <= '1'; dbgreg_force_lock <= '1'; -- coverage off when others => null; -- coverage on end case; else dbgreg_force_lock <= '0'; end if; jtag_access_lock_1 := JTAG_Access_Lock; -- JTAG force lock if jtag_force_lock_1 = '1' then dbgreg_access_lock <= '0'; dbgreg_unlocked <= '1'; else dbgreg_unlocked <= '0'; end if; jtag_force_lock_1 := jtag_force_lock; -- JTAG overrun detection if selected = '1' and jtag_busy_1 = '1' then jtag_axis_overrun <= '1'; elsif jtag_clear_overrun_1 = '1' then jtag_axis_overrun <= '0'; end if; jtag_clear_overrun_1 := jtag_clear_overrun; jtag_busy_1 := jtag_busy; end if; end if; end process; DbgReg_Select <= selected; Old_MDM_SEL <= dbgreg_SEL when selected = '1' else Old_MDM_SEL_Mux; TDI <= dbgreg_TDI when selected = '1' else JTAG_TDI; RESET <= dbgreg_RESET when selected = '1' else JTAG_RESET; SHIFT <= dbgreg_SHIFT when selected = '1' else JTAG_SHIFT; CAPTURE <= dbgreg_CAPTURE when selected = '1' else JTAG_CAPTURE; JTAG_TDO <= '0' when selected = '1' else TDO; end generate Use_Dbg_Reg_Access; No_Dbg_Reg_Access : if (C_DBG_REG_ACCESS = 0) generate begin DbgReg_DRCK <= '0'; DbgReg_UPDATE <= '0'; DbgReg_Select <= '0'; dbgreg_ip2bus_rdack <= '0'; dbgreg_ip2bus_wrack <= '0'; dbgreg_ip2bus_error <= '0'; dbgreg_ip2bus_data <= (others => '0'); dbgreg_access_lock <= '0'; dbgreg_force_lock <= '0'; dbgreg_unlocked <= '0'; jtag_axis_overrun <= '0'; Old_MDM_SEL <= Old_MDM_SEL_Mux; TDI <= JTAG_TDI; RESET <= JTAG_RESET; SHIFT <= JTAG_SHIFT; CAPTURE <= JTAG_CAPTURE; JTAG_TDO <= TDO; end generate No_Dbg_Reg_Access; --------------------------------------------------------------------------- -- Instantiating the receive and transmit modules --------------------------------------------------------------------------- JTAG_CONTROL_I : JTAG_CONTROL generic map ( C_MB_DBG_PORTS => C_MB_DBG_PORTS, C_USE_CONFIG_RESET => C_USE_CONFIG_RESET, C_DBG_REG_ACCESS => C_DBG_REG_ACCESS, C_DBG_MEM_ACCESS => C_DBG_MEM_ACCESS, C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH, C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH, C_USE_CROSS_TRIGGER => C_USE_CROSS_TRIGGER, C_USE_UART => C_USE_UART, C_UART_WIDTH => C_UART_WIDTH, C_EN_WIDTH => C_EN_WIDTH ) port map ( Config_Reset => config_reset_i, -- [in std_logic] Clk => bus_clk, -- [in std_logic] Rst => bus_rst, -- [in std_logic] Clear_Ext_BRK => clear_Ext_BRK, -- [in std_logic] Ext_BRK => Ext_BRK, -- [out std_logic] Ext_NM_BRK => Ext_NM_BRK, -- [out std_logic] Debug_SYS_Rst => Debug_SYS_Rst, -- [out std_logic] Debug_Rst => Debug_Rst_i, -- [out std_logic] Read_RX_FIFO => read_RX_FIFO, -- [in std_logic] Reset_RX_FIFO => reset_RX_FIFO, -- [in std_logic] RX_Data => rx_Data, -- [out std_logic_vector(0 to 7)] RX_Data_Present => rx_Data_Present, -- [out std_logic] RX_Buffer_Full => rx_Buffer_Full, -- [out std_logic] Write_TX_FIFO => write_TX_FIFO, -- [in std_logic] Reset_TX_FIFO => reset_TX_FIFO, -- [in std_logic] TX_Data => tx_Data, -- [in std_logic_vector(0 to 7)] TX_Buffer_Full => tx_Buffer_Full, -- [out std_logic] TX_Buffer_Empty => tx_Buffer_Empty, -- [out std_logic] -- Debug Register Access signals DbgReg_Access_Lock => dbgreg_access_lock, -- [in std_logic] DbgReg_Force_Lock => dbgreg_force_lock, -- [in std_logic] DbgReg_Unlocked => dbgreg_unlocked, -- [in std_logic] JTAG_Access_Lock => jtag_access_lock, -- [out std_logic] JTAG_Force_Lock => jtag_force_lock, -- [out std_logic] JTAG_AXIS_Overrun => jtag_axis_overrun, -- [in std_logic] JTAG_Clear_Overrun => jtag_clear_overrun, -- [out std_logic] -- MDM signals TDI => Old_MDM_TDI, -- [in std_logic] RESET => Old_MDM_RESET, -- [in std_logic] UPDATE => Old_MDM_UPDATE, -- [in std_logic] SHIFT => Old_MDM_SHIFT, -- [in std_logic] CAPTURE => Old_MDM_CAPTURE, -- [in std_logic] SEL => Old_MDM_SEL, -- [in std_logic] DRCK => Old_MDM_DRCK, -- [in std_logic] TDO => Old_MDM_TDO, -- [out std_logic] -- AXI Master signals M_AXI_ACLK => M_AXI_ACLK, -- [in std_logic] M_AXI_ARESETn => M_AXI_ARESETn, -- [in std_logic] Master_rd_start => Master_rd_start, -- [out std_logic] Master_rd_addr => Master_rd_addr, -- [out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0)] Master_rd_len => Master_rd_len, -- [out std_logic_vector(4 downto 0)] Master_rd_size => Master_rd_size, -- [out std_logic_vector(1 downto 0)] Master_rd_excl => Master_rd_excl, -- [out std_logic] Master_rd_idle => Master_rd_idle, -- [out std_logic] Master_rd_resp => Master_rd_resp, -- [out std_logic_vector(1 downto 0)] Master_wr_start => Master_wr_start, -- [out std_logic] Master_wr_addr => Master_wr_addr, -- [out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0)] Master_wr_len => Master_wr_len, -- [out std_logic_vector(4 downto 0)] Master_wr_size => Master_wr_size, -- [out std_logic_vector(1 downto 0)] Master_wr_excl => Master_wr_excl, -- [out std_logic] Master_wr_idle => Master_wr_idle, -- [out std_logic] Master_wr_resp => Master_wr_resp, -- [out std_logic_vector(1 downto 0)] Master_data_rd => Master_data_rd, -- [out std_logic] Master_data_out => Master_data_out, -- [in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0)] Master_data_exists => Master_data_exists, -- [in std_logic] Master_data_wr => Master_data_wr, -- [out std_logic] Master_data_in => Master_data_in, -- [out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0)] Master_data_empty => Master_data_empty, -- [in std_logic] -- MicroBlaze Debug Signals MB_Debug_Enabled => mb_debug_enabled_i, -- [out std_logic_vector(7 downto 0)] Dbg_Clk => Dbg_Clk, -- [out std_logic] Dbg_TDI => Dbg_TDI, -- [in std_logic] Dbg_TDO => Dbg_TDO, -- [out std_logic] Dbg_Reg_En => Dbg_Reg_En, -- [out std_logic_vector(0 to 7)] Dbg_Capture => Dbg_Capture, -- [out std_logic] Dbg_Shift => Dbg_Shift, -- [out std_logic] Dbg_Update => Dbg_Update, -- [out std_logic] -- MicroBlaze Cross Trigger Signals Dbg_Trig_In_0 => Dbg_Trig_In_0, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_1 => Dbg_Trig_In_1, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_2 => Dbg_Trig_In_2, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_3 => Dbg_Trig_In_3, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_4 => Dbg_Trig_In_4, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_5 => Dbg_Trig_In_5, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_6 => Dbg_Trig_In_6, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_7 => Dbg_Trig_In_7, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_8 => Dbg_Trig_In_8, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_9 => Dbg_Trig_In_9, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_10 => Dbg_Trig_In_10, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_11 => Dbg_Trig_In_11, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_12 => Dbg_Trig_In_12, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_13 => Dbg_Trig_In_13, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_14 => Dbg_Trig_In_14, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_15 => Dbg_Trig_In_15, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_16 => Dbg_Trig_In_16, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_17 => Dbg_Trig_In_17, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_18 => Dbg_Trig_In_18, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_19 => Dbg_Trig_In_19, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_20 => Dbg_Trig_In_20, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_21 => Dbg_Trig_In_21, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_22 => Dbg_Trig_In_22, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_23 => Dbg_Trig_In_23, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_24 => Dbg_Trig_In_24, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_25 => Dbg_Trig_In_25, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_26 => Dbg_Trig_In_26, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_27 => Dbg_Trig_In_27, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_28 => Dbg_Trig_In_28, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_29 => Dbg_Trig_In_29, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_30 => Dbg_Trig_In_30, -- [in std_logic_vector(0 to 7)] Dbg_Trig_In_31 => Dbg_Trig_In_31, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_0 => Dbg_Trig_Ack_In_0, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_1 => Dbg_Trig_Ack_In_1, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_2 => Dbg_Trig_Ack_In_2, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_3 => Dbg_Trig_Ack_In_3, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_4 => Dbg_Trig_Ack_In_4, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_5 => Dbg_Trig_Ack_In_5, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_6 => Dbg_Trig_Ack_In_6, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_7 => Dbg_Trig_Ack_In_7, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_8 => Dbg_Trig_Ack_In_8, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_9 => Dbg_Trig_Ack_In_9, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_10 => Dbg_Trig_Ack_In_10, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_11 => Dbg_Trig_Ack_In_11, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_12 => Dbg_Trig_Ack_In_12, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_13 => Dbg_Trig_Ack_In_13, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_14 => Dbg_Trig_Ack_In_14, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_15 => Dbg_Trig_Ack_In_15, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_16 => Dbg_Trig_Ack_In_16, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_17 => Dbg_Trig_Ack_In_17, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_18 => Dbg_Trig_Ack_In_18, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_19 => Dbg_Trig_Ack_In_19, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_20 => Dbg_Trig_Ack_In_20, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_21 => Dbg_Trig_Ack_In_21, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_22 => Dbg_Trig_Ack_In_22, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_23 => Dbg_Trig_Ack_In_23, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_24 => Dbg_Trig_Ack_In_24, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_25 => Dbg_Trig_Ack_In_25, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_26 => Dbg_Trig_Ack_In_26, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_27 => Dbg_Trig_Ack_In_27, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_28 => Dbg_Trig_Ack_In_28, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_29 => Dbg_Trig_Ack_In_29, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_30 => Dbg_Trig_Ack_In_30, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_31 => Dbg_Trig_Ack_In_31, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_0 => Dbg_Trig_Out_0, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_1 => Dbg_Trig_Out_1, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_2 => Dbg_Trig_Out_2, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_3 => Dbg_Trig_Out_3, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_4 => Dbg_Trig_Out_4, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_5 => Dbg_Trig_Out_5, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_6 => Dbg_Trig_Out_6, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_7 => Dbg_Trig_Out_7, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_8 => Dbg_Trig_Out_8, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_9 => Dbg_Trig_Out_9, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_10 => Dbg_Trig_Out_10, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_11 => Dbg_Trig_Out_11, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_12 => Dbg_Trig_Out_12, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_13 => Dbg_Trig_Out_13, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_14 => Dbg_Trig_Out_14, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_15 => Dbg_Trig_Out_15, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_16 => Dbg_Trig_Out_16, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_17 => Dbg_Trig_Out_17, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_18 => Dbg_Trig_Out_18, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_19 => Dbg_Trig_Out_19, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_20 => Dbg_Trig_Out_20, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_21 => Dbg_Trig_Out_21, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_22 => Dbg_Trig_Out_22, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_23 => Dbg_Trig_Out_23, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_24 => Dbg_Trig_Out_24, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_25 => Dbg_Trig_Out_25, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_26 => Dbg_Trig_Out_26, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_27 => Dbg_Trig_Out_27, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_28 => Dbg_Trig_Out_28, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_29 => Dbg_Trig_Out_29, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_30 => Dbg_Trig_Out_30, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_31 => Dbg_Trig_Out_31, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_0 => Dbg_Trig_Ack_Out_0, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_1 => Dbg_Trig_Ack_Out_1, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_2 => Dbg_Trig_Ack_Out_2, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_3 => Dbg_Trig_Ack_Out_3, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_4 => Dbg_Trig_Ack_Out_4, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_5 => Dbg_Trig_Ack_Out_5, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_6 => Dbg_Trig_Ack_Out_6, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_7 => Dbg_Trig_Ack_Out_7, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_8 => Dbg_Trig_Ack_Out_8, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_9 => Dbg_Trig_Ack_Out_9, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_10 => Dbg_Trig_Ack_Out_10, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_11 => Dbg_Trig_Ack_Out_11, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_12 => Dbg_Trig_Ack_Out_12, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_13 => Dbg_Trig_Ack_Out_13, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_14 => Dbg_Trig_Ack_Out_14, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_15 => Dbg_Trig_Ack_Out_15, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_16 => Dbg_Trig_Ack_Out_16, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_17 => Dbg_Trig_Ack_Out_17, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_18 => Dbg_Trig_Ack_Out_18, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_19 => Dbg_Trig_Ack_Out_19, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_20 => Dbg_Trig_Ack_Out_20, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_21 => Dbg_Trig_Ack_Out_21, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_22 => Dbg_Trig_Ack_Out_22, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_23 => Dbg_Trig_Ack_Out_23, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_24 => Dbg_Trig_Ack_Out_24, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_25 => Dbg_Trig_Ack_Out_25, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_26 => Dbg_Trig_Ack_Out_26, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_27 => Dbg_Trig_Ack_Out_27, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_28 => Dbg_Trig_Ack_Out_28, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_29 => Dbg_Trig_Ack_Out_29, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_30 => Dbg_Trig_Ack_Out_30, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_31 => Dbg_Trig_Ack_Out_31, -- [in std_logic_vector(0 to 7)] Ext_Trig_In => Ext_Trig_In, -- [in std_logic_vector(0 to 3)] Ext_Trig_Ack_In => Ext_Trig_Ack_In, -- [out std_logic_vector(0 to 3)] Ext_Trig_Out => Ext_Trig_Out, -- [out std_logic_vector(0 to 3)] Ext_Trig_Ack_Out => Ext_Trig_Ack_Out -- [in std_logic_vector(0 to 3)] ); ----------------------------------------------------------------------------- -- Enables for each debug port ----------------------------------------------------------------------------- Generate_Dbg_Port_Signals : process (mb_debug_enabled_i, Dbg_Reg_En, Dbg_TDO_I, Debug_Rst_I) variable dbg_tdo_or : std_logic; begin -- process Generate_Dbg_Port_Signals dbg_tdo_or := '0'; for I in 0 to C_EN_WIDTH-1 loop if (mb_debug_enabled_i(I) = '1') then Dbg_Reg_En_I(I) <= Dbg_Reg_En; Dbg_Rst_I(I) <= Debug_Rst_i; else Dbg_Reg_En_I(I) <= (others => '0'); Dbg_Rst_I(I) <= '0'; end if; dbg_tdo_or := dbg_tdo_or or Dbg_TDO_I(I); end loop; -- I for I in C_EN_WIDTH to 31 loop Dbg_Reg_En_I(I) <= (others => '0'); Dbg_Rst_I(I) <= '0'; end loop; -- I Dbg_TDO <= dbg_tdo_or; end process Generate_Dbg_Port_Signals; MB_Debug_Enabled <= mb_debug_enabled_i; Dbg_Clk_0 <= Dbg_Clk; Dbg_TDI_0 <= Dbg_TDI; Dbg_Reg_En_0 <= Dbg_Reg_En_I(0); Dbg_Capture_0 <= Dbg_Capture; Dbg_Shift_0 <= Dbg_Shift; Dbg_Update_0 <= Dbg_Update; Dbg_Rst_0 <= Dbg_Rst_I(0); Dbg_TDO_I(0) <= Dbg_TDO_0; Dbg_Clk_1 <= Dbg_Clk; Dbg_TDI_1 <= Dbg_TDI; Dbg_Reg_En_1 <= Dbg_Reg_En_I(1); Dbg_Capture_1 <= Dbg_Capture; Dbg_Shift_1 <= Dbg_Shift; Dbg_Update_1 <= Dbg_Update; Dbg_Rst_1 <= Dbg_Rst_I(1); Dbg_TDO_I(1) <= Dbg_TDO_1; Dbg_Clk_2 <= Dbg_Clk; Dbg_TDI_2 <= Dbg_TDI; Dbg_Reg_En_2 <= Dbg_Reg_En_I(2); Dbg_Capture_2 <= Dbg_Capture; Dbg_Shift_2 <= Dbg_Shift; Dbg_Update_2 <= Dbg_Update; Dbg_Rst_2 <= Dbg_Rst_I(2); Dbg_TDO_I(2) <= Dbg_TDO_2; Dbg_Clk_3 <= Dbg_Clk; Dbg_TDI_3 <= Dbg_TDI; Dbg_Reg_En_3 <= Dbg_Reg_En_I(3); Dbg_Capture_3 <= Dbg_Capture; Dbg_Shift_3 <= Dbg_Shift; Dbg_Update_3 <= Dbg_Update; Dbg_Rst_3 <= Dbg_Rst_I(3); Dbg_TDO_I(3) <= Dbg_TDO_3; Dbg_Clk_4 <= Dbg_Clk; Dbg_TDI_4 <= Dbg_TDI; Dbg_Reg_En_4 <= Dbg_Reg_En_I(4); Dbg_Capture_4 <= Dbg_Capture; Dbg_Shift_4 <= Dbg_Shift; Dbg_Update_4 <= Dbg_Update; Dbg_Rst_4 <= Dbg_Rst_I(4); Dbg_TDO_I(4) <= Dbg_TDO_4; Dbg_Clk_5 <= Dbg_Clk; Dbg_TDI_5 <= Dbg_TDI; Dbg_Reg_En_5 <= Dbg_Reg_En_I(5); Dbg_Capture_5 <= Dbg_Capture; Dbg_Shift_5 <= Dbg_Shift; Dbg_Update_5 <= Dbg_Update; Dbg_Rst_5 <= Dbg_Rst_I(5); Dbg_TDO_I(5) <= Dbg_TDO_5; Dbg_Clk_6 <= Dbg_Clk; Dbg_TDI_6 <= Dbg_TDI; Dbg_Reg_En_6 <= Dbg_Reg_En_I(6); Dbg_Capture_6 <= Dbg_Capture; Dbg_Shift_6 <= Dbg_Shift; Dbg_Update_6 <= Dbg_Update; Dbg_Rst_6 <= Dbg_Rst_I(6); Dbg_TDO_I(6) <= Dbg_TDO_6; Dbg_Clk_7 <= Dbg_Clk; Dbg_TDI_7 <= Dbg_TDI; Dbg_Reg_En_7 <= Dbg_Reg_En_I(7); Dbg_Capture_7 <= Dbg_Capture; Dbg_Shift_7 <= Dbg_Shift; Dbg_Update_7 <= Dbg_Update; Dbg_Rst_7 <= Dbg_Rst_I(7); Dbg_TDO_I(7) <= Dbg_TDO_7; Dbg_Clk_8 <= Dbg_Clk; Dbg_TDI_8 <= Dbg_TDI; Dbg_Reg_En_8 <= Dbg_Reg_En_I(8); Dbg_Capture_8 <= Dbg_Capture; Dbg_Shift_8 <= Dbg_Shift; Dbg_Update_8 <= Dbg_Update; Dbg_Rst_8 <= Dbg_Rst_I(8); Dbg_TDO_I(8) <= Dbg_TDO_8; Dbg_Clk_9 <= Dbg_Clk; Dbg_TDI_9 <= Dbg_TDI; Dbg_Reg_En_9 <= Dbg_Reg_En_I(9); Dbg_Capture_9 <= Dbg_Capture; Dbg_Shift_9 <= Dbg_Shift; Dbg_Update_9 <= Dbg_Update; Dbg_Rst_9 <= Dbg_Rst_I(9); Dbg_TDO_I(9) <= Dbg_TDO_9; Dbg_Clk_10 <= Dbg_Clk; Dbg_TDI_10 <= Dbg_TDI; Dbg_Reg_En_10 <= Dbg_Reg_En_I(10); Dbg_Capture_10 <= Dbg_Capture; Dbg_Shift_10 <= Dbg_Shift; Dbg_Update_10 <= Dbg_Update; Dbg_Rst_10 <= Dbg_Rst_I(10); Dbg_TDO_I(10) <= Dbg_TDO_10; Dbg_Clk_11 <= Dbg_Clk; Dbg_TDI_11 <= Dbg_TDI; Dbg_Reg_En_11 <= Dbg_Reg_En_I(11); Dbg_Capture_11 <= Dbg_Capture; Dbg_Shift_11 <= Dbg_Shift; Dbg_Update_11 <= Dbg_Update; Dbg_Rst_11 <= Dbg_Rst_I(11); Dbg_TDO_I(11) <= Dbg_TDO_11; Dbg_Clk_12 <= Dbg_Clk; Dbg_TDI_12 <= Dbg_TDI; Dbg_Reg_En_12 <= Dbg_Reg_En_I(12); Dbg_Capture_12 <= Dbg_Capture; Dbg_Shift_12 <= Dbg_Shift; Dbg_Update_12 <= Dbg_Update; Dbg_Rst_12 <= Dbg_Rst_I(12); Dbg_TDO_I(12) <= Dbg_TDO_12; Dbg_Clk_13 <= Dbg_Clk; Dbg_TDI_13 <= Dbg_TDI; Dbg_Reg_En_13 <= Dbg_Reg_En_I(13); Dbg_Capture_13 <= Dbg_Capture; Dbg_Shift_13 <= Dbg_Shift; Dbg_Update_13 <= Dbg_Update; Dbg_Rst_13 <= Dbg_Rst_I(13); Dbg_TDO_I(13) <= Dbg_TDO_13; Dbg_Clk_14 <= Dbg_Clk; Dbg_TDI_14 <= Dbg_TDI; Dbg_Reg_En_14 <= Dbg_Reg_En_I(14); Dbg_Capture_14 <= Dbg_Capture; Dbg_Shift_14 <= Dbg_Shift; Dbg_Update_14 <= Dbg_Update; Dbg_Rst_14 <= Dbg_Rst_I(14); Dbg_TDO_I(14) <= Dbg_TDO_14; Dbg_Clk_15 <= Dbg_Clk; Dbg_TDI_15 <= Dbg_TDI; Dbg_Reg_En_15 <= Dbg_Reg_En_I(15); Dbg_Capture_15 <= Dbg_Capture; Dbg_Shift_15 <= Dbg_Shift; Dbg_Update_15 <= Dbg_Update; Dbg_Rst_15 <= Dbg_Rst_I(15); Dbg_TDO_I(15) <= Dbg_TDO_15; Dbg_Clk_16 <= Dbg_Clk; Dbg_TDI_16 <= Dbg_TDI; Dbg_Reg_En_16 <= Dbg_Reg_En_I(16); Dbg_Capture_16 <= Dbg_Capture; Dbg_Shift_16 <= Dbg_Shift; Dbg_Update_16 <= Dbg_Update; Dbg_Rst_16 <= Dbg_Rst_I(16); Dbg_TDO_I(16) <= Dbg_TDO_16; Dbg_Clk_17 <= Dbg_Clk; Dbg_TDI_17 <= Dbg_TDI; Dbg_Reg_En_17 <= Dbg_Reg_En_I(17); Dbg_Capture_17 <= Dbg_Capture; Dbg_Shift_17 <= Dbg_Shift; Dbg_Update_17 <= Dbg_Update; Dbg_Rst_17 <= Dbg_Rst_I(17); Dbg_TDO_I(17) <= Dbg_TDO_17; Dbg_Clk_18 <= Dbg_Clk; Dbg_TDI_18 <= Dbg_TDI; Dbg_Reg_En_18 <= Dbg_Reg_En_I(18); Dbg_Capture_18 <= Dbg_Capture; Dbg_Shift_18 <= Dbg_Shift; Dbg_Update_18 <= Dbg_Update; Dbg_Rst_18 <= Dbg_Rst_I(18); Dbg_TDO_I(18) <= Dbg_TDO_18; Dbg_Clk_19 <= Dbg_Clk; Dbg_TDI_19 <= Dbg_TDI; Dbg_Reg_En_19 <= Dbg_Reg_En_I(19); Dbg_Capture_19 <= Dbg_Capture; Dbg_Shift_19 <= Dbg_Shift; Dbg_Update_19 <= Dbg_Update; Dbg_Rst_19 <= Dbg_Rst_I(19); Dbg_TDO_I(19) <= Dbg_TDO_19; Dbg_Clk_20 <= Dbg_Clk; Dbg_TDI_20 <= Dbg_TDI; Dbg_Reg_En_20 <= Dbg_Reg_En_I(20); Dbg_Capture_20 <= Dbg_Capture; Dbg_Shift_20 <= Dbg_Shift; Dbg_Update_20 <= Dbg_Update; Dbg_Rst_20 <= Dbg_Rst_I(20); Dbg_TDO_I(20) <= Dbg_TDO_20; Dbg_Clk_21 <= Dbg_Clk; Dbg_TDI_21 <= Dbg_TDI; Dbg_Reg_En_21 <= Dbg_Reg_En_I(21); Dbg_Capture_21 <= Dbg_Capture; Dbg_Shift_21 <= Dbg_Shift; Dbg_Update_21 <= Dbg_Update; Dbg_Rst_21 <= Dbg_Rst_I(21); Dbg_TDO_I(21) <= Dbg_TDO_21; Dbg_Clk_22 <= Dbg_Clk; Dbg_TDI_22 <= Dbg_TDI; Dbg_Reg_En_22 <= Dbg_Reg_En_I(22); Dbg_Capture_22 <= Dbg_Capture; Dbg_Shift_22 <= Dbg_Shift; Dbg_Update_22 <= Dbg_Update; Dbg_Rst_22 <= Dbg_Rst_I(22); Dbg_TDO_I(22) <= Dbg_TDO_22; Dbg_Clk_23 <= Dbg_Clk; Dbg_TDI_23 <= Dbg_TDI; Dbg_Reg_En_23 <= Dbg_Reg_En_I(23); Dbg_Capture_23 <= Dbg_Capture; Dbg_Shift_23 <= Dbg_Shift; Dbg_Update_23 <= Dbg_Update; Dbg_Rst_23 <= Dbg_Rst_I(23); Dbg_TDO_I(23) <= Dbg_TDO_23; Dbg_Clk_24 <= Dbg_Clk; Dbg_TDI_24 <= Dbg_TDI; Dbg_Reg_En_24 <= Dbg_Reg_En_I(24); Dbg_Capture_24 <= Dbg_Capture; Dbg_Shift_24 <= Dbg_Shift; Dbg_Update_24 <= Dbg_Update; Dbg_Rst_24 <= Dbg_Rst_I(24); Dbg_TDO_I(24) <= Dbg_TDO_24; Dbg_Clk_25 <= Dbg_Clk; Dbg_TDI_25 <= Dbg_TDI; Dbg_Reg_En_25 <= Dbg_Reg_En_I(25); Dbg_Capture_25 <= Dbg_Capture; Dbg_Shift_25 <= Dbg_Shift; Dbg_Update_25 <= Dbg_Update; Dbg_Rst_25 <= Dbg_Rst_I(25); Dbg_TDO_I(25) <= Dbg_TDO_25; Dbg_Clk_26 <= Dbg_Clk; Dbg_TDI_26 <= Dbg_TDI; Dbg_Reg_En_26 <= Dbg_Reg_En_I(26); Dbg_Capture_26 <= Dbg_Capture; Dbg_Shift_26 <= Dbg_Shift; Dbg_Update_26 <= Dbg_Update; Dbg_Rst_26 <= Dbg_Rst_I(26); Dbg_TDO_I(26) <= Dbg_TDO_26; Dbg_Clk_27 <= Dbg_Clk; Dbg_TDI_27 <= Dbg_TDI; Dbg_Reg_En_27 <= Dbg_Reg_En_I(27); Dbg_Capture_27 <= Dbg_Capture; Dbg_Shift_27 <= Dbg_Shift; Dbg_Update_27 <= Dbg_Update; Dbg_Rst_27 <= Dbg_Rst_I(27); Dbg_TDO_I(27) <= Dbg_TDO_27; Dbg_Clk_28 <= Dbg_Clk; Dbg_TDI_28 <= Dbg_TDI; Dbg_Reg_En_28 <= Dbg_Reg_En_I(28); Dbg_Capture_28 <= Dbg_Capture; Dbg_Shift_28 <= Dbg_Shift; Dbg_Update_28 <= Dbg_Update; Dbg_Rst_28 <= Dbg_Rst_I(28); Dbg_TDO_I(28) <= Dbg_TDO_28; Dbg_Clk_29 <= Dbg_Clk; Dbg_TDI_29 <= Dbg_TDI; Dbg_Reg_En_29 <= Dbg_Reg_En_I(29); Dbg_Capture_29 <= Dbg_Capture; Dbg_Shift_29 <= Dbg_Shift; Dbg_Update_29 <= Dbg_Update; Dbg_Rst_29 <= Dbg_Rst_I(29); Dbg_TDO_I(29) <= Dbg_TDO_29; Dbg_Clk_30 <= Dbg_Clk; Dbg_TDI_30 <= Dbg_TDI; Dbg_Reg_En_30 <= Dbg_Reg_En_I(30); Dbg_Capture_30 <= Dbg_Capture; Dbg_Shift_30 <= Dbg_Shift; Dbg_Update_30 <= Dbg_Update; Dbg_Rst_30 <= Dbg_Rst_I(30); Dbg_TDO_I(30) <= Dbg_TDO_30; Dbg_Clk_31 <= Dbg_Clk; Dbg_TDI_31 <= Dbg_TDI; Dbg_Reg_En_31 <= Dbg_Reg_En_I(31); Dbg_Capture_31 <= Dbg_Capture; Dbg_Shift_31 <= Dbg_Shift; Dbg_Update_31 <= Dbg_Update; Dbg_Rst_31 <= Dbg_Rst_I(31); Dbg_TDO_I(31) <= Dbg_TDO_31; end architecture IMP;
apache-2.0
591bdce46c5d8beba6444f66689a5d3f
0.516763
3.098537
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/dcache_gti.vhd
1
208,572
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block G3EuaHQZLi0S9F1wV58kMElN5yhKaWd7yzlj9BZlgBFFCASuE2JxnLB4YmHXzsUa77Y5YXOEXopa 3yFviI+bKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jj028VYEFhpZg/r5Lq9ZdstZ7t3GnRx8eNwauMobdqqp99WMd22R7spBkd9MDl76KLKL3qaVpdmG a7ZtI11qoXyksX3lOg3zdkuNiGP+NPvc3YZeMv6bOyH+gIFNzKqV7mgyqkCBYn+YMa9Q1rUfivKg Bcz+4oytMDdXTbbYNOI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BGNbgE7Gbb2ie2NKTcHBbhHgNHFVZcXkzgy+JQzvzApMV3r9Q5o5+UzUGrJBUw7ftUhg2h3Ll7CT UKUMPWPilBDeEqcsCenvsnfoBvnZQ3alRyIaYMTVnIF/mCGBIzaNWMxaKpI8en+kxj0BPgJQg+6D uB+ja6sZlhJMj1Ioa6WO+XrJMRwa0h5b7akVrOreK8Vv/643lzHHf2eQl5NcyD8l9ady9+nYL+Ry uwhYZ8zgtfETm0f1WtoJEY+ZQKLBTKHRUZwyISugWz/8eSGT3J5UZ8Bj76KCycDgkwlhP3R1IeiE 6uPAh1+Etog3NFLjZxhRD8tB+9pTlGr/ldeZnw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kBLP3fNsS3C5EAsJSqI9woLeWHor1OHAiB73GxL56WZ+lW34HC/+QHRFmS+a0do47vxgOsU0jRJI IuC2iEwAyn64jOaFSx6AhmWB5y06BXv9HLgqKLFFzKt0+fQf7sEY9lBCFUMZOr1c5F8TK6teLXOS jpJb0tX9Lr3YzdGSpjo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ia11gk7v0higQjIWnGqb9Lt1dULP5nO+0YyrqdTbWUkG+bdqlgDhXXS/o++UevryLAGTNgMeb9l6 9KxErpiodUqeY9bLd7pAnXzUqQNHrhJU+mUUGXOWwrrm8f+bPxfz++rx17bhlTbgVBmwMJQaEgpU JTE4P2P/jmLymqKYJDdVFitsViOMjFQs0kQsPB3smY6073pyGoYw7cID/MtM6o3Kth+ukri4Qn0j nd6qKr/KwZGqmcn3merngc1TkMOkkU3YHPgHA8u3KTq4eIJ8BI0rNxazaFM5uYfN5zAWJa/17X17 bx2i9zAb2nU8s2q2gf1QVQa13T8pM9eFEqpuPw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 152656) `protect data_block 5jE/fikK6YKPvulYt++hWhzsGqKPrvm4phjIialEWrnqelFSYuSW010oyHzuARkNNVgKxZys5sSa aP1sSaT6tr6N3qOYLtuTB6IFP1FYO+yte4Z2IpbQ4T7AzZCfeMi5lltIjg9OWttTysTa1UgWip4Z Mpbz+X0yvc+YP/upHIjEQ2UpzOeTFz7AtoDDXn+ZbVGXdpeY1i7qWvs2kBlcq4Tp05LGumnSgNER LSoz0iy6u8lEjI6j9PO7F11RMjXUhFMM7Ms/ckLr2qmauNJcaZTfjCLYUoQNWucekvuptf9StEbp qj4kEA/RHZVOEqJmxTx+w3XlKQAoZAWdTLnIMhPI0Y+7A20l9wjRoSaik4dIbn1dk5y0rGGJX0lx 2BGydBE6jlVveMZWXNvHgEAow0xuOyYuunE3/vkyD9+OD+lEsovspgUjo53XmcsJ6tB0TS02gdfR 5wdn4nd0KSVK9QVMCb6CW/Q8+BqBN3cmyI5vJOIJ8LJ6veQlBKWMj1ifWabAbSadKb/NN4zp55Lk r/mt1BkdbYYZ/snyNJeEGcRaZMxbCFTrpgRPgtowOpYm6WoyaS6rbcbCjUxV4SdwtoGyIm0yJasF pFjKjhGRClH7fbk6cRxAAwyrpdxi0mJ3wqLl0pcH+dOFZXebci8yZynEUKQIWOOhmGIDdbkFaOZk 87cH/SU0bM9LFOc5nbkl52a/nKzD9kr+fUPdDFYkS/aCf0FwCtgre9rjpDsp20DSmjVMmEMq2TTY bU82p3Q8bvaw4LJB2UVr+8T2fcbWbfMrsaX0zTXDI9wBqKnrG4pDalDdFJK3NkQoSYGi6wkP6StF AxZJE6jkVk+rbVlrncXN59oLP9jo5c7i0PFkGhZgQprhR8vNAwkJNQO1fjdBYR5Cb6gNxl6IGrLH /taFVbKvMRc81tb3349Qz0PzvByyWCUWZlIuVoIOR1esSvKQg3kDtowjqLNtxXYn91rRihvRo6Et SwMruQibWkNOCe4y/km7snXksjrnFRb5+KR8YC2nxlozlnD7GXNnam9llW4iOQdHo9pGzgDc1t38 C3LgoDL/zThNProVCOV9TysX2CuNuDApxbYSwUQDzGNUIl4cBaPUzd/6qpzPN6p9oyQmIM0pQxuA gc4E3X/KwNpB5v32kZVogFf6tGdZvrndElIyznlF2LZK1iX3FX1R9nHD8GtZN+252QUedTFpzS++ pPtuPR9uIKpwGXZMOH02qfng6IrbjzkXcMAMiZKEpA/GQvi1J/jDvRI4mdmcIGUe8cDC2RYQAk73 /SFRoVbgsWScsZXHLDdWJR2fhvqY8alDO+M9QGgK5Y8LavsHlLh2asF+8oMS8xudYGWsPvrDGIC3 hs1ekKWlFhDAa0M32ozr91dfwDjhH36bqPvthHwtRQdHcUd6ggsw8vuwrKQj0ZUho/RFTq9wCXSu ZUfwptFENvhfcb69+GFD3TyOw13/Gis1BwIcZCRorWGSEmcNum9Buw263NkyklHb4pXSl8q7Ld0v FVvUOCjP/w0XhAhQM8AE47gSdG/DvnC4T1pOWLZTYUCiYzUsMsLd5fOx/Oompoj+PhV+lYTvhyrJ CRziKlYxVVDZCUX83q3BXDko8deXjhHKudGBynyFygRDvprl9TA9KlztfYsP7HxivlS0oV1swQLw ry9ejkHZChUBLAqX7wEl1yPs+Lj6V8bbHPggaQTXrRFipOvRY2QBgHVwJvfCTONqTNDoYg93fzRT FXL7g6jQqHoTbKA/zejjl5jBezbWczpHNx9HsCdbEP4oQTN6EjDeFVfQBS3i0TPBx4gtoUuIcrdA /X9+QUaO2F1SzUKuJZ5EA9oynB9lON97Z+nriluza9zM5wLfr35Vrz3eSsdNQAyjdHWTBoukfImR ZNRbpuTluJnO6Sq+bIjRx157CVHY2/zUhk0z6eUnUYFos2laVca7x1JB9xs39dKljQ0tSAK/uefu nUNx9UtLaAl2ZSLgY47zcIErrq18L56XeVDBoGOciR1MzMmW/XyaJP2eeaMjtJLy4NMBSUih1q3C sn72ebpJlt0TUMQyuT6GhBI1SCaWd0DXOtS4baMd/OcwYRAj2XU+E5dVNfQOJWBlzfY2y2uBVp+U VzzonyWnaNpm1mk7jCG+NX/TszB/lpEP7Q/5DCbqRMUemMc/jY1ASHCZM+uMsLwsL1hCkLIVZUUu SKhbtFNAXGCDeNJCembYNdCP5v3h3UkgbxMXHnm9Uy4FWJbCAvvygwiisw3kH8m09bRlSMzwtbfS g1noOqqF5mHpfkz6/gP/j9i+n3qz+blSUHVEbBSV4CWbq/rh8lZvM9G0iQqspmd9FB1slzjbz6Ue S3yAvBfbV91/WahX1CAudjvaOJZOW9KEcN4alReWR4sYPHBwIJV17rX4C/g3cr/EXEm1+R5UYWUO yMl0pIo3bloGFcmUJXVv+uPp6fMMnyPvjY8fGlh9cC8fuCZT8y+OEwb50QR6h5/FcpUEb5PrTQnc 2pJ9pXgIzcuJVlHTYH2gDBmMM55jQAvpaY/TUT2hUAY+HJMfDoDVNyNgk1FBqLf02EmdyQwgGeMD HBDf6FtpXACkznQonyy4SOVnuLqtXogGfMYFzlFlME32vdPXJNNntGnVW0vDxuwVdRNHoZVL5Mxe Jlc/okC7yZY/QHsGj0U5bbxCAbvgJbPSf5p93Ulgc1ssKi8+L0X2x2Ww2zxyYo4w4W2k0S00sLv7 UgQVB8A2JOxdDi5FS3iUXLNch0gLduUxkKVEdy61hx/Bnb0JV7CzobOjs6ivhf9usBdK/ur3uFDW nAPAcrCz57hbhMCJcaLm/D3JMFw0WRpfqAJoDA09evsDwf/BKbvBPL6U4URHUYysbTlEbffMDjmb IRtMITVdFC1AiXJSIF3cvLycxpHILy26eNhDO/Dc+8qOlYovQ7gIze7VjPP8Vzx6tfnflLs/s1LW lGVCaZtTaqa/FbdWjta8bNbGm/kfm6IUK72Ul0/J4oCyqwsTs/SsG1h75enScVR0xUf7jdlW7T33 05Y5R3xmQIpVrXHcUSJmFD0SwblArqu+iChBHTOfJWBezVYFxNUIq9YjI+Ykw4bSke+tusOVQOD3 2m/vl1CfLjts5K3UeZoLB8++ov3BvTmr0ZVwmE9bASaeD/E1kD5fG970wKL5uRBaOl6vyBGRK0XL xzE1LKnaRMU0MPz6eejHG/0UUZY98xIYpkex9rGXzozeDGhoZlAEwjT8R+T/TdtEVJ0vNTtSclMx vhr4a+npZkD4rlgT+ICUsEEp79FFQEoPkj1S+w+WfW6IbWRs8qtgdIYjiTN3+HusnUFdbIeEg15N 37J+Z3XkMlOfZzLRWUUnTVesE7HWrrSGRRvQxkkZ8hBbA9FG7jlY80K9ITt2FI5QK814lyk3J34/ YOsnnjyjSBZRpv9aZ7/4ht8K6qC2iwvB88ehoD6dOubbI3C6OMVEzN1DiegZ3WFFNlhtGvxX80os owEBH7yaagSOqC2fRAy4gxYfch/nq+jwJQjaWttbfa3uCSc+QLpREt9csf6B4Znv9gOVLEQMdb+i YZpEz2juaSwk//HfjA3rAFbe2juWD5x8t9AxSrSR7m0X84TTnmLUv0IP4PVUU+T3f634pck72+Ay p+1haztLszycEij2W9bWJ/ilHunxKsZJoKpV+/WTLN+vZSHm/mqvDSJxRUqnhtrvay8kb6gh0C3v JOnuUDzgMRvs78iPqfA0MzPkmFLOKeGpguofPLaElyyu8iWBBQtp8SxXZCqQ26spjpZlzyISw+Vr x04cg3Im6b9ZadvSsHDhzgfAPT1cc+zvTMyAZ80IlwM1JgqRM4OdxgiL3k+C2rf+A2od6ysjQICj ZEV0H6eKZyXmIcMkOa3tpIKLukhy8SMu2/B9gLKFAwZ2ESq3L5lFwV8EiGBLqt6y+ZtIYgFJha/l 5dKBN4H3rKJOy8njlcqmI7tulsfdQi0tldAzCBD7vALikoP1/VGVg4oLFJoBVlncKx+fiAvv3nvg /PqR7SS6N+bEd8ymb8rFyP96iBfmAdagzhCwM/vJ4Kxmhu4t9vVAKGaYt+C+LCrZOp9we7iBQYXw 22C+c+JP4q7FomqMPLWA/4iGTLaBZsz5mMXOwz2QLTFskA3K5xjKFQ74cBTh1a8sfkXy6UCpkk1U p7ll2yNJYx3d87xZcJ/KmwcbV8BxFYat2MlozM698gNW9gaVcoaxZFfTB/G8J9fVT5jeSUfV/PqA GMpxITEkqFrLZnkU5CTA+nhJjHt2fS97K6mlh4DSfYeRjYLjKarpmRmNfR/6NZ2iNEAFkvsd3sKF KiEXhFGspCv8FEalSmrz+0NtSThenFUZWtmkgPo1YeUXkbS3Nb/jrnvo3jM5IsCjd7wcU+zeBMbM I2ogZR9c/Na0lvDWxGChquFwtvjTq3U8s6pqE46chzZ/3M7wJ77meApp1hvrIeVgw9+lcHgOqJt/ 25LpN4jUA9uqKnlmptly1aA5jgtAlKiYcP1FUZQRYhu1UjxGYr0m2xwz/RU+juXtxX/AyI3luen6 msI2BmwmyIwe5NrqFFjzk5TWURPP7oXQOS7/qFlBRpmiuDoNmxxA6FUycuj4NEILMys4cmmmNcNm VRp+Fknkdne7VSlhx5BrrEJphpLvE02WuH+2PoNkqfqcHOoftKnSuKEu9IPx5o92Ra4dw/aP9RqX AfPReoC/OSljx03IO+cJfqex85MfiMeLEGhsdmtXIGuBHDXjiqNECjGzvMXbvGiv/OX0kG0G6scY HwVzkNW8CyN7MclB+GrK8zhhU4rG12Wd5ZcKtGUXHk/bgZ/PXU1UxdXSl3EurG7U+Xro1UWYvVP5 AmTT5ZK7Uo//P30Vi/9HQe7r0KvU7xgxzTallOEzGy8utCNcUcS4OFZ7aBvsSoqmwNyzv+1qyozq Egq/dOk4rm44aTNn3LnW7K4+tkXn2airv+6Mg/B4XarYtY2QHYM30o5JozPpJEI/wjzFGGolcjSG nxJ/49+igIraWN7Eke0NB+qU5m/X9odSkfi49bDaEkruzJtb/fip2FJTjhzefwdSTIBQnAYDUJXv +SgMh7gwUPYosQ0BLPTcJ/EmAycbHfYUoD5opaYL4IspYoTr+TwWg3XHRx6UQpBf039s9xD4ZX/c p5LhxYCTrJcqxddPum5sbsckCck5QKC0/MiIfJ3DDncsC4Eb/VZRtmlcuZnIxuSbc6vf2vCrtfqP h5EkX4qcu+eLMNZ2PTDSKeUUifSEkRCmJLrH1sEmDjlKE//9tXo3peV9xdeu1InqxY+dTwMsDLZH 7Fu406fMzjVNzo4nR5Epvz8DjCNrsxZ1O98HBB+jgbiE5+W9ecMPHXm/zpCbIdWg+RH/cpIFWYV6 HkBF5jPXH7MzetHVt7rVF6FnHCmd3B0BvAIimnxm8Vr2OXokSYUWUaLVnBkuwKqpC0pDQ9/XGYZT Sbg91WR6WY2e6YcM/yaPKLV2Y7RNl41T5s52bug6e7YXjbcAQgahcceH/hOewtZ4OopUHyz7oMIL te0Btmt5pNWphVhZjdO4Jf3E3xqpQTjn2goryzBZ2ne2YLbHcmF/4yq2vkPuWX45PSJ2UyWmokTq QOHx0ePcsP88JhLpuOUMU464uIVI1NeBJcBuONt9C1XukXna1XktbrwZ2KSp+l3U2vS7glwdkF+P jfxkZy88KQ9yS1uot0xmAWUCG8Q6SoVuKKCNlgJka7Ny/YlE/suS+aAD7+55wWpbOI6xXKK01d2p 3sHFZbE0pjUVoTGLx26RlDyt9i1TEcULUc33QHlSTrIuwbnrb9VGQVlz2+U7UkcLP1MIASQiYHH5 z4vZ7uGhJz5aXi7GNxAFqWa0baUalHhhtESWPN+zOGdh2rJSyNC8pj9k4iTzB0BtJbUuPjBGhpQQ WLj3J/Fx77RhyVSdSTNm+tfi2PY4kT5QsRKPFFe60AVdKPXntHDImoc/jSB/8TtDUC11763Cst6z W0L3NUA+OHOL960GXpmR7adF/r4ng+Sz23bb2ow6nG22/cXXHGzEjg9fzmet53j/kpSq7DJS9VPP iA1N/UFNPdDt3TODQ9xTVw4Zc3lT1zjyMefGZzz1akAKRyIm1lta4f8LyOV52k0uskwqHQbSzhGQ rZGTsDhJO4YHHCG5P4oncYsX6TV1d5L1CK78k83FUvk3syiVFz9tF3TbZTfnWkb7j3BOaUCVEN0y 37lXA/FOL1iMqPgG4gBCROD1FtmAtKMsuE3WUeTqMyQDVemiayUyW3fgzH/16xqiBsfqCW192H+D 1YzToghM51gObitp/yMmPe93yzWDYP2vZAKWZUF/6ItEc/RXRyu7yREHe8HXewaVDPLYLLnJIPyC CHFpugKLxEFzRo8hl6fWqqX9PNp3dJrLw0ah+96Cb950Ex+ohjj70Tfqqlb2xX1vlnqcAEikgicJ nVJihweE3kup/AmnAUQAbA84cZ/3ET3ygQD+N5dPzjCTIPul8VArSxdy38XVO/bwZkGhBsKLnUf3 GCcJ2magesDRnMKqT6xYZ/hkQBezy20miJP1jObsm259ErGA5nbndDd4ryg74zohd4+8UtPL+hXT Pdo2Syh49zkq0zvc6zIBmq7moTU0TDpGrtWQTCm2vjgO7PNuvpcfQ+iayeLp+p+7ltFOkggIG+zm WJEukZWU+E8Ub5V9XSOq9dWutasEN0h3HEU7PApj0ToTAv9yTDSL+Za64z8v0X5Kuq5hvGKYEGot Y/PExZoU10yNa/PC46+WmZrmhaycwfBI8+stAzyt4WDCC11c8CGXAnghkbB+KsM0ijYYBfc/yJqU GUdv2BPIAedvicu+ignYX/LhshKafZ7BG4KWNKzq0IpZSbfnveRN317opjJfgGMm9p3qT4vkUMzJ U3Rs/NH1in6gKMdceatRGQ5nV/Rygi1YFN9xlqox3RXpgVgcCxFhZQTrBxPZlaNr7IxWPlOoPnFE Loi3CLBFg86+lN4aMqJbLSsoHKq9gDlf6fQ/4YEXOW4fXRlqTopqOoYRpL1raprbA9+TiOOWx8pZ uxRq4+zW7CtCNkmY8NP0iT0gGSfYaB/bPH+WJcawdHH2O8IGKcIwPhdlkHlljI4EArHNAfg9nluL YFyGbiGPseA1y9i7hqbaxoNxMxJelOrCOfsiC+9ixje2ogS5TWMAYfis/xJUfjeyQXkTFy5o1dyu tonLPsu2gy8rZ2D3SSAZERT2MAy/VBikHGmIssS2w6JHRdTgtYbglVzn5/aSu/girfxhdkzjhYDc +abmfClZvY464aQJqMyEWt6TCWvanWue7dtv3EKIVANe03d4cPP/m2twhwh/4SJBi10/SHOMz7ar BLJQuFVgUVXu0Y9Xha+/5lACNttMHAqFNymkUJgkCAr1GfOijOsExetRAMQZHBVgwQh1wl/PrKK+ 5Cpp4ap+9D628KcyyMC1odXvVo94i1v5xOpUQ0DXpDNie4xhrx0rCVCO6YIkUlaa2CWzsgSV/A3O msWJURKa3ECdXUAkBKoZ7HFrPMqhGO5wGodBIuQMPPYBzW/VO3azD5xZPzotAgLGea1EPl6Z3WKe L0wjtlq5PrEo7aOV46iMnS0PO1L0y/Q35MFrX5ZixnPoaCdxJOLdW5KpyWVVw4LPr+Y9eVlCuz54 ng6ImzL/Nu/SAimIXmHIc0T3BleQB5fV0otzrPFSQ7hvZA7zaHRk0c5qprfKwhYqxmapn/16bLAn NLhd0IY9AaCAeBszQTlEnExfUGyyvikZvMpprzk68cPhu5HmhpmKwWMnvCm138TECOJx8KQLLXLb e79wBHXXBnwo1xOW9nNRYDF+obfW1kNySUeLfAx3CqmhkeI/y+U9NGMe/vKriuIJ9spAwh4MU6RL eKRa1r/4garOjQojhYHNnz1VsdZky78/GhwArO8SRh9fmU4s+SUInv0NKqqGGWTrF7kiNxl11HyX gAzu6Ei6hDgXf8TLdaODoGNoOdRbtlgxzeaUd1fVG6+XtVxioxgXlwodQne2ugf0WW6QKYgagJ9W T2q7PjXQOjtfQFPRitAPQTi5P2bocsZTvR/ZuqhEIDSoZj4bvN5KNKNmDxZSYvMeszSiMOT0lmG1 7JMkBtFwXgMRFMdco0XEsUkYk5NXvTqfxynb+ySOSWD7TrnPb8BKBU4FmibRYu0LvDKb4syG7o/k XCgV71oPmm0JsYFT5x9Sys7RQLDPXaeKlxiHLzJEDtIxlEl+gElx9HrOfriHPMMtP28W11CHbiu+ G3gmVQBdioPrPC9vAE3v08frS6iDdA2S6wQq6kvY61lnw5MHB35L8Ikq+XtoPJerZZeKeF7O1sTh f0wWbxVjUzokX7+mLX1Bi4c2VAleHXSFK6mVMR0p8rfv2j4wwoIFmuWg+V/NSNqNJUV1n1KcIwjT U0WIT/MM1cEiB2lPBg8Fj2eQmtGOvHjUhzfwzMOPvHe1ZIN+4RmjOzRecuBVvqgxfOFGrHE+8dyp cU1jOl3o3pwc+SAlOx2XyxmgvfAC3b7cwbfT8f78c83SLA7gZqabkgxh7tQ7kqy76n8amty6KBEi 396lakTRIQwJx+fXivm3DYE3dUc86Ur3qJ9i9EgzX+FbFz2/WulTimuf5w4vD6jeW+ngMc0flWMD bVfHGoMjz1bNkiWi/JhGvyZWTGmt0D4vMenOkeZ7AbW3wiNZa7X2Cw+K/51HKu2IjJjs91woIy3M K1KqtJ1bhlDJwaAWl90BA4EoHCzxfDLn7to3gDpnsgXURwg6wjMtIEf1hOhYb5FPxy/LFP+BlvEz Mzski0Dn7a3wiyJi4eLB+g5lnaSOaU0vTR4NOwIyy10y4MmeHcmQjX57JhVCNqvfdglpoPDrZm59 ZS7hjxbeeMlR6cth3TuAUul6ymC2/EcU/2Y/TEMh9CnceXoQnBNpWL7FnqIFSSzIRDJZTANUVcV1 hySCul3rcuydlErE4ZDKTxTB0ZN91D0Clet8aKhwlBvecc/USkBgOdPuP2J8Za7hd73C1QDCfDaW 7F1EiSueyU+o6oZdKb0VXDbMcDtyCd5fDPDK4ApNs4o/Zz/BBVTtcot++ApqngPuunqwJK8SlKa5 qQhSYjGPDqCgbg+v2qd5lqGvVBMnaaeXCVrf7uiZ171lhKmuI31AViLc0el3dNoLPI69+uv0yIOk jn/KJeKan6G6qtsxLcYHftV1RWhcdZJShkGUV5YFUx4tT6GTXXdsAPd0So7XTNbYSJkGUAl8mE5B tgFysPpJFcbbTlJ7sywnXZnhGpZPBKJbfLcJ+WoPzv0eIM4xDF8XaOikhksB2sAvrDfQgy0dTwBU jN9+YF5cbAThq+DlXhVYiY3pHDwTaYR7Y/FkHmEBO98bt6bdfM2KUHCuYDvJx7tqrmncs3puR8/z v4JcVBmHzDuFMQeCSDTOBfcUP/2p0zlYEwZUivlllN8zf4dJ2ZdTbj7oYZ91B3imU/6iRFP0Sw7A alTrkpFUEqD6f33evT0LNxkfX2PUFesswsCP/ZbFXLm3YUhQpeLrpRnJcJkwzupY+xmqo8Nd90Z+ H1CVX36LnZHpbgvNW3j6KpVw1k5mJmWVQVQYLzQAbMrTAvaoNLSNtou5Ab6H4I5S20m0tTN/ArZv ElMCdQ4nCWJuq5bru7DG877RIy3gzUvVXFHj4N4MoaLwgiapo9wQdf+vHzNn+zmhIdarajNCo4lB UyAf4z/BEIbG54+uSRCjMqOVGIE8DInW0xchd/HlG6aBByBuXZowPme1dgEKmYmRdNoffNea2BXP PzMelPdTDIBkMfz4wA1EtId1ECcBymjXF6ylRWO9zrzefPcUYkTwp1h78RM9G2NNJ1c8IcfGM6c8 MRMLm4Vs/lbOkpITGnuZtWr+c3B2/vgn+Rrks5r6FutukjS0rEZWlQjK68C5nhJ0gIUYS7kQl9Nc zJoXkn5rALMrCHn5+fJ3RVZeTw7URRxYvVaTgQQcra1llMG9O1MoKybX3zC7wu7kuGE7SrMfpNDJ H0ssFxk+TlelQ3U3LAKvlilHKUGCkFGY2+2vB+20bcAACxGVnA/Joa7qRQp21JJ/dPhvGX+69dMI KLF+7LOf/pWO9Swgr+EvqqFMVEKg2xbh3lm1d4/hqwGQMlXxkQMclWyRjFBCcBYmFNVR7X+aXj/A GquGRHMrNYCrDtTp6G4gwmrRDAJNjoiQBEEH0A+3kToTvviAmQKKwcVJkJWlcahv+XSV6C7aUw9a TBxVxbNSF3ooob2M4uf8RLQ3GTViy8RPG/0fMf1inPpyjyo1b61VyqR4jFllCLiCqb9trcOwzWQh tvmY1rSn9ut/KyAZGJ2kMz0jyEP/UmUF2w6b32lYk1iTUaGXCSifDFOTDaKySAihteLUS/bXnfDW kai40SS7YKnBtAsJsIV4XQqIHCP2Ib4HhwXFWDrVKDMtik20yKJzy7wvfhBS3w25TwP1vXKDAsql EcaaoVbVSDUbRRXFewpZRJRzPDC9FZwdkVlH6rBC9deC17Ls5LDq6wfkzB5Xf63tbX/nps1jtMqm jdwv8bnwWt+yUnwjnYiR7LSL1n74+Qhls1qAq9tnBHBVDPtQo/SHDpK7S6y76teuhnrUz6RHfm5S o3co8HjNzTeWs6ZLyCqAZmMC+cyjhZriISN/mm/VkF0mAdKd2HTzgO/pm1hdR8YVvK1IKygiyoRZ GXsfYejS5dRaSoEK85Ctpt+wCEZ32bXMor7G9DlV8tyfE5CInnaLEwtptIRKk9WIq/42t6ouj4Vq mdHtThcT695wiDg73F0NFm8k0fc/DEFfzN+VAgj8hSoZGVCbLGEWZ51nv/2HGRJFoEvkX7Z0v86n gl44eYxagLBilDV6Gg/vAlAgufXKiHLsJJL4laE4Z74lbzGZ6iUIijdaKS9kLLqDxfym4WbDRHBF X9Le3+xvaZEk9a0nBTRLtYJKn9HhMOm2AiOtA7wJIKSI7Uu6QSHxMwNatRji5q8h0drwH7Q/eXfn oSi73GV3y6LoHnFSjd/eLniCP10nwuL9wzAJd+QPcFR+a4dCLtHublRKKNfEi00NTvAmbwPppKGd somD8KMT802Cy81D4vt0IQTR1+vST3rqassoHnlW/GtGzkYJtxdf4eFBXBmhNvAF1Jprz76F778R SQ19Uiwv8jKm0ZN/AYXJaoj2LLzIazwQ1WL60gUMTIFJsEYuptS5vprr5zTYYwsZHvVLf939TW4A fjWFMGrbfnrrS6QRjFkYo08hrCmakBl5bm7kPg9Gc29p6GNf0ieF7f6W3GnGxgN8sRjJ1jDzZwpA x3/WBP6FwHDugFXLVzXSZz5Kbc7Ji1fdk5P3IU6E2sqrnBOlYFD5FOuWGGAqv+g/6o6EbFh+jCGK 1ts6AOqaxoMTgp8fgOZE1hvRoXXTF2MZRKeprCKpPb8gjTlqs9OXxyQmJWGjwWuAwuH4LZqAr8U4 DtMHUdZucu3fd928i6yoeyhPL6tOG1wDMh3xAREBY9NcsY3P06Bd0ObOd4j61mT0N1GOEnzqY+Mt Wvm8XtLWZeT9Sd1OJZTJaEYJgnw9j9REWo6o7sjDvOdQ11YuvhmrFJHB+qEiQP9II8HGe4+6RxL1 Car9NEk1WeKVTOf7A+vwtDNjWn+iCJwe/dzNNQzaMALVLtUBenhJCJidMLP0X0OlFS2no4K10k2H eDrMj49Q7TEy3sNvIBHcSfpq8U+48/lE0qEaE/x6zv7gRjfrWiVcDtaUzhAWMbstlgZHuCJHU0UN jzzMBx50TdrY7ZwudZRGPKxsWawMj7P8LEDgCAE3ZZJqLQEMD/uIEdoPEpl3sNQ9Ve2Z8u/4ZKCZ q4Wwnka4GoQfm7GMpbMjOCpP2VatZtM5Sn0ZzL+2EDjVcqfVB2T2IwoRmIMudMDDG4EHCfBzsEYN 0LXROfLEstb/tRuBUe76GheccU5yp2MNai239JfR+Qdbfqxo95SrA3R5HZ3TS9MmaULnFRq4ThC1 W3IDXAfmODJP2f7NGujV3E0mkk8/TUtTp+VyleVTfmjmhEjBneFRtAeXmx7GxgE2+bNlao09fpJB OQ+F1JIx1ftkhbqL0o3va1MqKW1xiv3UQJIgT52ZBMH8Xo4yl+82OybaIPBHZU2gFXyzvMKtYfyw N4qM48f2ZJMLH+WEod5653kM57PRcWIQ+mz+fIGELMzcc/x1IWSfHqe2jLZDrGMjd8H8NYo1DF3P dXzmR63Clv6oIjoXJJGDLIsEsBDBd6d021DMsc1rvEWTo8neVtDWWWY7F3k1Y4ZEGn0ejD4xqJNf WpLqPGjH0lb2Q3umxo/Z63HVQR+B88pbXEu340QlduIt58pGP+BwdbyAS0PXs9tfQXzI0bWEMtkS 2k1QXzJuO5lEUu8z8YNOHisaP5qHidq8ooi7jZPcc4Z75yI66C+Dp+ZUbwyMBOk6UasSS3Kx4J5z xzOSYlETMuxWCWCQGbzAgoUW6PGg6aKjcmJvJmtiEn6uZ5/Bo9WUYCq/p3b9tHBZK7el+TAaxPnX OBt0uTc+EAxEIOtSw+FASM+SpRvxuDuXoepKIyos/zAlSoAD2Y9lMHbdYC+Qpd+LPV2KOZXlYdR+ C+r0e4rpKY+UH5zuygeu6I0519kH1h1M3riqm0HnE5AIC5vvPBBDo0DLsLJ9PscHy81mJn1gdAMb hc3NFBGp0AmuI19ql2giCxi8rTXNdgeBYPAOnM0QeCKXf3j8KzlZl1j5Lr97Yv9sx0Pq6PKWTXvm j7sPPPtRLLkbYv0bb+mQa30BNfwIjFgDOliQtsOPiH1uG8pGsIM2tZwzoYfwG2P3TZKECorNShaJ NMmTmkrS+kwAn+WS3hVecCsrThNP4HycJ1xK0Zj+55/+YVaHgTavtdUZFLzAo1dJioUZgr7g96IU H3dHnHWsu4Xinul2Dfz0SOeeTJfff1clJG6fy2YvfCcP8Q/zWaIb6nvIlMWIAgH7WeqkCNRoJrNO clHfRgZ+SZ5Shch5Sp9xgMrpDRsM7akdRIsr9S00w3bAyEFMh33y51Mi9GSpIt9ivPes1i2Dl7tS cQ+zqlwKzyu+jF7pCbQ5std995i/SESNsy4gmhRIYQZ6Rtg6/p43WAqNmgjSBOnLsIGPwW2vnywd 55qCo/TpS/648RnNzneRnJoHaD74h/Cg3CoOHUeca4M7p60STsWf3Lao1CU86OkgLOPEj5TmyOQx X1sAcWa646zGRX5cfAcKiuRBPDkj0RVeQ9Sx0zK5G5M4V4I8bAUak1azjqo4ulaqnx0UDqBvcKx+ fGc/BVTAdqDI3P5XYtOm6gLf1kqgdaauz78S85G0H8UQHSkArQK6omnZGpRJiNqk441ZcZeblsv0 AQGZFVXgQ/asx9KAkGd0HG/pDyXFgMCvt7DHYYP5HjDKstXraizyBvx2z4yFPncPBc61Jc/4EteM lrpKvU4OQNkb2xNPxAhI5o7abIyJO+1KayCMcOJ/MWt6nc3NiBdpdkxpOys3RBThR7mbvJo7b0J2 6WvatRhugF7L+19hD3jSrbUQnVzcW1kMqrKKzt/WswAvHYnSXKiZq79z00MvB1DgvqaWBW11nPFC DARYc5/ufrhX+0tcMO8dHxZl0kfiSd+YUQFSiQ/Nedbnb6NTLfsBRgGcajARSAG98s8wzCssTnXF cbXSC/qb6YetkHunj2Z9HpluQwar/hmBqGIHpZWLUMQ10OJ6lG454HHOTxc+YtRvSWolq9aym1OU LsTs+sqZtfuHU3VVex/PAmqdyE2jFbs3sYP7fbuUC46NZmIIB1YI7sh4M5+ipSSow1+ITJ/wXn+7 RXRxE6bJhkndGnUowbbwUf/OBq6+ca5BjA1vfpeZ3VuEgZIiTNi+pY2RW96sPfK5og2db9bATvNS VpM5whWQ/GoJxHQwDB2HpnU+R0W+AJW535UEaSCL6hdcIU2Aes604GAf1WVcNz/QZLbX3ltwbZoa iAPy9moFZTGkr4ZPd95gSCncu4pBI2ceCLtO6Tzt2V9XmjcUk2sx1VrAuEQHu5SlXHJJQiHIZZYq hgG7Q5n7ZfekTYlxj5poqbohwoyF+rY2rDRUqdosAw0dAReCtMVD/6yalJ5R+hF4EBrFtIN7BQGs NKBgEcDcGA372rwPxLfLk3ATlKQLok9/HUxgvj8954WQu7sG7JoF7sMohjlaeOHIISvjw5kF1yBa di1tPA/gxxJoWLq8u02JIz7RpiHOyjg0f6AD79y6v+E1JDgghnCFgOg6Bcwlb2/whb2Hn4JpxcPD EsY2/2yuZ5X1yQPxymtO3aHckfXZMcXpTze9z7EVIiPXXFvM5zk9BugAvTTwnYGyJlrgyk1m8IH+ kvsdwxkI6ZoywEZFAvBGyuMhyDD7g/HZ7ZzfMNHjEGMJGtGuemdMhBYiY/C4clnSV3HRPtuxHlN3 dRBtd7Q08II8YbwU4IpT0afXt7bpKnCZq3Wq4yCOwnW7NG4vjUL4Utkys8T1uQq/Dy79bGeTelfb aX4X/hm/+tfM7BBPvle/WFNlQpedwvY6U6TUF1jGsPvYNsoR1tlHPLNKXEi9p+jDplatBv/3uKqH cnnFvgoSGzwjXaJ4pws7o+MIdk/FA7LlTmCgxVi6xorez+VYGJwtN3FX89VnuKJWBxSFmQ4s4XnE r6vpVcA7KZzEf7Fw2dRPOqtsxlUVDXwjKy+LDmASSLv/f2f8tySTE3og0+JPEUG6c0CYtY4g1rSB qlWw0hhf1oorXpk1DCD+6bzaB5hczq9TFC47xwMeK1uiUa5f0slR1BDiKdYWjY0VS8Bml47NOLpt hvVhxZknSZ65E3vzNjOGg1SmnqP8cnuZI2Qg5vJZu3/J/o1DJWYA3bMWEk2SG6HsRjxluhRD/mx9 SIZRupXfcZ8CC1SpgNIDaVAs5D+q5nIeyWyKBN8GISycKKwg6UE5saQLPrMeqMPg8nPDMFuGYp6m dNk7pnZvJZW0RrAAb9wTzB1McVaxVqAr14N4WpCATTN39+XJaY91DKwIoFXYthUYsbihlJL0HAT0 WRQFtwDobMoOxz5S580Yf/SfjPP53akXVCQLkzHqiFQIz5TkvfI0YyxiqEWTxli8olhQdjTMCJYg TTv0oDkQEr+S4W4zivFN85JtDDPJtRy6GSgYQdm5E4tbk1VZ+BkH+V4FeXEPiyRB01OLXWUYBqkz l+6CDZr74H+O6twttnZTFlx3hTFa/IhmbAfP6/v1wKtJ0+1GsyimYSniDx2VRzMo31Jdbdcnbgwj rzcPzvYjv4Zl87QfpRiUbvwvcFYwt3E8EGZ2hfKKXKsLRGQ7dqAMX5cTxmXjCW0VleOPMnpE4mVZ Cn54ZIc78KhkhLpUva/6nrXMprFVa2MHrEgDMNN1/cVDrVVIPrO7tLFlMGSZmAY0zyBItsmu/BZu 8ZQjvtWgTuWU1WK/Ecg7JMlTf1KlYyYqfJVaoBHf6Ti63mmyJQmX8Ob67xOF+BnJ9+jJ7SuBJExZ Rj2w/t1B0YS1p7qZAJ2i6IkjG3t4hZYozLr33vmqly58ueqaJkDbr46RbVWerRX+2nK1Xu2qUwch aH4EZKF5KRSnIF4/ahHd/YKexv4bmNZQAOhyNtml+ck0EegsWu86kVDsDJoPteVkYvRcxfvUiF/V SN9V0yBbIRKvtnyYGd3alQG84NB0qZfXOKmUDhcogfmVxpoqW3qqWHxPFP6BvjLR7WUz0tVyMpgo QdJMdUvGmGsVhwGT9st/92rT0lvvyibDhP6PFSK37Q95QeSZuwJR1G0lTflxYFMFZ0yl0Xc2tR3m WQrn2mWChM0T8iZEhGcuqzrPBT3g4JDc74hz4M66BIJVsY7Iitsdx4JU048jk3HYBVrsp9Ouz9mh kPhTYDDTZvGa9GuV1GlWf7B65Ie2NkQtmFDOP794X0lVp2fHfWtEwbqhJlB7h23mrCIcc0n9OjkE /KWMNSaO0uL+fwMgWR1v1qK8Vp0xqSect/j/TpqmeflSJEraa5iUaRTlYGVFK4AbZlellitGZnEv 8yDSpzu8ILC72x727X3CMvTuWUN02umH7JsQIh6ZN/g78yb8FKKCxgZeJYzo70768Q7g3jGx6EE4 aUVoyPM9fbh7/ggyRfnjZa9tBmZ47bgYWsG17wCFDp8inu+qw1QMJrf/fD/sCDfRD+rKDSsHFxOF qRfilCZaswxYYuXxmpCmtb9mkZ8uzdPeqECnlyohqzOZQoqHcQ9iZ7KLKlWdHSGoZybYhOlJK9hY bVU7k/ohhP2zT9Apwre6I4i/bpfLp5Iv0E2jXkcNirBpD6bcw34s/xZcnWtmp4HuzdTDQtACGVcJ 0RsJhG+WmW8p5Gv9/p8atyszdtWm7NqrpVjXfpz4MRuGnAPTo/4xQ2v2v/DDfS9J9bZWbH3MXaom qYhIOM1jbHf1Opzk3b3/z1/1KjYE8GW3YR6NWDeUJ8iLABU3ufo6LwT4N5Hpz8o9O2jFHxknTSD5 lA/6wUd7Q19Y+84dOkeIzU/0BzBi27Srhv9XJOiMFIm+6Cp09Pv4fmEuWpFV3+jOq1Gcom/CPrHd mL4rGxPTULCC2Ad3x5no4bW4wYGdArqvmYi9hjfVQHwmT+zEDskKYxt5qsKZ1Q82vw5e+APy013v tsjJPS7R4uZzpVC/qKly3lkZlU0sf2m8Glv9NLOME9en2vUgM3yapDMNydAp3BddpFsY7yqXpEkx WKEdA2xK8XRTDMkiTJCxLBzJlIY/kIaLE3/EyEgKTM++zMk5e3xrL7aFAJ3PGZLVouySTaXhVZzl AJXTEIOGRt32RMfbJe8Rcjhd2xBRAyPfCHwhqoEAn/+MlAIVzbCzpudwyvdJACujwTeqbIq8HjRu sicAehHZtOxMcIoMNzKGD3VIFtCx9CyoEFb7k8cCdzvKr+tnfYYNHtJsiCYWE5DYYxl67+dcq3lv D8RDQ2VuNB9ZgKhbTojKhnRD919lhBHDCwwRML63PpMEf6rl/YQh9LPQyM7D7bn3WF6YDsti6kPv D4NerdNnffRSq7vo3YNJqJWFQ05zum6cLdV/7g7Xvg2szfqzARWvh5xYll9/9Bsq81j/n8oPGjQ7 IJnF+0bzsv1gHAxf0LwBh6DSbc7vz682IePr5uWbidlrMF1bkXkEdmD0t/zn6QR2JX6YF2FYbGid Pu6EHmuQh8+NIZgjJUpL8mqeGfvo8LsrjXNF1o/j0ug+fxl/MC//YzCjas2zLDtQiMKqDD0M/+aX 55DDshNQGDN8hd1HstMVPIe3Nt8gVFRQHhSaSxIw9Fvlv8z7b0XC7WN9iIbMToLwgN+X6ZkEHZSD Z6OA2oL6abuxQNyQ/6AxV90bduvt0nacuEyfZ3uPQK/R8Yay6KhWOC9v2qr7xcEzBBWodT+L9fHC tfAtM/IyNis1UutZ4X6lUG6xAN0uMvFy5A/jyg5ckH1RQ8KHdDWZ4YUBNdvtkI0TPySTl1oESW4g FRVgPoTUKHlYGjuWP8kApzJXeL+/YJvd84GsOQ34OpX5gXHdT0rAtK1tdMEsxZ3+ajgJJ2VN8NFQ W21d+lEfxxYZXCldq/SqFQ9/AulLknZM07NmfgSxb4yxoGSGMps6b8CO7Q1OrIBF5yMlceR4IT1P sBztIDDXXjSTOT8LoH5mSooMCIGx9Gebg/mAarS0TMRznEJ8pDQnzMiEGqVlV8f139Z87Yx+MAc8 L+alRPGiPPWcMwuj7oP10SOILY35MfghwtUudLHZ8CjM7r4GFNqHS0bz+i6e+UQcnnY10pd4myPO iI1N6uKpuy0i6m0mmFipF5QvqUwL98CGqoEDxqM/OVSWsQ3sPb1URHckORmSkC+Aad9Vreuyhara sco0Rfe4PhYWKfVn39WACbln4OODFxyUZl4F5cBdmFGBb3LTqfbqbdNKLgWPNE4exuloJufxxf/m IGWQ4hyPkOTWdvjzKvS94EgFFAA9kDMQwpRR7jeSIOJWbnf1/howZ34hnfKz+gz432QbZ+u8nHY+ Vh65m5mewfNePuC9eh/FtBi/j7KzKGa8QByVpabpWUmzhdNp8jo4V465X1w7woIwAqmCmbUCFSJC forB28+m5Vg+wd35QUjwnFHLrFuL5WTQp4MpoX9/s4fb+J5ehIIxcvERNE0m5o26UiqSUDbMLiiV AKyguO9BhQu65yqyCc9yCqI4ZvmiNsrieUbJaBfEc1jajwKLGNAFryRrpwtEoX1mJFJxwMnrYRYk ePLF+v9f4UyHe1RGwYK8Q7i0M9tKWRR8VhD/6ckwMTVbJ9CNL8jP9nEWB1FYcwR8QntVOFLwpt3+ 8erzu39LePnt85Y+cyhQ8oeRjKGm+ZUFrU6YsJr3VIo3GFSqqZoItRXC83fJLLjOkeCiTKcSZzRM CGeA0M3h3tfiQtWn4W0ln/O7KlnbBsV8pt4IVraiqoL3QvGgcOqhstCoIiDomXYa7BMkHpd9fEqm 2ERfusZTW3Ybgi+D8b+S9yR6tKqOtC2N7UaxhGAiyQpqz8x7LrWim93Z1Wliqwl+bvHc3iXYnesu xnEYQHz2fMNz7faq3VtOwfRuV9zCclqd7cdGZNZEozmrYfmBGOJ5osxl5EZamOGgVPMfe5pBzvyb IEzV5TkjTIXoT5+hqHqeMiwLFwf5Bkib3gkU9a2mGwEl9Bs+LwGjcslpXUt2vgluuMSFHCwa/Q2E iGI+YB7F7tP7iffB8RO98UgGjytLpkUBisJy9BIAOVf6CE7YAVSDNcjAPk5CPMkdt6huVZhFMfeZ oCP48dYkAh13cFz4dkScaYCqM9M8cQ9FELlfSV9fZoRtR9oGnxmgx6q8+bkC6F6zpLrTBWZ5CfvM ALuBVvKP77ANAErBbA4e2MF+14HTSbgI+/40cd0/dAskLKB1UGgiBe8uy4cF4pzoIEf74b6fnKml /9+2SkRMxWBLIT80GYGSAY5DioEBlsKv3TbPI66E8pKZSqGQaufB2Qvqr9aD6iqchk8y5rdykdgU huPGxczXA5J6SOwm3+Rs1EEZkA9MwEIEYqPVljW55gOytxi5P2jDSqbiNc4xiiAyK7uMJiKhxRdl F5VDNbJB/h2XS6NvkCQk3NlrJt+Mki6k/CT0z65wkqsdcvcmFlrM9DC/GzAgQtHD1am17SUlnz8f U9GWiGE7M42pt3uryLjJvLRPOJ0Ok5wNl8JzmAe4AyA9wHfOjuyX9zMjICwin2Qi1i57x2J2icwH MYJnB6URQp6SNzVgoI6nZG5c7zSn3ESxVZdo2UrCXTNdMD5ty2r2Sp7Lj+45/D9jNVjZUsWudMmL RKdO0a6dxxp1Wn1jFuqk6IMLZ7NfuHA4jvQDFSx8KAaUd+yiw8ToETRaL+7WFYgoH62g+iPDtelo LcyAirovhra37tghO00u9fk84menIi4o4AxwilLzn/3g5EajLYRr/SGdbwdwSUbql6dmMBQ6X4G3 ukwuWLtg9zCDrLeeMz3c1Vr5j+GvkGJwe7Pd7LLul808H07t43b+3mlTJNJNUjNw7sxcuB2jSrDM 6sNzKgH2p5ua+ir7IeTpmHZckaijqEasx8HfRzYynut9wd0GZZUJm33rufVpVN5sSnuH/esFiox3 KdPysnr3A05iERmQ+mKiAdarnK7ZCP009sphDAHcSGF9+KZX4xmpNKfnnsypXar+p1nZs7vunwvM ESt3FhNkwj+OPUT0Opr0Cs8XF/cjRCI53XbN6gc6WpGCi5A5IAKQs5gHHoLEC8pGQCguBInBMMfP nM/+Z8rd5UklI8/m1n9BSftvMmj2HMJCtWgUNlkraydAhy8waia97KrLwMUCRdOhkslMXYOv35et A51kt6fGaTbU94NpFzyFPGZZkWhTraKYloPXeE+H0xlOWqMxPa/xKAvxj0M4LzAzp/Wj6nwDcE0Q xy8vmpgh6uaw5yI5LIuPJ+vzf8RO9La/3a5k2XsrRXd2GApoL4RNgJbpPb/6M/p+QkdxWxSopXoq on+BfRB+bn4nbKbqf3CRT2oDV2+UVlAor1p/OAFn9meKqV0gduH+Imy2RjYFZ85FVVgpN2o0tomZ yWTdQjiRCtKDVM7PC3/1dnm+2Nczb19VVJ6nPivjcbRj65nC2IBc2cwuNx1r+58apOdJu8rOC4aP phBgfvQTk4ocC0ZT78uJw6uJuN5mMgI24UdhUsLhvFGCak/XJQ3/M0Nq6Uz+IkQS8vEEyUMN/ha1 uZLE+2Wp3s4uOa13UEnGM227E06BPi3UsQiwwfBoMM5HJUxSOrtoDP7tpGBjq/yqxuf7OnF1BnkL it6z6g/p5XDxvgF7OEhQT5EPaeuNWOgQCR2bM8czQ/qJNMI9z1luzam5hRcyXcOEXirs8pUYFcSz G8Ad7uk0AhiIdCXu1nfunkmdkr7rwenFNOBIcGildwIONE8ANKQP6pBTOFhzHu8LKdZwsFz847Ay RElgtZTw2a3x5B+EDlnk/xfpBnQOgelBTU6KfOC1spWPsV2U600OzRVThuPGVOY1c4pIRIbqqmPb 8WwGZ2PaIxo1dJZN/V65SHMEAWM1Ebmm/Nudum++52OZZeaS+24iZQBpjeK/KBCl1WtUfFSD40fu qUTewx9oateQRQ69plxe78P2cB2TMSuf5wrbmij+L5G0nUvO3hjEM+SwlPSSDgIDUswJ6S7WfDsA U1Y+l9ol7yZVWeF1TMoAduUeBX3QFqYlwLj/2kl8y75afrLcO49foj9xMuKI3sShSY3zpHi0dXw2 8flgs3arwyEhcP35Zvl8md3wV5tHXN4MtLDHJZlA3+ZBEQJTwe/YlNCFWcf8CYRf3Rvr+PTgfUux FzwLT1qGggMrNi5DN5FtxxUYLfqVL2QdtB5mNffvCqqidl0ohZ2c+dMJPM5AbMzwomPkcHSe15iD 78ZRbAqx+z5dl5txerQTEtnlpVW3RNpZub3dIDwxq+Us0EI+8bb/X6qUsPR5ji8b3D4ElNlKYo36 UzgyM6a+3nMZkMbl9RqFymk+n7LONXorwsAInarv7nt2FPuYbKNiZq+JMEGmhdAOrel6Nxriz8J4 aLYdvNCrv1JeuYsAXL+nbJHlJNxoIMMwq/EqmWpTJn5CiFH2/bi9VaoJ8Zntko31X/fhDY+9JmQx MI8TucBEAJK5KWWQe+nPB4iVelUkzGDlsOrBVduW0cgISvLcxwBTJmeZnI04GmF68HjMIgAAoBwn VkAX6uK8GBnkFREESciciPWgNUHSueyftbkhac5Af7H/FOI1SSnEx7ewAoi25/DJHAjxweXJSDER 1Srh0ITNfS/3YM0SGj1+5eSlYlwIuZs3vTZZqIbkeIl7JlHDEct3tbb4rqrZFVvf8afA4VkPRpDh Erja97xQtONzAtfTBl5JcLhvwWhBATGnSD469JP0nov/GUwTe6GAnhm9h8DnrJ6OZEb4Nfyx+BSf ODWKWRLUg9rKUXSmeYaQeCFHQqEn4UWv1gXuJPZHyNB0OAvTqS0zBxxbonaM+/48pLBkKaR3Z40S PF3Pv1WITGgjU6EXm+NB/f0h22L6ESXNF0nz3uHDXATVBBznJeh6Afur3uQaKjofLG9ObNhullNA cee3oXTj5yYBfAWgzsXi2m46dtZBpZ6yYbsN3YJXvrm0wpdLAzsDNntNe/G3REC6uD50QEDecEpf 8BaBfU3byRQVubEfLR5AANN2Ud8F0mrSenICu6gtVO3CexPhGLA2EXd5lvCahYZ+a9tGt050+JVz f/QHPEvxCcGK2FB14ULKT7USc4c6IvbIl40SdH2SkW10irkagJfCcfu6SJmkxo06IJALoxVSMbl3 F+jA76DPU51gKa8g+3/RcDb5nyPucA9qYq83vxIHEhlULJveJ/xX5i4s+iJ+MM6oi2ePeSQHA2jf /XEZZR40m8A3Mqeclbjg5dcoNmm6XA+WkCVHvVjjWU5J6gjMRB9epr2Hki7tDfhYniLAAxVOiOnW +DrrVHdRvR06JYUwz/G1iYpBCMWFMpXDAfWeAHIP/w5ORFVmOujk42qLIniuhNIz3RxwGFqtmyA6 3FEl+XEUmo1BBjGpxlZQNWjHYWcNo/JrCi6jZf46KHFg7gSYzwFM9vaU5XN31V2drdkidvEhAxWp WiOpx8czn7S1jSdT2s5mfLR/4k2QWdhR7GlP6k7b4+F+s4B9N7FYfHML6Y4+w2Qh+NnYW5v1KY/Q fqBxx16JHmdPzny1hd5zRg5zBCyHSjSaiNI565okf596Te41JdyJD4ij3iLM+/bvFLwjK6mkTvm/ gOKJr3sYunA/JzVhHOoyD8FeqDeqzZlqrMT3/1/kBAWmAaQpYRrrBnbwyNg15jVacTB2TkwzPiQr u3wTbU8atDPC6MKDiKG22nnUnTsVMP2Z+ridWa6DSw6ahVvfmh6ozmywudLWw/qGLuXf6vM/8Y9h dXZO0kJhuJPt1gyrVkUptobK/wWsfAuRckAE+c4oOIa+8+aWNEMjUXzFlsPckguB409DCSaj9slv ZF/TdKUOToFjZ5x/enAH1WTvDrI9PWfUrHE2o5XKuoCy7mwyWm4VgE6MLSqVIbkSf8aj8jHu3DA2 +YGPOAUiISMtUnIHM/qVR32RHk7df2eb6mre0UpEQvlv9rU36h0SX9wF0tK9QZIN2yY77sGjsVFu Lw2eFVuiXUddpyRuTHNwSn6RjogCGXT+nLfqOwFvjjyhSe1CgoDqU6zETtWsfY4sR/+b3la0ZSDE KR+qEyS+0G4Ys8u6TFRB87cQ8/cDoc/8Ob1ZZ9RkDWnrMQBbGrimZsynci4dRDrs9mKjC/jMnWu5 pXrgF1cJfAOdLdkQXv01YoWmkpAKVrOSle+Q2nI7lITE61g8tq+L9j8gf20w1a47L2OXEYCzHN1M 5+pVQko5GYv8uysnf3Q4fc6jE9tfJ/SPBJCF72bHBtPTuBH3vAApt9uGno2e9TSxDE2Tq9tH5ptV THGl9Tihc6AJAAmwvSulmxh8O+5J1FH33/0uy5BksGPBbgTTO3WEdRIOynagwVxyn1drHvDxdkH+ lKlUsc+jPvyPEQ2dTOSBeK94bFj+Lj6SmdgbWda+549uAGYxRyZFYW/n+7NCMAvkL4rwX1/ptaKl ukNmDKPjkDMWRto0A3DwpW3JGutrCO6zFt6huGjio6lXUFCwVrRiokHlT2iNVdly9H86+U5jIIMF 84c5R+ZK2EBDl/P5Mcl2fvPKDWsRH1pFqMdtJiFEinDZEsN3XBTwTlN1C8Go/4Mxbmgpi4leAIm3 kAImUv/XtU4ZbClH1Eho451OjnbK8/G+k9Z/rqdxG5x8gHRxwupNVMlnKLkeSE9Wev/vUQqAqJNV radaLC0UvBHZuU4RYeRZSv6t0QFHS3b5ElXzU1U1Z8yAbRVz3AvD02eWSug31oniV5RC1QRF/Wx4 FXA+u5QW+9OHspI1GfTnRTJUOtByX/O6A2GN0Wd7QGgZKT8suWsiZjF64FGIbmxQtGk0f2dzc06/ QSNeEr1bTCMO9QyF1wVCGQmJxm6REIKhh6jyKatkRug5UO6PQEzQgPHa+q4k9Mzp9B1AplnKWl+Z dYUfLFQATr4ZTlwENRT5AM+Qs8tpsX//79XUdlfyHGeCwha2ERzyV/NGkmD6k7RznlAVxnRd3cBP y0sKGBfpnUxFwx660JkRoFNmrct6gtBsschBn+tE7AnoCkdAeZ830udKPD64NB8MMT78Zn33nH5g nsD3Ykiz4wbWyQO4wOEmmURqvyNTSTTMikkr+gI1P+XSINC0l6UNfYDqBTnsOFF0Fone+KADSGAv mFdOLvmtB6aqWQW55cvAYVzq11bNlOf86pnoQLqb2NLtkVDOUzwKhbEd9z2r4IEHurNdmu+5Ogtd aM8bf2apc1TdBy+pDM4G7OaKltVS72I6mdBMntZV8Dt/3ws3ekcpH7uPoAId2uQe0zIugWRuRfFG 3yVyByihpSp9/Mw5ydsc9YA0UChG3Ne8VA3qlm25drFs8A518Kt1RHaP9c6PVjQzwVnc1M0l+wSy pzmW2Cic2RV1v38m42WTprAHdrz4f18gJySKlpc+D1yDRwI3ntJ6kcBKxn1O59MI2r7Y8B76IBkp ckV7Zhp5ipMRGc4T/djkyGhOdg3WHocywMPLBr6vaBNAZBePQMpvyWhldKH7dRoOmHgn6f/McRct KKs5VMf956cxOhgcad8fiWU6VbPBnQfPLO5MN26g+7IUk+RDhTMcDljjYv5b9VwsnE+GUwSt87Tt CEYIwZVmpHQEvdDC84QWSv2eCAKItIyIogxXCFZCZqjGkwlvkHVmhSwDBFHoAqYGOazNe4fcZzFz 07JI8kPq7HWg/1L+PNixkT5GOOMGBtqfrgw+MOE/CCEL/VFCpa6f/ZvjjCgjn/Eckra+Oo0JpEgX KFOOagThR7Ftrvo0J77EF1BRQKck81ZRM/+sxFzN5nrZt/9zzFUe1HF6na5KHoGfRk8SzMA5nbPC T/sV4XL1izXPu8n+sztG0kWiPzaLobta0gPMGIxxBDEuCnFWKAopmfR2Ge6O4l65kCTWRD3lVjJX +XLNLLOv20QWodbjF57HXSJnGGyOEL9E+g+DR2XkbANiDXnd9Z58indIV73FDzr0dD0EBULIKFru 07gABSMmtpXJpynIHwDjW5VOSsYAYE6UqIc0KbUfef9c3jcjZ3iMBQ0XvpY4FsvpmZuSHfkPBfZB w8/vHbGCHw/N0Jp6s0LoFi4ZLAflMQhUa7qPRVzjqwgN0fFAozMqrUM8xNJsbHcxBdIy2qAdyjzr HzICxNxSLjwoc6RGrNq51KjO6wtaL9FAGVo2x+qwc9WEE9j1zTA7yaQSEjQinePqn+QojMeW8n3A Mc7jqIFsmLSE/34jNqzhJbLdqL0/lxv16JbGS7z1xD4dsj5GpKCjmOqfW16fTszZ3Z+iNpzu7ML1 nJV3uo674KqagStlFPWWYlGXHzD4qhQmgqUSlijA63HVhKyFodfAyu0i+D4hczZwg7624pZ/t3y6 ydvVy+z0RpsBbL6ZIDpm9ZMBlQ+AnSYBnYcNjnJutPjwNCKUFyna8AB7BfjyMIeZu8Ht/Rl/rBKu BF2VSkOPxM15m+qYuURzL3H7UiJV5IQN87dX0iekxXlkvq/bnVAQzlxTUGKIH9FNqWOJISiil1YB psexG8BYkoGJJsXbrR+CWRkCLYsn/jNtj7L3TAMOgH009hfKhYYeoZ2aNseBhJqJiI2v/nJJNUtZ vFpwOVUtzVA+qjaoh88D8S8NK9oP9w5VLgrImH0YncyKgvUlBu1ReH24l5OZntZ/uFUadZ/gB2o9 /PurqmnIoAXl7jdFqJQImA+JE2KgmFQXS7cW2J0Tg3sVYraytBJRWws5CvxCxqa9vo1X3O3MTfEj Z6uw50SkSIIHFnW4QydVOuYvmKWsOO4xLeshSkffKTyrGJmRzYoj/6GJr8KhU730fyFFCN+lw7Fw j6byZ0EcS9OQ7E1WSyb62yjDZ0CfFH9iCYeszExpH0+P+Cq7DGCJZYcn+qi/4KN4bxLog1RzVjRC RQuX4wffwcEXccDs9BB46XsS/Ige3VfDRahkytlnGP3lNC+d4F/LSi/3MDCamifVQ7pxQp1U80YG JMeEOHTO6vMajy41A7OGs5sgGF2m57WQZufscy7FTaVUMXr+tStfPk8T/rQcYH+rN5yMKZyGAMww Ohdj+On/j1su+cm9lu3KFM7WpqXltUlJv0oYNl13caB7Y7SARnNep6RGSkpXS6KqIe5d812yA0i5 yKttE61teTAOlaWux/LLn1ZdZQ/cpMOrSH+7gHVl8G9Rl2Zxw/5jXkNwTaZarFjLCMbS4j2D7pTD 6zIxpKa/jzohqDqKdjonzAyyQOedZITZ+tmCiSxUlMCSRjMvf4yJumldJWNwz9+Q/FfUVnqCd4JN mI8bWJ9dYzVyHIyOsKrIC8b/Ydr2EH66EjKG3PwsaZwyy/Eaer41F5aXXdm0xrFhXfah1/dLgUBm ++hXA2332wuBXREu0OouuJv9PcytaYKeEt7YcTwvln2lfLXK7t2lWt3y/h4IK3/Ar0yTAYEYTTp1 rt/xYG4310Aaemu6RSIDgzpbCQvOO9p0NElTykx9aVnrJYqcaJBZRAbwL/N9F0C2am5zrkKmVHam vZWGuY1O53PZVZ9NkwJjMIi6r9q4x5gvcPi8A+J2JJkcP9/1dH86FcejBfKYxgqWt9If0yXcyDE8 FceLnzvBniKteXnPA2AQqlNpMSX+yBBG5pd7e0VwQMr0MiYhc/gEsWFXZj8ULgk5DwV2Nx43HaoC ZEEMAG0sQID8beo6pp9u23z+pIA6z0krsBQt/Q1l63JWcj11gIqwjtamGExH5x6i81cGrZXT9uPp 7sddxg0TZwX/KRuPmfTp1nkBD1ZQKZWJ0jKVwg0XRhmPBlm2UvWoTiXQtiLENXa2UJBZYwgcD1W4 kJZXN1HBttnsJHX9KH94Cdrtxg+pq/PXd3xSsBHmcdFHSomt87HXzjRmAUNpcyWorD7CBUauyQEQ VUL9//MejnzKWZoaP1gox8b6F5URcBV1WpIyf9HrEHc+f4fH5Wr/ilcZInfk9Q9Owktz8PfDw7lI GxFeECrtsEeAXCxILhGcZhX/kaGw9KmfbWcUgL/yDu4uArN+R+LiEzQzH6uI4KvTfF0+LWgBijff 5pSktUOGiM5qx1D1P/kAq2Oc8ReCyiH6Slhw4/gYiQpKNWuqNZ3jY5RgmpRjSkTA0L8m/CzTasDw JPA5baAalsEFbLczRZeiksnDEijwBh7hTRE2ASEFynmSXqP5dS1uy21fNzsZm2M3YEGXawdv8VuZ CuM17GBk9/3V03p+4uWQZaVSafEx2LusmtqK0vrFO/luGqkJFNnI1shi1BBynu2a3CF9oc8eyALV IWgSuOglpDMw68BMnMwIHGh4YC8woketVmcyRxeOGRDb90SInfT/JOEVGJbzPa4yVsExZaIG5j2j C3EKARpkQi+nRGCeRvoxWP7NCYNBXudxYj/7A1VwVw/vV1fjGJ2DKr/PvuV04QIa3pHncFWKFzZe rNKT5zhMqkLWVpVIDcBXRmSdqNkia3hfoXDA/FIfTwCT+ToIZaSGIO/oj8Md8dpbZjOrqRsaHw+p ti7bUfhTElTTQhkVElJpeiKQY59gxfDKW9NbRNGoDM3QK0gmw0i9MvC+D8IXpwVrVf2fufftS53f QKCS08mjWLf+SPmMpQblLMzKP1dlqLrmiTHC+cAWoSy+rRO7ip/DpLDatXyuk57K8Bz2IAmvFXXt sXMgX6kAujkZOpmySj75Xs9HHXI3tIC+UOZlECSdYtWRt3aCHjcd/aif4ltplDKczjLVbF0+hMyh vyMWhVsZtRkeblH0M2VaWmx9u/QoMxKaiQi/IJLaXg6NNK1HimTVmmVc3295INjjWqgTiIZr1WdQ LAS5p9xqNCoGkL2vlC+BWgfJmB7yOh5CUfVKKjRcg2Io0xIQDj+bmemdaYbPqNa6ki68AmzaYpN8 h1zfh9srb/NNszNWQGpNYl7Z4CjJggqG1nP7Oxb4ldUaYY90R6kMwCapQdY36sWD+L7HUEdteB/a BMQrqFLfeXQHtUa5ZL/NhsLBAFpb2TSn+eohooSWS+brk40N/FA9zzk9ZBT+3LABK5vP6AUsS8ou 44wUBt2MAA6eLjw+5vg3Y6njPoCx2ypsUcpMW7ybPdy045sXzpQcKIXmWL9I8R/D5yk2etBGzOvA 68GHiC+sHA1QvhJIrr3yReSWM1KR/XYyEOSy2iGEqapFZXi30bUKAMy3thsHDVvFBafRn6+ZoaXl 7p7dqakPUnL3MSauZB36ztB1Bm6dLdyYqWOXum6AZjjMRtRs9MeUVCy3tLqOIWrI+ZhXwm8gWP2e ph6V76fqxAIEWMnwlCDf3lgQ5jGjWPYTZ5KEevo7dA8idiI8q2R11y4AVgCP1KJfVcOWReRux8Ez eRWF72xPjDUer1sBr3B1AQqQjo+bUMuykYYT73Z0cerZ8LRSEP3yx96yFe0vB4BztFbUxtJ3g3Kq mv3vyhXw+9vRZnZpveCsgVhMiBR19kq0qPUgoCdheVzlVdWVnhDyi5uF1GH6NHtnrg6Ic5k2WyHj beeBXeDDP1XwRMYsjoDkPSGUSrEJ25tIZSTz7DD7emcqnQUJMfZu+vs4nZrd0RDjWTkf7bcH5v2m EshY72hwsbxPM80pEq04v2V083z0FWEKis17AYzsIjBy5s7RD/NVgFLtu94xSHf9qyJGIbEVxiSU TN3Qis3gu55+TQlZ87c9itl1VUnAenDuKt2VdpmgKCHbYehIqTxzIdfUu8IXG38dg3jcfpTQYIcx uhf8WF+fpAJqe+R+Hj0KvaLgch6lQdTzPoM7bT92JU/z/BcD2RlQnbnM70P2N1dxjZm5FAOod3IX pMlkcF8vqW0/T1+1N1m7wnC7LOyV4vwhTq5jrymWjs5ZJ0nuzwMGqCqWJ12TXKBmLJM12hVZBmRk 1sktguJiX7Y4HzeTCfoTuaLfqPZdHN6krJpxlUoq3Zf4c+CrqhqOUqvVxvdx9xb8huk4lXGp5IIm cxJi/Ga/QFLp7UVi83f4dLqxxUdRPmiN64JmWHg3H6TzIhDUTwlimQeed4hiuYkt8EyWk2J0lRRl jKJpNxM2bgMKvp0XV9U0NRCFUpVbAJjNZWG+Op8Cbo5tXYKONZbrLMFlmsObvQ4ze97HPbpA7EG1 0/aBOZi43FGlqOaMbZol96bWQ24n7LFFsQemJCnjzDmzdvhOHYct0EEwIChuwmAzxFCCA+pXjune 1+ddj+paZUwnpM6ODldKNM3i/MIUAwpYkwfiqIjDa0Ofz6KPxX+9PNfXGnSuXF4Ho1zefE5yUddZ /KfgIsx1Bh6XcqMFBEET7IgKnoCN65FUsGka9bf6rZKARzqB7HHG9YPfPXtfcXCkuCZsdgdX9Odu x/W+owNhoaSI0XH4vBa74gdHkYklH8ILuJzZt0f3gfnjCJpfwhpq39qdei4soa7k1aX/MpCSyzHy zHg/VRlNlLOIKOdFNsLahUFAdBk9HXHW7Buq4qm5Vq5bJchnbtBb50+ILpcZ3JSu8zsrnlpkczxR 4SqLFoC2iytQWDu7Rigoz5hDM+PH8rnKSLl7tS1E2Vd1ypgZ+262WxHB8so/GUYtNbVGJYE2SQM3 ciLG8PqbcY6fSWX5HkXDfFV2bnrE2+B123QXIlk3KIl4LaebK0kdptmqx5tx0MbC69ySTwPl3eSi aR4jqIMg5fz5rls2tJGMsXT1vB3ns/hgSCeopG3kuXnjPuByfIAcrdPoLxtJ+sqknMPlXFPZmOIY Z71NiNEwqQE3fulUvJC+4iXEpYWymri3l8BJ3/Sb2Hi7Cb1bptMm/0HVDLMv/ewg8dYqjiz8x6V+ RRRSjqLsITmr7bm7UX8DdWHMDGdd65LyJ5rQ8FCNHwMKJbs5S9TeFljiXyY6AeQr4LAFevkO2cvx 4Xo+5vk4iTCgOoiZW7ArhILKjC+BBfoaLxk8S6f0pW+qb1rB8fmCeIvJOey+J+CFBqUI05Gvi+Gn 3UZJ0plP7imQNPa97yTXHjWXM7AkNE39d+DmngP6EgAOKjs3ThwTXheMFcEi1XwCAk4tprfaglNH VIOh/M9NNEwEeLxxdQMpXMGXBOXxZnmzF7WSDL2EWN6Vlw4QkNhpVYpRTSzmRALwt7x80Ti+PrNz B71Krc8Y2tj3ZR9hjYqaORl6bB709NjZMZ3YIa8KyD5iqYcDpJE2uX074DaJWyFWkKFLTfXGLf5N f5g3zHDJ25FoxzZO/OEiQqbaq9/PfOIoE/P0PU+XT5G5BSifCyr9v6f2OHQttISbUhE1nLX3+AVB rCiXdaKzZsEh7iTSAlOMePWegJmwdH9J8onwLqMq4OoCZ2KmmKGQjfAJ8CU3+C77b/c5cirNdd+k B+vkufXTd/ux5y65LQ2Sd46z3Zxhs51rQ0uvc79Gzc0fjaxFevdzqujZBXNk/MM7zSkP+CG0s057 tyDebb9TXJXCYkvZcGf93ssKJlSrwOKTfcCVVSrCm79xDybWChrmX5Mq+tg2JjTlTubmLnOPoAVN 3GGuOL7TYGqAb6zmpBbGxqnemWpoU4eJKwQ15pixQBgUGJt1V7J6/w/xJ/tDY4bgLXR9+nGUozfg m01nr4doOwUwIGqbVXD+1xx5rno9mjZFYGXNvH8kdLRgdA/PnU+lq6I46uz9+rUTFSWM+6lUYuSC 2M1Iyt3Td7VmGggcGZXT42LTbSmlMYZdw6iriTpoLl053P8oEQ8ayc7Y294sGUZa/v8gKL4SzAex do0SFAyIIwRgYctpXL26PWqoa86JqmR3LjMvTnr+/x26Xh/d3FZGsZJFEKl75tKnKvTZX1atyIve XS5ILje2RCMruJwuZ7c5qyQ03k+Jh08OgXhgz11jgN92MQ9qSAVcnTi/m5qGGht5P07HormQTOUx kquBkwl4scEaBoWRB/F2jaFmVykEHLSy2FCmIwfNsOk73HHBvN2u5bYqxYKBr0LhM2uLOe5p7FYm AHqcWlykTnShfXqVI6fYeybugSV291HPnghmLnvwWhdyjHBXvp+csnIJgHHXTos5JLmy7ldcu7hY koWFPaeXbCYQIVrw9b83kWr9xcQAUn1ms1TTsh2wcjDWeDeDdJtlZBg0Yv4PVSPGVLR4ilvZgUM2 MDKKLXO6r2hL0kK5C+UU3gJtXxiOC27xxc5zQe+Sdetdi2Pz25qxI8UaNi+4DpNfDfSc4m6/Frcx GUSM+C7eQaIH0YPCzGS76pyPZD/LahndHVOqj0VtMCyCekD8xvYescxa496H9Bq56Yb0MNhPVDe3 Wqqq8lsy2uy3SPSg/36xg8mFMwTlqonjtrk8SPHfX8B8Gsc5LTUS6KVqGgy6oUQCl0SSqlTH/bk3 9PAJIXD+f0R4v8+Ry7BF2eTETH8e2KIDwIuAfct1IhxVhWNeC1ovQwONbDb+n9vTi5ML2rl1NioA WZ002cwd0EhpNrRdCONWqOKPVEhHDBRkXaAwE/OBOWYDVyZvvCWok8Y4K0pxaBYosrDMOrZBvnce k4EXjnWdwNknDm/aKLF+MdHOJ+t+E5FM8SqRqiQ9XmDtEf3txJII0aa9ImU8plo66Xgcd68v2yzh AT/EG+JdauuiKJrYQe/PUVNIDwnaDdYBLfoFtJHB9Z0EH0uDqYaGZmH8VZXC6SKcFU6UtfyfZjyz 9OZyq/HJManL81r4rf5u7e1huQyOhUbvpX4onzTGqXzsAbLyer5A5qiJrKHD9CZWOdyPwtlISKB/ 4ruPGor8BmvBtBLh+YDDdODfaSC1nhTrAV/O5Bv7+RWThPpXyBN0L7AjNqNsUSrVWGhzY+GEed7R FX+08GWUGtwi99XIR3Vy5QlHEmTAkZ5nZYK+df4JOJIkdHOezm3Xbl19Dpo/zVnEGqTcMcYUs3gJ 2cuPQE0TmAxTK4sgn27qrWl8GbOinh3qUtcxC91h878g9AkiAsaauIADUWDEs+ryXbvCGuncVc4V HrSET9bET5HAsMYVQiow8dInGwPq3F8fGPZ7kRcsXcFx2CWpmd0hkdzVPNG1sYbR3xDAtOMqz4qh KROZ4FbrJjI1Z3pjWNnUAPjLYkdAwopXK0o1PC4OlDGU/a1MJQVXIwKKhYFSCcbeEv8WuCUp0Wez W8NfQpjS1MdZXy75c1VjMLCfsLXzEA2rteZM6jv9iZWCQopK+qweRjHsVZypbmf2br37PRMCVIZa +FYjYM374YWV5BrRX5k8wIZRrngQx+1UprqniP8YCL4nyWBeHcd0LBwKpyIRzgtg63LwZHf1GNbI 40dBWhslEbzsbtO33GsZI84jJWw/3vrZOBLvJExqXIEb3ShcHiiOvSUOhtMAhQWJzwOqIHuzO5P1 zV15NHgg4RU/YiDMw5ow9dIqlpbKtB+Bq0sj6hG7LGMkM6EBrbyx6E2KZfmjAyzz+MbsDjiKTEVA JJzG2QNcp19NxZ7+Yuitc/xEtIVBBR9qdG5Rp6fX/0DcWC9iEYRba6wib0FiL0zTflKREDCR3rgg KyoucgR0IXKODuFDsDy/0CCGtoTnbpEeR4vwEokmm7X/BqrLnYPRshnVAys3RGpiK+lZsb1UU3zq B6kf4YPuBjfGWMlb7Ag4XoPkGRSJxG1ypdF3H5YZhti2I9k/ogXnC6SwOde16Y1VBXVQg/gUv3cF bP+GRzmjU9fyBjn6ew0ge6Jd6yRaDR4tEq1oWqRFHZrvWmo1zS31lAQTdd2Jtx5zQNZt+4eorIrL xORdbp11HnfjvTXYTjzfIbMvuU042TPHfkhS7b9cHdArXOSQ50ywVzuzhjqciaLpNDvHxBJxi2Yq IK2upQHLc/r+zfM4PdlqVG1Bg8e3R0FBHdeaqVkwGOnE3tv/W36ITO6YPGlGOc8JIy1Xg9Xlnpll /0ASpxWQQgb1nxFvI8cI9aUjL8nFvMfjCJQ+kwb4WQUKp+byF+swPcxXRzwLGTStbt8ouRMLLENb 2R3JjevLLokZ2SySKb8N1x5K/RpKTzL3zb17+Fu1mzFKvPRa+uXqc1tZZryk7R6wTNITiFYV7PPX o1/TBx4TJ9/NF4b0W9AZoEwzDmeVbIAHWHKNZsw6mED7FjziYwELmYYNpFgNKraCR7+5O/UJS4cJ 0Jkgea9T/s2xACBzmQWQ5aYQNpg4inxhMMY1mUqoDUTA6QPYzCVChSO9OgJBmgK6/ICSfywn7UJu XKq1BSdWNqXx76xmYQHtAX0C5WFV3DRkoPqA0HtikQYbUQTuHXqS+b6EV97E1U4GmVTNAmF/qXOS pf/lHJmpP3AzTnN0d5Gb+YGFzEKoH5w0sPvve3Gm5+gR94OQ4x7L/+IpURMrT2Ytj/xGWFFbDvdp O8RKhF4R5RbrQlVd8zrcoRqjKbKkUlcOY7p/0h8HjQ9bX7LOKwnuYQVJqf08EVpXMel6cTaBCDhF rNQ6j6MvGqzH1pTmjSOcnz9fE3m2CucklVIps9OBTT307SSisDZUb7bUaUnuJVYv2A9z+UZp5o6z tw3jbcdQ/djIeyp5mXtD3YI6njBXzyAT256Q8TgFTzY5GOBK9rIdBLcrOVdEj+YZJzVZJyWIvXhu zV1+98fg3c5pYTYGuF0ByhqYDy6ze5EaEw6qYjimH4oZdSZB/CQrSKo8sd0P7PzUhlNxLKENpgfx aANg4K9quHYRB1T7Zo/Dmcs0/hz+92tXy0FDMF5OhcDSdU5rQDjS7z8Fye40i7SsWU+YpUOvXQF/ ji7UMjsUsfQ5RYEBmMqQykjbr7ufRBN3Kmc9ZtwN8BDJ7CpH/wit9bo4dnExW5z0FtCZbUHi/Swl 7hZh4ZfJjao8yg83P4jOVk0Z+GufoBCM6xMG7IHweU12osJXaMMDUiU7GIsW4qHcSBYrPhApr/0T gI8kuq73JqRtiATtYq5605YjL9A1MCUHJuSskhwLS518aAIETIEIyG0vT7U0p5HzG9oMFs1nAmyN DZOOLk53yTqBix3w+LAep8jGYimGoLwHJ2F2nIWhdusQwqVCcD+/LMvj5zG3e+bxwo7UtEq4gsow Y6dKAhFpaMLfg7lHOfkMltRhHccIeO9ENTJID+12F/qH+tRk8xwlGiDg39SKPIglQr+PEefI3s5d 6heViYE+qvsrILcaZerEVCK3qaXz/Q/jeS3cxEAyGt534s7rwR6qvT0MnS4BklIPpXKoqJeulUHC OzabucstwdngTppVmQ9YdxxQ6ZNLfUXOtX0RvxzTZz8r7vdoxWWi+N7Dp2crKN+viZfPlPgi1c3G FHQIKn+4UPuJ3LCNDtFLQHWd7LtC3Xd4SYiptZigWsOHF/yB9aGSFXg/Bdf2Pn8nlO+az3LtGqYH LXeNloIZLXLiwzJLSoEeZ+FNnRp2nMbomNHCf1Wl+/MNxmP272ngMPgU47SQazDvdAwWuseUB84G qzqMce07x/v+pzQnpvi9bEtqmOJzZOKQ80r8Jr5QzEdfYxMyzViUs9ADWOyb8JFkvAuZ3uWQsn64 KKk9SvHq08I+nM1V5mkQT5kxniyv3I6KiUO1v1GSmlScVlMskFNqlvS1N7QXzxDioy1KGBaApOGW DEbkgIqx98b/52d1MON38J/CjITzhA6u7+tbVxOVZKMxGz7gn9Ij85O7uQj4lwlrZlJufeikv+Qu jA8DAvmxv4kqWSNKES49tEHjBcCKqSWTCwz889Xzz2O0Mh3lTULYgbvJh3+PAWxcJ6EQKHoVDO6Y oTBVz8jV9k8fLLZJwhElh3HyzkH7i2RRCCq18m9bLS6Wvh5oLrqC8+6vN+FvT4DNpJLz/DOCWjrW 4EnLOQynwuQ/a5GZ7nstNrthyUL3vsagBbpf/hy9Z1PNXIZ7T13BpnD1TlBlas5HVAtpuhyGkmLY QT9tJWjCiFi2IdJ67pZr5L6VQNzGsfnlfLQD4C02E69TQV4oie4fZWg4acTeQ200LYkjloMKjZFY S/uP2h+5W+yTeJsKgZTQsv2/ftPl0ZKLLL1R8tBD3HfKYfHkDLV9Zaka50DgkJpESOk2z6ykkXtX XXod+2JU5kLB9r6FYoVQgOWMrK5W+qTE/kYx4j0tNMF5g0a85Alb1JVyD8SEQbTVVUVHkH6pDxHC mV1ojA+cjKbvp49GIntYxP/zdpTYuoMMBtmep5D0LO6QmoShSw0e9nID80R3sJM19nZHfVECiffj nxtjLs18DkAPPbQsNxukpdNY1KJcHF3/J23sz7hAnMQ6aAS8tC97Caf//8DJ09l+VTidLD6PguiI fQ6tBX3lrqitrjNE6X6ZOt50U2twIkINrt25JlR3hE1ORbl9wHtTq5O+rTLrXYcmLEFXKIsFuGdj KGMDimSdQ07whQMTGnKgJA10oeQ6SLqPkUHGD+BH89kbBo5zJnSwLT53QItBNx5pv875DPb/lArd 8xPBjXrthgTLgyvwC6mU4DKL80koHN6SaiLktpENb/cifN72smcD1DTF6lwpTaaO+ZjFKZuoufau GKVzmzLQW+C3Bx3LBrNdKRYFAvKt61f0GVqCjkLiOgzfG7/0vXhlf7a+47rjAbAyMVInxJNnrtau PBkZhWmEQY+VWYeoC+/f57Ha1hG8dob/7CVDafBTydXpB3A0+zBPEtXidrz9SxiUVIK4eMK8cDeM h2lpBTgwSwXytAs4e/ma/d2VIt1LtkjzUMT3Pm57CwSq5CjmPt4SeqFnywmiNabOgg8E3Das/V6w Cl2j24L5+gteKhwkyKOBTnwt6O3ZtuSwB+kkswxIW+Aq9ASqoJqXccBnUjpEmghWtV1oO+/K4xhX tAsU/FElk+xOhsgzTQ4FEVsL4MqnxjBz6IKsqbhD91y22p5+2YQHHZRheftWI9rZtfmLLjjTzvdw TIGLxspdMzXOyzeMUVuGkg9VSc9F/5/8fpedcihzX2Wknb6Vtv3x9/Mw13MSwCwpucWTXn5lFvrV 19w1wNoNNolMTw9qTcyGwfDn12J+iTAzeBmxN9wPvAEnRvNA8OxoT8zJL6Eu4FvcYCF3CZtXcp30 RwfU7csEbrJb3oYraadgyqm/1U2OqAsWj0kDCHYIeHXyG4y2JsUzz1dqxw5ImKN0/0RjG01GKTLM KCgFehPRDA88yrmDWVj92ryA8jri1RL3MvIDK5h9T8rw/MMrUcufKLZ945x4VXy1CEwT8dZXv+Ir n92Gu7kxHiC+IjuSdF4YIzF4oZdPhIXAFVpfVSXA5XwxjagkdMW4JYtmnqRffQoetQWRTEQfYr6K dkKluMdE0HUK/DOFZwpacLjbyMEgpXn1MhBIp1S0P8O99cwyCvZyKY0TtyEsTvfLJ60zq3v5zA0T OgdF6xvsy+0qIXLjPnfYntHPiykK+INwuJYDjVcV5oeuk508VugKcgZzN2vW7kXlJIVQXB64gtUb ZErk/F1yX2qQPPzUIRrcKRH4gLjD3XW94Fro2A2qiZaoiPRvvYAV2B4y/roUxAwa3jCF33hdzXaS B+O6j9zyzq4D06MxJNepm3dnieUI0st+8Xvtoiycj7WXbiupuW5ixHSFh3wq9VY5y/m3Z3ZF+wEM BwTkdms6n6k+E1Xcxmj41p3ywbRzrScwb9tS7Pp4cJ15fB4XRj/zQLwqI860im+WkfCWMSGS+NDI MTsXeIqVjKjhePjjI/DQLWxZHoEWZuKujEfB5vfRAN23Ge/Qy//h36F1/o1zK/hj9v+8nqE4UdmU k2uiFrgklDVevccL5YjYlZmSbX2TP0Ibo1J2rXz1S+p6jDmKyxIcILU+l4TNSrYEc/WjO4FmBSt1 8UTR2Hjk5oJqYuXvUvAfTOmslo6cq/oXIiclEgjPkuK7uVA9gZjT70r4whmaXdY/yxQcM8St7wQm xiG9bPC0GMOu6oYVzFHixJq3BI/ASZ5TT9/4J7OjXA7QrVvpHspLSU8tG2s5QfHFcdms23H+h1U3 3HGplu+B5y24XX2KQUXfuHorLp9G2NVYUye5/HWhdOPMC1qaQ+of65eux8nbcC/S3tUY1ovCiD1p rmcIcaQjsSaHTlzCE6/aYMfRqwLq2n7hxnmcoHn844DKLtzCqTMdRDpgNq52PV5lM337/tCd/R5X rnKrkxEjN54LJ8Ji8CEANA4NSjlQ8MBjB70kfrA4cyIdujKpiwlynfdH6igypr5sxXG+LRApDUn4 PZlViIRMAer06kqy9VnuYH4aBnG8uuEz0KwXGxSbX1Ywhs4i3bb90errRu/ArgYWzmZfhTZTXHx6 QsKSUahBNOhW+1nqP0Tr0rwOhTmkMmKgL5rIlD6A6VmF/ayvoS/qdjl/He1/N/1asfmNuKLO4K/f 13uBGY7UdpCkdujBy/yzuT2IBrWOd7pmVzhtzBDFGgzbNce5qcnFbR6aze310Thcn1SflEqA4ZUj 8KMQkg3CE0oAdB45oZDA/bZS6zt5qQR30o50RF0Hw3ycuZDle1SzMAN69RdWHzE1PKU+tzw6GqSW 2kQlCT+oxAvQCNeQJSaneOswOXtBltNhWfPU3BGxdn6tYV0rBTT7cD8cL4XY6B35hLf7i5RF4msP 3vVyw6EF1wMUqigAFwIgwH8r5i0Xy29xcy+YaVawY2z3H/jmaZu/3O72N0yaeUYxC0rGAFch8Do2 IfnelCffy2aVQJJusjmDg9nEUYHyCOjtT+TzsTSw1AMWH6uQrlOhv8wHOivS+m3P4QLZ49WKQoD7 V3R9ojhxGncqYg1Hh1CqdqwtUmaIMf7YCBHv/N50NwaP2x+KGnldBLyWdkKSxNzHxRxx8ysnZZHC 0X+I4oG2CE9dyamHoPQ7M7U3nOknl1Ct6YJRrl8D/4IZieFg8u2zbW4/lOQ3Ezwn/k0gESu+PoAM d2qTzhYu9ELA0/ossJLjzxHx1Uk+PZKLxIfVB65lQt65av94YynLLLClSIcxTN+JhrDtZFS7dJgr 8IOGcu21ale9BBBgjiIPmoRakBwYjgoPrs94FXB1ICAsiGgR7dcHMqpnJCa3x0LnMkizx75+DsaG +Y6X8NdmfI/Y9OMy017kdddwnAW39EH200qWyNoUiqY8LMQnOnOTtp/WmabqhgCWRbvK/OxxPUt9 f4lYLsR47PNDNHgCpGuIZ2YrLPiPZ4yH4uB4Hxo7vaCptzVoqSUKeXn20pj1yfFEIhheLxi2kUTD FRk05L44/E79mZMkJ5Sac0EA2gF8Fq0/PKBfNS/jZyu0Z+MFKTABe/GXHiv1FXGurtYFm2h/q1ra enmaPAuqH+3iCfads3mffCYD2fZw8BRkFo3rMYpS84l14p4gNpkhoK6+fPQfewdKxKiWD6R+hBIx J7L90LQ1D7H+Oe8xeYP+tTuYdH1hUFYZr43km1JsvvLxb3emUy79weXexZdco4zYbadlTbnzmqpf fwveo4Fs2PsahxJ/P7JdYFd0Cn2tMNR51c8PpnA85+bq6eOic2KYRWwWYTaPj97M717o0j0rKj6s XnTSjzacOKsiYQRxEFGhczfvd4ekFzs1nJkpncSaRmq+8WeB2/mVx/PdnEVrhXUeP0nAv8O8/Lf2 DWD10grREAmf+YrKVVJuqdsOHhXQdunosuhkkXQqpu0iZn73x854sqoHK3qY63scUkZSDcx9csUF yF/aubXpYvhHIc1BrRYXIzwyF1OQDPmGR4qUPXyH347WjGMu7Q89K+YIPyAFyswzcth+Beo1gU8S wppq7jwgDdL9K2lq1nVv2EHlhpssmOYjkbf9YkNLh+ILYAy2l/+FAaAg08MD/8v2LXse9O5ZAaxk /dTX0cwcDdRVVQvV2NSaHNO7+W0OyLh34giuluFRNZ4DyzWDHv6T8lOsCvCZj7aAi94ifTbRm92w Kq3Y1/dzgulUpsLLiA67Cllop1Ily2AA/E+wYMPpL0GKkxGTtMwpzNtGEekwb7a8K9/9lRLhWDPI b80u4SuL5eemsyFOjgkEfJw+IcOOzugl0HEdNb3CdEx+T7QCoy+eAMlkpXZgi+tnL1JM67UnbudF L1+dtznMSqqaVG9LVeU/rS7ZQmyEIA8tHoYXiNbnqXkdO4UvHazZCNSMqborsXLkVNUxf1okWXlC 0HFKnp3QwctmUH42TEIxdrNfAVBuvughENiwOFMetDU8C+ap40aTIDj9rxBI8OttjXz3PZfU9fAl 7z3W31nYIAHkyhMhesBDd04Qdzu2D0Iq+xm6PKZqg1fD8b+FJMGpEp6yZxrUPhRFkfzjHF1snoiz 2dBIb9wo5blYvwfBCiaAvLFh/oBsuGxe+dqFqnzGpvjOGjVy43F5ygsRpt+L3Sm67M6/7sr8X6lt Fh1ZNMiXT9P26Fv8jyI+eMVUU69DAejg6WRzGPoFAdsMVgcPQFwZuTg5IoHmXYZ/G1o6R6d3B2ty Jff/HJKQsMXIWeMOohobdnfXrLvbyW80HXiiLMeF1ciXAEKkoBPeK7HO3xmF1OdDUZNEixHNyP+h 27XJ7goAOUowFp88jVJS7VxBD62eOjYXR7h5tpCPMkmw4ozOObiixSxSAt3qQiaw6aaPsaiJkKKs O1Jd+tNylmykw982ivzmtBa7an4sTRD8NkN9YKDrMbCcckzr7sKLTsn1axxYcwoUAuwCCLOrrVO7 O9pL8hshYiluxp+811Z/3vcejZVqBJo5ywECsZWMJ+yvFLwIRUfiLSDBloB0qnBUSMSnuaSk3U0r bYIdz15oNf5mTVcFG2L0MJjF5Z5Ye3s1q53X6mAP8jdL1IA2vgNC+qIcc7bgfSseg5igfaoeDBDe nTM2QimUsysT2IYn7CYpg8dRUeBk/5k49Ou0MoSk87MT+tXMNqK4FI46c8HwE9uHdUEN/gIOtw5K OXp4Ltt8JZmXWr+s2UPvjzjlY4t23vxvSB/VtpjHFVMIE1DfKoKes1QraW5XSLW/xoj/VKsQS639 9xDdGBSppJlSCI8n/c0jJ+1QcliKYI7Yqi+nblrpuUEgrnd2YHPEIWjsO+LmQ/+cNkal/kI0QVAO M7QuGmhsaOAMyVS5OI1tQ034IEWoObI7sZbTZcQSEWe6eBopKShZ1KNu19/yh2cajVPGA7AV0kX2 Nfc+yDXA4kLJJvsLPf8Jve8smTK+4xzXhDT+VzRBjFz/DbP25/iN5lNvvRVR2n1vEjQIxeK/Q2VC xUhJNXceUX6dU49cYiptaVHxAFO4kMBUzMR1HM/uSk4VQfXzqIGbSuuOIy4Hao+GlVXFhIbahiEg GM4eQ4dIGUPdWqMJ/vfgWBFd+wNVvxqmIx3usdWnSv805jPSptR/50C38/tc7LjegPlYWaNxD2Zm 1Nl7f+ylDqY/5dM1v6rfEyL/gd4sQuYGZx/9AjIhqild0R5Jd7hOsMqSMBOqyYG+WC/aAOFYpXIe iAN3wUYft39WF6JHtbNJaO/v57/19hJwKmOmYb4HriQbXMzO8jxvSYgYowRiCZe5Cbm0Z1pYV3CU M2O+2TNiMS0Um+vMad95ovAwPOWzxwyUDfftawxI/yZSNNdirWfaDXAaepsxC+9Xmb8NMNUbaJzL URWWlxQ9gein5J7kERvhRCJ+1oJgwOThd0BmKITUWI6+nYBpedrFoE9OERFD4vI+LiphQNkrSrQn x7Db7aoQVl+OMA8vXePG7ANDjwR8hRdtRfkbVmwtLpONLx12xrmFNZl+H6h4RuxJNKdhdHAziLVK 2PEsJ/0Y7ilZMlBKyUEJmCKzNrVTc4zvibF4v17V4LHgqM07hDQeXA214HJjnIp8/Ww5ufZ/nvkB BRCcF8zkXUoUfvBsD/8K4kKm1YeB7ijK7M9+IVQn9rM+xCrIE0um/zNRDLpjdU3AAxr9AWUD2SdK REqinERPjhYOiUPoga86CPVH7u4WvWsmDwUUuC7rtp/F9V+7jCIWFBQWBsDOnEcynN3i4jLE7BGg ZosgJDPx+lMSgTMTfoCHg84E4bhyTEgE2GMPSeU/PDK1gPHmOiXz69cINy0QuHhIykELaB7oNY/i WyWMd7GUVRu0swrjtcWltZa6t66kCV78nUXyzvL0mXqA6ALPcKNpcmWNNK2fS9/KPxHkO/GsQpLX iz52zCW7NgSDO3D6qBMOx8LWScHFv7kbXJkNBpkJGxBZBO5K2S+siF//Ln/16Uh4nZWroU2kj3Bi ZSwJQuX/BChs/axX5fwveal6Eu0dsQsLynhVoM2M4+lqm9Bx8OlwCmQ4cIT1QZpNE0rrZq6cUitM ogPdCU3lPJl5pSpj/qJlq/7x9TN28WsBUtH1tRQnyBbh7LaccX6D5vi9Vagsx9Xhd4R1ttsWQ1TI pGE+ChJJRv1nGKGZ53jF6m/oh8KIuwFys+ywXJ5AgPWplVxwueXA9QWNhbLGYOxfxpLQtw8em2Hq l9RAColX3+SUxX3setO5UXjWVTEw9Ig1lkWdMs/zxacojc5PbCWzq5a8yeVdEE5hXftx2ymvggUL 3zSGvdZ04SJCJxHvhaO1HqKXoxhsV6Xg4eL01GuuEqTrWrXzBvC/0dTDaONXTsvy+vfs9zNWwh20 ZQshOxk2c0Dj87IqEI0X7TNhUxfTvU/lnrx1U/u2OEsmP64AhTRpmi+2hFK0wlMtHkcwc/sAPmf2 /tewV+vwrP5C4UHkL+LHhjBkmXXNWbT3W9wYIk5q7RiuEGPWhUGGGk9j0EtWO1yE9Oq7AjkkavLI 93NIpc/LEuYVI5Ym+3f8OVuq+KpSqFphaQshthlMHMu0Us2eGVM2kPDCbCxOIU3Ky6lDzQ+0EpLI DGcKWufI0IFHKr3RTvHtB35zyTGi+fIvoQD3uEelYWl5VvVr6IyCmlpEVu3Wr65uRLGx5r/QJtz0 AtVkDAGdk+CTzZlcLhPAAv5synlZbg9HI44jgP3Ea1pNB16CCot4StGIKWw0gXo4biblNHJdTQiY uR0tFLNG6fkXqq0Y4wQdl5RVN0UOMZMv47+3m8SSZVgjF/DeU8+oLPfwWYT5vQXj09PwimMsjEN0 NUz+TILBD/p0+1+1ZcZI5dK5Fn0iNgMdkKOUu6rqKV/lpz44CUIBlc+PnFb/6oWORtFUl/i/yI8P X/aowXmJmaTzBPksgdCW0F9h2fDAWbSmGOoSaz2A4UjDALOl7k0jRp1MX1yIb8YXWtQ3//ObeSCg ndQPJguXn2nqNnZvtw2+kUCpPbeUmwdmXhDxCTWb7vigRW89uaRmTt45yJtv62hFhRsi9TrNHhAj r1p6iu9Up41Kw9qlwLGm6eLEomI71/Sol0yF3n1sNP4XiTRdVZrgnyrX8En+5NMjEXVgWxg4L3eS feB1LuMx8R2rI6iptvEgkpT94jR6Z4cy14HtwuLpyMFVSGDi4X8Vagd225w/kKia8qEIrsO85KC3 jxyZgSKOyea9otQs5bABIXGQ6xY29Ii3/2MBGt71bDbeDoi8f4IdLIt7H7Eefml4a0nQyTPoqGr3 wgweAd0LKehF2Ka/D7Wmyl8LylWiP3OokORrWDx6NrqUwb/PxklyPJiBgoJL3+1ReGOijvj235zL CE+kMkTeo6km5wbKFyrVyJIyeqW4lVLtuw2aR/jzIRw2Wa5dMWvSLwpRdN2W7hPt3lRq3xw1rN5Q fA916N0urIxkMCbBLxlKmi+KI3S3CsmKrAV0Sg1cx4qkBL7Gg6EHN/8Y/yDG42GuwTvZsgcQTN8a S2YesQwH9CU3jwjZ4nDj/8q9kckQPMsgyAiHDI7RcMOvscrpynwxlBSWV+waxCIlFLp9p8NJvgRm dekYWUoAyrr40YM8diZv0UnqJYlVYXhG0QPqaxNEMmgAleuJvk7bSmMQBWN1ygiIxjQ/v5lCytYY V3KzAuCGuFmvhczvyiU54yrCnOwgKPfikz3GFRk14C5LlKTc+SpNKj2yPCOwu2jbjR/WGUgdumB7 rcR8WaBxcDVn8Km2VBwQVtViQrGl5n3GKWNkoB9TiH9vq/fxW806I6gmE5wNa0D6vr21L3Ftwyy8 ECXYw11JkRAy9xUZz0KnzDZcwIYfrR/cZ+OyMNu+Grv8oBK+u+3y317K682n7bA5tSFTBt4+uPZc yZML6jrewljlW0DoJ+/lcBkeuq/zI6jhVa12w732C6P4Vc59JrFlSu7Vi3G0MC1UqViEelkT295z mBly46lggRRPoL3qRQIzH12a3zL8PD82fCGsBf28HThH/Z6lCgtevGKOyE5ARZ10cQBSKJFxUP+K eokA0Ww61Yd0wuimcmxfbO3YiJ+UxR17fAanLMV/9fVhP/8GMtFbH568hFdODiy8eMaiRwyAg+qk E5Y9qkOcsf0jAbvAzy1+48if+jOLJ1NWp7CEYgVh3IdIN+WwN75oVqU7U1f39WTEIsqsgQeBYfog ctoPmlSU2oWvD4Mk7NyRADhxxszQqPMJKHBMmHUcjIijQ8miW5mVdBXqKMwcgfGfuTt6MxHW/eFP 4HulK8vlQ4s6xPqsPSU63KV0OuOMxmNW6fFd81CkbcmKyV3bBx4tCeOtTgiDf5uu1G+wEs7HwfyN hcohKXIn1QDH/hOKNCCP3stN4hDW2BrFmlYglxMPLt+jzEVP/XLn4IWTm/s17N/zs0rzKfTyr/Mo jGTMBpJEvQ6R1VnoScxSsZQ3ZwU9fqql3A73/CqOtgnjgkQEyon/ZBWxu+2TIh/tfzXneM2GJ/cw ldj8SHFm5BOm7FNoDATqrBPJeRGl/BkpufAtjNJTBPEa82fThwVaQCPavgLyeqShD6GZ0q9jhw+1 HJmChIjXEhi76BWlsU32lBPFrctwoL6ojC3TWR12PdtbcPH9LsrWX5HFoycY1kGF3jxHr66jm1OD EAko6O2pWspqn5r02u1/6RcqwYAKRbR/RjnEMTLf8MwOpSPoO8+dbBTrx5FBvi+1fwE+A2Qo/sLu VXb4/+dpxq7x1Pbh3ZNHjsaxhbbXVFHc4Yh2/vHr5Vw6nDIuGhYkEKoklTJdVg17wzWGjPz9F9td +0KzfITcIKajHZSz+PcWBMSxFUlqBjdabrGiJu7zizUvUdqDBiZcPRQMQr8hD9WsOLh/22llsmJ4 8ZxvBR4Ef0MMA0BJz3jKvKLhCTw8oPlY58f0wh1+n5ELMaIMz2EaPtV1or1nBuOzma/mce677d64 7gkfO2XtuWEtKLo352klkAXF+o0vldC0sztOsp9WZmz/1wtMTuv07WApTJONwSVckfGeKWC5/DA0 DvRfNei2j0pPc6O+/MBbSgs3/AiEVn6iyo8cqW+/ryW5ohzh6N08YsMmZmy5o71OX80pXmndv2pD 8D7hh7Y+p5Dg7bwEmK8u93HGpj1sPHzl+hGG0tVe4r8aLfoHwK1tNwNORAwGmt9m2M3UNdfNJ77r 4L9B5vhUfn+E0z+q0LHj6dIzNv5TgX6jM7YjqkVH1mFY88KFn+ewCUw5wBrFwEWywg+Y+P1/G+Ah haDosqnCAa3LkO4Zu1UWpF9cgvRRkQlJSlJ/6gOiofpch0UuUVOIIBcf6pPuD8MVQq3/eQUFoFCD OMyHOCW2kUzHT6BKc4i7RzgMfqYrPesHEHmlOrLroH/X37UmqnWzeJgXFJk9VO7M8+IKk7vRsk4I /OO4C437C0a+D1oO7qa6XNfnM2YBZhIDsbg+wo1K5vzDuy2eWPIRDeTEHQyMycpZbtnijAK56A1E UDQNR/lRCyAI9HlZJfpPHIVzyNjfWwJjrNLIX6Kp9XQYAtJguku+1EcFaaPqMkOqcB9dxFCWSV/R Fxc28PN89JMX6LweRfBR5VsQ+b7M/cNgS1MlfKboFcog77IT9PMFvbVEvjXuYgxYdbd/iKTmFYcu kPIRZWFxah61e/qYUagXRQWov4pOyo3BFmr9RsZu5TA7pDld+RVmclJAjlNAwo45v9cb2/7CX89q Cdy+S9H5pCXMuD94ViEXul0d6MIM4vZD8xtNDzDaGPPEclKy6mTIHA/VYb9yehgVc5GgUAw6DMJv VSYusfd9uWj539jrZ8FNLonAWFlKjm5k/mF9Iwa/D2WI9W6BDJLRQCEIpFrVMIPj4GgtNXR26vKa Dr4k6/4kfv4xXUpkXHCFh4yFrnENx0HFzOoMVI98xtupqzdy0na8i5BxeMTHQYCwgf1ML0+64j55 YGfEY3rEwf8iYXWVYbqpOS4+kbqo9aZjSADJotoRmsLXRsc6/cbXGwDgNljr+nS4GuyhtEWxr7H5 Gl/nXOBSuOYljtSlR4dsApmgyJ/ACz3zQvsqgwa7PZllQFThh10qQH0YlHaLPSuopcDZytIPIIuD KeXrv4rWuU8P7aSFLMrpS5g/Jlifz7meW9yalLvkkKD8qUr7SayNBxvkCWTGJqf9GQ4voB219w7n vTCjaHCfbdy53Wb8tth6B4LL/DwdElsB19s9PPMxnZpr3RJlhv3QCysh/ehmjDqPkbe2foVaIHGr 9wSSTCeNUJIENCcN2Uq1qblE7c2m00gZqPaN8JM0NosQhx5w2R2zzPadRAI3WaEr1lIbMWhBEcLz AMw5k6XhVQA6xD/2pnJI8FbfiP5sFZ1BooZECpn34RwkZbJ5uOcZxHRMsKOaZks93GXB8jzbqIVB wz1H6EOgljr6431O9DjUXvLq4X+lDSkhdVrEjmpg0VjU+fAbcwEx9f7btwlvQKKC+mM+vdHw6ix9 YTKXLYF7EXwByWzkfgcX4J49Yt+m4HrP+UEFJORPgMkgoRAhTiDe5LGyhIoLlBB69upMk4HWVXPr 7zU5q0t7Ew1bYZsrCA4SUp7PvGY+2NzG24s2G0mpaZe/R+tlvy0n/kIeZrl6CpxGPlX339SoB4Fs CThtuSRLAYD3JkXzPk1o3ihZFlh7wMVhzk/y7yY4wlZZKl3k219LjvcSRkTI3b+OLbDZO61zrf86 BMku5pe5mWFzJUlddkXZt7rUmEjq0zSfY7yJ6GDqLkwCkxRy+d5YAGYAGGwtlnPO7rp2uL3kLXVT HtvVR1e+85HwGs+9a0jv9N1g7hAfUFZn4ylFKsnoYBsJAXzq54oCvNcRUujSIyfWog4HYf2wqxO9 geGguTldA74clE/fr3JKzXBGKylzuTKdVa+dgnlRNkc9jvF4OZ76IBZ1wnWKZupt+qBBpPfMIJ5e y07nDpsNTxzRWs6ymd7E9DEpnaZV4wj0apgTWBbdh4AFYOo40WsgkArdxXdEOETSpJvEoSWYj0Pw 1gmU0H/9u7oRTjkAFg1UK4EIsCnicVmnb1tSovbC8TRMsJSiNGhyeTIvc5lJaoEgO2QCZ5rq9SYt gHjwRtDYZCDy/zZhpOtbNzsz9UMfIkkxTPOeD4F8BS6Gwkde/KZ5K1YF6OHR6W/gKyZKY6eJYwKF E7LJEz4Qkwm50NlPVvC6Gwabp1ErTT5Cf18TIANyOs5wEhpgXzFdYu0PhKHZTD4VIn6MjZhe2Y2z yld+KYhHDlXD1qXiN2xeb3E32BCULSi/TS+0ONOpEM0K0xXbT70eGgW5naj89zkcEAGB/mENMWul LQ9Ulah8ZzP4jLgC2FnS9XForFtDJB03aausc/AoA1X48/w9XxuJWNIHkOknMHbXjdR3joY2u/Vu niLQjIdx1Wzd4UUjNPXIpnYI4CcrNHi8kMgTBKx4pltgdxUVp96JPAU68PX7KvqYXDIrCQdDwyVY QTABcpZWIVNyGUJeoSlZV8cBljIsB/DUwaNiA3OP/SeHMVrwTlTNcwvKQX3mT/eitkXkkIHVFjcM kFagRt/CtiRORSrd+whu9cnNQ9Wbmu379qcpShwL5lMTy1pFBnLPHA5Gf9il10W2JHiDlz+jYFuz tdNL/iZRWsKxM17Ax1Cyoy0vx5ItDClYY0XfIA9N+menZ7zBaA+Rp1UdbPOxIId+mC8nTYkEuVxY BPfg42NVV6ydS8YJYBfmYyY22dN9Oec0ph5t9sBPELZYwtFcWGIE1leuBfveaJxMGmhP5LiqKZoT O7SW0sx33ZFEwcNCTgsX/5sAdrU9JT2Am3Gcums6sxZbo6wz9kC136DSqcvIN8G2MCr9dgKEcnYu xFtO9xIEPK4bA3ZRkpKakUzBN40qPSiEg89l2/Oa8wClmwcvi6xMDwHiWwo0GJ25mI0AgisgCD1o f1vHYu+2CzLtDG2znEzElMVm0Zbv7Y0K2zBtEEY6ahFwo2Jy5Zq7t59j8VOgHycuHeyPTgKtJza3 HlS4czXfdUjZ3rU75p5E561RKs1bkANFEOmLDC6b94vb9uRoV7HZ+hbazXcfpfPqlBuf2sQnCzQs /XRa0tlsAYnpgSTql5FeJBFlyNKc1zw255if266vS5S8fXei/g/7GkGGoRT8SYvXIAjH60iF4YW0 Hlu6ZnF1rV4zxqA0vHG3fjgDZJwD6qTMAiErLxp+N2jpR1VF/ZvU7RBjSitif9ndubEb96YqoxOU v6BaBVpAM4WDYh76uRvrFSrV8qkwlyQv/eJ+IcftWCxxUrb/AMqIqQPV2pvSNsxxfDKmswokZZUE eByQ+Yw/88v5zVfzv48cTlTS1AqrtmfGpyNFajgYH0SdHylaKCAFBkCULt01ae279ZbRqhPUUAAE 6+oqdzhZVP5gahOT6aAk8u4hCa9Zq9/c/hlDTvZsIEmRI1CLNXS4Ue1BKjUB2VZxwCDvYNPHXmJN 6p3/SK777ncxvIF0LKYCqGFSiDi0qlkFBB0jW1zoDjNUN74auu+pQZM+XOhuDa4yCiRkhkSgfoYK PNuqTWxWd+TmmmpSS2YOReCEqey69yNRkGQYWZa+p8iOkFN5L63AT0eCQuii8Mg+KnoKWMRNINL+ gcFKwC/eanekN0LCtV3EURHouX7+79URabQ08+0Leo3EnYKrvRdcHgg3O2UDS1s0OVOHHwCXCxLu QdaA2JEmSf2AtE5HNM17zD0Rb4OYwvr9MVeVpDZmaAf0+dHHwmpXSLXBt0jq2J82Mg1RQs0eVv6d Jb39b/c1ei5hsN0+GEJ7TVKEVZ3+TQIdFnOWPTToWQbfnyTs8C/iAsizCvdeAODGDsFD27IUytUA n/8zL2qYZwyFbFHFYnVHMFicdYYOIVSY2JXe1NFrAMFwb3ah7Gw5DX2kN6NOxu8LztT+UdNkRoMj 7KVCXTeu2F0j1RhsCef/yavMmo6/S6NWRifTVAjrtuUDNc/8kwCA33yoz8zyHy4kK7q5u9zfTZRA ioAdzNDJW9rFQwFwy3bUxqQwJ+5MaPqzxLq7NCTljSrwDehLEupA3zMsSjFyh0JLELmNB5HywWOE K7tJ4EAroM4t7wjo5yZK2AbZpETGNkMhfpLhoXjhdO2nmY3c8oqxeuB+98tdf9LGDg0K7Os8Sfq6 TAcrtj9YW7+mKCYIcO88K1NvHETLDN9+czQOtgKYWKbXeLMLoQZeuWcKT2zaqi0aZr77arfMr/fH eoOvH1/rQ5VNsky4tX1eLnewIPZYPuXnDT+htjGAdxL9zkuun3nV9zlCzokVGR4tgQXU7eOYVa+Y R4WkhT0QWNho4fwO5h1HbmXa1e2lZNvg1U6XBv5iBGsWvJby1faH8bWPWYk7+Ge2QYJ7tCUXexxx Wuvu+qPoyJRE4+yQVB+qJ41/i03SbKiek0zM+N4jGidkqPXWJK6NM7GZ6C5deUz+O1HmT/k/nXw1 rN+Dy28UZiiEzciHoKDw5EftQ/3Zmf0B6g68G7QWZT1sDbCBLqfA2BMeahauZISwTypMo1OA4/DV dHU+R/eKr2Mt/FeSCfWvbznA/idocQSYcdeI7EdN5muOcB+jq0a4GNAVZBAr4YNf+Bs6GbmUXMKn LrZrthjI39sMQbdw3/arXa4rWa9PlC5GSMPJGv5lEYvbZDy4IC43mcoQcfMcLltD121+FbdVe4lT E1DNfKVaqR9cOEMVitZyBGltagvqdJaARXCXA+hFG2ZKNzc1d29enXF34Jbo4Swh7T+sOzpzcv3T stw6zlAel/jHRJb2HB9QrleWqb/dKtZZ4fj2JC0SJaS6/N9inNVPJWSMHO0qSN26mv618dELd20P 5YKRkTzTfT+g09ZgBA+s+i6oOW6S/pSsBe0TmFcrMVGNiGxPH85/Xv4HX7TKldXREsZ3Y37Ux+M9 xFUHE4LUfwGwTqndzuivqtJjBjXHHR8Zxt/QDsplQ5w+vVKkKFrbMlCB+o76aj1UdFlCEF+Bp4Cs 2GVyDz7wgIW5KCqaMiEHLncTzdRIZNwkGyC8Rg4gjDvju1903HMTINOZDNu81dsryxdh9En7orVD XsHeI0683fEVaCAL+JyxuQy1LexAG4RltuV6P+3z7c+hd/6eLKZTL9klUuPQG5Aulnr8r+IZtOLu RTUcuqS01squcNQedsALAgv3IJQKEG3GCUVHcXcGHy86mVkqQ/m8L2LnazR5JzNATsHe9JKs3+fo axu4i194EBfCf2WLe8eMKhxNFCrhQ9OXkHKno2EXDiUN0X/8LmSkB+FxFc6Qsvr/DxmqmOlVZqjm /KQ5rnl/B1rYedg1Z2a37G1I23nhadB6VB16XaMYwNCMhdvNN4OPUSMONntsDHuufE7mhS9jA6LR H6CjDseW+foGMt3oGfoKSv8a/gf9uHwQRiyzSFkeEoMk/uudKfq62WSwLU6q+n3CF693GwPHK6gF SGC9k7NoOBzsNyHV2cW6oROBZwClQatLt3jQVU7vB102mouH0zvE4e4EfPZvjBerj50NjcNFzzGZ 6xnLk35wyPdVXxvAFr8WSwPMtqT8MDQ15Ut4H6GJwq/2UH2KPOH/LZQgqdo9xfRmm3yv6AYnOSzv EqAsYE88uAaUsBD6k6ijP4moLIPU1O+MV6L5Ko6E3ppdJPiP+AAUXoIvbskTnDT1APtZDfgfhead WH9Az9npjaJk3OVmzMJELygA1sthYakBJk/ug6B0/x+dSbr0TanIwRS3TyDgriDUQDKK+OZoW9iu m+OqyhSySt2fBuchnULgCbYZV20BB35FjXoEV0LbQxMz7z4hb6HHHTngLmP0IWN46aqfIhA2TB2W r14mGGCeaXkPWx0yJ07nj6FbA+UmgICoE6ooQxPsvmpW76BoaazBvI6uYflE2LI4f3+aZS26q4ev 6+of1jK21K2fpd9nK4xLnUuxi/joJfammMU1han57xXcbiD62e7/btETozh85OtXR/RtGig7zP+v DFokJ+tWaUx4uptuC9jaGR1zi/ZjALf2lvBnWZeAHLwh/WfVrD3vxvwt6T0MsCAGOd6R0HdOkzx4 ldnWh8AUYmGV7cv5cfTFt4G24HijfpJg3Rdbkq3mFj3JkIwA+piCdVXgWzEWatKQYgh7TGNeTUvy WtSC5U15hFKY40LVHQRhV4EX5uhxu76/lPwg5Y0wI2rUzesVB82FTnvN4fyP7Ff0nSKOsO1i7owV XBLdeihx2Nl1i7VQ4d8QRM69nNVEReWr4SXZKjXk1mZOeS5u4q9XxmEMy6iNT62bEMV1LHZGYCd1 M4PuB42KNVRcYh7cfg1tuVJvoOuVSXrvYpQAK3xiV7+pDJzGFwTPStWzME4SLqUfFxXEnKaxayEb rGbh04CCEnGgoPSTEeiF2AtC97nUeprgWjjuclExD1alrl0G+9apNSJ4JS/kReJYc8QU4es9ikb1 CwqGTY69tqBM8Sb76LsFa9VSB70KsvJPbD97FaskpBSnbukzryO6TVlEw9gd2hS1CTm4agoNUU9F woqUMSTV9sTngbZmAsqF0RpA+WUK7ByTAkXaZpCSW9rK4LwstmZcPNh/mWDNsUPq5n4CWLU4U13f FDjL7WrM/Aq0UUslNrQOIzgmYtb3ygsejKY6VtwJ/jpHCajca+KnEEuhS6+IEnVqevYgRSKcfrcF c1ryd/7mvih4PvL/GFz73hC32NOoQVUHAp5rp6ImrNvl6JCD6+Nkb3z4Tqn68zEw43vZ7O2gFW6E h4FZ8W1P1HK5NC8KgpNlxqS/LToabLkD5+opXWgpwBh371Gmqnf1Fl+luvmAvEnOre7A8Y/C4WYd z4DodGKksEhtWr9lCXmtiK9331OeR9x72e2UNVOBaj3+rh3qNH211jcZBdE7kje4HMid8AmgX/P4 2k+sRK04FxwRB9O5/wrmD4DoglQ9KEb8Jl7GOdoa2Ux/dhxv+aydsLql2QpyDoVSmQsg5kOwYoyC FdztBTn0/LIeRznkLGt2JEg+8m8xKfMeXPvAbK1S8VwfrHGThgc2EaHN+tMzCEKHg8KOpVrRWD7A 4CMuUqJMc69LEo53j7qK2TnWXJLrIrr+p3o8lgE/ykQVtSK8GLuLWJNOL3OQOYzlovx8BVlh4/BT RL3eUgpnRXFK4Fw4GmdpUNMGxIAGdn/Lw7Zr2IlyC/i2WcM4+tKIo5s17f1DFJJZbQkLWYN89k5G djkJajaGCuoYxR97l+zreroXl1ESGWDZQRiTZSEgGwBEbAoFOIdWB04zBmqHyw3HNQjdHq8theON tr7Y9XmB1kumPrzBcy9TjCUEu6H4hI0TYD7SqCo6nmz9Jy7daJAAFmRC0L236yH3mW3swTcj0dMm WrroOlqYU+DUGUR+3/t1gB0d7/qnoyk0jA8/zijFjrF0/nXERrVkG+4uxV0m9V1Y/b2Z08gNeJyS RtyJ6Tj6i3zwg5jPhS8Lz9O9CG0JE3xK+grWQk2QOUFZPihyvQRwrMVEJpmT+8OgiTGINyqaQ6AY 0K5JpS0n1prsuCyF0ThP3oHTTWZsqLx2L1O8rUWcc0+qT956uo/oQWxofM4HalXFImR4jjx8MxzL 4JRBF5yJzv/p/nCPrvNMbD2/39CjhFL2uXf4FZUkIQNym/The1ljYyev+ZvdrU2rpMVtOymaLvfI i8gExwudO8ft1dgX8kL5H8G5ui8KX+KZc7s7sQWRZtRZoRehdRybsQf2/PfanhFGloAUTq+h2CU9 dQlUfe2LMmdiUuuwjJrcUKoGSMAIbD1guUKP2ZRBUJNXfd/MgPeSkkSFR9hmMuy9P0ckYVNWKor0 orwiBpcUL+e69JxZliWNrbzd6BvDIbY/jcLNQmFoT4WDad/gN/AsgxYUqbrlKOE1pRsRGfhNo0wu iX2X76nU10RHgWtocIanPZsxZqukw8n0ZMktkBm19mF5UE+NsNjaVFGGMf+FuUXaRfuEWGbnwtPR E9egZ8EhjJwS6oLPbA/HvAvkF+IxxDMmKNpa9WOu3LN7ga8N0vdW6l55qxEMiXbOXHCBD3+fxA37 egJp6vafMYZB7TBnJkxiJtkHlCC7+IsccwDYIA3ahDvNnCouHOEq18Bnjd9b7NHkgQeGa7jFoXzM aQtdr86u5mDksANKz3kcKF8sOixBTp+D5mOOTZCIsYB0z9ba1eNh/0a2Cw254WNU3QGhvbV1WtbE lyY8A9yybmFX7AsLkgOvwAwDPU2UF/1Ya+RLYuzqJjDKf9sBNj8N/MnfBRKi+Zz01MH3q1V83XHc UJ7HFsDF5F4SX4FBYYyJKUkKBsEt2D5/+lag6Tf1sSsLTNc+xPxAHh6AfIu9PwaVCU8HjMjGujqi 5sLDhCtuft1VckME8/xwQq4V3395Tfuu0liqhHlP6NewrgsCZGhwRUWdipAIgqEpNqT61XOXbEdu QSdJBzzcXW0gmxTGoCyZQd4aAqJcWi9XFJ5LOhqUfCFTc9Kri+YIXPpneG+gsDp6TqaZUqEn+90r WixNRpPeJqIxyR9RHgo0pb09mJMLIMPuqkKEPJC0FNHrBFDcG7CXjoeBuaDIrc2KCLVoyw+tpFDO Xxoe1kiP5hi5iZ+lLWYUWJeOTsLvzB5KovN2fqFI8kxC7BRKmGBCPNiSJAxFtjfGLnxqTnHUjwaC ynvAzYgod6Pkfw1azqHi+co7yHqHzeRStriYTU0UxmQ6hzJMtrk1EPbpTNzJkemoBB+T7HfiLsXz Iji5IYmX7Q9zpUW+VHinl9plZilGraukflScWCR0W2erYvUcr9l23sY5r1hF+kmN2sy0PnQb7P+C GTUpAN2b1TuGXMZFc6JJd7pmHSCVQDC7xSTUxXbwdjYbbMOcfMprda4Jn5AuvcjOXiXzxXTB7qcc EpewFowgjlQBrNp0M+TJdC/UODqZ1TJlzPPbuS05WHMMMgmoGK/fjVkmXQqmBF7ooiyb8LqmwBWg V5s0OzC//U+GllMO8w5VnmrqBdjwPIObR4VjEZsqdo8RZi7oY43lYW5bzMVUY54ZgzL2nIuTOORO J3FAmTFuKSScJuboCGKiKqOsyyFKaIoftTqAwYpxFLPhkng3ujSzd83RFr+qS+KNPn9WXjX1OXKJ 7YWV9gRe5MhJ6ndRhs0LSQZxjexcUJtjVN+Tk9zdD+2IgIECxDqIeVb1A3VSn9Q+6PuQswiT3C/g f2VsY+Kh3WF2S0YDmiy7E/FQ0HT0DDehHTFFtiYlLhGR5FwOHPwycQ3f3BBxuveaVX23dyBJet3D rsRzQ+ysTtMiCRCRHnmxlmXEuFzFZl0gnXoFOOdsdu0/QBZvqx9wbcQ2zORVhZ5vdj7OUBHJXzYC izZo4HtetnsJoA4QQIJRfKKGsDokBddb5SzAMpWzTxyep4VECbnR9/F2/9NbhJohyynOArwAm2zP TmUY32TzAfILt7iSL4me9SBVuw0mh5wI0fXIYQsd7u66a0FcVJjDUvSJ5cxjWQiNMvYO7RtrQ9kT DElM5ERbtoXtfWD6pizg5ARiT7+X/0BlrYu2+wilhsciJz5hqfoR2ohvQ1LTBmDQfouQ0/Ar+c7/ LIWQq+mlJowMfjvMVz3caSI+z/IGV9wEm9s6QNu027rYY5fnHQCq/BMMJzojY1kbo/1abwnawx1t Oi7Wg9juEgw+yk/KFIkJ88lM0w58K5zZs933bzNIh98HPC2M2AP71sXHoz89so9Qe4LpnUKKOaXT MEdBc3URvpklNeDnYmTN1wD6yNT8/GKWnNKCUliiY8zsVl/32htyGVOPESx6+opx+6WUWAI9Mdsv dfXkDE+zRWhjVdSQdB5PReLzDlgQObXohF03N1rIG5s4Gq5/arjtWXy39EmyiZvcnuN83Pc0kD3e +Kc0Dn1RnIWm0JndL8T5E1pDfPedkt3gbGOUJDFdgOcyMzZJkre7KmHslnh3vXc9XC2tYIhFXH6h tHxN+NRua/2hAOet+hJsub5DhKZ4IXSAFxQY3WYcn724EXo8uxSbY1k5R4onzjiTppirZkC2ONF/ WmKOhVezzcZ+oCWuhgRYI3zhPjEvXiy4r55xfgrb/qBbW8nrPGAi77dr0tkaMZIHfBzSvAVep0Ov U6T//ULR68WhRLpKgLjRz68lMIG7OsZYC/F5FXsec7G1BjCBJyx2/aQof60kG4XfLUzHwgUreQVG F1NqX0JlkJtByFPAWJHKg3rKjn0bKJP+Nh4rxeyE7hw3wseV/4MTH/g60esSEJVOO61hjoeHrgWu x1HTeTaguQjcPz+UbUXsJdWETg36T4O/sOLI4B3Di35TP6FD/lcHmVZcuVs6G3FEXxEeHHXcdjvB l6TK+zU8NaEaPHqX1gGAcU2ooZfGbJ87J1416D0WGYEzBKrss5M5yhQ1iuLbOdx6Gm7Oenf2LsMU d1b9GH+CIlrYDE6slBTI/1fDgpqnmJzh3YGmLlMACpAGhj40d4L9sBLL5VXPM2xrRwarr486bK0U PaEIFOYVhN3ZIC332JII/4nwsKt/b3RouV0/h7S/2bGmz20Rid9V6WVyuAuDH9oRIFjyaSa+j4QR J2NFvQU0TSEqtc5TDY4q4DrhLqn7/NqzUdWDAURMyfA6QpqBlOLPWJ2GX/bnSnAi2HpZkJ6TvKYd RbdjEihWh0V0+kzEBuhODejVfKjXsailyQuxn3mWsFWcTnjnzW7EG8+1VtFjoB3LyoZ2FBb+pZLB JSpmLiXWnWOcKay2aAgKZpjow5ooVfSBrL/JdQr8KqzlsGcrhVtpVfvFQFrjouHuPPKKocTgGMDT AoFhpapu88FNoVtiHqK5c43fnCNXlwDonPGbmmP00IL7RL+DkFnNcRQDRiPibQZ97RdDx/wdIpd1 Fqac1frBhDSJlFkuovQB2EpaWy2EO4d7uNUSwJs1J62wwkfzvrSaO5Jyw/IyLfoKl18nm9+3Me5w OF8LZ6nIWijAYhChZY/Wc/8NSOlDN33c1O7SYPHKKe823apLiCJ3WVHIBVwEjg5/F0/KwEp+6yj5 O1H6RIhURz9r/mroS01rAKTrCxnrgAN+b/5UHMXlyhjhPbdjettbquJEUgL2ci1X69hR9f8E6VuW NMAKVpwoiNHGoNSbmewTbe49Vw5jZDcN6fAzIyMB62dJiLvzR/qyhTsuycWErR9/EVlfahob6lUK SmRX1FK5owZgG9FEV5tLvG6A0WEODnl4UoReHr/FiqaWMY/vYGpnDD+yvlQ7BLJ8wEn7poYUddJj 04noojmd+HKkV9ktieUDi9Ws/65UGNvvfY2zaI/RT2ObSgbIDjMHEyZDjy0USG0TMt30YLG0NvPp Y/jj5RLdt0SukvlVUWCRg0Kkl+7JmiBDpMEzDFcTR/stwWEnnZbWSbacMv/c0cAYJahjAgFn9bS8 rv+cKlGMMazrmLPPd3uqU7a3HML5ZSjlZxmq0usg52jcD53xhZo70qI1bC/NPzEzZk+x3L6zxYO6 EdqNaNm7ujviDvMy12fyZigQqV8enzCmpBWfaXd7gAiWnCcf+drFHNuIfmbNAfhUCg1o9m2ReSDB HiGv7cAx6xbQKvteWtvpS675bG1NRjvIjQW7dr31MyGTQ4iXFuACUajipZPrnSRPdIi98EedTKcT nA/IwVy/YR58m8scPK7o1dP9kssFLM2dfk0D/7qsgrP9Wwa6fws9k/0T6PVj5KFdf7qrXuhB/9k7 SOrRgz8NvKCEh0hswfLHyO50mkJwMyn6b6U2C4lCtofx67vtvd7yy1MZSMCGFcBc9M1IwuBcW/5W L65rbpvCdV+yK/enmMWbbCHyfs5v5ExM9hBLSKdL46hDXhfZKAnWWGWvZTDdCA/NFC184pNGG/hr Zz5KfpMs4M48+IBZCGHu4gZQLXeeEloY0SZlJ646QF7cS5qLFX2DGn7Wo05e6FUv81VJDuJtDaTH mRCt75AEoaULSREJP0JubYnCYKUIA8kUPoZM2HqLM8eldhaQ1HMet422WfHf2AeYha2b9t/aGw1C X7Xex3JVV1Ei/d74MwAa6RuxHyuQAaMKdLm5jadYDJPDBxNecyfcg2pREHueRK2Gp3VYFsIsm0N0 /s29gZs+mXyF61GowEteMQ38ZRjJLS2tnHaFn1KBo00lnAKCLgG/cDOUdb7KJ1VUggzFv8CIf50R r5fxJhRrEft1M0MnB9IhWeID4xc0cwY6vTgBl7DrhCGSIz1s79Eu1bFs/fvzaakIolu0mdjVOEjN K3ejlT9pB/GGKucjV6laeclrMr7A4ZT2YbRuzK/5oa3sf6+Bmyx0mrlQ8WcpD3ekx7p559I/WVtS 3Lu18aNn1flE5Ut37BEuKxlP05rYmNgCWIH8/9Y7UXqHBPZ9pT6/LDY9ssQ8OwlYw+uBLkQC4G/l Hs5awKznE7u2SYH/fChYzN+nY1AbJ4X5a5+NAaI3Tvxbzlufba+kiQzQzL4aXGZA9VBVvIxFKvZE 2AowZ5u3wqcee4I7Wx/UA/BoOpYIuBXh+6QUzSpuBj2TZJ+zETIzjR631ZaemCEsKhmcfpPAAXZ6 irIUeC0g7Fzm+OegMibHi1qcKEb9YshTFl8d9Tw835+RlUa7VyYUmlNnABF5YXCjUMRp88GNyfsm ZQV+J9tnCK7/cLdXvsGKQAYL7c0a1+bX/tYRjrORvszfkxvIaRRS88pzNyVWZ5z3+3CMCxIsDizp xwStfM6wY8YJ6aBs20tBlZt5rW4Br5aMSI3eAzfSk8cq8yN6AWufB8P7onmeQAhpXeapwuH3+KrO pbU/e9FRuBNA/M0lVesGxTn6Y4uCzJGYDJdO/6o62tfi2wLy7OnDv15MUAplH3IopTKvIKA39Hfx U4NO/ahV3H98EutdMZdwOHC1m0q3vreSoolsuMk89COufcmQmOy75sqjybzydqBJbpTKadAZJrqM p6MSTMysawBEdHjTeaWvhiUp5gR4VgMXQGQkv/xsdLu+nP6Ez+AKuLzDihRBBZPN5aIpUhY9iX6D eJ70jIaazB08seAb+24NwKutvoEB2FsoUl7n3yr2SahYFZxTLVKhEeitBLP1VejGcaRVxNewwI1r 7z59Z+Q5ww+0zcsqB1QEFi6YMseQxh+8okNaN0rdrvRLVzVzrF1HDitE1Dz4+J+X/7rLF7qaypAE PHHGu8KpQllk0iYHpa2ycXTuqaCuhFJ2Ys3IaPyNG3i7qce+v/55FrXnCxkATa7+IX3MbE+63BhL BufZJ0uKXS/guK7ADw+bi0xuNQ94qHV4qxfil5SCvmCgOrN+i3qrTpDGBGxZm5oRkGMOEV83UK/T TvWwqVp0CEf0BB0FaTRkvYoT+iNHgM1Lk5eZqCCYMJkSvPoWaJCFUFbASNsGwhjlC0GFP721xxdw O68KoK7az+Gm2omo3fuRH+xhbXZ747ZXWX7E27xc75+p68uDI6zcXiJaEAfOZBgBZNsNWpVcs0Ek W3H7BW+BZXgTJY8jSb5L1ct077Ylp/ZrCpYe7gQ0tsjNwPlu+cZ3GofoH6CfPWI7QksTj6z0Uw/Y RyCIoymjgEfXvqGk5rbF9FKjG0uWwp2rGw9P4WDWClvjvVG+YChDrhCizTv2Tb9KoAhxzNngOsJ5 loiBxhdqCmdacVtBq/No0y2/C5cgux4qLMzJmGrS76KLh12bxUqevF3KKUuBl5wfrGZMuSX5kLPI nRvJ0i3TwyvXJJ2m4/t24Pzb7QQ3cIs+CCQkZguLE2flFS6zGPJDJFIhE4brSJdD0agj0EjggQUE kxLz2L/VVZFUhBnQXXXutnAkiBAcby4j8ViOoP3U8liDvrSUrqmNezJedrZ8UQ0RuenlQYffrvMM //iMgUtC7jdiBwHV/APYGksskZHv2Bj6L1u99kurkqNYpAtuPIcdZtaEjKb0g297EhMylWSKaf6c i/CpQKpyJBsZvT7DskFT3KxlX/3W15cqX2mbvdBi5u5oOQDZcONeiiPO+AqoX2swRS+hdUqXRtvO MUiaBzYDmTjLD1E63iQPMqPKJsrrRMLefOR1+6kZgKFXBH5McSpkH4uqmqPA6Vxm1bZQKh07IbFl p8+D56c8z7NoxAueLUyW33Cyds8lvrscpg2eeUqP/5Mj2egAaGfmCWR+f1iWc7L20uDGCeCvHD2m 0BheQbuHtXxGMlNJ3LZ4kkQkKVWw8pgxJKH3b2hPCDZpWagUeTKUqfuuGQg5cAaisDR9tCSVgGQf 44DlSeqafCB2KypoaEUEYEDcsHQ7Ge8V/39v8P2pAn9ADBPBxL5Uf1Igz2cfoQ6me46ggKTzLOE3 ExnmKHliRfrOXisuzt83TIdvmv3cdpJzzw9O3LrEjWRP5frw9kzGZsmoO8o6GAlkQabsjfgim6H4 3TggrK+a1Voe8BYeCgRIGlK9B9kOyxmlbLAL9jfM6OMkePybI0FWC7eW4p1SYMvQTILcPrlAO2YM pyvRHYBkXTqx+oX60uWq0pC+iAJ5qzzBPG8nKMWw3Z6Vtm7Muz4IVVIFIcPL55UCcF0lvA6/UxpS 6WbhUBbZB+YEMg7JULks5494VJvQu77mv5BYy54vz/Qw3qn/YfN/GENMDaDB+hAXdqpwbAAxS2+d NruXdlul+kJaSmYo3RHW/ZGh71r/njlh+GzKIL1BwvTDIoxMZ0imH0J4B50wvCoDa0YewLmxf/cZ sAhbFj8wJD8GRPEMa36oxBp3bhXXPmOSeO6J7HECEL8I3K6kKyXptuQl4pCrGtvCWIJfsmkpKnFu HqBYJKQoGp91BG05wwiuWzYu8UiS3wNQD3xoSxruiaroDkvuC2aBywEyGht/NM2yeC3y9bJtEk7I l6gH4bDavmrT6QwgvCRpcDKLis2cYZwmYJ6x8IWZzsM4STeNiv4b+0GgVDL34oGFJ+EQWfNdMBya NXVFvP+FX86vCVNcibG/W0Sr6rbyudEgdwVAZBsNUinVCMoRkJqrY+esyfAs2S88haPiZD6R0boU nnfDMgufu/2DBc/9h5yo2NsE3JWCYCR84cmjBNdAI3Vk4NqFtIQSOVqjOYXZy9coiVPBZHheT3ng LIwT3YUQywSrcl2MHBv37wPPPLMXWb6/K3pXF6aLG5qxqfiyMEu4dHdCRz4+4oO4SOyoyMzfpsGU DxlDqJO+ofVtIKwEwmK7y1yX1yfrLOR0gfXw4aNedC2l3TjJDJSP34yh2+0LZ4WHGFFOWJvm2Pz0 EmoLzWQBVeNVzLDjDqFAgqqy0SOScymEjQr2yR8ricXwaZL3XffVb+axZGMarvALhjq+I4NuXcbH ISIs5fRiLyJRAQ4338dxF9hcRNts9zcVTHnwRf9upfrcajmgutdIAdbwdjrxCmfuOnWjwHk3SLrr iJgm6pBv1NWbeTNldlWwWJtuI2LfgszDBCduoE0bJ+d3h4HuVu7Hd6n2fZskEZUCVk6CwTudZlKp YzCMS+TekNmJTaySV6PZJJyJP+PZ7AtkuQYMhvPwuUYvJmklNPLPO8OluXp/T6Tujra2HMOwa/5v uRPUn5UVGWtSi8mkWHFvaCk9RnS6n3EuIxi27UoXXfluSdTyq20hc0H1d2+dDJMxxz44DFcqOh/f kIhLINwstchRi0+yTHPHKnn/Unn+Bqr6E6Bc92wHJeW/fZLO9rzhLmfcQIFt+kGjgYPDW0IStUtS cKL9smyPLUk/l5Wzr1N2kV8Qu8a35rD+PwROi9wpx1h4UsoYXVCQSywPdmri+bXZpTvNJ94HFmxJ S/4Xm/lq637B064TuAiXFF5VxWnlQw0FWorWtGOXxeDwXPOL2DGgWS/gNsMUjH7qXJG0CN4xQ2CL VB3PNAG8vYmbhM+J2Dep763B5nELV6GBQEstW3hSj2i9Dc1bNo9xwjxEXEAiDWFxFsZEDQsomqr9 e9X/219BoKO+3tKH0/JJVvvUlzUBb4CtMqlxbvapIS+Wz/owdu/lyMof+9WLkGmysmVnRcsggE5s HSJETU4+8C/2oEUkihKfOgTAtH5yd+7HkWGkOYzSAdOAZK1CcBJ+GMlBx8Bn+fLeOyGyi19lMi7v CUebYakE55aRe20FHHVyQKpacnSXvUQPAF6uax6wmCWrHE3TGpHIm1Fauvcb3LTKkTVQyvII/kx5 3xIB69NM2NvhaR4zpvC1jKE1lEopaQv2Z1lEgq2e1zSih2seGi0De3tv5aScfWEJvNrfZNezF/qQ AdJhkcAhfL///QklHkt73hN5MO+WYkXEDhGsz83koE385gTUSXCCh7tnjQGhqDBwAQkqjiSbkawZ o4ZcJKCrJ6fcUUBEX1mYnhJwHvG1XOpehGcsP/bZvxXsz3BQX9MJGieJNBYaae17VgCiueyrs2wx 5IoOfYjFuuW4C2e5BhtFOvd5z9J2JrZfJ7xS2v68UT9+HdRtAgkbJkDOKRoFrrJV6QD4QYc0rw8K K32Y02pS2UnvE/DG+70R+sviccEbJaB+laobOFs3vwzZsXVN0ssp+Rq1LXsPTj1qFl5ZofHuMdsF CoBsjVoc62Uv2fCegAHQJQSPJVdAZ2AemHYJf9S4n1OeYJOVFwnW2GFsKzXCTKJ28oyY/AgT5LK8 AHlOGmz7n20lX/o8sv8Z1I5M9JSRnHWbAfTSWdeom9+xOcOk7AmAWnLq+73xOKJiZ0VA/Y/YSbap fZOFCVKsBTXFq5bM1Thvz3/0XEtTfwU43kFI+/5BkPEUMdWFxQYh7LQZyQwz1OSn1yCxx0CJ+TPK IBRyQb+hJOKiSqoUBRgSV8lSgus2XSJIhjlhklW9LJxlWvTzlvxVKGGnt6/qaJeJy8U0LIpQyN4b JO6ZARJPhLdJ7EDKOh7bYouUGXPzRtm862v3OTl9t3WXY+rCN3l2KGQlVCPW59UI53SHE8EUhiCP l63MiKNCDxI+CiXX1e72xr6pOUfz8rxzgA3GHRCqRsi03cB5m0nW2rVCudJX9hlVv/5TSjzTAFj0 02XcaD8Zno1cip3tv5tv9Vc6NyjMLmrACDsF31/fce0nvIgh4RAcqAuCW0WgYiV2FfpAWOXPgxGO M28FW9FmSnjgJVbybl0sqteg/dPHpr+JxswgrPnL7VEEoIEGzE921IkTXGGgv+NDCdlP8dieTHdn ETRz5SBdqarqEH2KmarOZFkvPBgJTMiFN8xNzzc3ODhpM1DjeFEBEwG6zDheuxWEPIHM+nKAJsa8 YgwKZ9b/i6di/nuzx7FclNzA66ptFKPclj+D+dIBOwqjV0TrT3gHZlVvAU000ZRwB2Kw1mhbbz0c EmIkHDGtHN4/g/uwFABbvh3fHj4LTJHPTyz8xoTP3WzGSOaSQUkg5qa7GPihIxYketTy2SO9KXdB 0LAQOZ7VYbGmJUDzUkAjpv95XjeplpSteGFOqFbZQ0uW9Hi8u6iY6KsCQh/Bfs2KTVcF44/4ljOT UHTPuMadA/4V/7/GHwAWFKSlS/MC6C3Vxku2FdimuKKz52/2siolS1t6o6Ng/POvIefXLVhD8U2/ 1TcDHOtEgk14Leg32OucXEeTA3N0AMhBIoNGg9Vl71+U+ZPPw5zk2Z1efAS9JPUG1pkkKd03wegz UrfBMvariVmAmKGx64yjcDOCFVnm/tRx4psHYyphxg6GLKp+PWUvEckccQ3LZ2o00b8CxMRrEiAt f/598JEDqKWLT1pjOXgKk0rcUSAl9M3JNHPg3wakjX4OqZXZ6Vmvi1YyzHb0ZVUWbEYV2s961JDI gVsp0+TU9LSBueDE6b3gbGNBgHoKawPJT5QhpweNUt/ufIGldzCM+YQa0lVvKX25nUuYVD9/jI3h ltHQfoW6YaAnjAprtOBcEnAcd+dt8oCQMcYiRW/qR2T5olrX0CqeRe+ky1lkuVbMT47FzjhtVLFL zGUSqzAZCoJ3IFG6jYXy4GJ8sRXxOabi8fyPpiwlZSfIN5N4eJuer1k7X5OkCQHUOOWKUMwUIzSy Kohz9nL056xjHoHZQrCzjYnAFjPscUKnXHkdTckxBEBWQcrtCu7ceD0RC5G2XFhlaX03KvcFN5bu mRJ3pqaSjzI5Sv/CCtMxUVZ58JLZL/O2M5zD/KpKSuwPXBpvPscAWiID1RR0Y6TPhoyxs+Cxv9U/ 6SWUGcqZr80HHRDerouuPkwJp6Bx6xzi/HmWHrx182Zsp8TjfnXe6UZt0A7B2k5L6Ibow5ypg42k Tv/7nmd6FLnq2oFv/jjHfykVsfm+Zh9+So4rGBxLkK3uSmpzxBldRU0gQervlzY/v7tYKog08U5f dHNHnhv5pIgJ7x3MtD+zUSKOiwi326Exhku8fpBX6qg+rXpIlJzQ+K+A67Bz9p3VTRb4ErBIM1WM zDNEThVP+SRNazjLMCntBrY1+AVBYsCcdmJoHgcyXCP2F604trlkhe8onrB24C6Pwu03kxO7o2k+ TdbkyV/y4fwAtHYcchazj7gPNoDGaegdtMvS6rHUOyMxja5y/FtyVJ/hzlfnYAIZnZR0vKm6O0hF H1pAgTaaY4bZjiFF+dojj7EdRh4yLZQk1g/VuBQaPLIdP0QjScYU/JKM5Vdl+CMdQ9L2Iufd9Cxs lA3xstWx1HM27n4DHQMqxCz1fcwi1DUL6/ZeunvwjVpx+VFJrebdkqIuIk4NEZzg3yR5TIfAhWMW nYAadKAqFLDXctHdioNy90+csIQQCLh9D4XeadDPrY0EixTuoK70zdUdcLNzXtw1orAU/DNf3LFN buE9tTl2eyY0YuStY6x5gw5UQSvcD3BRJfOVDHGkTOr9v+wO7MRet1zhMVHOEjAjUBTiz7MxCCIl K6YE2PA7W48lx8h5atMrnFkZCfijJRAWj9rSroJjMQ1NgMxZdawaysTPVGBSTZdhFdOfp7Yeiy68 vYTmJ6vfaEd80B/hq4PtuPE0ueMKyzywxQk8bHj8eJZmGLSOuisSLDlsNRf2FZP9TlZ54Nk/zgQ7 cPTxn4UGEBValvdhjYjXzxB+Y6QBOrYSaM8XVuTW7Agi3aVW7u2JHfBYlyZdYTc6bbUxIqMqjB/l 3lvMsA25r90TZFH4iLLG0AYZxjh4IDuXGu3CQasDQqYK2vQiG1D6UYVIgZz5s+FBVHygScP/ed8z Gug0fEz50y3ora5fdLTT284wllsQBihKeEsjCZPaSf1lIitI0LF/Bvp+9jzVX0AtxRfEed+jJ+4q BQW8uHNLC8a9qs/7Z6nrqV494KtFFny6K/RdKZUsXEHdIaJDDB2ADFUDPPVh3WfU331DEkJI9Cmo 6eRLbYu3Ts4pGZou4PHjmPi0/gVQklKXXZI3J1qRPlZZjYeSYUkkx/l+6AyyN8MQJI5JkLsB9HiN B8ubpJ2xMuPAREZwUgpors3vmDcb5IbdI7Ki+hi0fsMitYmk0S1VkruUiGGhwOsZNE8AhG6c1Gpe 71IhCftwsg5LGSQWXl69/DrM84NQJUyQfLJzD1KkELRgjOkbAuVtz2yaPau5jfS000PUBpMaA7L7 31RxA21zRpGLUKTgjPGIZ8p7aX3jYik/g8kZTzK1nWmpQ5DafKCBB7Th3uFi3/4aW3KqpKQg7Zm3 K2E4eRDyOI+kEPTzWgY29SQy3mmypgVUUloEmdVPD97SBr0HzIVGWiCkcgyLrKeQW0RNTA8Waorx tBUceWVJfAvHOyq7muQgXTnGn8IJjoxEp9vr+wp31xmfSF21k9Tp7fwkW8hiaFSQebj2gKEcNZfv 56/kZrmEdsR87IqxuNes2nKAm3FqaRemaqNqvCSBYZ1ntz0sHsnPqALcM+ABqWJLczGhzgiRpWEi ltK+LvU3DCuyUhz8bahmNPfsd12+frqb+A4Jb3EPPw6eRRY8BE/w8TxnKQdaFEatcrJDsAw1OuaH bNck0m1UEbvG4wg/+enWvjJ1x/zjzYzXDkEsBecPwR+8ZxLUi0l5BXAqW0Ux1e4CNk79W2xisVbS er0RaFdQ0sE7xCOQ/q4Lx3m1Xb3f7gHgP5Q5nsxuQGxZrZbK0IC177+3Mkt/9g0y6+qlYiyR7Hut +jwiZAN7F7jD+O4TkEf6mjxGj6whKsB7pCbs9aTkiMOeP+M+Eyp5MjdZ+LPB6S8I7kDSEfgOaLEo EXERsId1IzkFFxkSU0x9MwtPjFWqpwdYS+z1lle3Jt/gYD5fQ8xbfCBqdtsNLzknQvoIV3zgs7X5 mU9fIhxybSfkz6lsUKC64GDR6s9Eco9aajfTLQWDt0Ne5D00aS+9/v5Xx/XBDJcRn3WWsBO68282 KAfbkWHwoA3ts0UgSW7gYIN2NejU0yIvotEcKCiUt05tttISmS/6ifkklyeCMITf64KkttXLB6qi td5bzyFufZdQejnXwJ/GE/s9c5wWQfFejnhfBouzbo77izjFZcOQ7SjN/wbbSd5owZjk9Mh0Hg5N AoK3xXgEOEJEZycTUGK9i/JOYDgAuADmOZ1la8TRzjPWEY1mYrJnewYZdErz1jwDY8cyhE2pT9FM 0tZfGHIKJuX9gVgA4JjxhP3i8Hnbm1YNR7bgr9ClRYppHHD2G+Wq1psVCPHYdiJ23AxpcOVteRO+ SzEkOdUK8gjR5lmBdeDSHRJwVtjxkNeVWpStnlMcb8IR0vr8pQ+FTauo49FFXRhdKQdTL0i2koPy hqbyfC74WBnMdIZn1/qQ3/xJ2UM2udnZ0jaM0/UV3kWzBStNTkDI+orR3H/8pZD9b8UZ9PxCNxXQ +KWeGzErhsxk4a4qKkDesZ7ZvmfvNyuXltNWX3zD+90iUbGq0OTo0wBK/Yv8UAQqFvJUH8FKZKPi YdjKqkuPQ0TSEd30jpIOYwUD5SYzg6LALPazLkcGzBldoZSuXkVdEuwNOJdbHE4CEY/xxc821gOp Zn0V5xVQKhlqZs+L8Kdks7gHVly93LB4lBSnsn6AFW+GNyM4gkIy7LgPQja89wtQCK+KU8ObU3gk NjxzPC9rAyTm47sK3H2lDRzsiLguBwFyJfMTwmHcGSH6MIXX5zUFNU+y7ZXeDcYxfrZMZi9pj3p4 BT9F+Fw2TVnyv4P2W53XxkcfdMeYksKIF5BvREliVi/KpsEKkkp8hUqLb7M/YvjsNrkyWWjYEdqH w5gPslrHhvx37hPQdpswMKbIyNOA4hibZkIMfaewILqmfj8onF37CuYKauaQgrg7ssH7U5DgRpGr Vtm074Zdk9Ds8bT1lqatZvvlChzbVsGI00sHZAYy2Y39lmClS4TyLZYVeVxnCl+ZzJWNJkFYImb5 PYacuL37n79Gc0d6sxnIYmzYui6Dlmi5uZ2eozA6nt1DMX1uU8N7ot5/9tfGG9AB8OGqZ5c1rokD SrVFWk/vZvLwA2ZwhtwvXJPxLoZ7Yv3uoBc3J7w4ziUGLukqmm2uXOmsdB5ngZXML06tuQ0RUnU4 8nKlDpKlIKGCauUs69KknwBvAQxXmj3m0hd87YesidI1a5fgJfasLrkMfPWb6ou+/sN8LtYAVmjf +CbkNTj1tjrJiVEA5ASOap1IQ4M3+nszsenvuN7X3lSKH9FZ+q21Si0puosB5VYzL0jDYvdbh4CW UDMWSPUQszwOxAIoj9ho8qlxCBcvSutlVlNU5Xi2YjNJZV5cRoiTbzh7QEfDSkHNghTZgb9ATi/y 8SnKMNUao0hqXpr7NApYZextbz2aRULbrlgE/eLFdf57V+GTLFc5rtlQ342DTBNTvcZn2UMcOBz6 WZFYus+HxWjY8gvua6EalgbXh4ocglkV5KXhUE+VR061yXCwd88Dup8RPiI1Bs7VllxhSs4xXCr4 6VySoF6Q6u8w1yfObsO4QKlthu1DJyZUhcV7191F10HD4j2nc83mpb1p/ruGDEXB2WLPnkSTNS0d HpQRRJxl0lK3iO0Kaf/zh0rarXu587D+F0B4q6CptjF0Ojazl8I9Qb61yvC8wTjznGARwknj8/7w 01I+zmMYwcdpv8VtQz+ncPxjJFjeJHB5aQaGSKp80lTPhR7geo8DFP2JNQq+eLFY7IUMe1JQePJs Waa4NuD0DN8pF5SiXW9em5pcPi+i89fu0MDyBz1BXvknia2qibZuCnGrWCXJ/NlXYyB2ZlunOT4m FuPHNK5WXgHpDOxV+pgW7qchp1fUH+iT87dqhX9soU9S8xi9KhGABny+UoANXbF4KABN2KmKZUdH zm3B9Tb6IwdOAV4WCi0U28Jtq8uHBJ+z4DGehPuMbitL5M30jlp68BT5hwkpUYcMkWvLpByvPZAX S67s8Y6sy9w/4ejBueX5L3t388/4V1gL/ch2fYCoiLkLfSQnkeTZH+27/dTNfWnn4ZYt8OCfijHO B8jH982eiIepkaq0Wlzu4EDn3Ir4X4e1fwKu2GsDoBRypDdYz1RzDkNTPhqjCgglqvC44Ozlfpsp Szezq2aQ5Ls5rcpXw5NqfFWmgHSdyLOIHk3xDfAzX7c7eb4rs23JxoRPzMF/YA6UbGHFdIACfeTN mpmpeZg4h4Q75Dckd+2MbZsfNQ2uxOdxsyh16cOg/kaSTy+XVyAFdiqi1VskCRkqnlM/GnujQYCw nSFmDA6thoXlNkD5SRZZ/HnktkFITOs655geBzX/llkaZ9IX0UjjtxS1vHQhmLMQVJjyc+Diq2ni i8uslZL4+8Z0NFOcssJAmv1r0djjNojAGwpX6AQ4lJYy1zNsGXhsUrOq8voQh2I0tNtVnoyqpSEb ytxRLlCOmCq1iUEFizguVACgaJz8fFqr5NNfxA56L6EKwAZGWaFlx9f4OAZogccD1mI5CqYFx6si 7hW6/vrrcMdpk7KJDdJa1EpHWblS6jADCErRi9jEZ0lV+Xdzfj3ltD2zUxgCmmdyUaVmfYJ0L3bT V0xEL/GRWK2WWuO8Cymiy/TTwpmjfxAByXQ8c5vzCT8pHjcRr7TtBJGYMjjcJ8rTMeCRQ2J8R5KI uRN8BPdMvtiHM2ogoUzh4yinwZ6FABeS/55gq5DpzRKOmLzH6cOREpo4KmydryfaZ/TcDfEePyZm tDoaMFnz/hDytT/+ixOVtMhqynpQHMJbr3meaa+ZHQuD1eSY31oRVquu9LrvJdxlgEk6JW3Ib/62 6JDtrMUMuER0VMldY84xlPN6eA0D7I57XG8i+o/pCYQuqtHeelCs1DFu+fSnEgZoAouXbCmt4zbW Mzf76ucuqdOsfLprRg59peHTYzKl/8MBPNc9H8xCuB/UyTGkLQ5u6VGCvH+KEwICfVo0O4bVshV+ 5hQes5gm5tBMK7R17lNGzUmXN+huTB4G+V+SjyJ5ygDqZWs4Z6XIQfNAvCO9/a97HXcwC0lpUC9v mo6fkb7MeFvxVF26LuAmB0vHgyB/1zWLJj/s3UxR2YEjg/xRMhXeBASw+sgMinXazkY9AolDBbWd /cnGSnc+8V06Csq+jDvXqMiKJCvnZy5Jw9Ma1PxqNTzzfDCJjezegANXxriX1F7xxT6UgCtGnDP2 S5zT9Mnbd3MBeNH8pYlW49OReSDVeHix4+8SeVbWYv4wAuzrh8ICyJZ/urCRUiWhtlnWp6FUN75N HVv+jRWP0f2KWA+xZeJMBiDdt//tjOKJd6ozgpKY9l0oKvFJuagkA4mBKKHUFeZNx1XXvbso0v00 L0X1aQopw/ztWJP+2eVgrN2tCp++ttY2xCfFb9+JUZ2ld1N7HiAWB9DeOszaBWsPywdagASAs9Ui uZLvbeJgZVDjT4zGKnd6gbFzeUeH0FJNSSzIIvWWoA79EMwTjyVEZwHsL8jbtriRL5Zt9ThhIiLY YqlGjzlOpTo0Mf5GuNEE/2rDQhbOVmE52UqqkT+wkknd7SuWKW/3hjHi+gT068Cep0dvD+obfeyS Fcc1QstXYAoZgRcKQOsJG1VgzABIwP6nj9YBEMULHxdu7x0+zEorQLuz0DUvpJ8KvNH+H1PyOlJm v+weadp2t03jMhePRYexOaW18MXSEcsIWcGzYIzNkCFIhU5c6ycDirB/NMrvGvz3E7/aomzBkxAW l+nmxql/4r1apfcoNJ26hjmISUtjeUjOtaPzDjMswSr+56SjdqYf3w+/Levx3Fo//gD4kAObCf5Z /NbTQByJ4bUxUBRfFpmQGTl42kGn0F+vYsjSzKRDKdtoJlUjv/StYxF/UZ/S88q/VIXqdkr4NeN0 kNhlI+a3izzrRs8v7KKpyf2N8UdO5D1bePoRBFVp/Ncg83pApjUj0MvwnMIFM1wdLlNV5UBZZFBE LJzYhWZW6XLazWzFB0dJd5+4wdC1Xz7QbN1/T56u16wh9TTMxYOGGxiuI4Q9S/FyO91an5TFCkKO lEu/lgJpMRMavFD2x+UNxuMVo7eI1KrQYu9OvnL+znsoI3UKTRSex4cMLZp276R6Vg4Yv+NiD8eh oRX6kZYibvuMymlwWv64f59Ka4pyJXn7a1bkltTRIg5RMryVXRwbj8rBDhFnGqQgQ3Pk4XUvx+Qz 8Ifvgihpr3Q2/OBwjztSOppHtlFLexZwZ/Z7qK4zi3PeYvsZbVVbq6c06dgAMXP3h3M3jblZJAcs hGFecFTUUXvI3KHc36lwlOAn8WUmr0Vk39fFYTwdbxfAiQO6Y3AjcvaDtlkQbCweGxPFcIHjJ0Pj IUpQPPtfyw5KHhnt9k+9ipW0ny78cDZCPEZuq+6ttXnTooWTHDtGA3IcVJEK0wjX/DYeFqdCBk11 Rasn5Zyzyh1kU1G90qHi5Jd8s4j9Fmh6B4C0CCRxtJRLY7o1EhLq1W44EHDj098b6MkbxTtK/ILa tIJF0IjoO+R7XvZQDDKwmxswXFc920vv2Hg6Nc/cOQ0gq+ZPnqeRLfmQJgiXmw3d4SOLy7eZ6Ttg TRzC8FVRmBttGVhMqJh8MqtWPyqRVgf9ltHh0RZjcx9lWWIkrahp/k/am2sAC3X02DDX6Hmw7vVy U63raKSPzWBe8404jbUaYoqhqw/eISlQ/Qn5SnmdT7cjJMvcT81xt+lpG6SVCkqBi2/9gavpcCnr lqw0mqjBiP9iUjRHanq2UNDhqnvGH8z4AuzuBIzcjR8ejJEYUeB0Mf0+10+tGYnpHkEmtn03MF1H awsABcibVyvYzvYUcEgkZYRLVm6zFOdBLKwo6e+qfkkp+MhTp3PK6vm1UdbyCxsBN3I9cF8Z+AKk V4hRYR/Wx4iqdZdkBcnrCaYIuj3zupbpinybOLXmz9wixDZt3rMFqIcZCvRxnRTlzYxX0REv7pi+ qoJgtFQIVpfhSZzBGTTIbTO8pwioYcOXURegn4EOj2w+1KqE1AH/rlPK4EowrDtpx5YS1BHajTp8 iCku3kINq0vDInTYCMH8usTx6gmAuBiKfGB4EC4ChuFQW6yWIHalBI2bpzdmcjt5YeKoLbAu7McZ 2WKKSwFT1Y02JaxQGrwpfgKnPGX3Fqb4ETO5WO5A/Xy9isER92fBRxcHB0tCiCKbZ0FmjnPljmyB RhhXIUgJ01zvIOpLTSr2OYk/EyS62vFnx4cgnl7ZHg9NALallxt+sDBJzIevFp7mu1gl7E5D1DY7 zGUf2h+dbw10Vpo+oEMqOjFjNCeH5TLGXwjf1QqhWowbWlbRUOmyKKBD659g0MrSXUi55Mgm32zr 7kEJhuI1FT4Vw6C8+4Ug1a5DdeqmimQzGdwW6uNMraNakYgoU0p9xqNfs8CFjAuoJIdCDHrX1OEb iU7C146O+OiOE8174cct+T3EMQM5bdMRLO46pBpl5gyZhINejhtH91cxx+Pp1NhB+OnaEcb6gnLn 7ATd4pAXCXcvcc4DkHU+qdHmDyLoazKafF7+0ZnQM8E7Evi5oPPr6p+jJpQiYF0kpYAOj3GcSbA0 Y7ZuOJZ6b/Q586vDNUdo7FGpyCC6pxBFGFGFN2MHFkPYUlPLp+sKYeZSyNSBwRqMion0mYdF8gT3 CkIR53XhFtHSYs7/KAlFPkDja6kcDvc6Vk/PdSoN39allRze6TWgf/tQjE+qYGu4aa6Xn2V/sJ4w 8dWcg/z92Msd29DcKbro5jh2GRdm4TQM8BnWAU/TYMnN8bv4YvChhEPBoRYyVx6pd1oXw9RaJAss fekeNWEkjHNgoqudo8RndmVQocGeBEYkE7BdIxkrEGnjAOmt2zq75bYuJ8Vbv/G3L8uWWO28UcYY tYvSJ6Gooed3kD3lnOk+RO2E1TUeiiuMgIqShQ2iM6Dij8n4b8mw2nQabJdU+5X29DqrjtoBj8zQ Pt9S0ZjJdOXkFI5fFGIEdTxUNlG14Hf8e5VxZSjGw/yzd3ZL18HINXeA9UO093YApRrp9mX0zsXw GaNGaWYAvxB0Yr5HhgLLtXwf9WhBVib/kBU6ABTPs1WuaLJY4V0zAI98TYIr4L07uXmXAXynBA5v 4IBFgSE51PHnvj+lnc0Zxk/NB2hefGd7fvhtuZXHLQMPclNdts8iAYHYJHSAK34MT0ldBr1z/j6g 5GptHhZjG1zQPXKfn+P6g506ThgwLpBk6h2W6oSfE2qgnXCjo7qbwB/hFXNvJvnD4bgg53Yj7lbf +3Q9pTaGtOgCevuzg4IPBKHX83tf1zaaZKj8FYfN00X4xLF/CvtX8x6WtVcy4dNu0xDlGtyY6gf2 4b6GkN0pBzdqZxzOnmR0KE5RWEJi9lkS+mJyv5UQBazN9XvTcZuFmhxPPWDxFtQp0tXGOAgfaxzM P0Gv4JuuO6Xi/lrgc9LiuxH1lTq5rW4zEb2YxnBmhZ11IP6Ab+KrC1LDTvKFjY3tVei6Pn7N4A8k mVLpzngFnCFnt8Y40dVv+96XIh1+eYgsg0h7/S9CpCYC4nWbTn36GpHnGzOj1vS1iWfGSmL2zD/Q fH8nV0sBx6igaxXGVrRUDeKNjEsiOptx/5+wmbsUOXlgmnFY9wdnSdrEZmSjAHPWfvjPE7oYG72f IA1rG/WIWLEj81Kogv3VGKp6LEjp/3mIDlX9yOx4dlnDkKGZlMKavXhE8ftF2EvntpJPAiDGvARX yYAKVEA1ccftL4TWj3HFLFbg6/kLyhYvKABORYKudtEdrx31kx3xiMEbPpelVLzbfrhaGVpwzYBM xsyYWDRkOaqSMJEgMtMzurTYGrxu0LufuX2kjzjxVUko/P5G6V427rKopFdEX2jN/WAaUGS8hU7G TdNvXtDr3/6o+xafk6t3MEB1cV8a88t+F1eL/zNXR4bKBi633eVyysUrRa9rk4rs6yjFzEzSL9iQ 6o7ZkbeFu+iJSTSxdqofl9g716Or6gJ3Qxeks8Q1BkjlYSOoWUq8bEL3mfzjgphZID/yQYdUzd3p 7IOgY7tIVyPxevuxoWIc/AiJY/e1s3dWYxNWyaqLq9kI1zGm9oa1MaJtm1d2ZUqtJhySt56KvIrp Tml4MjKgcVhohTPr1Tf/nC06P6z60ndtUU22xEs3sGLQkQWJWMNg11gDBTtHAeIMPZTS07U3DW6T 1z2f+ARBS4/JcDli3t2dVngZsK7WO5HRaE82FpFVrur9MawNgdsB9p9fHlfoCHsBaZZXALR9Xp9A owcIScD9B2B/ps89GOD/94iaGlhDjqg6raN1wHCHRAHyakz3WoVvPlkRghuNfO0xRA8Pinm4MJDo br2aEQd4UGtEgMXTYNdi0ZZMC5o3NA5R5VCJ1JarN4h/e4LLYOlg42Oi1DHDosSOwTqpwfKr91h/ 1O6D2Hg8sUzNAog4S1hrohRhxbg/isvYq5zxcQAGZXrsN8veBu85Tcg2T5ZUKTTxojLDb8aQ0UBb kJm7bhUc1ymLa9YjUzIsEqh3QyvBXQc76MbZNWD8KqEMF5OsI7z7/NmEPhpbStoWAdgoBULosNeH th1XUvPVNNi5cxId6xmjsT4K/JvScPmMvz3oghaWh+WvAvyYkKHxAULhG8azHVWtIhPwEI1hE6Pd uvuP4jmJnv+BrkTJH2LR+hunHLx5DF4XPh6Hx+rCXt0UGeaM7Ls/6rTNe1DR5+vFuYW5YBvk1Hqg MHRfl5uQHsOnHV9CkSqb9UKAsWTAiJrJWkPakytHr3zKd6HrzIJPm+ebsTT5XFlVth714rL8w5s9 GZXsczDi/AXWofIae8dtUPCFp92eg0Zqi8vKPPOI/CyFj3chXFh+UgrXd4d8SLp0G4NErLWg1SeK 9qeOB4PJZSwjkO45TJzG2rB4z9175einy6PGnGF/2417tSGjUl1opM/UqepPYT8HkIn6oBQro0tc ACjP7UoH4VhDuNvPlWxByQdSpsBkbwcfnj8flNQQ48CHlGb+pe2X/8IqS6SDBSs5MgH9tay2N6SN AHhhEOyMbTmFKMgF4M+/teFfyQ0pNahxrUIBKLpJ6HdLUl7U0CJfGRECHravJxT7cqSiFfgmO9Db IoCxurcEnfYuCezPhYvdxu3s9eOolpUFlAjg94N506OXbwrVJK0MQc1jczkOlRx5ETflTaHcigZM rWw069Sbk2jc9pzzqcnkDlIjAik4XWY1q0xIbZVlvZVYuqv4IhooPamPh0MBqybdEfBBNkam79SG Yu+AdPUAfgOxzI1deneqQx8nBtsX852x91QDi13SlStXxdr1Eu2rE4tChkp8pwsf2YCGvNVOSf2T iG2Yepo9YrIqQXUUaYqHy3fg/brbzk4b08GaSrCaFInKcgcYWxtbYWumC8KRqvNxCBXcDd9QGdn3 JZ82+2+mLaca20K/tdxjVGonuQvzvixaEfD/9yFAa5KclJL7IInoCXs5+QYSsZF+h9eBvk3mUsED X5BJU8WiHGBx+ep1TUgUNAcK2QZI87EmD8B6p9Kot7EWdf3KxXp26ugZeorsCTbGcxcOSqHtmaFF NHAOV+cVFXj9MEhGq4fWuHz4OttbcCgDl9kgBihkcgT1/LgsE/c5X2N03rYHVEGPudoeoVVyG4F/ E6SMMk3elOimAX0bdhdM/kc1BPINBRyovBudIH3pydwzVvKXl/WnR4+9Vua/IJDWzrCGXbO6JEcd vOv7bznhsf8FuyZK5szkPOYdR3kldOdd5Z4JkLkIaaQroMCeKci3r8LXA1MnkTmpd0jjLkV5/CJz LzLExRo6KW2s6SGKqNePg7ZVJn/AAhF+a1uUZ32ccB8giTtfdqF0c921NZx5dmReicCCgh4JtP2Z btVH8DNZ7YPkhK3LSv9d3L39LedN41pgoT1NQ+OWtrsVIRl7yE/w86OPK+cQMr05cWzCz64uBmMt pRP2XB9GWgRufXpXDf9dKYpGw069HjWkKt5Or2Iz0QnQF4eO4HklmoD3DRDy+JyvTb7DwhF8t6aE 7mYUwBVpKHMPP0m2Wr4dgqFiBpZ0smCLE5IJGVHlB0roxPEagJStdB1vcpcCo0l2APdD7u/iHIGS 7J7BOZMJOIGVfRvJeXGIQ2qEIcEBeyaC7bSSSr4rhFAgjTNN4kWrPBoDNmZSDZFPdH/y4JfaXdXZ hwqyUOaHbBjv8aNfudsAQvtvcgvNMVWHHbsz5jlb/WWN5QDXFWXaCIYf1oh2dkJQ3YRXdezO7Qfp DN9SNksGbyr0PGNSV0NfV7jmPeav0v56L0dqtZN201RSDhKyCIqKM3sKnO20ALDQNbbi1QAJq550 0pDsbsABc0fHI8z0KHNW/HGHYWphlSeb06oj4tpeEQg76IZB9+YKfS10nZU4ftpyCDyhnT7lVhBd fcUQ+DyGsjznf2CwvzmCNDaRXWIz0Hk8s/T9pR2EjcCefg++1zv4eqLGs+bRjbz7K9RaAIsZE/wu f1+vvyH7miNRcYPLuttkq8eVXlMMjdndaoh/7Wd+y2k5yknsAnJ5pV7EsWu2cR+8N9TGBDA/bdrS RsSAeMw7CDHakhL0Pd2DzzYJVfDF4RrWzSL0lLgJbJ9VWcPh6lUfhRZ2Cbo52pEZoqoKxdktsdIV tCqSNXPHFOpiCzPEPCbodAsvFIChg63lWl3GdVAaByO9GlSEEPOcBoAhinhf1fnJx4XgrwFeHgHs LECHGIRUWQvyDGO8zWGzEbeMWnRmLcxTcofNrn46OIHTeiBG2BsIO1ikhorvJLTix24QlcnLjby9 8h3tgrR5nDDbNZroBpnGABIEaTe0LKGcQ/krog5c5VbUi533txl/bYQLnFaHZ9dg3Fb7r4zekbVQ 4FZewRVHIQ58B70l+9ICCJYc+Sr27IEtEnXqI8CPWjk4O7k6mZdux3C3S8nabdA53P1RJs11nCrJ D1HYIkV235LPGRxkOI3Hm8ZElKWNfKzEuqbJdaQFe6HNCe41wsQiAyP7YGoxK/6MjkM+JWg5oNHj IneMS07SDn3Bn03r7yTSzD4wb952l2bZBC6OWhWnSGAS4RT1ZQ6itrVP0N8msagHEaIyHmlm0Any 8mcbdXogy1vA9J0MnmLj5A3uf0veSj5vye5BA1ohtxS6VBPz7TF+pJ78XMGrZnC4pEDddSizXRG7 k83SgMVR60xo64Uq89r0XcUXN+6c3v9WVJ2Adu6OeaGc/1nFfYpef/f2UFczm0O8z5r30WD5owGW t95H0ESLh0jTRYPeriiBhYnXJ3/GajzzmiQ83fBeJZne5WiYX9D2Ev8ruA8vbQZ2o+n/7DX/juy8 GJCOvu7m+1j/aY7I06tJDbp1fdjHSyHCDM3E9Y0pLrJMug3n9hctvt+hcNJeMo9/pgt2Sz/jkYpr X5ddUPiBMffiOHgXnkE2V3/5ZY2EZgFkad1iaBbqEPLLVKyDmN1Qa8ZNiMECVuxrhvPCR8ze8zUf Fa7Mjg4VPjbBvReoC6mwnOaAKNEKJa5bB5a/6uY6vDK+nYFCx+YcY07XCyluXj9+74dRQLEBT9yF j//DqsB2dk3lbbNBOwMuB4PusHCwt5DAPIkUHMRV3zfXCc5Z3/pc0PMxA+ohQh5sRx18ZNateJhG x8EM1rwrrJ68Y0EA8bW7HEVkKnovPIODzJqf/wdpLT1WFN33Rmsh2br2MYYvg0Jg+q0U/UbUqfp2 /GHHvUBbXYN33+yvQymA7kaTkk9vfy5Pth4lY09M1T7gcS0+Eh39/sE2I8Nl3lSKNmno0eh97Zox G8VR18dqLu1B52b5dBAWvE/Nj50scRfeauogJpxSUGE9M3eRpkK0w5xFDxJb/EkbBJ3FySv3uIrj zzjZBskJ+v2QTlm/69IxrNhktfkWEqA3wq61038qjCqZGZZipbXXFPSeNrSU75azxdaUs9glnG0b GqNCYjC5yUm3h+hl6hC2rP9uiDOtZhM8Sg9kjA32+/EjsaNblcPO6Kp/TvQuiH2Bl+PpsyDf8Eyv L/OsjQ4HFMxLoqdTlgvfO8y2UnYfwYJHvKY18aTDtHd6tcP72KrHcCPW4CiISkKekHAlmBZjiSqJ oGk9i/6xCjKPU+e2An4R9HYCoFvofACZodAWIzRjoAMK2wmTnEbw7/2UryDzft4sTijfr1PDMKt4 uXfb5iWAu01t7lNf7LWZiao0JvRu6myv3DsOk7f3Ib3q5725VoW+S8UM7c0qWlWE0r5zaYJgZBBW CkjmMXzFY3G3kPPvcO5WcsZ9EZAGtgi0WIjjpzdHvwCkqlA4EjKTGUbbjXYDaEzlqzh1Cjeawftq QGc+9Brr/H7Hp52aj/RxA4rJv4GACQmw9e5iT+A5CaIJ0/MrJ8gZcASfqHcrHLeIJMOv6DjpD+4n 1MkjNadexcEmN2nO2JaR605PZcwQi4i8KqdUkl3MUzG5jBiOdoptcvAJ380BMK7bsdPubTpygHGc sh+3NWKRiA8jNTLzucDtQ9gE9JRSdmLG1Jw4ydJWAoHAc8VOxvJhdyw5aG4pt3o+dtypV5YULASJ gLyAkkf3ZQdxD9f+QLAMH0Aqy2jRmAELU/hQ3NjFH36XT6gduOJMFYA6ebShelQN+K82EJwEmFVo hs+BZlRG5rLZrFX9qT5T3pt4p6kTnmFcscS537G8gl2xNBE++p/iHR633Rgjnqdk277qvX3Ixhsh OIKEl84XKTty1wrbBETvhL0QnW96+RV9mlI1OdybrS2m5y6t1e7F+M63St1Zjje1Y8psyB7b6wOq 8ajGM6yiqE/WYKqiSootkiJUkKF9yEsfkqC2uZtIcOnk61NCV62fJrRML1mqfzsHHtY4ucgjvrbQ V7YaxGYkhEqhC1aLJob9KHn14BfhmHbVfRweHvpC5OrXCdaKLey/yHdFC8DCq+y0+1Fp+YTw7qAb 6lX8CFKls93e0eCl5xToGKxEiuO/BJ62gbcjAbiYZpacCVywF9zrueoK0h/o/aIwsUPW9DKeNk/h 8tkwDnk708MYd9BphgQ8xFf34OClp3aq6KrcV/sQRxeWrJyyYNuPE3zHBzKtA03ZcEiH2xfZKLN+ Z5J0EDiMXpWDPii52n8D/gQ12W+VBbaYBhl14fsNEKWXl9HoS9gFuKmPJGjIXI+ETLK4YX3Y7d7F wLDGSELK65La+3nzYYEdXN8+tH1N6roh64+CqmrHO01r7ACgLk0vxMyNBCC2N9QUG+PcC5I6KOxa f2X/5Xv84ZPuB25P0sZdUxYzEovDjSrmK53rtamu7BR4lJm5Gh5tPkfdfMB7ukcSf9l7sq7R/q0P hVfr5wmXC1NabawfHKi53iPqgvERoPeU4EwL1i4yZ4i0zdnbz61IAY2IVdq+f61zNrX7sSUH3ePz 7vROSYdcmAP5cGM3AoMkONC8/h/HG5CmkKlXKjhKhRV8ogCzRgBuWeRXqd+bQeeKkzNaQx1ctSCx rAJBqYBGn+bQN9UeglfgrOvpWSEAypmkZ1y1Rb/Jyjj60T1XwB+5zyHVzvKT+xHnfhaaq7af6CwU pZvyRicPH8qgV6bGtI5YiY3s69qJ/5T+fyOShoHmB4oAGxwd6EV4zYECuBxk+Z8Pi1esNi+fHe6q 5IORKi4ef53LQDv+e0xpeNCv+fW6CiDervslW2doLwM+wP1mvNX0xrFNekqP02wT6mNONKtsM2uq z8HMIjTAP/ZOJ5hGF+IsxQ4zAanZKyf0MoV1jEk3wfiX40NtJqzuvwMhYALeUdMQHuk+S7+m0JTf 0gFFExerBAnN84tm0jmfO43pXdj6BeFhy52Vs0gDpXDzQx6Dc9SaVvw2aRsTNf6MlmyPvB6qYoxj xz7L+olRzO+12RHTNWG2Z+joJ1MZS3CehiSDbNE752FfjU/jOhCQpQ/XFVqEuiT/SI8wSXbMruI8 N9cxIg+DCRuqeefzQZppey+gxySFaZNA07+IatY78vpY5y2N1duvWXek5Wu+z2CoxBpVub4HDmtK Gh7JjjT8kPKtEhVRWo59Te53IQBg8NE7448+jo6vXlZHQeoKopinS/9jCOt7iESz7ThAJPwFoSwE +ffMZnAd6Rpj/qmFTQ/J55pS2Ay08BPaUrHtRLJtCZtCLeGMLaWn7txvfJ/ABb2XATSuuLZ3VmlR m6ZerbbqNO0icaSkH8dgf78ePW9yATqImzOSq56qA2oAbFL5+egV21LcU98L6lfk36GM1CSnTNxg ioAzEGZKYU8uVMATMmjHK0hPl0vglHmMGpL3BvTBjdJS3hMjzdUWr5idnMrrZDGUp3CNDuTPyZkf lkTldl3rDIqR+HJTNsCfLMPHthi+iwoyQql51klvlRn1w+ZUOsJq18Il1g24OTvfvhIpGAd1xSIb aWsF4LJdc0Uh6gljGCK8S/kuZQdJejWghNPcgoej1exoD/rfFhaUbEO4M/vIeZyfvdE7MgSl8WVQ xWcpeVlPNiVcDXi8Rm9rEowQ59Rdff2IIHkDS1mnjRrStgHTxDWcV2wqU3Z99qGyF02OyytF1ePj 5+kZMhUy6gPTsOpIjFxBkyRnEh2MlafEyfXVUuVzNJu0Iewxhvf6OGtRbRTgP4YepO/yzxI7cBNl Q4noJyeo+Jr+hSJ68FeBgsHePorVnSYliYAOdKjUJBiaowmlbxVdOxHhiRQRas6dKfZSE9bS/PTt uYephqASR2Ndn9rUmbFXNtPmyV0E2FBurt/scugM6rNAycvMG4m9c7A49iDeo/8T9P9Xk5vrY7P8 LIEnkM5v9rae6UGane8ZSyUycEHOPmgtlK0MyoeH3vCNxwmhxBXUCdLfhp23WFTM709vvO5gwmts ynCIE1I1dUy50wc4dYmqOmGzHwUSs+F9njFFQDMJxr2EjLOqzE0+2qtRMDLNftxkvykvDQ5OiLJv U8UuZAB8x9I4KnBQzvOGfoW+pUeJ4BxAcH0ijZHmpOJn5OOUJJWJg3S9ZfnvV51V77E61uYxhdVS Ez/fuP8Lm3K5TXOoChNQDJUGXgIgot2YLg4O5PsOcz0mO+SDm1xiKr8utxZqS/N3B6uN+kloiFO4 YEZdhDTBC4JY/WJUL5vH8duLlONx0/u6mE9Sm8mA2jW1pZyANfG2pCB7nGO8SqaJsEEkcUfxH6WJ JjgWFlrzu4dKHtn3LneFGjgNy2l77gTxqsBdbpXIJIkt1G6FS3m+5Dm8R0T3mh5/LQ072gyNBGe6 PwmuJ+JhY4fNIn/AGeM7gNH2FhjgFJit0G0+vzcMvwaXbJcGC1eROTnKOCbjlELLpAAPk50RNDXW FClUWwnwmpvCG9lRqkxMJkU9AiSF4H61ltv0hUBs4+/Fzh0SsXCSN/7XhR364SpfVP2VYI+8FY2h KrCvsQbVOJ3+JTvBh1GuInXSS6ubCvty0ZFAHbTFWN9mPLp/l9sjh865uURmKSfbRpDnOVlvhi18 QRc794lHknTE1oUUmnxJLzzo3t6LbFrFLQY5Q8+55Xab371Islgilj8d1VKGR5H7HK8Ja7MGSHm9 YR8wHLtLoc1SbFrwwa0YpHOzMJvYz01rpcgQqPJ3+DB8WE9/3ny0IO7h2yp8mfc1MLZZxn7zDZGD VAcv//2HuAL0sU3BGjG1LxOG1GKLaITzlTzde6LMufpz67/ibzRkiZcOlDgrfB/v9TajAyPgCFsn s9FwBtQmN8PQQ23zFwcSwWFa239zLHSfXR7zRAA+YIosDZGOncq2L/dAgodk3O5plk/uDmiZd32P MqTG5LBPdStNXZzx9TOIeILgeOiraGllOCn4qzlXwjpPPlpBdT35Aa7KV7Wz4UFFefqA7TyoLBKK Jox9x0QXL0FmfVY2E3tWV0j91SwkH9NcIJRhoKy+jE1/JL92Wtn7DEmMwKnOZyYkFlv/70c0DWwi 9UnbYmKhK7ZM6SUaI8H/p3K/W6IacH1JCDeaNvaonSOho4UmqahD9o8m7bQDlJNbwhCu+qJBisxJ Ze70q4HDWJJpi5lWiPWX0aqroFGQf/jA3SvQinutbWCQXycljTuoYloTIAO/1SSkct5zuQb7eEIY RtlELD6EkY+ypUo6Hv8CSh9U4mFSDeL+nC4koEy0JFmSE/VdDz1EXwYPO82H9RpL1ig0Z2m9UAnb TsPVBI8EtmJ+28OvU/15UW6VlwWIDMglpsNTVa3FW7i3A0KULtbF38L+dt5TqFHDOQo4E7TUMyDX laqFIWQlg98TggEUUV2UVGKaHixDiMpi5fQ/qmDkfoIzfjwCTl8oup3HgmJGv7w/7k1mtl2ZYrhx k0vz7XF6VqMWMN0csOLYsijmqD21DqOjZPvxC4G0oPVBBLGaQL2CWxGUOMA1NqOSwOKyfk8y5tUB NF5n5P6vRr+AIvKSCN9TfK5JF4JiAYpn6oF6jWChwZaSvn17k0JMSgdcGAxcnz5UETCBsZLlCH0t EHijh2V78DFLFD4WprXX9ElIFUyKtZtO+UcoMdija7X407x7oFNoTrExJrqNKIHxl4sz1cumQRF/ 4W9LMc9bNXCjDEGm2S8R9v08FBakjVupMqFyAm79cvYSLkJX7UnWQpl+10qpsWxPvnZG+65vH9Jd Pg8zPuJ+4m2g4Uqi8pRhJhi0Isc9WE3nCviKUkjNxZLF3DWEz6E4S9GNqaLDhtAUTQSB2YNfdNQ7 tSneIlRWneLIOw+05mSBdJcEPvpVlto0zZ+U00qUlPXlJ/SdeT426EtF8rdAeGZ1W1Cpvv1qxEy7 E0vLuHaxwGKM6ZQ1oJ71wZ0i8mSXdGYknmceNfI9NDp3OvnyAraTqXxG940NLwxGqlX7oXri7Ld8 /FDgxXv510vS3Vnh1mAoZUCO4G7oEIPfqwXmsubQPa8NXX4iLoInBihUiM9cClArPC4KBJj/QGBU Xch2zZzbf+WMMqX89yo/tmSqar0eVhi6KusUwdzVa1Qk7B/QjN/Ag+o4j65eOA7pwrBThiW9HIiy kr6e19woIY5hpVDO+qxlNfLDb13Ii8hfkzsu++LbGUlOg7G6oFlZwg6jsV2e1LZ4UdAlwgG9SI2g rbQ+Z9sxip9LmBpj2w/XMC6lSaE8JDovJp/RVrloULb3MprqQKhf1mlAPtZXQCFa9YglEJw54ZLF VpbDYThr4bljtj2qY3lGDsdgQUiPiE4yyYtg7VCPYEEFCsIvNEqINy/8gBqo46gaRJ799l9CBULn nBGQB+2qlRX8LNQCZhwUC0jvHxE2+QtdNpGzasAOqGs2dr3StMB5jyjTU5AqZKvU9lUhQcBmExDo VcHWCt1q9ribqgM8HKmY1lBDg76VOsoK2B5Wrvm+wydWxC3WUxQuxntzoiwHRvVZsSHl1HKQtDLM GsBh0Gy3EtgHFZrwRJNAQZLzc9bGzx34j71WtildUwy6ukitjTF/RZ8CQ1HjB9N24+tU6fRGu5n8 HMf3RRF9aOmvWRk2ugCq9D52NQz48TnSuzornaKv9Ddh+UCkA7YWcq36KI2KRNnw2RWTN3TUD1os rVQb95sBsIFwaqqeW2IqdklPYe8JU3HZsLKcgZfjqCqaQPy9Q09h/hPmkrcG8Vq/49HvyoxX6Rl0 VBd8PVyiLlfYjaSdZwqnRUtu/XTXfrG8I3VbSPFrY3un404LKFOoeRd07x5DqZ1KWHTfXI3QwXRU OiLUhNcg8L+HxeLI2avLBzxr4NifsrjhDuFhi0leiGG6ATPXevQcDLJKuYnJoQ+aH1Tar32ZfcQM yWnvFE5M+rZRwUvZFFKn5XPnP+TR6Gu7Cdec13/IgZLqA4zToPTHG9HSZ+4kU2iwQqyESJdX1jKL UtpnfEVLG1Hl+prMVAYLHGJ7LxKuBfcVr9GXNuPxSsCDuChY8DSsMwXLz6MkElj0Gb1eMYytqfM7 pFvYWUyfdJTYabE+60ccaenCoUa8Pj6NbTV3dLW+b2Hm6zcASS25ZUBq6DX+edK1t15zmNpm8G3W a5d5Ba4E7uIJnHQOnGNJFh9MIvRIzDUJZPDePDPZTmqOlycnC1u6fihzkwe1KgBW06u6IsXhCwgI 9EaD+0cPOrKY5LMB2694XOsSLQhtig4qYHsha48Qx3Z6T6pW+skGiXIKKFvDNJhUQQeURmx6UFMV zgerBM2XagVwGVICNeEQ7HmB0zO+6v2130BhNU2qnmcJfWiwkxeq44tAkxDmS8VwfakGXCJnrkZE cP2r/oiyAml4gCArHMVmitD1iRrvqxnODG1Jmh+yyrjY80GL7VaoaB2ejtKKmn/4fMViFKiqWCfJ bPX3KdaFtseDjs8xPGn0cdgLV7aBOTeOQeBDrcmVD8eXNqlSTL/kecDzIN6/5Dco1+XsTKQOfgAb xktbrYi8izqhMNHyKjPyArpqaz7BdIGh7sCa7NAoBGeWRiU4HdX5OoMqmu9IrnmYFtHBPvshpTYA Cf8wE8hMP0lFdUC0WyFJollWRVfdNWBf+tiAjtYPncjNNmH4MSUwK32UGXvHjzA2oXhXrZElo8Dp E68ZBVgt4qGFBw1vpQ4Ht/krMvAPFzxxsYvf6M7x1KhPUugt06EuRI/KB0q93gsM8f6NBMEUhjXv cMFqf0TZh+lv4CwEQYs1bfs0I1lMKoINww256stHoVWdoWMw97WDf2HlOQUwZ0tcPF6YUS7OPvh8 ddUXGkh/i7K6PaM3yTeCkkCPCNhD4InTwdKIcmRKtb0946QQHaAAPnC5R2X9Przcrcf1oXym4u7I 38igbaBxT4Gekz55ObyIdgmJ1xuZBLy4TcrI0zlfzO99JYl4tXeLYqw7bYVYKhgLeShaHNgDO7XT GnyNd35kgNCZAi4YpGsL5Yzd1NrUU2i8r2nLRDEBHl8b79pg2VhR2XziGpR4amq++FUli90LerKg Qb7lA6VQ0vJP5DC+nX0BsPtJMA2I9tHdHGXkOqiCmgvkRZpWj4apJsatH6cFwE9KyOnFQx4TCLS9 1TujOy29Jtad8Wkik1e8Ddn3uw/pS6vpXDzHNm/XQ2znR2V5DuAfR6PW/i5U0SEcuwpn9rZ95N5N X+dSQrTFEO7AaJkPv/oSki4PWFwJmUqHCas4lnjjSB9dKQaH83/VAIrK6D/iB8nmX7lM6B3QlX4W vbSMlb/FHZ3EJ5IWJ+BrhYgjK/OUeTSk4PfiBNHFQAGebhRIyXpl3QqX4pOBYrppD/qJaRWRZcC1 RnMmXp2AEZkAwbQOindF2QxO9tY7opba6DBEz+/ll0+t+svBmR6EVU+dgPePdgFJpKnonuyJfFm6 lCdMpwW7h/14J5U3WStn55i8i8euM6bxwMfGF5zoLzYLvHKWYdTvx0Nn/Gn9HmMGJeQF3hR8J9/o vtVl3ePikx5N1merwt/cjcFWArzC3rWnLZCMlBEgZNV2TTsNWbpx13OWlQ+xtektCz8Brtfct1j4 icRnnIoSnBWpnKUh4viYA85PvjHyNIEy1SoHiUJsgV8dSGJZyLZBEhGYq2vQFf+ISWW63R1Lzanb MiGEoK4+nAe4e0n0OVKjwXNy1fQT36IgyyEmAx/hzO5X3Uh6K+FGKWOxw1WSHrf/CacSAIo4PRhU kurTECOwqmomdBnoyK6GlvOyNp3PBX5v7YYpvtUXiB6PMV75qa1uJGT0bndvAsibHwxDZkoTOH5I /90GsljO/ffm74tFBncI6l2sHSoGy8k6iNmN0xFTsLBFPSbtmGeowZS6JY8mn4uqfYj8spvhu05Q ubbywgrc97s3aQ9Fra5VcGIOuHN/zgzBTP5HT0E2V8UqIdOqtTCU33PDCmA4CQBxjnWeGkYB5+u7 iH3hzp9NBK9bvx7T4snaILjnfu4M6X/KbWDSR1xPU55ioFwNYY4IFZc0xLU1s3o6n8NK7bgU/Hsc zUsrUjei5i5ykJJWYb1nM+NL5ixeWFa8XRSdqPeL//n0QnbQXzhdVVuVEZQ7f1ySjmCt0yDsJTHF HQq70PdI+L8uPNdytqbKH5mYN22fnegFIXBHAbMato5ZcGfBl2bk7quYUeMdkjjB16By3vllvCV1 2H833FCrSwKgoqtDOYV3Oap9ZWoSV/O1+fdHk3JV9MukclINO4dTdNno68Cw7aY/fov6gqVgDgmS JDMGGcxOwi15N6xXen4/quq8EziA9RMJ/NjQ4usxTZzPOHGyLM+2uFXgSffpnE5bw+xAuiNcL+vQ gn8vO2pOYwBhDt/qsoHNXlYuiS5zQcZD1H/Fd7D4FVBqjDQ1ySlOkZ4lwHwc2cWDTHbjSwghpfUe 6q4hWEJ21cHYYhQi5CiFAd1joSPEvOtLIrkBcETS9cDUFVKkCzeBqE8NflI6H8SADuLuDby8dIBZ 9nHaZ2swPII9PzFilYgsvgPfeVo68UGbafUgNaY0sUUSVk3fG4CPYdNDulhPR26Q967tsHvHlPHK IYU2bL6M0FCJ8rlpHeXtaT95BDwOTiCK1oKI1hcqH+otMBJ3qBeV+F1Qfs0lzIzxeA21et/Lti2y ZgZL+dRLn/KG7b4SlqwxbBuxlUJji8yCsYJFZ8tXVa6OjLlobb5oDKoyLIKQVBqHB/JqkF4ub5Bf qafwLwB79xWSGcDb0v4u8pmEBLl/fh2bRfpDWl/au2XWGTM193gmqhAGEy0r16hWoSbfKZFbkP/X 4Ci+iSzSNMOSImQvffqSRNUR4g/9fG9pMuSPr/31UXUOq3efl6ONsaGClciq1HySK0BEQB28b9Uq aTDmFYdwPEpAw4kPzmMVXLgSY/KWTvFi7Ng3XvyQ8W2EpUgB36AFUg23uiiBwCWHnNGHZNAerwrB C7CRlGBGqTnAPWgkyiRs/G89+FeHi6n9OQ4AD+sVuAD2L1fvoAtM3iTCIPeb9MjUouGV+6PxW2hf 2GVFAkqXFSx7bXY/Y2GknLXPRZGLLE50vtosRKtt7K3+mzVtYgajyeTji9JB0ApQL4RBQiZBVpYu Hd3ft6+p1EQcC8dgNCuXzdKvZ/WLHOLJi0F+VnP8Q5yBR4DE6MwQcQKfXFIB5sFBD8GjJl9Y7gZM 2RJZkI/wKL5QJ5w1Rp/6X+NmYt/xfQLDpEgLf55ueuvey+7InfDceNAJo4Fa8UY6cLC07PmR48Ln u71wFvykz4HtuX9qudW56cr1CU85Ajap1K1r+Ersveq6Pr5cFKcpzZn4QaAlmX6kfIBUje5XCgNU Nugxy2zLDTCUvWRFTcHExsiTwWwzhAPDIniyDilzy/JqJPQH9/df0cNPW7VaWJgtty7/T4la19lJ e2w/kfoMAjmGHxrEOpMSyOy8Bjfb10kCB2WVIJG0tPE1jjx54fu+a4juLmxepsdS2ivnAaYVmRWu zHila61ghAuAPYYYBJ/m05v8wCmDZMOVKxz712C+O1LZ1rlv/jpBWYKGlK1QmAmhS4VI0Ym/3CS0 hBICZtXrhN46a8VFWHOeunsh1KBpX1MOvAZXCBhHV7jABnXZDjTJ0hdEzDSJ1c7tik/MVYdADCiN Qwb5/WugXjeThJRqOE/jpU6NgeSv+cdHDUblbmaJ1MOCmLaEB65rMWoy21z0U/4hcjZDjniCnhwf EyQVuYLIcIzX5C9Mb82TZHyQ3YI/t52VBpqpTGkXSEGfCOuNYJMqgG2YqLJzqWkSymQogweZmsqK QjfcV0OlS9FB+oVx75hFndl+PONGL3DzUE2ZkXlI1T3++17NuAG3sab1HpXwD/4JP+NElgexEQkI AOQqeqOmcwOfFS4oH8jqTXTDeI+EDRJsMOF99yzT7jM+ekRcC2+HgDFNDeYAPpHLPbBS8qbuLGtO Ck49QgXb0y3YcIwVTX9+nVi3NnSvIjvPeOh5tdbLNpcJyydzaFLy8+Q7mJqIuMayPqvCPH73A8IQ 4kr78AAR8Y1M6BMxWs3PNupfDfU8GW5EL8qI88s6CwXmlhDbeoNhvzPp1vXOzNdG5LH3PqeETh2i 4c+MjVeyTxQHtrCiDRadDmCjI5LNr5XIX3dZjIYGctMx3c8D8YwnkdqUYdY3i+FK5WF76cryVBJO OOPk/gykixVamqrgA3cAj7I7uUDp95npeo2NK5fZaI+6Z2vx9zb0AXyb8Gek9Sx6ZMYrXuS3uxzv f5EicrKzeBNIJyCxwfKrdSeBS8aeS2oOOwGNPAC/Jn7JLgd1/JDDgsx3/01ZcYKGW8iQ4xNwnnk1 953lkgGLe4yPb8fSQFUWEoTT/LgRdDK/fp7KsPA5jSREW/6nlGNOyO+BZ+zKkMbpcEh6Pi03tExP 1YWNlI2oRq9GlWXvqFXIhN4ldNLJK7biMlKysXR3zFJkYK1obXGV+qoI9nl7wGFlpd626v8roHUP JNhSpmOHHMLHQjH09vjo7Gc7wM9dZ6nDbbEwQUBWd+lNvp0shrGjEdA2VZUQ/wELg9F0bV75qA0t zVY+wngMrLuYvodds+GADozw6ZwFEkT4vKf6ELkoXNvw/QWIJnuTG4ZjidQqjs9NaG7myavuh1qd lhAZk6nzqn+8O9rN16gkWE2OZrdk7VB3A2p29JEUjVUl0gIH9Jpp7YJWrgCFod7q0iO3xkQkaQWU 83m8dS+tz/K/0IaWEufBVngmG1EXmaA4Kd/pTk2VBUsSvbFcaHtXcv0lue0CwI9VJbad57EU8ON6 /gG7lXxvOirQb4mX2ok0o6ObkOnDYLlD63EoVyT4dHKolOw/aidrlvBJpJclhhFrL8FlGBkOHsrL YAHnMVTv41Do/IkWWcFOvBsbVvHucz1Dg4FrP4OsEiXkuwfoIFYh9PVzez5APmtdpUqWNjJNPWN/ JzxkApReQ2w0BUI++fNKUuLX2y22j2MnU9JSInvLpZCzZcsvgUmRQEnM5S5GrQfHajX2jA8eJ0VF 21dO8P2rlYY6QkHAiLE5nFr7ALXnhvuUP2RpPkc/n0yevKzN+ZaQqRi7Ma/eN8k4IIhnGqxFkm6c Q+xPrSppOoobJMbBSsoRj5XPX+Lff8SvpGAbeKRFZYaNRpx4J2y1ba5G65lDNQShSP63HA0qR3O5 +KEUrx0QQTaUp/XREpwS0nhDa3m0VxOtHIrbfdqOV28gp7/22+0PTsbIMk4t5kGiSuHRbeauqwx1 eVMANiarpAdPbqKufYULMX9pPZ7j6H9xplTa8MiR0ry+dsqA3Yg3zlzBqvROtd6OJXoLpOno2250 OMNBBVuLhXgc4/7kEtorGQQDydkMspDLf1JaCgd2F6mh7J6KbukWx4zhAouX/evx1wuWnp8zrwcP OmElTKcKepqyIw174Uk86/ditCDFVXcGHi0crVMaylkrB/a5Xluup/qW923ZDmWsPEzXTEGGafqk 7e5AemiWhY0Mi37UoN+pubeGnQaKiraGj4NBa9W8SLsoseeplUzUNLZbqU1IHnWh9Ig3m/QC66aM tzpnHUzztLHc9mrWJb3lLNUNfecM9EX/WSy+lJrfjTyDU5LUjOiR/Gyi4s9wCxYeswO/bAAgrL+m zmPUsKm2zb+1Rt63UQwx725ICaTn96xFXNTY9RaIix8rEOlsCfdKd84LB6tYqvY3Tp2Kmg68Kqif Hfq2s63JNIEszWheI6QF4DwLGbfTT+FScriQ5bDcaoB03pDR+WaScei0Q+mEWXXDq3D13WCz6I9K m2p1VzWjdddmLm8DQIOwwrG4GZiWLSDwIRSiTnzIhOqawuJMBiBhXIVoPkXjkVvGvPzJutjfF4UM K9+J8LkrPHpjBpS/vxNOaHXX3qx+PEkwI1qiY+qNQdhskHmy43xl45L8YolXw/YGuHlCsfODTif0 L1y69CXHyxan3zivwemxjEvLICgAKTUElmF/VtDhFnvalU7tZV7AsOj7BNa3uw/eJWpsuHMqUteO att/hCHzSq2ItEsodRb5VeOtGp9DdX7bfo6nN0yywN9mMK4B6WGUGcQYvPaxKeU+vdM2Osa2EniN pCHJg06oOlV7Cay0Ntl84pj+HGrTuIJu/z1axycHs+7tl2Fu2ihuMRkF/fnoBoWyIbAA7e48hJgL YW6cm0RiUqcO4XHPEnYFXXLpLR+mtb5IXbOKeY5zPccGn9cgFk8PB+lN1nSQLNFuaUB6E7ZF5eT6 k71OEap7x+ZufAZP730Femr2c5Z7R3N4uZlQCQZ4E4+LcHy4fCZisEVWo8ZWldrGKk8tFdtgcVlr qAYVC93SWpydDBsR9NVC6H4vhc3xcJYlz4pGaeXmGlKQaDvbHQsh8SKWfNIqOQGSwj65BaAraDDp EaFqeyyx5OGaHN8PHnIZwR2yTmAUr6KPirci5flnWG24FAltvN09Ll848QImC+3CUCgcAua1XaAB Bfq2i2FERUO04P3Sr8sVwR6kAFqFfJrqkHkuK8C/vrfByEkqj/gi4cwBvEwsl096Pvi8ycrizviG WroK44LD0eqjetG1d/wG2+vP0YeJ1fcvwiBQqbb/048+kvnSC/R/nXk7ivOJVc2DbBTVPzHaupeO B3ldPn2cCmDlNLBIPjVWL/SWpApVxVicVcMYhVYDsqic2UlyevB0JSziEWqHI6BeGWhlikQA8nzQ s7SdkDS/mRqw3vNPFdeNt63NVQ/VyXf3nyIvIYonKY24BugSf1EMaG4Rz3FfmGOSaOnt2pWPtZ+i JX+9Gmj3Ru2u017KXkaegm6FFLiChBkAdkXCW4mZ+3t31mo/Atji3tDBZm3i1oqkDymz3Qfd4/07 Yj1aeoMG412W8vNASusc2CUGkbu0e1plELZWH0t0NW2WRt+WwnHJfMduLfikHDjDf52L/1uuptSS bTr++xwNbkVN+2T1o5yc9Zm6Nk/xOBu83ljCnmZswyGfpnfrAYhVx2ihpE1HhtKCkg+hhAyVGtzd ndpc3+9DgnSnS07AfTE2MvpQKITTpzE7/x4Cz+E6nwl2/RwYbPqG4353tdFjirz45XjRPYkj83fU dEAskRJxz25DmRFT0hvHjACEJVRiwdbCzfEVeCAFd3UEQ9aeVJSm5xy8Tfwq2ci5d0mUdXRLeWub clm9ABPMaLVamoC4nnT4rJsGvIzLElQ5x9LyS/9kpYGpLRcq8qXtuAfXMYRK3J5mcTItmRHyQGZ3 2T9+/cPtI0DCK9f2kKgI6g7Dnkl9JD463OVtG6q8xgik0OTbDQi6UftIZygYnjQCBejzBkmBo9I1 q8aKrmhu2E3KIf9jNy31OsHAnhET3U8gnSS1MPHVFLsW95Ip9UYhIlrMud7ygBwxJO0mPc5d9K1c zoq/ohmUAFmK8ODL6omvFB8x5R3FA7bXXq2KgSRdKAju4Bhs3cb9n+u6ltK50tCbkG+zi2bPQJ+G CAEbbO8IK2AaZc3+/R5xM/ZszX4929paasH7sZMEKGoVJN+T6JTJv93PhhBmPw5XUWCNwkU+yMm7 amz99IKRCBQASSge5mhubESRwQxLsG5DmewD6DnUnawm1yT0DI6oL8TvgmzvD8/Q/HBqB6VMrElS UWYla5+FH8Zv3G3hqkiPCahVZEyNMOt0HXWjbKQa11D7cgApqtma7oQdXcTelvdJ2Icwk6e+9/RQ 4CsRUV1WiDuHDMit2VqrxUEXjCJUk2hnGhMCwcHxdNK405dzQhO2Lv/DgAS5z0tDvpUbTAZwg47I nXDpwmQgHWhxsSY3Ck0mn5bgPm8fKlyN19pQL7KyzLTCXCGWGsK6bS3xjOMvA/CWMA3GptW6eYXv kEG0ehC8P4qIM2W9lCR9fLTge9JMDCbfAW04cVkYfHQVFJhjjX5WarjbakUXorOonhjRmsFKbIND HImm3M4OV5JaAl2abrlrkbiClQO29rY35bMTm3gY7PlTQeL5eurQxVnc/9IrCITjZI3n5X7hYLFR hRrl/kfwWhEDs0m8IqiAxKnamEL8sha7ctByeAoIzWBiJwJUYCXL+3N0yPgkV8eOVXAqTnD1zf8N sOaN64i8ogZly8LUZhtT7MEguV21t/qfiItj1EiNhjv3t5j/V/JxCT2CGmBD4AG6jetHapWxAJ0q m3JX1vcivNlz8uGDWXVzrFXCR2Xg+vbeOBnwqqJ4RfDX8DnhBStsDCySn1Ry1Leo2KyZ0TRDAhSp zsIcX/5UkqEo/my+02gREiIIbjw20ELl/29bkl+kS58A09MUB7Wu7aBdbqB0LU8gZDDy/x1MxIWR vzcj3YMBXhzYnNeLMd9LWDWEg8mTzBkcvQh2LXfQXgA3kVSn/ogDZ1GQBfW2EX7/kyqTh0E46f/r gR/cxYYT6wG4x1DO/SxFhg3W3esFx4/S1VtP78vTU75YGTmYQ04DEZceF5/Jk9HwUpIrE1oimrRh Vi9/BooG3wMhuxW4/VrVY4AmGashCWcegmlgq/hczXRsljgMs7j97MFSOn9pjY/08w3fhi3hvB9f KKNGToDIZ1tnB9VWP9Q9zTsth4cPbsAksvCuXdehwMX23n/zN19la8V8qqYXZ7dXyGM4EiIdxNcz u8NqOYJitATHil3UDZuDxP4smXi5pJptmK9lxpWxERSQExckVMEuOqwqn5cWiHKgbeAvhA56F05K qa8r9CIX23i6+mt6vm0qX0GXqcfvQEUsu4E4JyBIuFXPbWuMNqwbCQDelv68MyAyYXiimYo7CLhA H/JbbR/VDaK4ooVpZQnyvu8Brq90PAILITLIetfhoefP/rxVMvUn1viDs1UqjyVzN2sl2NTvdDsq ZUwXt8RtR7mUqiFczvD55X3KoDu0GtJZ6lsWsZcQURtEKvoHqswB5kNIhfx0RClvyA1t8slq8eH9 6kdltZFfoDSddAQesTY+kailphQDDB9y5gVQIaqFH9el8EovgufrPIQ9JaWrAORcsC9YBomcE0ic pkea4RzL/Fc9Rf8YBX0QwzGw2wJsUncaWIgHao7nwrM7cwJbtAcbewNqSjeUR5gS8lLyyis+InkN jxuS6s8HtCByUAEzK2tmPAWCU3La+PcfwRVgH61eCcB7iTl5fuxL9/L5bQl/I7h6JQ4MiqK6Ig60 3sLduaa1gI8+qnLu4yEZm+phhem307qPJtsnesnhirhc9jvHjnnDn92nCg6tTkl6Po/w+1zAO8SQ O2a2nH0CbwKNq7N4vNzLycMS7ausg5Uv0ZzlGyL4hp7cwjd7SH46nzVGZcTpDPB1rwLm86RRtacT ZXNTnIWZyF5fn4cfRvAwyXTkLc/swhT6fNY4qPJzZJeLg67D7WN5jt5WEeEzoq9qyPeqTY72aKTZ 34TwPAQVSyAvf+u/vaxknH44j6UzXC7gYEkpBXnztiNqUFrwdZ9nB5GDARVGUiA3zoxr1Vlo1thc vb2Tzybem1CcnDEbHa5rpkYKFfMlJqVRY9+StSPKnrq1WT/RHYSU0sSPAXRU3DRAv9VT2yZ/TJ6m 5T7sEuX6zykfvHd6QXZR7NBf0K1TuvBAUaPKFiuio3XNwBbW5MMjrMmM1L9R2OyK0/cBdGJ//i3i uBdcSjuUwfbey3iPy6sgpvtSySjqL7XdZWvmYihs0WHvuv8ybVjHr1oNCJ/5puXcIsqXilpazJ4k kD4mb7QdhsU+ALlGI0299Gw1c8XmfDGA3KiOAMY3jEOykTmp0rEvxfQi+7DHuZ9MkKcnyRI/74vu pLjcTR1dWL4cMEBeOytYlChTxmA9hW7PxeJdbi9xEWjZNnm7+K49Ph7fPypUqgt+vHMGf2a3myT5 yTLh80Lv3YPrWdt4XyllmsxKA86a0ZKqN8kTSaOoNnMZM72NOXZQtRo9KsNo2NpkL7FI4IA+Hyaa TV3Aitv2y/Q2DsWFlR7n+ol/tBFaJ38QIL46DjaQ5NRljTVAwGY3NcULuGHJ7tOS27BtVQpIz/Hp 7c8AlMra2FfNd+oE7Rf8OOF6yzQT8FeVnGlH1063MvyBo4du39Zv2WNVhB9N9LFyTVyn18TkkwN3 vsqyMAm2FFSGbIQyYB7eX1MeOyWhVR9vL50f2jIsHkEuABELV48/3qFR7YGBa8J/F7psEv49egWo loXmr/rYG2daIsldAm8vF3NwA25KVNes9PTDx4DeN4ygfKFQHbQ9cYAW481A3aNiASRtXTgAypPp JnfXRYZvP1WJdepiHYkkBAnZ55RaxeKapw2LGt/bsCMLHLz0S7d+f4c2x4krXTD7o5WDuQUa1C4X DOsD/LEqLXu0L5X1NFwhiZD+Gel8D36CFVJLmUUmotnbb2OCj0MEK1uWlJKaYWQ4qCftLqwhiV5Z xDYtqjke+W+jFmUUYYqzlxOhHTKumRI+Jn1Vc2UVJ17XKZN9ZsnMNPwR4YQ6clQ8eYx+2oLTPogb UKsqqKiH7dUT7qITGdjn7eb22vO1aL8q8B8bp9/Q7Fnhwfr7Zn2mu5qdjYGDGofR+ZXp8CSDUnns gMrD+6O8QPem+BYgVzIYYAulNRZ2GD2WDi7o8RM6bvic9LnCm2d9T5YvT4bIzj8og91lPu3fSw+z fEf9FjOuCInw3/GrG7WbMcVu6pmLnG4gEX1sPly+9LBul9nRofXL7Ep6On3kpJ2KWtx2CjEX5er6 fwbef5+5ZytkRW+SZli9HMOOCgPorKyz2NAXJIXa/1JjrJorwb/oM1eUHKnqjmdxQspOT/JvUFUg jQAasK0HdRPziQicBdqkH9GwBe4sXgEpeNHTBZ0h6UjHurpweySnjpGQkXhYFIWZFEvH6cLjeF/H KeJBQZmj9lmpoXt1B/eLQ8Ny5CMRsid/yj5jYfwoaLu8oJ8j0tb46BCDiNjNfBrMygVUjDQ1fzsc qAyCRr09mYJDqJzT9c+a+tlMvoYmoIZbqWXopzwcwOFYJw3IxnFPkfCAQs+Op73odVXkvGjx6dC1 Jf2hc0yeLfK7U4xCTa7MV2AFV3v2E69Hf4w9iKQ5BpPlB0FSnrR4gJ34GW6geEWLTW1doLX0bDCe NHmB8KbAWRiHoC8DwRtu44nmkKQcu+UPTV0AAd5W7Mx3MxIEMkC6t4YzhnhfChzFjetQw1L/Ehvk 1ShsVnL7TmcLvAMmlWKrTMpnnRWMN6Dn+az722d1z9Pf6hDcp5eo/N0Ap6m40SmXaXRfLBg6vUYj V12kkU09tkn1LjMw1g6SEKZ/qOZmaTwtXfcrLBuGkj8064ZpNG7sCllq+9BLjFTLufmh+vCg4xKh BLhpB6/uAJEYn0KjGoNpcvMxRjdC5JtvE2v1h92EA0M2Jz3HXuhLx5VSHAc6IZYoTdQ6ee/43rfj xMy6drlUJp8OdCu9hdZCHQORoK0/TTy28x7iM2GRa78GRt1Hln9aGs8CgGSjJgfRMDkkVIcyJ+VX o+OKjUPLnNZJXlBdEUqjoPh/7jC/nWUx4VA75TPRG+Fch7sEROVjfTZHypXZs0HBqMj4NoNpYwQk G0ST41oL5IxY6VGZqb8c+VifmIwa7Rufa3FpUuTCy2jfoa2ML8SRCxIo1wI1mycaXjGmbozkTSHE 7VnxttpcjpnUWO3OrrH6OVb6JbZOYNQq25u2XcRzpbuMLg+WhRCrCgVGhx358WuP1Of+uGQanbiS FXftU5FoyjubERNbo+OksiVwRiz2O1QceSbWSEvMynHkwl/UUMoNQ+VNz8glrSvRX3YmAVXUVg70 0nkJeLcEUoYTlPGVukQ9QuAzsz54wWEP/6DTsE0lL0OrpNEop+fyDebk9DWUI4ZDWLPHrHRyQgkf RJg92DGVsghNAnb0EDQ5cEoK1CJSwECt4kTcfTUuXn10jZO7RlqqxB595OQLRHOhpoDaynQoM9Hd ivNyKA+up0dog088Ek8xJR86oAXXUKkiaQNBa9EIm+4Vwy2q/LMHlRVY3zXcANUWLWIqg3FwHfHC FcMECD+CGBF/wohvrAHiGBmQC1vhSvFshBHs90kuQ8DOrPqJ/vuDovugJ1YwGSeGYXiYL+w54CEl j6dz/B0G9dmOpzNvQZbzheNdm2THeB2te+I2/5VnBY5JNt3NS8eJkthZ+APjvhxvyWOHq7BQU41z gX8dbLe/AIoZt9O9Gz9dyynVVvfPoQPnS5h3Lje5mKpdmbuqP8k6Wzriza7jCTemytFmfAb/yFFf gmo9FvaxWtDVCLDhuD2a8ZuQRFWEIE2d8lmgO/rFk1pqq2ve3+LQ/zT96uJj1TSVMEXhdAqOowjV YUs/g54Ccj+E4dbIy3wAPvekVxMu4En1ylHaWlV32BmM0x66wcJP7mrMKMG7JU2Y8ZysaCOgt2ue JI64Iedm1e6R0BXBr+xqUSPI/yuGNQSmmRzDR77REQ/X0WPV9BUgG/jX3nAhFtuNPL7L/0DJcRXz MezCJM0NRWtsj8mndAX/dyhAvdEyoH3fTnfXq35EB1WBx6ft+jhN9LfDG/YdnxOzaYcnSIw/QCtY 70vmS2JVBx6QJdogWFnKoZ2x3JRRA1iw00TmKfE6TRKrbGIVOgo17m2ciEWyxS4qJuEPqP3HRB1F vHJfDB0DRTZAEHmLSgeLHimL3P1h5cvOVf6+ps0af1YlUM1naLFM670GSkgagB0dxr9N+nxwEUn3 EdVF2LbNjRvQ6+Sj+71KVttQUPUjLAWU1gHa6q5oILJ+mzEWAbENkCQsDlLPXCKuQhBMqhuSx/kY N++OkiGzjuHx3988bs0nFQvZ9xlNdmAiMx5KezaacGeBe7DGGdukMKdHD+0/pwT4ksuG7JWLTLnu Fnjmj1CQFAD5/wxWUVDJA5yvj2tyYX8TjIgwjOF9tV4k1SdsXWUffpBcloBVjpqgK5pl7VJXcrf9 yLIkmY6NSN8jdTa8fGUHydskJzdU5ZF8EYpRmLxXTjxSsg8Gp/CayJNILC+XAZzCssgbIc2iVhO6 cP4Vh7aJGuoKQAeyBOa4RaBQuwt86VV9ryNjOYCi2Uk8T/5taMwUkl0tnfS/1kPwZFmT3hRbyQxe PJ0izYVRPbb0PwPI2dxhX77TQxsotEsgrGbC/gj47I6lgLMscqhf/jJl5bC/KSUn/A4qr7o5NgtA ti6UYODnxYYhRfoNcjAR3/ByLzQPLHt6k7znWDrvbXrRmGpAgpYSMdbcksSJoihn+Td9aK5+NTPS bJXM9PWAoH9mOq/4Y8pByhUJX8BLocx1zfC0mW9jkZf9IN32+l+MdQOe4DzUPx43Q/w7gUPUc2ak hQOo1o278/P1Z8uFqIJsg5AV7n5m/8IEdEgAhwfgvErm0I2x40zZXiWcNlCehkI5dG0Cejo+AJ+B 8cok4Sdgs5bBaX8PdAieKMWkI2s+oXJWV5FM3S+kCjhM4lGXXcfYfsiBUbQGKg07lk46ZNabxWE2 U5hi2iJsM4Jau6oEx+tkVegjmQA55LQevO/MjQU0z8yn9olLOVK13463w1clK7A4YoyNChwNaTfU YGV79neE2Lc/jtbOUKzsa0hKKu5mFMTAunDsjiv0VGptNhYMXgJ2aDg8Z1WFHBF9Pa9MeipONBxV 7AY7UrlosBXX/oZd5YKNu7oQBedLx47m15m5drIxcgk5DdBjH/OFPOVsQ8ZSvxUJ+Ez/VhiKcUjd imsdLEaBskiSTPXJ5zSc/ke7sDROcjzWYKBs2VLPkJPp3c24KSlsIXg7T11NLEybb8H67QCHz8tP sHdjhCJk5butxUtV0sYp9DPb6jxc+7au42SQTR9ttmSzpPGB0ZAcDzGVh2dSGihJQQeBs+62Ux1Z 59qKe3aWRb9h1tVDc8GMav3LiaEyWwEDyIxLVZP9k5iUNkiqFb1oPxHx3or38lpbTgsXMcjdg3UC ZPBM1xWMiPzyf74qAe3rXznc2mEz2O1eL1OkP8jmnt87AX4SY7dlKNmtp3htSfrIlU5RdCDJdq/T ELz79HQgob3jJd/ypXQ+s5c947M2Qw0vmQIGF5skx2KmR0vu4aWrF27aJQFVQhYY0rBkX5tVNQEg 3y3BwFetm6UIJ4Q2msH+Y5TlR3uavCroYWCXCiU3CGzJK7Rrh+pxqGes2DuXJiWNsJJw6DA/+YQi pv5TSPEN79934bqU1niNuHfYWPrUV17w7E01jA3zugXYqQtD4KIBKuyEhbSZDRtQxuv8qvq4+J16 4QHvkEaj67BA4IuMWjyoq5HH2UN0s5LATqswIiInNea/B7VhujoRkckP9Abhvtv3a2wi1W+qVfpW K/Q1gloXvM/bbMxwlpMMKHP9TaQnzPjvtVE54Sw9WRzv6ZPMVwezsv4fv1gNk5ipfjmPz6ZrVh4r VLFcUoBbpYyGYvH8LiUFk+hamvg0YXM8NkC0WfrViRDmWp8JHHlegMNaO1dDs7r+/5MVT2BCSpFL mt/bFDiSi5XtsocFSF1rmDUqnvtDLXHpYY3dw7sPzT3ZTeGRpn51ejAj/Y0j3bkaL6/nQvz6jQjH 6yNRghoxCOvskL79YUPUaVG+KvH4T5cqj/mLCDHvjuWsrqH5pFEUQ15pqGyDv3K1EMSFxH0Klewl fnJnK5dU3r20hj73iXGu9BaFzbJGRnz3ORvg9THlOO1dJB6n93/Iauwu1gxm4xATMefxG+h48M9a MyeeQ3P84j84IFyM67tnOc+HF8tXySn2dkhlFr9JSlAgg+lslQeWVDdYIcBDNECWVWu97+R9zJwG Z3vGvg/E+vb/lJQE7hajyXOPPjRfusZVJCeKyNnUWpVJtIgUOgHbPIHpZp8t9u7XSsU3a/lGt52P Qk4SoRge3UKUtVRDfYp6FO2NcOPZbRsRT0xKFDsyTZ8kdFtcP1QeUn6VQ/SNr+3PtBFFV5GCOK9d h8oLrp/ijDzbbcGVIe325gsVPcjCC6xZHy2pprf5qGP6/4Npsv98pmI258ABojYzxHa88TqHmNs1 KZNPZGCO09uFV5vg+ak6mC+MbFNJ4bAT9xf9DtKuTwwGBI/EG38U81S9x7cPI72mxWCQ6rVeubwN aaZnlFdFZGISYMCDzar1U3lZec8KsZ1v0jMVQAIViGHe4GPwrQGlWoXjQwm4RnR2NxikGHW+j3z4 zcy53t7ov7PKzJ/Pvdd2pUED3V00JQsAeDkIGYO7zm3T2o1TPN/vgNofH9fDNgf8IcKZ37YeSuep RnKK/jUT04YsZf9ONEOu6ztuost8zoi8fOg7Qz9unHQt9bD49JEb9chc+48PbqzratwSTvbTUnLl n1aRdVWKV1Tqs8vnPkZxM+RS5u/MR+/6YwdPLusVXqGEWk7s/T8gtJts2cE5aGdkr+3P80F+pe/c JQRgaJqaDVydJMhfvulrr7UQFn0Vi0sHxxuGrviLY1Pjz3EueO0Iv13/2S+gL7dVYWlYSyzxUsFh JJ78xT4ZELiU4hVxh7Jkj/v5lIi6j0TsFZ+Q0917VzhCnfVjwlzB0omi90TG66F8JGZFuLBxSif0 9D0dsSPROHMr0tH7cSB/WCRqFTU3zMngQGdCzLwpVUACvaEIaH1Lxp2cUJltG9mfoNBM/TU/18DZ NAOS9araFD1R0dRq9EX0xr4Jm7OuG56fqn45vSFDTGEiufOKV9y0MloLk7HGi7HQEpHSqZpTWk/3 yFklaXsUigG+PRRyPBsfw9mg0evsdu3LtVaDG93K518rzpavmkWWbTGabYci9az+eQzHpWsCrdUm 0V0la/O5VWgEtgBUDDYOzdhL0pPnaQzuZIrRbfqF/kTromSl0Zu8Tw00wKxlJd8LF122zbDPvKrP gikRzoIViMZ5KuWbVi9ZX0g87tdP0pfY6smFprZQw0AoGwN18h6ja19odo5XkWJ6xOcSntsbiK21 xpIoQ/SWqA2Ne3zpIPnmkSGa4dCUwKeMi/2Bj0PKsqjiqd6K0UMhdLdlKQYhYtPJjaV2Wzys25yZ T83QaNh0Z1h4qdLZXGzwiVR6LX9FyeuthmYHp5IccZZSkIk/HWTciWpZhtq6YDBtFVZBfBU8EMyV P891sThHokNs5AvM3xr7aZjVJVehbbPdumvjpNWI8JsEFkX7xz1VpAYUJsKkzZ6gijiCQG5vabn0 Irg2sdkNhpiNeElDaSEKANWuO3eKq428uF5koygDGItPjrFM7I5YBO7wRXeVU/KZ8HSC3pqLFwIc K7rH2kWXkAXA/+hHlwLiBtYDluN7wkc8qxQqmdNcEFqbBMR76x9/RDSN3GTsIENmHu3HOIqp2YBp xD/X17LqbPuXEPg3FDR905/WQ6MJw3HP149apVkNK9BuOp7Jd/cWneYsc6895vLQfnd/6KdqCn0+ 9vq2FiKCBSc54g6jWMCvql9DMJINZbe7JbO7I3c0WgMZXJP5UpOxjcN9fQSGJp96vuN88+JsGBf3 Ccr9DJeTPhHSvWNAci4dueAyFeUbKb0SwdqglzE90eIptEWAG5V45FrDCsLNmJFuWVOtqFnkTV45 fxRT0C6V1SU9vkvQUu+hQm83keLk8W85Fhkx1eNHoJ0e+k/xbV3uegOxxfzC+b291V5td6Vej2og e24YeR8TlGZwxoe3fvmpJqICO1wlCCONwK0YMVs11aML+8HEjFBUwv7/bY1wUrRVqvGQRFM2wKpo 5UVV/Br0wlB98yMZQxKrhK1VT13AVTcYNWZswqeW4noHauqMGxVGKnxmaXPn9/RNTj+PVM9cc+SI M1t5cjA0KehfefHod0KfE2kPvhx+DzhG6LRIWNa0HEpBuMATdq4H7ZCoFdKX6ErImRcy5pSpwBcA OQKFQtV6si0ZZUyJPAKv6vj8iphPUzoQ0LEqfRKmpcS38MlCecS1LjZQ/kjklVPKr8Kx73z627sY VlPTWVzOczcXqKXPOy84zG0h93WEhN33LkXzoGtzGbnjFDkA92LP0eDvxq1ERR66eHbOOQ/Kyjk9 cnN5a8ZSQZNoqUPA2OlrWO09yWdjwmVJmUYA0HMJqW9Q058+fWWVILJSeW5HG6pge7lNMRocXPsa 36fg/cBITq7Gq+uJjbbqyUj4VBZvWXGhJLUzdQBv7Bp+gn66rKmECJPa0qIzugvA1wUPW96NX5px J2Xkhaptjss7Utjer5Ygb559W1NRJhfwTcirJKCLfMlESCw5hl8+nilJjXOjTt8w8oI+8n5Q/nyh 9GZ8FoLRv5nN+qnFcufKPkUqyS3vFq2Ufiamukr0RuKcjo+BDK+vb5um9oEMr/YE8VW3jEtnr2MP JVwOXRM0AfTfyX6TcB6mVsB3CUyuN4yeVCd/DvC5RR9az9yFtT/H/nlj+Jbj0N+a4813XMkHkT5i ZKcSHhnF8Qd26uVceEnJhe1CAMU/7V1H0eRU4B5u8uBHihBHxEZP997X5WlVcDUk0gChLYAaPXso cTTwmMeFc1fqC0nrReGXw6ThZqrEqSFqOnDZ9AwlSIKup9uvf9AqntpE53tUzNNerAmoxj6qfo16 Xvkhap1gDVjKsrHViAmlnz5wR3RSCRpMm0d56/6NKNafZIl6XTDJplW2vF3hr/IaF9OO1McRKKLr BQfbzFF4X+0Yzoe8T/ULl2kPaPf2YFDeR4LZ2OaZ3MkPmfZY5B3mUciN9A+vWJChygU7LzjMDW+y 5lWa0D06rXd2429gf6isK7ZDOvMppuxdW+y0XgRAQG7Y0IAOMfk5GLIfZI4dpuX7/QKS8rqycfe5 IF8aUgcwlfCulwsPf3GGDGmz8Y0wOY7Onra+0hqxFmNswA/I7WAg42bEc1SlfJ/LJhAj0Y+3HT59 YcM91dnU5u2h48cjRZrO5RtgtBu9j5rg0eVB05vBJ3KUIAyzvi9fjYlQLI0pkXu5fMo3GDybt5GJ Z/psNSNsbk6rwjyfLfNTxgDVBbnvBq7mA1QwWNMR4Ywm4GTs71IP18s8Qp11PZdnrGMp1OCOcoaW SR55KCVQ+HkEg7NrkQQcpDl1gmpGuczOu8N7Qc7WZc8jtmgdQHWxNwvSPjFKRtq1QJm32BtA+g/m o+vZmrGLgrXkG0jb5EWzOtRrRtwZckaINwIKEWx6gRN2sbUCc5HanFK0O9O3Y3Bq+x0CDX+NfXKI HTEr4rPbfGj5+i1obLrrbzNiyIwEittbqyaYyS72p9vduf/otMMxjU9QugH2RCf+RWRCfIRwg4f5 FDMtZU5eMmJ9lFJvRtxx6x2P5oWavcgc78ow2FPnVbP5LcZsm578ENJbNAuqdrEP/efjTChsYzAG un5xeTqjceS2oG9mNzWP8IKk2rXXA+5meteYuK42MLvij7FU/4M1amC1a8wZ5dqwTbZEdUKruDJk Ii40dtL1CizXDULJqBZAMcq8whxmVA47vrauHkLgA5nYA5XYYwLJTs3AyNRbahzAEiNftRWCtCW0 spwnU+VKHGDCn6Cib4uX8eCpLd6y3qhFlS8JRCJ3oYLlGnHvZBgVQLD/EQ9OUv1GKt1cv1eUg06J gIhaUsHdo0BshJ5foBZBj9/OEXg7cIDgE84JxH427RwZ6ySu3XQu+c7dtr/OOx749b6m6Oyg9Ycq pLuow80CqcwG+m1RWhWUZGQ53JzQD0XrDRyLlD250H7XOlQPutFQhrFmv+iWqB859mMyK1hTEYXH jjmuPI1odZUuC6JTB2yGYe2RtasAOre6Y0injc8Q2roEZ7O5l56Q1CuxgZLGPC/zFLrLldjkpRmD 1sCX7/SUUIg8kM4TzjyArfQdpncy2tZ33ms9SzG1hEjTp5SzNxdfzbij/3/hed1hh2Ls6zw/P68r 91vjuxam7CFt66xq4NPreajIqvCKpgvYkxhxK/IcqW1jI2zNV2ATiTtMd2fNWZjWHOdetP0w69Dq jx0dBl2l4EenAT2qqm/GVw5WKjdq+WS2D0X93PHUc3GmV9iGYCtLOFzS4WETvYuMlkX9OYZ4X3Mp NO/ooRwa82v4zOvwEXG9puWfDB3DjvsvD0PxRdfVxVs1FDo+uULWWvsvqt1UMjP1jWDx87Ng+rlp QKeIGofTQ035wboNHG7XY0cuc7lHUb8jFoCAGi10CDCak9iA/5KDYranGF7XhjlNeiPa0rr+btYz xPcP/Qn7lyr0UrAt/wMjnTr7OMBK11tD1OAaucYvqpMUuEG34yhxAZg19aVzjYFSZCAqVsjLkTha iUwgM0l3B1jb4X598iVXjAuyKD7OA5sou4BLUvEh6sFXWgi84YK2Wlc3T/lirmEHpi5S0KMAP6d5 kyY0G7wAJCPsUKeyopDGuK8g86ZmMnQmxt9lvCtifj05UpqfsH5vm455U0fM6jdNFtuPnUknQbX9 Oqko3FTMjHIr9Z7JtGFhwviw0TOXa1XWiSyBGBxGuYkZxsgVf4MtPV8v3vofTtxNutP+pMBMa7Ef 37bJOCSFTEA7lACivMgqS9WdqptMojKjkhIVlpdEtjonsnq6Tfl9Iuq/WCSYNyBGEx7B6IG0Sfta stnN7nX0x9xs5MusfTxpP5DLS5Dv7iWyooAl0oRq/J/K2IXceDN1YiP+5oMnEaqanSNs0KyrqVqx c6v/1qhP4fR5RG4TVBG9LbEFS6uRGFEcIXIzBlTW868bMuUrcF6AQJyBXaYMjKKPQMunbzdwf8FA J6z/OAoA9Glp2ME1+I5Da3w+XkRgujo92VwuR3vHr5V17DpHdXwvhP6/RrSfc+Jwj78pRV0msS4o 5Sl0lKJd8iwvdh8AgFDZrGJi2Ev/i0xV7Z/chPjPJTDYBkPDK7wUbslu8XdaOMny/nAucheSgocT O35BssrfWNmlJWmz8KcY08QKTJZur+l0KSVJpeZvQ/ZxAr7w56yD6ZscVUfW7qk9d4V+/6VCvRye u/w4qDNE++d2i7bbCghhO8i8V2OsOkcajhq03zSARE0P1naOJrXpuXixT+FaNqXO8fjjgIhz/QIK VPCDnunP9jR6TNYyxp3txK+hfAc4jZX7ghLMcpjKbRvXeFffwta0SKheim3cjE0zSO/sfr4NSxBt 8AAKIPseQcIzmXfSYJXEeF3EXcIweY1MWt3lEGZ/OxbI2JpeWxufhZewqwimfzt9lvDOf1RO3Cx4 ac1B9L5X9JWN1JJRT9P0tEx1KZt4Wo3f9PUUCeIu11nPs/+CpXFAuJl22nORVQf3rYAU1LlsO3mK klYGA/aVK5im3GN3Y3Z2jRi0jxtnBFCeoOfHdWz+fmzFQSJWIrHLOT0EHVfCNQqBQt9peq7PkHjt gpJBjLM70otuhjgAUOnKdOnCNhIvx/jALL9MgHHZmSDZxz2ZB7ojY4j0SXIdcnpHiiXbI5om9usu wI+0a7CNi265cjRfGhtHVS2dkX5rWdeceoSWdnlpaC3+lx74rClvDRutSFBhM7ph/iri2tNex4qq M9jDBq4J4HkLWnBoaWxTa5Bhr/5OjcJfKT3b5zGztQ6p6s7DSJ3Hn9D8R7g92+HE4WF2iTosWFc9 eoSrlvYsQKqmChcreeH0h1RK65EilaFGQ+0NmCI0hIblD3GbSlrRDVjN7SWMLclkn9Mg/kNS8zDy Jh4Hd+CPlRhDbwQj1nW5OFxg4jOXvzbAiqEI1ypA7xfs7mgBZJ1QjyjKP59Hz4VdtOhsnT6nAore a5Gl/ZhulHsY40G8YbsbwTRL1lRuvHTwMQqcaOFZUKWVLgW+61ipsk9fKgR5R2Y8VmRiAvoGzhAQ pgtCMNrZsJFJv3NFP0yIxgx/YmJsYGinH6LdbcQe5XO6L9XS5KwnGH5j4dmyI2+Dy0Zg7GN3wJL2 wMtNJFMSLra6DtHZ4DPxDzG1hfP/yuhcBQjewcX1zbq+xoEGoBDiz02kF+35KN55CmHgibhTBIkD rbgmstRucUko+oIG7t5x/ZdvOF3+aH0xA6nL9H45Emzw2E/EkZU7qIsPzXCx4gxGo6zJqRQAMN4S u9uAVWTfLnCNGy/oDapImeufnX9g7aDgvJdEEWHDH2aHm9iq4a6mmTVqRSfNxdT0iHqQQqU4fewR k8WPQYpMZmmRDhD3YlKn01ZV3WYWd+qOlQKE4d0yfmR1JRhFvuh9p9XUoznMSqKtCYxBFSRDZn7F RTwWgndAC2CaM+te4OJN6pNQWzMgqSy3FQvQgtQrnwI/g+wOYQnyy8lrSaCXmQHEy96Jih9L9syN AslxM0UewTIMkb/CbKM0cgPAx6uWEZ1FyZ1W+gAajGs8EUjeAjf0q94C0skKpgMbA8hivmANskNh u3GMpqirJhJt/fdVxwvrlIX2MP4L/IcrAFwg5smU0gDkPlu9HIaz0PtOd4kGMsUVPO1J/vU0j4Ih 4oiPBmEwt6L6nilyeVWrURl5qFEcwh3zVPCdLOWnhQc4roB7Sv1zJ8z/8K/kg+96hQU8z4UOfz8a FN3qE14zNPik36VZMmH/7OQjoNndnrl5y07iW0M+gz9AZJrpxeJNff6Tqhk4q4jS15DqKY5Pg8Qa 4w3+bDhE3TY7rIoP9OMgfgCVSxBs+jIXQy0yGehKn+6Sn3n98ZRzJ7lmY7n3SBdytXTRQkzroKvG tJ6pk7ou0nW+z6YNA6B6jOlNPwaEHwSt/oWjemY6o1K1CcjucdzuU3vBVpRtA/WbzNZBOyY/G+go hcb+tBrEImpmapQ22aBo2uzr+EZkpVV3v/YtMgg3p2dR7/8hbaaDKudKWLduunN5SWvHwPOyW4ny C2nBGiD/0hAp2/Rma9kAuIpQTx/PCoWprsVsBN/xxa6SRPF/zP+PG3vnJrYdekpAHs1oFEoFQkiV 7ONvqIiH59ORKXAKEZPfjvY6UpfFbODCf/l5ErgCb+1fyEYORyG4CVGT3rQdp+qJOb76JonMbkAh xL3mBQU/XeTe1fr8dyIyGE9aRWADavVyF1rhzcgsdBPQqdIFf6PRCoQDKzkZFHfc9duBQWpaqHsx +JM+RC6v5GX+3EdC1VXeRWSefW6O5KhJ8PBDV7+S3NZ8Ni6D/8bevRY/acbdyblKvMztDvSWf9bI M5/4PlfGeuyZDuNdrqOjrcF86Uss0grcCiDwRfmBm6MZA0BkJhpLlNU8CFV04y/Nsbwk5Y3GV/xj 0v4BtU/m6KLmokwrlqYt4ikLY4scCo4xJpopYgvD+4YynncKqbZfbUDjYcfUq3gq0qY6PNvnLftL TishWW82VJOwEercAdfwiUvI10AoHBAlu0861pPu09NSYm1Wxp6t9QUY23ijJfYCRwKU3W/YAiUY VU7ip93Vs0+1li6I7In5hCpIwW3wI7B1MAB0q3NSEk3FNENUwsvQtuRrIXf+92VaIxsaCxv7aSbV hbT+x33vyAJLJxvqMCh/6jEQz29G7fiTVAVy8vsB88RauGXU2ANISefqaopB0FdkD86A3uJAYcGS ZapJkOlG4fexvn6DcylvMqlsiF+74yswElEHkgaxmDz06i+mtFZmpb6+Rq4UCKgCkPONEq9b/eQL PvcIkogp8xQ3CKnQVxocB3nzgK+svLwCrLonZ6ZZeR2e9lJYhKflf1lD4zsG/3bZw4ro6IpPDbKl BO1U/QiRiPGFgCr28SM3MlMTMAWOcb8E/oUIzkzyvpjAXg3PVxWf2iDSIkQYSgAy8l4vlCmAmbT4 KVmz2hPktgfId7u/M11ba9y2kpatyA11jfc0wk/v3mHm97FnDUmeBiNOQgnJ6fDbmdQEhrvOiRCk 3ID4+MSM4nyV+g80ki251ERVH4nGTwq/GLRCMkDz7TmyzoF+qC18ux2sb86OML7Gs4YE2j/mZdFo g/ikjS7SveXb7G+7QNp2No/vbwKjW+Rrpc/QpHM5kgXOexo387tS+KUBTxuqHF6b4THUk/1YhP7a QXQOpHIZDc+trXocbdg8G0zsC+PE08cmFf0nv8KNCX9hdzvoev5HlWWNqKDKMjNxlV7aR5hjDt/+ Bu73H0stRep7n9rk54MLlY3qDYNWZ3De6lDos1IROVrb9bGWsuMFw7EUp35jwlMDfGwfPwPNSkzH 70KFskK98m84SoKcgt/PZcv1p5KgCJmZ5yRMYovfGfRIlancMiVejmFBfJu7wmzQoPMXbFRtkAnm K5LsvYYwBvDrQpCX1Wh4DnfG9lWOKh5ioHsirALbb+1zXgCX+7u1iEPr/uWI7Os8qxwc7/sy9xXQ oTSOAq2BaPu2an/xp1gGouAGxKVClC+0ELlVfONET6NnIbYJDxAKjheplQ/im0c6e7G7Kyd2L1er roUSS8SfG4rVaMXv8U5XJpc/z/RcMovnufBmyVC0PLNAStedp6WkeXpUzDKKD5hDRHhYh8S2g5v1 BTp3vWiIb9RWGjmNQfgwFl7LqS18JkLLl1lk8TCdWO9FWfAqJ9D+hrqgIu8Do74WMen0hTQaqvBo xgdpWCf7O+KhT01DiPmiBj9swECe6a2350Iqh3JF701rykVpSQAOv3LTLAqch+IhnWGpvIh67yxU ux6BEax4ttPkDS0mRSTQxfJjJxIro45xjT4bl1qHZ+KUAomKR/+W5ApWXJWX5b1IPSLjgT/IgHdi On3gOjk65iuP/Zzra+EU6MZmZRlufIeoU1PB/7dKFAJX+2Gem5Q5IDG/ze5z0hmryLGsQd776UJV 4900i412t9iSQ31PMDd2+E2pR2cOJMZ44u6cqxk6U8xKAzcQxFxsMqpc1+FlBiB5LsoiPj/25/1E G9gvxHZS2bL6idDdV9RjJ3VOL0+JtXIyORthYHLY2aEmWvCt6betiH5MzYrpJnHvXn7pleTPVsPs 2qOFPmD9Qa6szNlaqV5OnkazW73Z61ifY28sJ7SOPhxSTTgdprpAvmGAkn+Yz5j5qx6BxTikRUbR 32YfnvYELF50z+SRXypEYyZz49hvxuKDiREXrIuCq0rd/4i8uBESKetccQ7sXJyxF471klGLLlWr e6TxrdDlaA6CgDJRIVQF8I6h7xNBaLdf7waMi0ooUjDX8OyF7yJ+SDQUjjO7IbBQ8ALLCD7WxWSO rLwDuLiOsGZUShNsCm/QmA06flfFXIYXcV06x0c4TYOHlOGgq5Wrg8yJLL12JsX+Xqnxyonbezd5 ZHXgFfHEhtiRFSrgrdlCt664TOfxsH1kIA9BcQt8p3HWGXAriJ+hrP52kJW5FgIVCPxTR9UmMeKO UL1jgtktiHZmOOHMumHOxbARmLsq2G1MiSd6a8LVfcrGHd/eMR5tbx3HK5Le99QV8YAb/zALfwnL MR36iidBwaQC5/2ij7t5WI0jHpTu5Gqbpw905oGOtknNKkHhCda5cxPECb5JJ1pb+QBLDI9NwBgS xZy1J/f8VP9wes5FQNm7OGyPTpUFeYXwFX7AyLIDT5xIrB+20FJHmlbLXNUunvTkde+cmeBr7KfQ 631VIaV1QxNm61D+/rico0YmFkjvZkwxEx9vFZAI7g6AVYu5sct1mRAtLth3xnuySE8d06su5tsQ xmK8333lL8hdsUli66U0dHAzxH7Ezm2W4p4FMwXiRdgOwwuLE8Zzs7ND8N12hqSkPXZsS1ccA+Uj lpTEIdNP5BQJIQ1pOtdHyarea03kiPaV7cIiAWLeVMaa4J2qyMRdYArXH/xp5UCt2xQQMPaoUvcY MlCSCYHnb3oiG6tyc/10pIpo56a9HchfHGZ4bM5uVJwmP7pOOLqZ/7Rnx+l2WIeKJEHODHWFgolH fyAFjWoRYdGVwNO939WArUFdBbPt3AK+c1KpHjhFuZy7+qQ8viaXB1V96V3VMfXK55qKtDBdfdFz HFenv1JGPEXA1+XKVpBPuTof8oHz4T29RsfS3mvoUCyrTa4jThIh9OmoYsyQ+IN4d4usjex2VP+r cjSxz9pE2buYRvoLvNaMsezKKMGlkjDXlrLZjgryqWsALR0SinMDWtyqhFcYyvYGpZ+Of1sGzXQa Lg/ovRkO2s2+Phvcgj9rMZj42QHlDew7o1opwen6rzN2GRAEkUU46b1roTKlEMBmAW0aQZMc3xOW 0YGRulASBP8dDTQFKhOItl5QYAjXoKStXGwMVsP/jKSy5Ab0sygenBehT0JjziSp+3kyejuI7xRZ F5tgF6KjLvDD5WbF+ze54rDaErsYQ0aZR0gkoi5Pus8vck2Bd1ULJR0cfPe2eZh6ZPfE7QCHMA6w ae/S8PiNkTn3FU76f/A46pLp4KL69zzudey8taa5qv3ixs6YdxwKyHeUVlgwp+MF6KYnsauk9M7Z +TBmogxg0vA3/XQV/i37OU9f3w0wWFRpYbD/t59Cc+A9bek+V3j51P3SgaMCW2wJqovAfgAXUNcf HZ9iaOx9clj7hRw5+2C6f/TR7jiUjxFj/4lV/CCL6Zu/Ixr8wdW/zSUkrYuXRu9DDb1ZlBFWTogR VRftEj8a4Dt5bQBmG/6Ek7k560f3TKc8CQOSVXhQii86mgBZ8c/i5aDaUFhtED399p5TousPq9QM d6LejkumHC/DuzrzHbmZoNWO2Wk4UZvi36tJGyLmrqZrXLGKTziArUwtEHRoYHFQ7WrRMaxdubzw pWAi7T6FqQHfeyEwNwY/5vXlEfe0psBSJY+09p6B2NDhvhjFczi6FhSto70BXZQLvJ9HNMyv5ZfQ hHGw/XZjvyWLuV7udLun7ZI83Iw46hpB2zkCvQYx9tjMhR2jN4lejRrZOHyEMsXHTgl9R+hvNY1c UwqkXR4eMg694LPwv+oQm8cZAqNLucZaLYdFfBx0wN0DISvG4Q1fCLHOncCUF0eepJU53s5e7IkA +knkdfz4GxkSNf2Fs5cDk9Q0jR0YJGoNznL/otTz2iT7NCGfSnoc7ylGPlXctCe4JE1quDa4AcdM O4tz/oRz6f9Ig7TgiHndGGiq6ITZUP0dPk4FVOvMETL/LgelTNbXdZkZUdeG9ioGhYoKDEIQx+NY /A0IQsNkvtOJypS6tfBINnhHJQqcKDbmVCuu1SrG/mS6Dd2SC9plY+koehfHyyuRWet1LWE/3nkQ ftacZc7nF8XinhsHbQnjgwfLNYV9F9hYdyo8ljUzhO1IAQo05/sDp3q5+ApdOhnwG0YU+0ZG/3M5 TeeGB9YshmhMDisWv1T9xIB2E4fJf1wIGRaTIrxbDA90QBQLGvcSrx51FUbHDNxU3gXbHhYQ74/G MzNhSvgODZTxPh6fHRBrWrA70spgc6A4iUcWYMCItuldR/blr8qTlNljyJMr76UV3PFbNNr5R999 RyWG9GCiACxEEw+uf0b2OEE3Lbtc4rI291QmIs4w1LGljJoHmTW/R3GBy7/H9P1WX+ijox1Qhe2t re0O+vWDMJfrYbsNqtlF5FxH+0z+eT/kZM2hYKpIP9F6CCtLrntcFyl+jOXrcNJU0AhPmcnqpK1o Z1cigM/g++BVbY/a/Ti+MkyWPwf/tzWZh3BFFm19f9YDfwYlzCJCYDtEA0W0ICY7yO8d+JzJH2af I4yCkLEFyyL2KnojfXsEB04Txzpnr383mRwQ7b7l70KMi+dU9Uzp0AAn3q6Cecm822Tzs9Wz2XH1 bhi5l/NKLhmGUAiSE1GAtTvWcM1B10OJOTXA4eIRir8gg7kMI4Zc9UmETmocx1Kgyt1wjeKB390f 1EPpF8zHRjvT1HRXbKdNQDfEaJVcSTLfXl/Y6L8EuPM4ukRs1RtyZG8OtxsgXxFC+FxN3iawmfY7 ByWezsJ3iEiBjD1XzsBoHG0VdtePUkk25Khiu5BKG6+KVhSjLhZB84IMXUMe2pVHjPVN6/RfWHXe iC49fghfuslxwmkGdtpgX5GN+wjwbB+aDPtrqu++OSWEZhxSXgjWQe6yK3O6gcu0VHHaNEpizB4I y1MaGjgc3s3wsUoBX3Qss03YaT3lepb7TXVk2twZRispEJ9CEoU4IK2jgNyFpoiubT7IIJDeaVct hKToGZt8Ln0uyULc4vX8qiymsre1oCHbfg+dMREHNeUfxMq6DGngTXIdbUkpsHfdo/foVPvfLaLv KCCR8QqtPuvjVOCm6j3wi5wRhDs6khydZ7b79cYXJIH6JyqILaPVWqr4+HgYaM8z3MfEvgtfK9DS /hcBSJQlyyiMBbaZuF7a2J5cyepmCXuKObc84XkhIrxn1dSlkJK0WD8w7zc9XivD+VYo44+7rKT+ o7ggjUVlS3vJcBS5B4UddnmRRGQaA9uzIEE5V0o6Edszlb9gED5vI7g6e8qzBLu0BLs1oKPI6oAA Sf4VbG8lkepQ7c9UXN9viKFTxgsdBZRyXp09TqyewzPzBw/+GGRLOIvYHVDHpal5UeA/HJ7b3I3p nW5i5hWWk+GQnRRpwYWMOdXsyz8SPW6WBlXqrWCqJYTMli6bnZHAFRnp7Shvy3M5KYcyDoQPQsiP BLHS6x/3yBaX+KNbuWaOtMR8PSZb23vF4NUlvLJKHPBlhkjGJUOL2Ozmw716Nplmqb+e9asEIuGf j7QJ/Ot4bJZm9b4CYv3wT1TGtfkm2+hcelOOdqs1uK8YkMgJJzKTYeplXuOr5ov9Mi8ynuKwUj1F VZX7Rpcwb123NHcTYjab1weplL6xDv2V1/veI+3MxR38ZbZpy5Fuxn+0jiDTLmZvSRaqVZwqBZ1o +ALvY7xOo+IwsI+tcLKpHFtK3e/PJi74QhMQdKDpqG4ykpHQwmAqlQ3AVWZNYaIznPvR/u+IGh/O Le2knXBeLgrXlsW9luHcSABzuPbVZpBVyK0AOnMeWNLnQZwnXIzs9YOPL5M6Ti5lLByWCTTi19GF 6ihFRJN5GTmfhhsw6yMLoPI+mPheHa7M/OxsEKhZ0HRsF/BhQ/hUtnTrkMe1JWF78ZnMpfquacbN 6qqJyk7/D17ej7jiKFGhIv1BJ5M3nOhs+LGUd/aaB3ZYlKbUo0gn9KAZswSmA8ehmMsed2hKSqVF Hg01gjK3SRkQJDzhTkTXiyelZ6S/nsVTcYqN2FB6ABTIjOpuelHBYL2eZIhcNpvnGwtN09Ze/zMp 4baQ3i6iDp3UbABZwqjQ+J4EobiTf6t0b20i+hD9lRoJUy+Jj17bdZmxFkutp9usOrp7AAT53T37 x4nY5t75+vRVAdSytF6oO40kZ5siGa4Oi2XPqVA2C0ZEUCJ598ndJ4j09m5QRpzQRirjDJUnxk8P TEXIHi3WrvmT7L0n4X2yZSLbj2sVvRBGaOp3bPlaOK7xpSI02APWnd6Uo5O/i8VPkBsGeG+21CeV yoMl2eVAR7sTIV3seozmQM22sgIJ9D0ICKxNKevQLNMnR/GbSQTWiMIJUxDCINi8UrdlwLoCyZqP 3qNBk2sJNLpcLvpt+XZy478XqQIZxnWwBQ6Ve44vOr/Goh0rsgPNcSyqyxr8cYXdAAUTHZjquxTR aZrFDvVqSRSQP8bG7eKPveKyLRcnB12FlFmdVA7S34tj/RWAB70/4XBByXE6+Vv51imBvfN6kH4D 4f3v5WVe67G2Et4suCltY8znWEYgu6t5rLRQoQygYDC2SzsF6x+Pp4KKce8L9su+BRWJIj4IvzXn LEijsZ9/n163CBLUNyWrTqdurdA7VMy714soDxT2E73iyPWEoBtN18ufb23FqvM486zZ7eMx6Ffr xs3yaHrhxu3zJVWHKor2550H5HBQW/Q/dSM3IzAm0hXBpb+dhgTMgBPpBBgZVsUoiPvdMt0HEhkT Jd6dXxq9YlmGOO2H6TOgwF3DAdcPm7X8ikQYBg1ddx7gSfaiqFlrPIoZ43+ZPMkfNwMieBxd/4hp RhuR6P29aldbnv0IKLqIt16a2WrklreP9HynEBXJG5URT4I5ihGEmHgo5ZKHCX4Nojh4v0XkJmaa UFvyu/P06tf9hrOqtHn6qY2QbedQmYgZRPB5gm/HGyN3d+cesAmYNabMObK0EVvTciyDi5QWdt1P fNEwfPndv2qxxYwpsE48JW7XjTTEzkCEApfuAnFDlOqsV76jMzSPwHW6UPxCZa4KNh5gVUWtyXZg oFRTr3vmVfx6hIRg6Bz+N3d3MwW3aRO+GR5B+LVNZhjzW6I7achQweGaLZ3GZY5jtX74m/OY+U88 hJwSnbkrhYoTGNAzplv3CPVgxGN6AWseurgzxw8TZvY20wdr2fTnLklQI27X1c9fchHVRAYubzl/ 0D1tU5/VnzZosNZlph4VIL+60B4quh9a6IL3nDzCKmi+AL3JMDH7ND6rk3xvbYFO4nYJv7iRoGqt n4t/CSpRyTGC9HYcVQnhCix4fxEcMK6SbAPSsQT48ZmxZePNgviCyPSfr8vd5MZ5N9dGepjYk9bb +oz/4ExQu2AX7jNb4gXYRHloxvUNT6kk17VeWtcEogVrKuxPKc/BH6I3tbMakI8mMxMIXGtHPRSL eDjZjA8DrcmF8bJB2l59Y1V+r6OdqtD6I4RkiPMYSnKhqlIDv5P5JMupTg5suxkCRxcLeFLGCMDP D7AgJVlQtNpTuBz0tn5QWTXnODS9lo2VqlmraxMuhCBeliJLx7V3ncQbt2fWT4dOned2g6QcmfJF qGp0N1FoXZ0nCkyxbnPVg5I1sKIehjDxzbvCfume8j4b78pAMpzx2JiYAaZY67bKMvWQk5joXUQg YJ41zCgACnpISDLEFY9XUc0ncrmdkSVsxu2i3Y5IrpeEjAbqzpMAHHqBwAiNDRC+McvP8uWkE73g OiJsn2izBAmaKq8cw85KAmww+Uz2kyL2I3G9tWYGiNS3kx/fdk1gY7rJ9kirwXl6cf7gsz/uqz+e Rx9f5Oc2B/zTRRNMPozVW7VRvw87eHncMXPm7Bx4HvWh4hWqtqYKV+BKk9xZ/UCVEJRzGKKEo5PX 6yBXOMHNSdIwwhtKNI8u2ZPxmULSCsxQlfIhGBt9cEWPifGgkG4aBDfTAMaDQtLX+IDypc4cCuRy 3T69cXak4VUGlJ+kcF7DjvAVLsdfb411MrRHQJRqm0D2p+ibbpvQamnizLHk6eRQ1mijzIofCA4p ECL1O4Ml91sOfrdxF458CCC1BJFY5uOGbiP4UFPVos6b7C7qJxnIO8JUG1sNiXSgyMU4L6O6I4lI ROzuqTP0wg7jQvvfGchwqwUcr0dbJeYDUd2LpGJlOpUu3KySeijqeDtG4CSDdeLWN1oqToapcUIc 3gLLIrtlvkwBknl3g/3i21GtfuXd4mlKqMG1ulO9CHbGpKPzHoKn+Y06DXfzNVJUIW2w5gKN3eTR 7IGr5VBp0cL9nPf/owlcd5uXnKgH8QvcFxLtAK+1QKmHOLUb6MDdri60ipcA0Cln1LSH/wFTv/TS px2JNssMbiM694nWDUxNyYa/RP500OtmcHOepE9FdkmYBsYUfN5OShy6zYdbZxye56Vgzo5oanoe hqzRheIJhnE8OK0WFxsXg0Xx0Rabh4fts3/dKFA76Vt0la6Njy/l6BbpFZM76f55pPCfdPuyY1HX vMbvDv6eIXTlmCmm8/6SkCeGtq3z7RKeW4bgMHQdhNl91hlijUjjWHdd7+W5mPw6AUH7nFyydv9k SRHnlfYp1n4jD/KnpexwsydzMHDmni2r748flVykjQ8NyMW4sha3IDer355FB/00AOCS8YZAE+Np S9kR/4yPzssy86u3NkAbWrS3qvu5yFtP+/rZfZWC0qLhbXTJOOkEy8iUNTdiphfsbjyN0lOgS4r5 ytHCrIYO5DS+g8X+5zRG0L+2qr4ySry/PeaR/KIWN8lcr+CNuyzBHCWxkY1JIzpPmNRPl0fTN4tB DSLpUf4kOMu+gLaltZU2fPQP2aBTL5xmgBJ1QItdid5GYqHJx3tdNiey/8WUqB0wEZKd3q33EV/l pHABTNlzUgmP5Mj/7S/AsWy5yAUGIiHoVtu6Gor3XQEVd6L1+49XK1ORGpETc8lFv+Ig7brifi9d XVv1ZJvBVJS8S8oz7p+oAUertZ6li3X20O1/26TwbwGNo+EF2pbS3heQOVV/5U0RSWkGz0DXpCAh aYLq8+W5Sg8cxGemnm0Oz7OurhyX2HpBNXgdbZev8TyV70tUgPeaSx8pgZYHiblYlTryBs1dC8yP 1M9dkA8Uxr2ui8bNAggOBSKW4vKLolFZskBTHClugTdusKEzBDoXC5suaBl5nZdzg11wn4M7Fc3n Py9GYyCnuHovmVW3YtBz+DvsBy4S3FQdbk43CD54PQZtWg5+7JTZ9Dnc3DfvF3fJxZYHyPYnWVRx lLm2KcVnlx1iBLIKkYrtPx6yWZ2yM3g4eL/FqLxPby7RAz9uo9qPqZYM0ggkRYnJDxDbxfJJWa7Z cLd5j2q+/HBoU6USsm/y51KkC+oDWOC4kgZNICcFdQijd3uu41TvilG/judtR+5fFxOhGh6wvTJU Ft4OGkL6T8Bq1N1pTBd2FYDisrLbiLvk/nCSQj1PXxY+agM70OoKWvye5BfsMd6+1NFWkbHLtf0g sBs2ZnxlQ5lR6s0MGTSf0PXJXoVZwBwV9ZD75iWGZnFpC8llCRqDMYhh6KDre1uSJtfL2WXKJWBk VtSAAnliAYG9UdPgpgIc9FNdxYjjaZ/LSzS53uzXKhqkMEDpzDTpGRjYgpTKuA4INtzW/Eon7iOE WIuMGApFT0T+VdsqyHlCkpwAG4UpYN8mlatiTPyHWH0z5+HijGgHMj0+j8YHRhCAIcYUMvtWSWeG FIKQxdDkFXC/Fr9+7kxrLXk7GvYnGyoINZsDO2iZHpn9DJbHNX/qxad+0odFhpDptfiixRr7YiSj 4OYqQLONvSiywyDl6nRJ1yRDGvcBYM6aLte6T1YFXx06SySXNoApOQyHXGpQtbp0ULYuAzB74IP0 Bv56ONyucb++82owOU21uu7N22daJNbUn0+lH4eezSBtLo5XMFVsWh0p2IlzSUVdImyJP82C8glz nYfl5SzHvlPRSnSeowVt+WPWTl+O/sZB5Hai7xW3I3/ymruqZh2ipUYKyaNPXukyCoBMVPNMkIIB bDJBNS1ipH+yHmbAh1oQ+sJABamWQHRrAKnSoZr8AHtbKAWocx5FHds1Ws3A2uPgFg2dzXI4A/zL pmZnhhcpN25NdJMdqxu1Pzem9XDknZRUWmcBuYNFCaSNCgO0X9fYTxjJt3E8AlgcsF9oJ5qyF8q2 lTVe1p1gG/YCd3hv2vNSCgDyK6Mq648/LOPPvUoEsNoso4RegC5g+mUygZkGilriQ9q0OJB6hDNy qPJZEJuXpEhn8Rwy6aQDEYnP52TcFZoh0tgemut+YdVnn4DSfAjtlOY2SD/1x7QeOpKeV5wqucTr LJOuVLxjZ7tB8yrAywaZLiF9Y9GZJLFF6NsMfBZQdKhGn0nIuTyWykQp4U64qBJmpvho+58TpjxK YN0O6B8MvClVDM8166AfQ5rwNK6va6ffJwrgn1pfhlwKDyCIeOUTtPdjikB2fv+5sDUo3bXzyyt6 /qf8oweKgwntaqR57blr/mvBT9VoqOitLjJDXUpNIANe71PaqVAmpp6AKv8mW7FypnYcUDLeFD2r +5yXae5JhxEGOYdcvtdmAF+u3P4ZGyF5Kvf1nMxxGuZQJ862u7cw9gTewkfRfu/XvdfRiWLzFg/8 AdQwi7hpjfcGz4Migit5lBZOs883GYZChkqT+sR7wMVEkXn+k/mVReB604Ez8yiADwhK0SvSKZA6 U0dJzERYD8QXKHWRaED8raItzahM4GWPOfWsoSyRU2gUZSbuqqBWuBGtjkVfWIjM4WnngEQjkcL1 b1MQnwGaCUp4R5HtP2Iok8DOjKBrf54HQneaerPLF5RIJl1numXXCzHtySHcDFj23LBDspg4XY4f Rg3vKbBjKtmJua6vNU7/kIdXQvlzT8qnjXckuDgOIbKfGp+zBZfjzuYT9tvwqfd+3KEcQSOl+3ru IUCG/YktFAwJYKEWO+ClrdwfpzWLo0R7G0eyHrAJrUFunatfTsK6tIBysMxCYD+OVxu0GqLLRoVC W0ZjOHNQHTN9pc5FLLMxbZU2CRp6ee5Dml9iXu2ryxKVKxzq7As1QZikFvtRjei26wwkxzUkKuMH zMhTT8Cw+2LRChtK0V8+8bA74YytXaN1aLBoV3TlA2Vx8NYRGhcbf44sPOcr53Q1BKphn5NNS9Uv us9upiGc7yxeejycWeEQPQWYCmSKab4tQYnDYBTZgmjCYyaAPjPrEpXmetvBtz3nei7Fk9flOtbA 6nqg8EHEPmMzNSsTKt8FU0o/UeMBq9RFCzp+QfMcgQYix2do5p7ePY4om+DZ/gJqfnzY7uPv3Sx4 KrlIOtLi/9XohbJ3g11/IZK7TGotxJeUy6vEUzEZNxeHssXwTweO7W2jLZbhSAzNwCwjumul1aSD V1Zht0w2nT1nhDbkeceN/Q4l+tEyeCwBzWeU82pXz2AIP4LQYjog2cHWe2IzHail/Cp3cdjGOfHn dSoJ8tttXiEAqeCrj8+6GStcFyIhB6f1knvYjQo4yOFScQaYrzMQsHsajqagwOhVIxVVhVYLv8C7 MpcP32OYq/piH8RD9PUhgbFFhPFjP75pxWg3yUyYRW6t0Jd/wBUsBgu3RTyVUNc9ljdmvHr3Kqtd 6Hobh4g7pJo7w917yVXLqD16px3rKKY/TnzctRffjJh6CsJWgMiLGwozMhq0tUgBYc24JldYE6Ei XmJh8L92RXF7Pk7f9VJjD1iS37P0kWG8Ie+q1+IYnCL/vyfS4HqDi5L8Y1ozcZxdOavpr0Hl/HXP 4XmTi61rbcCGUCWpLC1Bw2cEXRN7Uigpu8nO0dbXs4KX2OK6ukTEnm9qpPpMO/tZRDWYlrpVJ2dE IMDzL7lM/LOLNgLuInBNjKCm8tmUL/j1Ey+uN0N+beXUX3QaW2I8+fWgcktBEhzsZJcxIIs9voBW aAkhAZvFha2yRH1Kf6SSzyMPXlDlIRJZULakRe2IwxF5plVolEKwt5dHLJOrd98DbZQg9rq1u+f4 +17Wh4+q+hm3SYy8hnYKTDGSWIB4KZ+BenSUzREwNgeMOkNpH1wLoxts6ifKI5sbUvjm67wbJr3l tL+kNraJAs+KO5QNzq1alwMXLtDfjg/c36T1Kj+ezTbAt51Dt5MOTYPTixeEwOTnoeE+y8yCsjGY 5uBdCPwt02hrkCYTC5yXN1sDblLgtxLLkctwS+KWqrCnyQdgu5B1CgeZyG3vrEUSn5I8yGSeH1Rh ua809lVkGjKvfw9P3UV12YxpGJAXtemMUZazaGltJB2Ldrozq1BWVEb7Wf7hhTSQb7YyI+nlmtfH CkTyT/GYu6uupPhREJ3PkHn4jgM0FRQxM4ibAjxSPx6fcdJ4CbIe50WRIS1+cngquZibeReksYWJ 6Cj7N68RDN093tiJqH9PhgsW58c7RpR/1I3mgnBESK21fEHsTV/GnR/TqPXhG/SJni8XcpFY7lIf UuI3uE7/jiewgVsIr4/JYwQK0wbbTUJOb89DxwUlVtBFqDq6UblnXq/bGhL23eSXRQIumab6rpUg UX6U0SEFvVjFxWKRfI5UFpk/jx+dwp//c5ABdj9tbQNNBL2eLfsDNVqMtWwHFkOg79dznGxQbSrb 4e2izOB4rLjwwF9QydI36NCr25Z617KaZXHy2yMe6ZfAjxBQUAC0f1T7JzmammbVy+P5j8IShZBJ GwMQr/ZII1NrTm6u4CBXi1L60Oi1O/SKwoavQ5NxQbUsmjg6DymJKN3nUbS+62hFM9rfUcBNDo7Z iHXE0MIoFz+vZKkNbfGDQ5vmBhbPVChOvchcuQ7no8HqMHFTpzHil6zgfdJXhjNq12HkMbLFcAuy XIl9Uh4ATqwnwIAnoQoWTC2hyxRw9xWQg53TeFLMGgWksg9ny7P7DAnXtoPPRNHLQVamyGP+937H bQc2AAiFzOmcv32jP3Nd0/Q7epGqD8G6N6jbTycY3SrmCtukfcmxvgkcw+GJJpC7HfWLtON7b1d8 bLxMm2y6I/IVXH1Q3yaFFOJ1W8HA7j4XqCLL2iik3y6gDKfhuFr89tsyWxFd2x3JVc1St+T4EzAs b33rkEsR4cM97gGscU4O8lpiveFeSh4UjX21QJq6UZO8LeBC7XTlewFVbsFVPf+4XXXPl6vZdlrd EhKnwt+ovestkpqoTBk9opAkMHjKnARFZ4F3RO/gqt0QfCnUUdc1EBJM92AoNQaW7gkohGs7fu4B Qjonu6DZ3caRg5tMX4pdfsRw/OM945tUuyKskMP2vkMxYJLz8dbjnKvUQxzpOery7CYGPWcMcI/I xrL4DWgncUA7DUMawJKDTcL0RVKayroQXM+St1WuS096MHy2OtX5d+oRmi8jIkY4L4CTOluZBkp4 BPrzHwUMA3ngznx4L6diSFAG6m1IYeo43dqNRqRXydHg7sl4U/2cFM7Mz+cdJFQIDWxDMKPplx3T PFaOSfsBe94nlu/oNrS8UFsq+UmF31iDCqF/MFJQ5QhzhhGiKaVyzaJQbSNliGxXk/TF7wp9OFvz Nz3qgJvhD8qEi6z/IHcxYHBV/jX4hJ3LaalQ/UC35NQzJNOcbX2Sz2LTSVVDqfsmRGeWBU6LBdWN Xq9QnidPvnToVnuXPPLQ3HuJC5nK166+h5AP3hbkjBr3YE2wGiEOnfpm1/mdWB/+PizvebroOGTO 0ozq0/Nf+u5/lQzEebJuwi4ac4fdCtylPKckolorDLjGMPxOpt5aiNNiG5JBVBaqxRCYZ5LcB5SX 6D+ZFO+xjWc5hG0ExFi0XqfdXy0NVvTOWgA9+tM3EGWtWyenQ5QxUONmH7WGemIOL1d3Xe+I/zkH omIxoRe/nJGCr0z6kVrfm8gOaVC7CzNTR3M8llU8rO9VJOlpMJ9k2SuZxCtXQ0siJv0kJ9J8sHzQ Ov4g7BjKv5Ap+OXBZWpWBMGR1OgbbDgJFNe1AZ1ELF+SDl+cvbzOMRTw4uR6VMB5vSstbYxu0C2A YTfj/LLyuoObP6GuToAgFXv2gVUYRg/Tq6LPyXkNj9FsC4T4HmH7fysvNqNNxCcsLVPypKAwFhkf BL6pZjlA5F4p0dXUPTO8Aud2fkbytQbDgGxRvSsPzOFwXXlJ9CDwFFKhsAwi4ZgX+l4kp7jyA3Bp hpV1FFStooE15VJLWlYn5aM/zpzBASBj3vCbArdC4zHFmM6g0gpF1OpTpT04widXQS49zK6mKhDp L1T0FIAyBEL9PqKWvsatZve0pQPOz7ROwpoMxZUqrfdSBeM5TgPhfJNClHvyIjY7plncKsoX3Q0L IUvKM7nVdFG4ytt2cFVn7RwQyw1rkmCACalmoRI/eCSVPzJf6SBOx10XXy0ewwH9f/lExC8Q/7hq jqA7r1Zikp3BjRYcsYzp/BKts1Io4+f0XXVkVH20Hysov2VhXQ/WfngRFRxHkNhAvmFyK7fmaEav DgSmmgSgsSup4Jlvx3UXkYwPC5uK8S7pcPYNKy7mVWHUF9VAPIvHFSS3t8dbqyDaRegx2QFVgux8 M9xbloSXs9+r4dHbWzRTfbsxvko15vDJAK/bAJDy8SfBDFk08KMMKjW0ZQbtRHDbvb09NMWNYy8F np6wqnxfM7FNQXoJVJu5yb+SNaXy6BSwGUiUnXCl+vVPg5sAuT07+Ze6XhilCWEMULjtFFwwiHsQ zlAqVjNzQjEYMssUA8kD2EvZMyiM6HH3FZcD3VBvmW0HtzVJ4GhPYXZwr4SokGtx16IDzX4R9u2j FGr3UJOz9rfZCYgT+czsoV+CuQIs7xdPoMB57S+a9kkSUgCrKkiNUQ+oyc+MwA7Lz4xs38u3wydD tgKlpHZMJuKNcGsmagsHUbEnGF+YaQ5iXOyJDQ+7Q/qtSKitMETxO0Q0Al+0lESprcChh2EPz593 RzoLfM9tLhW3oaKsT/S5/T+6gm9sFDILbYy4iJ6oSRe5OP3T6OGUL7IKCxBTXRy6KTRH18M2AzD4 7oZxsUvIYFKUHCIAmRS5kdPvFiRVEqPvZPd0bVLhMC0kkEjrnnHJ8EKzWdCT9Au4BUOwS/DaKskw PM02A7vFieZMzeEqyEWHdgdTB4LDmjcHwtFSssvl/3mGFWXB8O6B4NkPaRN2UVgGNTIjvHVfNJpT 7MuBTN+agHO4x+HO3xDM5nqKXAFQ9SC8WJYLYuBEFPUIZkARCCp2Jgj6Ki856YKRdRlf9Hnd6cCO Raz1bhAuLe87rRj4tdpx/tW2jWQDKjqghvNC0KK/NLaHuel/JXt97YU9TCRbKQoVA6at2wa7eriF +ZNOD36VJd0kYVAIPHbGChcydHvOhEdyBENdu05UORi27p7u7z22WWZaUeDlvCBtAnRD6dei4dWT Rxj+3h/+NX3QMI+tGxGdr3ny5r8zN0Pz3j9BdiiVwep4aRvy5yKs+CGlVWtdkC1lq/Qbu95fgTec qEmGf39STQ5N4OOQ7fqNL8gWCxWDo838MAD4u+bulPaK8WtdA3ItUadvqHz1zp4OxZeFtnQ9I9uL P6u6SCiKx0vfTRGXwmX6aRYcbCBf3uzxmzXgrdYyjG7Cex2sdMt/CrgLpptHN8xXfG49XLfRynsJ RyikHmsd9Sed3nnNoRhDpZXSG9/pByVU2FCUjoFWavrBHO6KeNKFJSR5lSg8wk4kuL96RGlqkhRu vxraiGvmiPjAgy2Hlj9gweUd0mvTUuPrxgYtHjMswGzK6nh4pA6DgbtcMG495ufhkFCwZwcEgNav DVdhHLdgQMc6vioDfzMeomwWYQ+VWG2n5cqV9whP1vHibHSmH+RrwEtqHpDFe41T7k5fe9QwK7CX hGTpL3muVmYEURIQRGxTdZkUOgyap4tj8pjSMyyc/gZeUEZeq4ju+6l0MU+V96bpGywAQ5mYTKBd GfdXo+ok2iwVNKuuK/1fjLKTjCmAuvgnSsErXRhkyRZ/bjRfsp/w61RGGVcLed0vxJwKyNKG25x3 YWTS80cx1HrGDj6IXg7JOcSer1RkOLDiVZeNvcYShxTTTy5DJuGgzN+Q6O5jJ2FS6IaEU3z4N7il oCDqkFdIy7yiJJXsPcUD9+5yFHpIL6grRE3WGCM/MtY5Ipz3VBBFjqq8ZDY3ImMPupxXVZPo97sO obcF/fKPykubJLbqbcXnl2e5xQw9WqnsEE09fnFzhm3Tq55RB9M/QEYbcv/STSMSvrDpe0MturU1 9uAhX/SgUx6wS5lMNqgVzzPP0xD0vG3IUzNcDECSPTTzb9Gam2nn+e894mH6kRfKTM1Zxzpm6Nyw Ynjm1Ck0CBz9iegQ3x/cpwdUaoK1AIP8pN8dlqsI1gHoAIyfW5bfS+sCfzucDL98xb1GXmIZH1jx bdX9k6QhaYcXLDXU2hgwsCXX13pkhT3sLpZjmnayWPK/SiDeSQoAY+faqXjoZnkgzE7zp+BkRNJ8 QRjo8g8qf0au3InRicLdHpaEiWDwbZtbbs7Yi31wqGh2oWWQKJkoeYkFw3W6AHphtcWMK07eTiqm hu6POKWyogohVD5OiZYJ0qCI4yt0eysdaWotsmIs1YDaEMNpwwnSYJM190qmz1aEkcHpHMdhsRlW FBse7w8C1Cz7TMD2MFNPKGywuPr9LrwJxEvqPrxxCtQ3iwTNLGSo5lJvBbxPqiM11r9Cx04SSv8B Uwm2g5I74Q3DyoWf/CmasHKLNOZx27YkxKFru7a8zx/ESsGBqXyr+XLuLEzri3ajGurYnaP5qMqw UuuYoq3LrLnooIEYcI+iqL6gWTkrXzpPXIY3j1kpRNnc7Ct6Ob2w5biIk/jtWI2/pUiIEzv5OQ3F hjnt3SnOy+scjdOIWg33inXIgEs3ZlZFR83KeBRhgQM7u39Ez2BLkKK+OhUq6C/BedZTZtYwuTJ1 pIY7FbI31gI/ex58i33upH0fUMSKH4v1/Touq9NTTl1ODxoOXtOfbR+iU1vye6Bdq1s6dKPAGt13 daM2/wYgGmTLggAZpSMj0E82Pm9TCPFIFpbUjQ04I5vOZfO3Lfbp8f1+hEqBmVg33FDW2E1efmFC V1kokhLYqMbMzVn6FfAdz3v3Nmyo5igZ+EUpYrysrKJkWyCINj9MCqgnIc7IgGVcHp6F4Vkr1D6w z0RmrzJaA0Ne48A67DBnnqtqc3ReEX1aEFZVAnI9FruASjoVWkHNRhzPQItgNFioZIKoXnSs6gV/ ZeYCAJCUn3853O1za25W/fKBmQjRnCWnMC+gB9QmzeTKnRQbskzBwu/BoFW0PIoByudYXIa49/Kl 8ABtYAGnq9sgQdVP7G5PgjnEmZTbuikxdsZC8MAypFcXeHPEul2eyC1YK+tc/H+bUevXVRYZ5Mue sm1Z/rcrV6NMqIvCWhaRYXJNtmNdF8wfUfayaYtGAj41DDRPRMCLrGCtQQxGC+GDxM2gOAeJJa6j uumJ3/2ir9HMzSmp/vOvyfprIdlzJ7ODyeL4HSsHyja0vMu8y6VmxbwtXA8qPsxneaAa12EenYKi 7k+xS33a64g9wTr9bqU+mILCwxHx9jio3dLRKzKfLan9fD/+CxK6KQVHcplbpsmvR6veq9NUk2iC lryPn0FfDoz2ThwMhP4BHvopoxoY+aSJTczSmMHPXZ12c+1HID1ty4Uyfuq7He+UuOHMHepaPF8t +8BdFZt7evwJhwWNcKkGk6J7AnU+39M4RTcpWPrshYk8HfWtkg4vwtZg2MMnOFXFoyd9lBtjBqqs H9EoZw7NFjc7nax5Ti3Z3chtuKFZE8DKUbGe43WSteVj4q0JFDdIBK5x6IA0X/fg+0wKAcA1uh2l yDW0oqM8SL0/sEtxwLi33dRu3Je+UjJRmF+J61kNU0TM6/qQR9/exUHiUbl9yf3+rUlVU3ZlDRBt P3qwls/a3WBpNwGJDphL/md7RciR/4CdwVXt2z/84KRUUDMoEj26o1vVAw5zhKpcNgeViq0lZxK9 jj6u5y+liTiE2x84avhk2V/G8u4vU/KJpANWdwud0VMZvgLYvKxIITEzp1Ur6VjwtIY/m/ZQzKd8 sVfKyE4KHbETvyQWrCops85aqs+qhNu4HzM7pxnP0xGA8KBSq+N/aDID6Qag9qPPs8x7YMLaDW5z mzgdCS+ua/cKKTE3s3nt06Ia9fpAq1ddAqV736WVC03Q66GuRKoyDSm5/rA5NacKQkmsVQn9kfdR SwPznF+JiNiakBuZPgOZQqWUSaX8yNzAYTLCZObWDEslIRmoFYJu+oMCNK1TUERXvaqeQa6/mTxk 3+aWExEXPPBpwaIArpB/439gmGGh9EctLan53HxO5yOfSV3td5NFX0CgKSuQgp0CLbQYmmj83tO2 bbL2RqNwLpDZx+hjf4qQpmKvu9QZ4u16iUILaL4xwX7QIhqM1RfLaGVpPJSiXC2OKJb1ryu9ZisV sefDUQ+1ZtukbtHhQKyKjocYaVri0ilV46rI7McPlWoLNn8Sk1EK8pjX4lV5LIl68d1SslMNoXjv z1ANSn65+g1HVOGWKKNL+Wv+dLOWkLZXGOg9AzTGS8dntRCleQN1bPKe3XG0jPtKK9uEu9dPop+T BcP+wuLunH8vpwJSLTbrbTVC191fu3g5G51Pblx4gmAQ6pG/67T6V9Q3LxnH5cmlhGSN6NDs/wzg T1PPpl35xM8hbOYUpVrnu8eGy8QoqLyux9j1TeEN19ETnN8s0+hQCvJTEqTOO5bMB2vjXzoG11Db bStoLku20ceGDsiUyPpCpZGv+ZhXFooXEtHvGZbdMBfYIJuXlxTEztyrVdIECBeNnSfkD1QlD9dN SPx4qeQTMlSJkTHV6BOQd5lGVONvglLzzmFCNwFOU8jyrk44/GPrzd5EsLUQHwgysEfg9KXpLn5W 1nXrCoZ5FZcSR+f7s9oddi8qDd9qM/CgZrfqp4YZwTntFP7ianegxvQlTF/1QLefMReqRy2iT5sQ hBZOxwRYBY25Mk2wML0XQ/EBDJKKnvfxr8tXyFkAykhIW+LqOMTgfM9i6Mqv94RGh9Y4C9icyrfc qsNlpSD7W1LG0wsB2IqmgVQmLPkRJ2asREvkYeEZjzY1VsYeqsYOElsdhKt6xg1Vjr50pnnICcjk oqLuIzjsJ+BfHiR2zL7SdsHLAq0rdagax7gSlDLo9d/9jvogjjTljBBMBuDjuRT8bmbDmw5wLb3Y IpYqH4wIVS69OSe/boARZAUuYbJJu3ExDmyD530hFQv/xVd4B0iiWrsRlAbnkxM4msbZtaMbOcap mlgD0VGkZ8asza+gVwVnu4OBxmYFoywAWISEaYn8+aq8ihpxG5CqM0l2GXkh2/ZVqNZpGgxEZN+X NupXXCn13J462UDHqCGzElXMPseVvX5SwQ0h/2oXlOwVgHlUCiNZxpowgmSQ5Or6PsDk7FzfwqnG smCWokIGMszaHzWanQFP42AwLRhCnk/wvllQdId1gQQ88jMkGQFVgnGyhEclubIM7GW/YTsV9GKM NNZmi0KYZCE9lXAOTBPgLH5njvyndG8UIm5u67w8K7Z7wgVYDnpm3uUMROyAoB6DQCvDpYoKf9ZD kjvN9x2XznwcW1hg15Hwd5YxKjr5gqlkTP2g8HVeilL19vxZib9DRBqKLYuFv9aU01edTryg6yjX vqP8lhf118UiNwJ2VPj7ylcv4dqz2B8+kRMXIhyesYRrB7JK/GBvV0/umaBz+4kHgjb9N4kJnf+U TIE6BaoXk1Z6UMwCEbYe8KQePdj8rgDl59TRwcjnV4ZYxskNssIFoTUoK7peydEZbtIPrMfg9w/7 HR52NS/CKAgkmUbRi0RhH2Q7dEcKVF9zZxTPszhH8a3RYp72t+amm/u7HqB0Zm4o3a2geGaHMNrU f1S0bh6zI/3lI9gheMTqF375jAJlcufmeKQwaMSyd+CGBo9TQRZh99aUEw5N+9t5XQrAJ46TmX4g N9vHFgIN5uXdug+Fy2qg5cIT7IFdSNskBKhycAtb7XpP+oShn3PZHzmIFqUVTHzOFRcMRnxoTv6l mXPgX8zxGrhJPQx2W1zbss2Y6JBjkB2Ff7VhqMxtQdtNcJ81Zl/9Dcdg1xBQUPC8npr7JwihAugj w+ZPavDD70DUiKHC1oimH4cYg1SQ9i2imY3B+9v/QouJDFSyWqUfa3CH5OX8DwjqmoB0n6YIlzfa 3bfQ6IQEtvnlp08kfalWKHnYIhC7EZhUuUOjwI6M2mr/Wi/SGhzTU+dOMwJCiBV8DkBNB+VgMdzQ yJfxt9H5zBzJQxVapenyxa/K/xvw+z3yjpknKvdPf0cir5UFWj11zB5qdFmn8BZX+hNdUZv4TUKA SRDTQJpkKBwCIimA4Nn6mH3w4xRHa0owAR6KQ1sDVckLNO3ae8TnIE3oz3O/gygzPQCuhmsSYTA9 Bo5412LUZwDvoPXsKiLrN8dzodgAolj7rheat3yRbbV8BjmGt5oAX7+GtuBOvOCT6AmzxsXa6pIo HvOYebaRDgdWViIsc0Jh5URdU0PoUenf36zpjEMPAue94rG6Mq3ZKvR7RZAjRJZ9kmRPIimU1H9L IxBWo3h5TdkUo4mFA0kKB0hW4gUgzInOZT9sSrLkMnQPnVqbKMNREMUfYkKxjZnp5HlCJFQFFWPE f2B2UyMXtw9N4988X9GlkbgviH7KUNl1qXh3qT/kSJAzgUyCofXBYGzUilmWyEGU8tYM9b3G6qvU EP1edSqSnIx8ZmqM1lpokHXc2Naso+iS4aNqIJFKI+4N562Rs0flSoCF/N3YtCF2PIAXd3aGH6Ps ZOmNmXtor1TXoT6W5Ju4z2umjrzPQCjHLsLcAnkIpAgkDTrjufq8OGdrWNG+tS+KEAmBp8LpQgp9 RIrYc1A/R4sSBzcN50K2haQYuXsmvDXS3n1iGM/Yho+/nnrZf7jKtNlIcCQ/5HA//4xxBTho+VRH /koOeGw5QnvkszGl7HiHdmBjmj25uNaLQC4aIWZuRflwuICuxK3WIXZhyNuqUk4oGM4R7y0gxjST 5yOgA20dNtngsyqAX1W2aKQ641SyZzemSWKb/BZM1+2SHSJHfcb++xWyvXPVilHIabDIBd7NWKV8 fMkruh/2duvZHW8gk3/yIwfUCGOTju/69LNVZbM7BXu9I1/9joX2gvbXE4n8WYvTXuFlhQazz9xe CGa2G4P0MEJLlasbAJMgoi7LTBV11TV0TE7X5R22IJcf1u2iDesX9we1V9qU3z4SGNGcmCoA45+4 Z8oAQDfZ+7OIMzzze1gvDTuCCs6UWDlSmx6lhnQC5xZ/vr7EnW0twzDTaHg4SNCvAfLV2sp2+QqQ lf1PVWfNwzm7oR/1yGNt8PDhjTteeQ+qsUMwr2ncu3M1+jFVwp11D0AGLqtS/T8Gt/nY6rhFuPI2 3JwBSmxYlRvjqokY53M56OhXbY7thpEqWuASLbwz5idQXgBeSEkDeI35aVwIuE3CzMhXx66lPv6L dkM1jGrA6aBOiX/lGEiqvGVTc81M4a7rVcKwu5VjIYkHnDLvKsWbH5/rLg4xqeMFj5Yx3adSfY18 Sz/mW46avlzcE5PYEVWb7/6ZIim9Hc7+w9FwZ/AoiIL8G2ndlha4wZ/VnKGYRkVdUx5ULrK7QIUt nvAwLE6ZUm/QDqE+q+L4bp7fWaYY7b2ZshrPzHmvOy7MegOU1zVqmHkejZ3wDjDKWuvAH+nXf0Hh ajzO2WlZCFYLjcdIpVj7WOT7K4Ts7X1BanfrnWXNvr4jjypclWsWGIkMxT4GAJqIzQRsYNGbKUdN gABleonR/kV1+XD3u9sNtJkNRk/m8I4dookuMYMtrN4Bpr5uqxR/SCZtzoMstLQu1JNUNuTlyb8U QVs2Of7fNnfxrRI+gzbHXgLxSdbRJkmDh60UwIeitiKia7Av5a31nOeVPb7/qRVFBD3rSLziLX3S nBg6mH1VajtQW8Fo2YNh8QTuiCjM495J4GwEQjLtW/+z37h6myn3CP80EmCwVg9PALcY0l/tpRRd oyF2lspZDf0YKRmcFRMRC0ZXyVcusOLxeZouf6kLsJsWV/xafI4ELk6gQgVOkHCFhrUH/xizIqY0 3Bo4qnWGzC29DR4sTndZafIjyM8wN6aKuKdujaC5hysdLs8hn5QGQYmaqGvikFrAJJMKPFPEfV1J ZjfOrmsWI0C9hqMdJ9rXvJ7eKSmDW4tQliILgSbv4ftwp7G2Yz6xJaW3oeHJU1iTBJag4+sl26RV JWrodbbKuVhoqPRQtnW8ZIxXfrYkVY5gqw85KJLskTBwz5BPItsQJ0keMvCTVSyaYnrLzEUYQPW/ yIfD3a3RgZ1X+ZvN2jWv6sRxnQLoV3AOjEheX1NGK8vuBYsOdy4gh1ulzO4NPTHbo9GxRyXpfyz5 VXSRD6YbQBubLMOKH+yqY+9onUYgDVh4ONbRc5HP0Tn8GbuPnRTLevAjZiY3hrRoFe3LzPSjC+ds 3j9qQmFOUba8693lunsl/YaTjvjOjWRN68VCukQeSqgPUz/DFvXyvUuAtIuPneMg51B4aQf4xrsO 4BsVuT3yMnGc+8YzXpxUMnnGwXD/DttdAx/fNJQtLHk8v0rY5Mrx27jkBPyDkeen5cHRgC1KUnWK +euM5yF5tgCHYw4ZZawc0J0sUV0KYhRKnJ57v6G8ILcjiv4SCjAtyL7GAgTLQXrz1FzrllKmwEDX A1zyv6te000Y8lbx6n6qU0RnybF1F5KDALt+jEl1ZdJ++SMpS4J2/BbA8JpAs04Jszmtv7pQPYGi zhmRrCytqV3nNMCQIRf4OgqXv8vuuX2Gw7y2csCCy6lr2JtS4Cey2CGIlaQgHMl3zKtXEjDUhbOs dMCGfDbvYjBCx4U+iFUHeYXYnxTLWubcF2fZhAcInUoBJKqLMRV/abZRyCkDxb3pk7Xhe7OZH0Dk Z8Gf42U8dpKOo/FVOqLHKjo3qRUIqkXXCkHxASNAWY4Hfi9R7EHuYyfyKJjBGqbVQOjdnD5pJk24 pTXAbbspb6w1jHvGHIYWjaTtPi38X2fWld0avW7uyHshdSjPME46DVfdqy5+mRsPhB/vkP4GmLJx TyRBkQPJwWiR+tT1OCQAPF4oA9wJ8f1vPd7RoaOPJXPbeDdDROtM97NQrmnpOr2evdfUclNWlwR7 9NAkICY2qldZCwLU+sY/U5Yq20cYm7UB7LwN8xG6jgfqvFU8nEHoaouES5cb7miRvwIcwKgX6E7b Ax0atY+lOouz2cRzWXoWkjXH1ZY5SVlgBQpUDJCyWz8N6seXR0fozfbys5xoZuJdnbUTlA2gqtga k5yB1zzfzfnSEY+OcyIQR2INdho4Ja0j6GDMWOLbB4ot/xIfGxU4mC/YVp8dCbtDITOZTfdTx2RP V+TddCZZcmqcnPhJzYPrdXfF6fcRml7hlO0Q2s3Ki96YyhofxlKPVCmlJN/j+jkLvEmoHmHLBiA3 Bqrn39XFZeG+cW32OWHHIPZH5ubEv0x3xKiAx6i8puWvFFL/G1bvGBAfMGg4Q0E/dxEXvA0Z7wUt f+A5V7CxuXrjoiO7Deqab/EajZhCULT86+YmbWrWV4GnNoqSGpfzyN53vrnFqJo1N+juFBUa50/b qKZE7AMuzNPMuiuskO7hiFSybt/iAA530tnwhJLShwf181ITJT8JsvDyD0lW0asIEZLMPNnfyOXu hp+cylEgscypkIdUAh2eHjOIe52An0qWZm2ijZw0wHh6dGJrYPsuEiwODMIHh0u11k3cUaDBFKny ugkZbb4DNH10J7cpskf3NPeTrVqg+m9WI3GrklIMEoGoAf9gXipKSMtqjXb9+rv0CN/WZgtJD+b/ MrsftEiYNwR0T/3Oo9VMdoZtokaLslYVHDGGA+kW3GtOGu1xaJFRMXmEvjVkfFUnvJLV+MNzpDqR RFAKvbwHZRFoOxAwM7dMd9tCuHapOutqRfGsv+Vgs/FbT+dzQg65/SVGHWeg4QacP2/TK0NZi/uj cTK01mgq8PvA+O9XHlkQXgXBmxTrqPdE2TZa5gHt30tqlXpD9UHGQVbDIMY48Lro1fdnKfUPWF8C DsD2y5qSSJCcigw+CMQKxOkCKOLZdpKchPBeGJyKRMpgCY811WfQ9tjdx96fn0ijGMFLwPvV+sCf 7l5BSaH4BqrzOkYBxoH0m7dxv29FORHVO5V1cgOhC0ChBombp9OXe2E4ERVZgi1BZIGn2hPKDF4n wsDz1C9930pX/FDd5jTZDZSVgDsJ0rid4Efgag0TzItZlNmRfhs7uEEmwILeRZFsncW0lsR2PXqg YtV/rMtXKgKR8+eX3HYYPk3Flt52WCzvXx2DBA09INKjvVaGSZk5lIOkHuQK8Qjft31N2aR5L+M+ aj6KbMkWKRIX5noYR+djpQtjtB3rDPqmv9PyYRTUXq6HfVbharKVMDvOQWdWKUsB/Cuo3oPrmEnf CBT37ea4TGY1I9ZLJWdOsp31lNX3WDiG8CgSf+LUeoB7ft9l6YWMdzJb4PCnFgc2maGa6B6jLZCT XeAIQIMg0ZHTCrVVZcSy5QtyaZQbPw2cLELjO+lgRXxYzwAYtOhLOJVi2xmmDU6WfgBtHzzgO1Wm ERRQH+KOrplBJmPVGPmG8neT/LtWB8QTiCPZWAtkGII1ZByfRBETuND/NElKJoe4tivkyroXWAvm 4/oa2MXt9reC8GZ0xQWgor/t56G85oe4PuuOqceXI9tV4tkeOmLnBXu+l+rJc85atbqFXt4x2m3A ejGQnSo/cftVQcBBvzVO198kp08RHKdET8OrFTbjkE6lbJVK7lH3HCnTeGUJTTKUCZGKM4PY6ehR LUOi3ocTM6qjDogzMzm2RN9J/ZAsUC9e11+OlHIgJd6cb24OpkimmO+mm4OYxf9/8RayTjdnffif Igg10TQmwbrx+YtnwkIqD6+pAInYBJqQmkNVAVSkSdoURFPLZGMMy4OLz/ROkV0D+vNT0lEGI52w +xWjq+DbSk0Xsv/wB8ZzEqL/Zbbl7uw/dZ+ZICEVnzbCgZpGJ0EqCZbcEz3eSiQ9OX/fm6YzuRCp XHgdPUu704/EnvHs4B6mIRUn7/3XjqcwkaNu7+A6LQF2I8+xovvNwwGjaRV2cvigQW2/8eEDkc5w O0hCwrklI/HpDqGY+dISGfo3y2JqYCibVk0GC4x3T3UfCx5B874di6qEA3OFQCGHWpZFaxufnuxj mTaD0ZKEOWxKDa8ae3NHpiUUaKvlW3rlhaURD01V8Px0Hrv7S2bKAb7N2cxj2tKYff2ty6Q0IJhK tSMNL9t+rrepXvGIGWngLWvMvJRoKR/hDNyOvHOjrokhmqtWrUVJ6Xvj///CQxNrG2CiPcBQpfHY buE+EcZaiFWl2tlVRMqccyySkRtD8yluhy915+b3yzDaS9mumbfFFdq1SUqIXbsUjlp25+YfySXR tDs6ZX/tB8UzkBxMiVtllE76aB5locpHVseEyeRtep93fMTqh0eiHaWGhO7TqApVZvGelTa7brod MKw6ji8Ky35Au78eQArHK95ZW8QVHhopD5q7fUsjIvcZmmg1XF9GoT05CL896+yh8im2dY8+EvFs i37YeBC8x5IeFPRWfSmCY9PFlr2t2ZGfBdEEa4d2BF1gT9gjC+CRed2uDYCBMxzxdBEijMboJ2yL tTAXOnq+fZlt5a/yUC2uguB93yrVen5TPv2izH6chbZj6SKlHjPKP+CFvJn6pAiFI1Q/oPrG2vLS dduPK+b4xXbklyO2I9xeGUYp/j8R59aL8nzN1uV3nPet3IoO9MUgt/rpnuNQd+6oGiALQ+oJL35V Q0aJTZmrw0ijcWfpS3T3+GIsUx1QA/POfsNnYj8LGxQt4DabzDChcwm8v4B164vS/LPDnvOBu+Ss 4rKSQMPqDYdUpYggjbTEQW6o7OxQyhT2xFqvPhp5VT5yK6FEYhBmFi10YO1pAR2L5QULkTk3L8SX l0B+SkXn32sSkhkeIb+gpMuFuK/QwL8OqTdWq61isYUwPCQ9PJ7g8F3bQaCndO+krOTcE0AIcwMV PaoX+3aUFW3law62Y5s6Eriack25fsOjtbBR/6W6vIdoFLVH0wW6637iSt5DuiFjl6+HTB5cvT9B 1mkwJHixHzfCrhmTNrQwxpjodXzKAGHKpSRBsqCDjWNxp8LmEmipYSg/2+Uxq9OWROLVfefeNrTP FczZ1n6e/5B+7N0gcdJsUENLej4ywo5c8nkKlNkZdxFng5DEvcMIeXAokW7tgpkBVyIxha9arzbm 65BTiR6KgafDodB0HQuU9wMiFqKmCzuqBUa0NkCCWIOUjGN2O5oI7gCMPf8yop1cMDuS8EOYKeEy JooXsZdNVBuupeovhZL18ZmwIL3SsBE1+y1vMcE0h0dLePxJmCS9I/L7a07r6cGgdVNYTiImQACO P+CdinNeNzyXjMPD3Rz08AlIVXmkA+20ctUyl6qzgShJiWyiO0Mqf3qyh898BfFOIE8Nwc181zPU 6DpNJt7EV3V2gD3NunxTIJiJWPCL8L+WCebn0jVGBf/jvN7RLbGmiAZJ9vl/4WZlgbHz7y7mbCHt t10LCi8CeAw//+1F27WTMoejT2haaxH1+Wlq3UfaH6diuGtTInD5cXkjyqDbgaOTMcS4kzmm/sGk swMTKxedIdtY2C5O68M/qwHogQq3/BGCMCQRALvklDT/T1drt/OX9qyJbMJ3SdINrBSr4sPy/WXf 4lMypMnQGI4JxISINsFpTgL8kZlbeaCizo/CXbSfiZy/Ad06vVQvTYREER8CXTzxY2RAYtA4lXoM /BVUjViSTy8fszWWXfLomoA2VSJdvURS1Vni6tZ9hcDDx/famKt1rsNrm5dYUu6IJhbesB8y0gKf MqO3mvB+MIcqIIudxWNrDoAB6ZG4I9OZueQIg0dLD8Wu+t9B5fsdKuwstFgfNS9ZRoT6ctCsZPIX I0gbqABLfPm/i8gesgWoCUpvDdU+ww5OyEFnmCH0vwkB2sFnomluDKbkbsHDf71vFZDqszdwIeYE JWrbLcCE/YH0kaQga0YqnoEOxYCCX60gnuITLXvtrZ8fAo4eAYSC6C3t99XDoD+mq5sVZCh4D/MC GLW+3nTXDjZ2GN1s/MwANsQDuqIhI2HIBUetNLX3Be16UZGC1sEODmUYFLAZgkABq+Gw/9ymJvBn 4TpxZ7RJ//neBGbEfUih8mDeebScoeHnmPMjU/LTJNENis3Ifv/8OapyqcFn5PEv/1a0pv1AFv8r h5BfUv+xaUpClkF1p9acZb0TyZxPolxzR3fI0B/XJWQPdNe8zAA4488gefeXJNL93jJPqQjG1/WZ qzjGfHBXKntk+B88Xm12mVEoXcjPxvbamWOreDq5YPP3GvcoEeOhGaXqRfU3K9IrDadsf9jsbzJq xF627pPPSd/axI1x9+iIC5if+g/Q9znquSAYfdnQ43R8vVoLAaa5wv5gbMuqnteyMAiDngestnWD OqaPfIxQxrpeoTkoab2Q928popHyBaxMKD1x8OXoZf0qIATv8sJrkt4CDcBmZpIoq1GwXHQ9M4Gx u3XyHk6ctbFOfuPk9WPh8OcPoQwNkI/6kk+rrXZbVUqU/5FSeZ7gE6jVYmvxIglpt0jxvc43qc8n 5+IFlQ+NUGbbhUOEK2Hm5yrCQ9Z2tMmwKwZ3ZNaWBC9lokWm8Lrl9iqr/e8aNAzXy0w9irxk0nsb oEv6cCKlnI1tqlXSguFshp0j9y6lgROD7jYywn3g4KqYy8WcPpmc3pNkwDHqN6CJEJHtppIgjgob IgrrsQdiTNGDCTuSJzEmMXtx5cPsQwkJuVfN/LAtWWucJS1d1NIewXs0XR4CrZ7IQqBAPB4EIYgU a0s7LKHJ8MD/9+TAlvjwN7Tsa5RCaXlArbSEV1yG/vRK9sl14pUJbjfSQxd9ZeqUzVr19tuCgt3L NqqAFr7TI8xIMTJWCdJnut/mhvnvtK8BscaZUiQDzmAky3ZfWipvGLCC8ROtj27Z/ZtTPD1RK3lA Yb8kEHh5pDgv8ukaOUg71+DPTJFtxozyKB4Q+gJyuA4s9tYDc/xTQqmOsQS33Pdl8t7jz6qrDc+4 pmPrCEgbS09VFAVJ7ci/VL7dTt8Tq7R0fc0IhILvj8+ks/Ad81mPeMChrhuxYcUtGLgAKdvxJoML OpgG0cXhMlZK5u3lgieJahsppem2oYg6JF79HpgwW3vba2AhtTE2ApQi6Eh1gAnOx+05P9TaUrTh Qq3el0FlSoFYNiHmN5mb7gcnbTxatQXWj8VLbBW2fvVAmpizBjoatcK20EZlQNtya5DJFIz0dIjI WBYFBtTp/zBxfkk8Mu0OCAnUPXfv12o7G15/NzvELrx8OLmJPp4tEhGKuJHNP2B3Qp+hqa/3RsFv TN3N+JZ/jn6Pi2uiCiuPbD2unSenHesbwjln4irRz/ljivL3qrbiEhE/NnFls0I0IGZUauUyiBhX LvMjHVKcszNx6KSsz8L32fewxpdLIULItUkPy66ZmSvsFPwVTIbBZyAUkxQ/Xet1745hN3aT5bXG b19E4sAV5LngnarmAn92Yr8iZJsEq+lMWmtrazpqn5Tks9hi0X61SrfSfHh3+kb+OBLq5WZr0fgH GrdBSzehJedslLlm/+BK0ODqCcGzPJ/1f6GTlN+1+75UoeKZVKOZhOTP6+RxwFQRq6eXKOZv/iIe tdTYJx1VjPUBiuvVOIwftGW2yZC2Zv/9oAw0ehjfMh3cDgpOy4gG0nd3FaLSxdHsFldUo1VcyhCG BvGLB6BSiXF8cGlIHhp/rujOQwieCua8uRWCXJW3blBw5uDZWOcU72kUoArS2u6PcUUbgOwxkiag RTiIAc3s9qkr4ytfs+znqwV1yu3FoooUdFHJvFu0keMSjCR/5J4KgJiXpr1aFPXRXHC2aDwdZoVT ym/lID0B3FUMCHunrN5naTAVDjTKxB8F1Y5zKupIyqJxPoSIW18POWow6fn1fYqwYxTSEh7Gkg2J iRa0kxS0svguSeBYc8Gnd19qbsbOedmaNXbPcMwpqvCzIZIfkPMOo+KWdDygT/xz9vuhM86DXYnv lBWGlJlFPLJRlx/03X76v2vd8b95N6pfj+m87Cc9Ujk9lw3XDNoFUf7KKtg14jz6UAmXJTNqyVyJ DUpG92dyHReSTetVL3Cz5sI4QlbfJBeJ4flpS9NH9eqFKdTeRGCcS50iroIkyHvH/jW5c5nDz3Ig DGn732xGvRUn+qYaKeYNLNql3BUgqtCJex3T6mzu14gcwUkCmMSLhVlxG23bZ6yn0S29p85rbkVy HAEm8jdtv+9nAZHmcSPrlyLnPkQRoiSnG5O7EGD7oe1NXB98V2VoP9bO3JpfL7VoKHxUOFpbTb1T 6ogVHmirhxm7dnIGZIM4csbthJM0f0/sZG75OEGpgTkWJ+gH13Y3KbLRt1flWeeFv9R6Qz5C8tbY jmAx0m7/87YzVRNKYw/MinOre8MyUhOiOLNMM7/SVPEBdS0Nwl+cZft4VctCgfR6Fj2vg1mXUvr2 JrIuI+Vcq2LmhoCKfnfvYKmfcXQP/4FHvhforW1LdAKxjN8yEsitspagmjLjwX/RN2Rn/23x/wOg Mj0Eonfvk8izD5WJ7esJFx3/tRF/BGFw20gzov3SpZCAywFCB5AQ0Aw++wlUarwaAOhy2bZKhyNL /Uppvi10HyMv2z/F9Kt7EIpHTpPB2X9lPndtJmJF9cFz6pn3zYWIXFaz95TMkoBuB11ZHqfLooko /2lhYQ04pTpQVDPDIUXLnY5g0vwH/YlaMmhIlPB4WlYw9yx4YNKrO90jS/PQhGhx+R4uv+8z3kzi ff7F/cH7AO8W2gMSkE3/UJO0zpbooUTNiutMIVqFsRfiSO/NSScdTPixKHvNT9Tb5CjsHJUPulce IgSDf9ZN6glVQT11ZuKIKBO0/5jXYBbeS47JXTL4dnrCD0AL40q/QtA6eIpuowz9ir7dasHEltRb wdrRAXYM6u7liztlrZg5DTp0ChDdMESpHiVVBBYOQFovi1G+U2tbRZJshJUfsBeZkzTvxN0OzX9a tfy/Am5+OkRIwssi3IbxdFuDUYvdWShl1G8E7q0VwjkOBh2V+b4liAUC9eN33Sj37Ge50tf0d7kX l7Y1RyTtuetvxrKxVOq4urMi7oP2wU0xohlMxrDGGUMJmcJj1eO0jBSAL9PQwz0+lWsQavU20UXF TLHyYdcTN/GqoZnbfFOPalq0mEgFWGpOIs02aCQMJ+tWwu2fdHwmubVOFTDRdeTH11mJe44FO67Q 0aJ56/1H+m6MjUItnoztudI31KneVPP7guHJVMrP/hYFUUJq5HnOmLTwMgw9D7ZZcpZQsuycUL1V FY2cJgvv+rZZ5tsD9W60kN8wQECFtbztrP1FWwYXdTS/DC9pEWuceAzhKTvW6Lt7Ohzc3bQWp/hN 4LBKDn1XoSR+/ZaImL66M1mWQHxbtJAntVF2eyUP8PWzBTvbdFCDPchOlIywfi40EVSBeto+aNWN AXh2skWzddbQup6AgKCMkeD6Zf5lHIiauWyGWRuIq/hrGutPdkxabQG2qDDBseyxEoZqi6MaKoaC XK8pU9jR0ED4gcbEz+ai7+517w0fbuRr8ksGbIY6I6xaUSjw4lecCiXvlkYlyWIpGBP7ddj7wSHf /MunLMZq5AQd0emXQCoKoB/IWldSpXoI+RO+wZAt4KRBO51zCycFiXIGTJcB8Drho9uYPSeQDXf1 QI2xhE16tXxGMgf4z4EC4ACI+zPB67NriCecYVgSFNmp3mEJym6NV29QX4vq2+3q2JjQ9IgMeiHG CpwieP7Cd9Kjjr4wxba4MzSXZ3ROjSP62FEeDqd9wp6pGfeCu6Cp4kwe0H6BO3GyKF6UlSen/LTC lUU1ZkTg/MhSFdRim7G+V1SwAODoqIGD6EZ0DDv+6LXMweVB/305Q+xNPkgQG5eCbvdAhag726U8 fmiCqQH5NmsWbdUM3Yjh1ax+IAEQxUvGnI/vh8W0lvSBbddTEDXWeR5YPTdjlMxDzKxQtaxo7VAb Vzz39RXG9X4LLn7mLFndHpVBjhM4VvNG83HFwIn0yU8Pqd9ON3rFvS1EGz+w5moc/DocCeGCERaH gbrCCoI+hNb5O7eGdD/YBVo5ljnTKPAiP8sTJV962O1VLMx8ifs0VDHACUJJcbEwBbOsToHvGoik i9WCPJJkdF6s0ONPDVpPTysyCadmqR4NJeAuGsBhbSwGgIcXziIPL/QHX2wiDEXqCzPTWLpIody1 5bCO32yMy0pOOLG0u23StoGcIO5gZ/QJF5z0wXUyhg+1wpe5/OJd12TPAUoPbmwQNHqSjjgjh0Z/ ezNcd0vMx8eh+1uiQXRP+Lk3MnrmwoNC/Xs+lZ0ArQxOYz42VZc6EoMbRjtQ7PJpsFdfsHKVq0qI IDivhINJfM4KWdn+KvCu78s7nfASeBPixuN9/zYXPbiT1CAgTHu9f56rs3bVt7d6EO8UyC1M+Rb4 XNZjFRvnZSBILnyl+SQA/IF4EHwEqP0DlMTN6xaOC5acl+VYcP0y6+m/j9ozgT4gtd2CWOFKDijE gxCKKbQ+yxUfVzv963JVO7nInk1xfdNUgAVdRza0o7E0R6HauetrdEHp+B+qei7k9QM0VCm0Rx2Y Lf9Op5ufQ1PAySdm8PE29YF4KtPAl6pRt9rjDB7ZNCXv743M3nVWxQXN3tpSaSOAyyW563IUfMPp u+lmgaKWQHoy1EKEhf04PqQZY61AFBATxH4PMYFhJek3JOTCFTRE79E9QuXh6kyQIfFevjKqWlKn zIs/kptGHopoxNrrL/WjOuFHpJromCtkLEWZoTxKuyu8vo/jzSBgvqu8V4Z4Txe0TZxgs65c9Erz 4OglaNzMwHSkdC3eGJgRu3ZKFQOJCXIihAyZWpAQ8m6+orLs2mYLdW7yd57A4gzxbjYXIOjp8Qat Yx3E5domv20ED4q2NNqxb6xM/lHBB+1nsYbvq2W4sS9OP9HBCLxoD6G6Wl68L14Utg2iCMDlRDXk KTmUQWmJfc67yKl/yaCgU5OMLELYtRC6ObCYS60VXb4lQjFCwDthunYtSDOGLJjnrGyHdpuyq+h+ JJnw6e2/7/UWdaPOLbloBg8p+ZsGIPuNr4vvDlkdYEpzZkV2kLMI6ckdNPKlcqisr7g0B7akHhut muA4dqvQAI3/g471XX3udzmn2l4rClGrgFJL2MVlowIsD84WDh0zvk0GdxZn+oJ3ilEyoU7Ny1oK SImn86VrraDYk27qThOVJ9hOfu3Lwlyd6boLRSNpvi8Z1gh8tkpuBvZz5USacMvjn+83ftdNj9De kyH6S4IUPCtVKtKEE0xRWVdzm6wqgwAz5ot1EP72/Tdplr/zlo02GHbpyznQZ2JsTflBGv1UWv2M yVShjJ5vOtxa/Xyg+WO2GSGrHAltpw+7ZRHJc42DTqTq8/SarhiazKmAm+ULY7FTwTBiOF+ntzhv lU3Uu5QdhPIDeQLkxhSBSINSan40obBI0Xye+cOFgJv0Pdj74UDWReckS5JpVbJ4E0gkSA8fZBDu 4dE5d8viWvIZcH/VtjvSSEl8FV8efAu0jzqm8kPWTiBr7djWmBdO68U1JQdmtM2oGTxBUN3brBib 2/ToVpboANlIqB9zF0UXlTF0bpsICBaSMlnWIpnN3HbgiSUOBTK+zJEYQEcvfi94bFSOs7RmEjyc vlJ67pEJQ4OtdB2Jzq/5GoLhwmZmeUSUojgL8VMUxVOh6MZJizshArDHtiRIwKXVbeGEFRM/KtTt 4erKhsanxS23D4VwBPQfhdDp5SmpurjaUAMwGX4q6qP3MEMkkS6KJVnnb5IcRcswQB61Fk81SNMC 169dQQcekON+cnuV0rVTSasDp/FkPMX9bo8ADlaBNt08l3/cpnd+81QVryAgWr5Wi2k9GfkvBf/W dGBIcYVB+0RSXb1uRxDKF4EusoacIQst0fx1FFS37SwdeDAoRQS9KzYKAYCIA/dknHlJDHLk4ZoI bJqOLf9/S0WpNgkRemoFpEmr/1U+Oco5Pj/nrh9U/gNwFwwEmNr9R2SWMfCfUDsz2vEr7hSouDtv 9/JpFeUuIoCJqRXSZmq/x4ry2TVu39cBwmyd3QmK2kBhZxailo69jyEEuQdmEl2cT0puiAT9ChQT dCSk+kbRCUsif7TbkzpUtHL3jayyXvBgrpmLWr4x16BhvfE7zV7ZP2AK6KBzJwy+vOwcZMjgPjAt k8eoViCeHtPws8npFuvBXpHBmzmuunjjVLOfjE5RHrUynyk9k1vmKN8T7ELfhXCsuFLhSPs4k4GQ qIDvwIgEpJ4zn5wTImr9/Ce/epLEmlQ/HShlhuvOTy0rxSENfplRLcODiAGpDZVVtIr18NW2nuXn 6ZFglq7acv5dErnAyNbw1yYazOMyuZVJXJ9yCinJ9VkZXwY49hUK9bFD2qoSS3WDecTTYNl8BYEd CpR4PEeVKaPAD9kw1Dj4QRDe7cutBXXodU8g6y5vyBa5UBc1EUvaa4h7CIEXMJbYtRZKZ4mB0Vbm ie3WHHsgrFtkTRg9H3mizQ7UqtmUjX6jgXEzamzzROnzRQ7pTP2/khwR/7ZrjB5/AtsCPop1yd1E A3ugj5j6vlRPskS6NUPrBfL8GXh+jneutQfd2TK79peNOF4R1Nj+Tn7dIlqaP2G8qKnXKw6Wsa1G srWtnJrmEUCui2ErpHx4iHH9EotMAYuEZhSdeykttoh7hECIE8afZdPMZiI80LT+3TdpTY5h6xK+ eyqgo3u6hN4PP/WasDA5y1ZrbDt7VFlFrpSgDMc0YUPOqpQVsSSefbYSQAJJLKwvTYeWnlDzQMGh VfCh2ZiJIqU47w3w2Pvxzh5P1jqwtAE2O7jffGe8A+cwnppUaPthoC3z9cYqWWtgXIZ2zg0b/eIU oVUIGrFJmhBK/zNAsgi3NM9A0tgh5LQ5CU0Z4vshKZp83IYZMWiPYVWFWu/gDkaGyWVA7q+EScpn kbHh+JKldh4ahIZtFT1wN1MKQfhAh15yZ6o9i7wD/1qH2CIas6wOS24SrnRzHugrOVSfzj5MTlll +Ej7gO5e+xADJN7G2I03Squ4+bbquZPeBHwMHklNmbv1uPM393GbNo91SiRIAEBpCJYipJBdUlw+ bmjRBynHNb65nfgc+kiRjsVbQhx7KBfMRqxD+NoZ7xPacmrz+dpanSHuXtDJkLhT3VY2FXQ30E/S 4ZABnm/fJaJPGWLI8y6VpqPD4JbsrL9zwy3TOxogB/E6BJKAKU34h2/xMUAlYE6gtzmOPMYbso5x aEEoFFuCxftApKvfvuc/93FPGEC+60+jIy0Oz+KXIYkuLdWIIPYeZjxWS6msKViL+lyyQeL/fQfG QldutkOCgV0uMUwThRdqw2HdKMSUMf4P7dQG26Q4tpx/jHtQhRJMYnDBamDReRAakmqNlAh1b/5l dEXZug4qHybpHCePadM7HIKPboxDi3KCrWVdJLzScMVyEaj501XX6FF1M2q/VVqOKqxZh/yQnsQu hdkX0ubqne6ylm+1xLVQ62ze8G6alJKminhBwbSMcWILBLt8y44fMfXdBEcJ99zJ6WeYIOYEswpu K2pFlvsI4pvgKdoXrKF0e/wWueQEvC/OzYyIEWKMD7FAnnGRi6wwRD9jZUu4oT3knItOc28Dgpzj Ak37IvZsQZ5avusH1qE2mSkeIFhdqElZTaStnBg92cS6iRx13xKKIJX+yRwkmYKgYAzDbsYsD+kn d74TB7PZ0wK/IuNrill04dAmJcIpifjaUHAilGDDkP4508lRtVLwoniDhufv5FtR5BbtLX9nVBMw rFLyEYIO0ZueF1ATFYAjbElc3nAiG93K0E4c7xZ0qnnZV/V362YzZNYStiAP1IqsXaYIhaLxZL+w 8MvkGt9TKjfEpmjw9Gk5Nc55aoRKMl40RrzOhVJZXP4B9bcnY5p2bDuY1kO/gx2Xgkct53wPFUI9 v9ShQhdPoNTjgpmxbe+jsNXoMfvLlTPkDY4Xtides/4x1Fhpp5eqAOwYiYpe8A2n8A3V5R4/hbxu dEl0j/CNIIyrKEjAyHascKqLud90u99fv7IH5lFX7V1th4PJf3FKddT0a5XlZmCxrWDNgy3DX0qr 9nGmPIBZ4EQZvp6jblu8zbpRJUxlfZYOrIpsA3ssIlLG0EofcPtF9+j8sriFw2KFsYXW/OY84eJb HsJVkeLIjWj6VhV+d9I/Homb1wNHy/3jy5Z+yYsvfZkCmw36+07+p0JIpPbr9X3ey2KAP1BcWcPu I2OXgolNR7Y7quflYvOIHaeiUF4FtU4SpUfctz2UyKTiuMLH9U6uVCmCkW0ExlqJ6HQvhqblCxnA xZSuVwr73uHjMQ+U7G/qNwKGQDlhr5PYk0GH3D71cbnYdctoZpr/l6ssIofSNVt4+YocMfBeV1DH XTldNDgp4WwPtW66q0axK4YbCzyGp8k9v3EkGXXJJboBoF5R/RxXgTVttfDJRC9iVdU7qBGaP1W6 57JsMYcz8TvDpCzFbm0Hc4VpacxOllrvYT6PYXXEJzSQLTNgzQsqk2zwtG4H+dKWWDX1Dx5zjlyy Navw8oleD7VuTqPCze+nSRLOPN69DDRnFBgmrQK+Q9bzliJCadcafnVeks+inumdM4I7/6rJ0DzX 6yTBMa5J/1GbRrm0xnhf0nug4hneMWdAzwM5DtSDzZaDrbcZwnsg+0+74ddZ5SgxWKLEzmUtXyeH 4D7yWUeEGWRFltYVO1yD1BxVlvmPuozcsS9uVVyJxH4g2VzmYOmSX92YoErd0fKoZIeQFnghE7fB 4MAjW8v/Zki4lqgCeJbOYNYJsvOYUzig2ov/VPv20B50r4AYZRaJ3QCRnCBVHShypP4cUhg6EA8B PmOV+WQdgbIOp4C4cCGD/suSyUsvHRBocug8pqdCk0GAm6Sjenj8lgP901FWFQogHDS+4wBkRJCA 5dRLV2wFFyFab5l5UENAuaj0/E3CA9lV0hJxsFpkXnlpsHCqY5BUTmX49/YcQFIuxip5UnvqK0v8 LSpzrEwJzfOSVUWh+Gb1bU4E8az5aLsaWsHCqB/Oo4lzsr8cWNkFocPAll7f7df5d8U3m0TMWtIX oIhXLvPTU37CkgS0tVKPMnjNKPhEbR4PZcUxrATwmHy4F+sLZUgPzVrIwIDlOoRmaEgON72SdTjx 48w82e+3brGTE08rHqLtkK4ytg7M5PfFhFY0wJEQaVN6r1EZgkOQh1JPf3t7WJ5NTtznAbbxu7pM wKb2NWOcuGPpZklIqvAWyU/yrExj0gmx6hXhLbPKK7t8VAPbX3E4cnafmzXMilwiNNTPirm3cSsM zzB7dmOZSSCAD6+kFfrBPq6B8MXbAcLcV1X/9hxjoxB7EaV4IbHOVF+bxxNWZe7VhZoZR09w4qR/ Oz/agrupWiihfQqmHrH/vn5mnaI+Q4yWYBo4Pn+jpDu7083+x3tPoXIc6P1wBvkcCImgYD0TNRfG P3jz34BC4BKU24WNXWsCVqHVuWCTv01DSaKkZ4eq38TDO6hyBv9sY2H1cqNjXnvea+9FthDCZEXP pa889fo4p5lziXuaGtb8MLeguB17yWhjkL8iNIAG6KpK5uklU19hLu9tr6zGK145LeeccagD9COK c2TU7BloBsJBZvaRFkLs67yRWXPnk3G3rCBx9FtR7xZl5d20UnZsGY09PK647fGL+4oYzNMAjzuV 4Mg7Y4iLnjwpqDtcl38uRjLUaHTK1fCgOZRm0gDGWI/WMHmEuxKAVUg0a8xi2EJ53XHAhnXoIvrJ virLZA0WZa3DoSP6NFKPBd/Y0OQThjyUwGYToTg17sq4zK1v6Xqxe+VbF6R5/bBelSMJmSlqTa/B negjZ34VVCqVxjbJV6MSKmt+W5LBBaI5/bnkNCeolDrYd2TypPANhuDLwTyhORNtj0tCXWOv8Md2 0rrL+cDyDV0uyxfa/PRGHFcIk8iHIFvmef54A7rwamgOPbSAJxm4iBSQkGsGxsEPK7+YHo2yeQZc c3cEi1B858f3O0AuTifYO4u2Zi+S72GDM+RirnXuOL380Kh1WvIHsSmETS0rX0tatQ5VAytpNlEZ XO5GN4KvDwuIMP44ymBeTuPTGEutgNJ3PTMs2pU3XJp6srhTGbMmyi3JlKTuQBWiJyaTy4o3QI37 Xcev+HyTlLoiDQMt4L/vLXgfKlOJibVQX7omm61xrRlectXZth4WNQ+wEdAq+OAS27yYoD+Sm+ti nmr4mLoSYzSOhH4U4tJmE6ODVtZa5tblDbNzg15eDV5YpVIrEOgr6KMX1D4TP/03DuO1dL9D9DqB XD+OfF2GswWkcqebszP9bbSs1UHkJlNDrzAluhiF2SFcOUOUEdU7ME/d9MEUmb5HFCbhq6scQfIj B2gUMajeSXPWPwqnCBb7RIk06Knw7tORk3D8FRF3YduKFl+3nfMsDEJQrDzh3mC7sDwXknJUDExP gx3C5wz/fGZaJ/3T54HjccvBdThRZIsNjvrNxKtt65I63G6wmWt1Zrq/BqNljLjNWAFYpYxk/h50 6lbFxjHpYemrYYULKhwmr2KvYLyZM1lBSmLl/lT4wss5iF9IuJE7PQgs/8UiLcJpIDSsNOQuNZ+l +dU0oNu0zFTiyXTkm9sY1ymq8MpfhN5hRsFTDsSNtNMbehKzNKjjfi7MLpRNlwFpMpipIvtKXmoO c6Ca+Yz4LlimgaA/u6dFRn2era4fc6SAULidofYhS4hxfXvY4pp3fwImnA5/njQN1FkQ2VXXFjXs uOkHn+6J6p/RT2Ss4LtkSXO95R70IzfLFkWCaW5k3ARq7q9JnsvZInuNe3zmt1uISyjHLtTy8oqt jFy85N3YK4H+OvTMNt7Ufi9A3ibgua2WPBOco6PaBBCC66AM4ybbt1UTl+3XFsP9pNLGCq45vHju xhrxToesXJoU/GrM7uWShkcexzugZSzaurTXZdFhuUtob1HK3AEqXaGTkH2ezTiN6yPo9ZuOpVBt ujxH6AmJ4+UF875LCXHjqha/zBBEWn8mXpP9YTeYaZA9EuwQHHyCY30sttciclCogm1IGZR06qVy ukZ8Y2UfuJw5c6nV/ioGRyMUhKDQPIgimufCntlaWTsYVUS6nDCo4Hv8h36ChbF9eToztmdsb322 PoYyrCZs3/Hv4+DLroWDBQzoMT/p2g8Q/wQFrnh94bUbEDGEup2Owy1BX16y2m6b54G6FCo8bCog 31bqeoMZrO8v0lqTqlx/Jb3Gv1coPbi49rRaKb1xmwGF7DPneufTn+yCRo23AnsrVKzeS8MAG5MX tlfA9wyNooaAOc819I0tmWdLPkwJoHj6WXSyxk8qmNtrITuaPoZ3OAGMwkLCUxoBnQykQtiwM9Sl yJESE8zRESOoCvowU7zLOpAlvXQRJcwhl0c8Zxe5bm/qB7rdIWz22GxsPMai/UT9v9jZoiTCXICM iksjJ1RG3gwnCVE9qTRs7K7sZbY8FfBsh2Os87HT8MZpvtHTXQtdSbK2/V8F7hDKHWb8VBcuFQBK eVnw5M9PVckCw1Pn5rgmyupfXRTz1f17+oWq0bWXQuPNoKLqmz9I0Y3fW22oeRaGk8w5unhRggcu CabsS0r/9ILASCLmm5pxIR0WAb1bmasqzwIHdkdOgqJJSVjUm5mndi9xCKsYJlIxZ11FNpOrgcj7 RqeHnyHfMLrz7b1eaV2jcRHX3nXd6fEqui0DqxWlNEti5HxGgx3ZOIErJgpaZ8yaWUd+/XDR4L1F Ksu6rcFeTdkMp+i1ski7cRzo/5w7/WKONV8DM8q4lKOol82YN/iGogFXQyYirPswf0VT/vzFgVgY B83uuXQrdE06F2hyuRntqGwOKdPfpoGLJyvrBAPjWjy/M3R0Q+Iv6z4fmnK/eQTSjezeMfzjlu07 qFD7JTeHbPEk7pyaHkYTnOIY5o98bsF5HJ/+EA0epD9OtJ6rIszzSs6X39qhJU9DcjL2ZCDm99bZ QV890L5WhzK1qkDdCEq+SUrR8tmS6FA5wxUFETYlUtkLRA+jaLM6ObGLIaYSXyRH0lkQhqQT96fl tEwwY6B0YDGKnvRgKkGjNeFdzQT24cIJMg4Fm6tjkrf2LrkxLF5lMrmGZRnT8/558RUbPxnm9iUa mO55AnAF6EijQQJCoVYYPGKbT0IkCfwpoh051OekQO4NWPXrbK4ZaSL6gVSw/U/ZOEVFS1XoHSld tUAky9JjeT/drwIun386BU0m/iULMT/GPXafOPPfpchO/yzGEZbwqktiAJKHU6ODYdrlFh169a3f OA1ihqtrPTPS8NprdvIdpj9qGIyRcpR8n3xilHCwFBsacKMNVEORLm5lhREjZYZiz/8cgqovGnWz +NU4JVCJm0+nKD/n+6SN7WOoE7GcbOKdAJSGN9zF66YtEJePRF8lNqrTXVezLp7gQT4R+luBkiZ+ Lnc9g3q+wMCMlAfZMU7GO13j8W0PMsZtUaRNyYYMrZnTHeQBXVT6dRKnHFr9h9Obl2LPKuR1O0xF AreYq22VfgSBum3DlrI6TITBp2x4q4OcgzaGEy1usEDb3Upv/LbNLf9EZkeTf/lJdlVFvexP7IcF oIhTKb/snEnyUv5Z3JLcq1p7RNx/W9fLz0Ac6qlhIesW2Tfieg8j+Hc17F3PBiGJsf0Ajyn0TMhO +XaeZ1KGc424Oyk2pAa5fbPvFSEfcMjUS6JaXDOnKPAUNHxjd7e3C7taKEEw0xvS1dhjURJp6LIw c8zDy+O8jfl8tdOPMYXGs/Ic4gK+j+Jjlo17d/yEpIsMzxJX7VJBFYgha2McUBRnYVeDy6uowOxb 0aV52vT8CLbAfBxdc2g68zGAkzbLKbNlyZfuuR2xnczxmupFwUvxlqSaao1YtO0dKaVL45CON8ls rcVhfVfjF92DcKlcrH5vzapf2OeldYFAFi2ZjsW8KteGwPNPRteJSDyg49GgaDe4Gx4HWJFkl32l VNzbLEJpJFsrznEsE/1l1HFTYjLGmDUsNdxxJ58H3RuBt9ODMN/UnE68qN0l0pOfzPN1zBBNvuF4 y0YUp+HJBcUr/1uNp1/V+yTFISryFQr41YlbdksbecSTsxiUq9OIzZ3exxez84WJk1ZyzoQKfyLj Jkugsnh+A4Uqh80hPAHEPMuQ9sUQkmCnRnVQ2xbmXGpafucMmS9l6WtZC7ELCRpDMB/4u/04Hl09 LK4eNHqgDzhklqNX181UQme83DuT5ANgZRdcDP0YQPV1F5CmbaMySI07Y4qpd4PosYfGfKT7rS5M Pi2XPTYtu9IDACPw3fjKTFBUT39/T6SSYuzgBWlUCx9rzhi76/SaKxQCxUtUA0x8csOAbQYSnb3P KTkUMhqpoiJY7k2/zH11cLXnSpPzzTaiYGiBPhibXR+O/naDFbDtxzai57oifmUnvcchbKJxash0 oZEOhvZtXBO8RreAjHhsjTa8gIOn+Lw0Djp3OUNKeYJCOzx2kMtB8B5HgQNgnaDYZkSyPEuLkF7b aWnG4AXHSgEAijXFUYQ43k6QDdvI9vhMCquJlfnrCErc3XevZRUa/SoNpy6fP2K9SxnLyE8C7k/R yrq0uDiPCmvSq+gMuzLphwDDR7WYC0JLHuCZ9ZbHZLGe7OGc2EdglLWKZgEmwtky+izHybhcouIA 9FRvM6mvYEIfP1V4+OdaKAcHvd0HvAp7D14YbO6/XcoRqorLddXNeNDVLEn2mRn+FtNyLdyJszI5 Sg8TWMvlMi2i/PHfkThZ3BVnQHxOLfdrPO3dnv9H5///T4GKS88Y80KbGIgs2uz86IXIONpypG7L QH2ZQWaH3Pp5G68307YIwJHJbnZA07wnsDuG2YDcUmXfccOmoL/FNPcmpZgpGVcgs789EguyC9iA tgL0T3koOE3UyME1xObABUuGR71/Z4nYKeDih9Pt47Y/vE3zQMNWQBPImJphlfDpXLJcKdEo9JCq vyK/qmyBcfkU3jZTkfIClQTxW2SD8cupOI+g0erfdRN2Jb036pvV6phIg7Pb7j+ss1G+lH+XMADg gYg37uFb+J6S0k4fWwFVY4mSMoSaDQsuq408tEAx/nWJYd7M+xQw8V91JgfKvBecF+y5asN5vedU i1IoLjUx3vXFigR/raGQFNrZIYK1aqKKO4tAglu2aewScwBfw2RzPnIDmhKc300mgps9nwgAMJlZ 0XHBkZI9vLjoiMNAamkQBsFGuXGmmchd3hR4pPXq4Sq+qhstZg8ZXqpjNLWQpVSs7q0IfY7c/8HP HmcHTNqHHJb2j3mpxW59IVDsHR9Os1oPJLyYjrB9X0b02dOAJxemOE+FDowIs/cA1LjpTtAGWWLe DJ3b92zWY6nSthRb1bw8TDPwLB4gzJ5vdwQBBMnhgpZCRzWYsJQtgHHprsRIM8px5wEk/ZewS6A+ 4Bx4ItWuN2R/rant45FkAlCqOsSZ+NX1j5v31daP1sIFKxz0oovy8C2OPhkASaF/BohFBvdaBZXD c2XnF0C68vY74bfeLVz1910mfjKNTR3YEuSQeF1oxPY66pA0RPt28UxkR0RJ8n+H32s+OFiTUwAc Z9MRt3yuMLfk6V2eeWxZEBryz5KzgzizGZPutbce/oISzBAHOCzygg4VC1w6Si5s8EFWeBnFEhxo 0oUn8o6qlxfj3QO8eLwLEknzPw2hE3BjMC5cgm7hdE8nP0oM9e6rBRzOzVuzcJOWvtpmrRsWwqYD x5DVBsmVnZZP2htXylt4ZtvjSlOW+bUWViWvihvD6k1tXlY66Rjykb2+8AOZaPXrvx2EaZcVVNAq 1AXdr/tjJa4e4syBpoeKtdxRKt+XSDAkUwvuIc+jbp8JuRLGu4e6qjyJNVqPPGLVMi5pqY8B74cL BiMvW0m2xmlPFlbKD6gwUs9RSlEmhwG/Q7Mo5W9FA8Edfy6B/0mhqz8ikbAmJHVM8Rrs0QGYm8H/ LZhHgV/O7VRyY7K8Z1oy7eOzIpYZPWx7S0cmwWujvu2nZebf+lVUrpklDbNPOJu/cywyDIpn5y6U Bqn2gnlYpaIe0CP/BsdAwV3lT3z56eIhO10Vt5cnyR3WIZvEju+oolSEYISnCKAYagfNEzz3KpzW x3PM1vwEAfiFqvSgyAOo4CO3wfaho0EhjO4xj8ixtkJ342il9HeyVeM42n04tcJa3ZCN3sFfdYiG xmyVoNLhXC7DNMdjh/ubfn41Gxlemuv8DcesozOVkQNoOz/E2TcGhfaLTALjv7w9Frzj16tUPvWO JvswHoOJ7AKNxITMScrFOd0c1sWwbn2dIo/166JKoKaWjI2QCgJUbIyA3txVPw+vEBLaApzPBzTm vPOWsJEoLbwXLuACK1ZrkT09MYP713palngKTadjnryuorHOXdL6n/8kWYoTHkwJgLODD50xfMPV ZNaTSQn85ELMwkbtbIEAjBCDX6HsyhWTdn5ullfZ+JG2ia6KxPSbJefU6j+oosEDRQfpdwa5WaJz TBaV2B3kBzluSQO6IfiY8XsZfR3zf+nGbRdPxPe07mb/ozTK00TndkUGH336609BDveUyX+Q6Bya AvD5ORfzmKDlE6YTCXZx5vmHQV7r1Bu/MQSeE9c+KB/keLA/7hlQToW4vY//wx6XwteODOrHa6cw hbjjFk5Nu1avVnfb8Rk/HvGFhVKDS8cwKXf9sHTwtUYrUXohcvAVVvMzqCSQp1RhsQZPLczITyhr gG1CNHsYiHC4wgxpoCE3nGFzFlxl65NOJKFrlRz39OW24TQyL7KFXfBDICh0Up0qbat29uSkvl6y 2vqIRMWb/PZXCN53sluROIbzftJH5T0t3hBh+YBnBtQ7Rp+tPiyqa44eFKzqQ3u5UWQ+1s/z8s93 DAi15W+pGmdOcQtkcvhR8DQqRC3Vt5XjlzSbfZXBkGy0poQPJLjUT2nAnaSSlLSeCdP8TeaZlzPv eQa74FmV+sQWnNNhkMG6oh5n/oUCp2EtqpsLWkdpPmAo+Qpr+MwUKdArsvuaY14pMjsBEbmr/GBB NB5RGtEFBmC3PUoXPgRO7lnFEhwXqx/qFDLmbaGhsQjyRLaAdBnAoJi+KxP9gY9ezgx+kMseI33d nrpZdBiYZXbeoFD7K8ujFr8fN5fBqVSCyKbQv54EPRj2A4hC/taH/j8oPSrMx0esHT0+MZmCzPg5 jQrjvOB2j9AWUpkvwEvFu5Qfwiu18Rw5sSqI4g/HnCZ2xPTuhG75FJuY9Xe4JaW77nSEMrq95JS4 TPFEgHsQ/H79fcY18eJPnQ0/XvBX5Ku/LOiwXzWuMmJUed/0kbE3uxIdx5TwzCTKRNDzo4vWI4rs Az9OMnFlEkmivdH8N0fYKj/8gsk+5/3KApZWXCg5xRqki2Dslqr+JBHfKYJ7gUxUW9MADRwZZV9b /WPrFgQSISJ64BySzsGHh6ygJQIjRsh4GkdI9C2Mv0H+UoEXgs50/nCNui2a/8hA2OC7675uDNr/ 64X1h1lCMFy4xj3177a+QMh8Y0iQg9+t9EPmapeQy4SlfDQCLc7uFr+Z00fuhT0gAatPzMl+MwXm QLzMXhcHYO8lHbXixWKzUB/CStr6owoD0hZSM7CkzWcUjTK5Dqsg5bltXTX1kOoK9XelrWzBSvK7 knetakm2JI1+o2C1mek/fD/oGl+Gqvld1JA2rhieFUpvqcyqfJDQ297bVHJyBIzqEg9Ngvj6iZmY x0WEmf48fJhJ4e1tkW6KUOreje10UowXIIJCO45MOfYh3cQpDZ8gzajf5p+ANASjf1RyCUUtSZws FlAQZCR3/SlqBjuwTjQkG/y9UkMYO6kwNOhgABPMyeJX5whtszqp2KUigg/MbBV7T/OfBaD/iPTR XoKoETDJuJor6Kx1zxhquzRQoKDtSrYhNT9DY2tslMCKEJX2cvOP9cgeFFCKU5vaAsHTF2YcdBwQ JLWXMsPMEONhOo9EQTY51Tn5tdLBc3VDq07PvGxrwLCKE0xLkokQ72GVAuwB0CVp8tAL4uI5qdGF hxYNQu3YruRtDgz9x8hnsI0qdgvGCk5w/NPrft4DkuvneQFLEKP/EjJ8JHl0Zg3QY5GyK9m8WKc9 R2V4UMUBjSG3yU4NotQ8fY6Z3JBOj0//5mfKZOi891CinaJ00PVERJoqspXRGo71LyVoZUapYZo+ wCKmeC7sMp0IR49D9wf9/It7N8vpZWg7CSb3h9L03gafl+K06xEwbBApyvvqkdIhgmEJr7oZXBEe wb/r3FYN4AdvZpWEzrVsM/dTH2TTYAuFnPnKdXOe5mEGSK2tX2WVGZA3VcDZzv+CJsyF8Cwqnciy TEneEVP5DSvLO3HW7GAVukycOMl8B+WpulxIJu8mp6u7WXZk0J74zi4wr/AYr0CzWYj90kOxAk1k 6YnApYjxe5ur85fXHvTHadbH7eYuJb+2x7sl9H+6qeLae73oTGkFPFLqcAelywv6eKB+EqT7BVrL zMl/cCGB06tZQRl22h4ByeNOpnJ2imOq+g6mTHT0h6a9gVx3eD+RcrneYCc9PdUbeTrMoUC7VTL3 mDhfdY/5lKy23leaUR+gu6Nmj53/err7rypKqJnwDqcVG89ZJ6LID53feZS+BMuY4oinJ4YMVI4s aoQ6ZQizPTRypzySkcxD16B/NKuUizvk9joOXa84dMZCLgXl4lYFFDq4AZWTnJe6U72YB6xEPGSv bA4GifLQG3oT16W6qFd4QkbuZVs0w33afr73GVi41GZahjivvk8wpo88U3U8yW1GOjfT2/4xBFWF 36MKyB2yNfPN7wM+R57iGPYUW8g44OXMJ2J8anlvkzsQxemINNhUQtZ8oJwUnUTA1B6/piORPJnc 1rTeHFkySqrGqnId+YLyOg66gT51TkNVRVdZhVbxSFWASK1nqNrwbJ8UWYGcvwZaHcMOvvm5tls7 5DVj59vI6G1TnBIOQrVkPFaAqHhm8M7Lz3vI/iOBsyLmFoA2yeHRgiC0TRS5RwSsmvTp967poFT7 2I0Gz1hB7/RquHTTIKztgrjzyuSLh5IfTPvO9QXjaA5Pr+M0nBFDH2ArUPYmnzHSTqLKiteLB/XG rpn3l176WZnfKIkwb7gSX2GGN5J6Zj5DiZTGY/kQuiAHXt5N5EweAUB5R1ACgKAil9aC3VmUUXD/ TmgaEFFUSfODlQH01TW2fXsLihunNnALl8AXmHqKlXBB9hoN4X9w1PM5onXaQtwTlShNFZEUwr8n PeFCiInrCi6I10pRpbhjvTQK5pPLSYAZeU+InHmzbWZx4U5Hgki3tIIGqYjbhD7KbKPON+dU5YQD rJs5DgMmjqn4O0UWIWJgMV5/Qmc6WBzwKIpbsQcIZz3Tka7RLIapAxU+LoMXe9ceUB2B8mMjIlP2 59U4N8wKCoABN6b4cnuocOflSxtI2WZ9MCMmKtgr4yYP1ln7TYonDIxu9aTRvRz396U+215GqlUG XnJ1tkFfc+/uJFUOmuEd1hGm6vVjS3GvZsVBLx3nrbIzJEVeohnanUlybsNkxGnN55DiaHWdpdYO lL5JrzIwNAg0CJ7rEazbb6yFCKv7zKrseXYoIlSzs6vEHJeuSIw9zbSrOq+KhqFeklYMaTNXoMhu IBfvFfWfQCyEgaZWUwlspU9Rw+pRK/kIBM4n7akBX123fBu5xW4qtt+HOjn0HHCBp2JlmiJMQav4 AoaUvHVOkcaSzsWwhEZaqDyHjhdc7FLlTVQYzE0mG1DU00Md8XUwLO85cmiaAu2Qu6ccmY7fS0Fm tmse5lTO534OlQgauRcHkpcZkksxlNctQmMQLrTB6LHMM5yEHA5M5YnIzZMG/5yQ8Qooo4MsxhOV mI8mu/SrVo0QvKKbte0L56cqpjIfL4HmOFpbhjISSGjk1V5wznkceznmUAFgwL/9MlGUryzaEIDN 1jelOCK1hqHo4mF+pApY65EdoAc0T5hOWNoyEaSlvlC0rw881xTNsdLcks8GyfpZeRetDiTug/3a oQLqdxvsI5DKpqwWAcd/sLxumSasJxjJQelCrAIxwhinCV7bAFrOdNQ9OEEKMGERwybYqG0iyo0m wB9XjCfYo64eg0ib4uiuCsIgtZKrV+QsbWlaAbAbPiIH4cx88XZFDHxx/BmwJ7XX/ZFdryeY3Rmb 6AiNhkC7MiEaGivd03RdBLRF/92Xrrj7F699nw4lKtIKhl4GC3af/Jq7O7ypaZyEYHuPve1YXE46 iqeBCV+c5JmwosNGQCUHNIxAdnEpQ8lygAYAR67ZR+XQ6Dyos8jpI8LO13lsMG9ThJGZThMHG7up TrAxRWc59yeTMNOJ6AY1QDp50AQAb5OvdnOwOMOqHFsJfkGe28kKmTHTi2oJtjO5mDwpPET58PqY vVwma2ryiwuaO9n1XvdsB4EKm0XA/JEYe6SiE4hYcM3gZTjGYiAJIpJ7aaZFHrk9pzuhgPd89iT4 BxTYtwD7bo+G6fNTBPASAW/48nPRvdcbx/KLd1S4gr/+iO3THC7Lt2PmKSw/bBaZJiFDb9WvZ3vH Ih3dHZNtCqAHmcIb3jndSlToCXb3mHulxjiqUjsaF5CIzIVUbTR9QTjqNoHTTJ1RTVX1MsaQ4wLl aRJrcDRCFP4Jc/pwR8a0a/viJALTGs1A1DBIgUHaUdsKLwCGhatvGWw8MThXnjw7VkJ5u7hH5vAi DmvCER6XNz8xIgEYkCW98vKwa3LEJAIspxRXK/Sqm6Jmpf+JtYozbKL5szzDYS23A5utLc+FgTKE I/w3ESssY1gDQ+94Q3PwAqCuqs64WLuLSUuZdzQBfuAGAHU9+DlzeQXOVK/O3r7cLmGuG+hVcZQ6 IAsBTtALlw6+/rtlj4DG25o7sz8+iRfKR1AONE5OKTXl66CaUzkYtEgK9IVlRQXnSqtGx6t6qsUA OzzC9cKj5haK0GGA9bReFLYVyXWFgGBOmpiXDpkllKSHLkljKsDqOAXr8g5GSrRJfaGpc6mUhAPw uEtwKTxtCph8gRpoKGgjl0sHYNAeClSdm8q6V1AEB6LhfAtoWXlRhgxAhYkqPigNaUzxYDGfydbK m3f858Ot1L9BAsotzQJ8pHCKhczlso7yhvEGjdyuD17bdfOMaH0gdOBkmKS5ZX1PCU4SIJRwmhrP V1Nmo5tMVUMGIxWd6pCZsAXh0RtLnOK5i+z/cXy0FR/3HaXdmWl41yW1dnlo9Tj4LmAR/Cr8Ltof U85SCFzAZkpmdTgE23f7vo4vXLsKJ9HcaF7NyxOJJvvIaeZp/n9bllNOFvUf9qsjCHhhhO4yDYg9 IHZHl8J3cpKWKpv9AW3L4jDLD+Z4A5dAer+Dp6fvG54nC4dXDT5kQh/2qq34kf8ZHfXkqsoCH2rL 8EA9hMWvU0vC/RyL1vcrDcvvSh/yBF5068OVAtaKMjBhgvHGMMQwcl18PHdy/EkUOSmB7aNYjNJy B8E6UVvM3YDlOzq+TTNgAePvK700kT2MZWaVUXz8nSDDjkiCFRZ5vMH7OI0HMIS7N+19nOSRtbTt flqXaHbWPcSju4kSVVuDTbpSCltJIZXr/nkHJPlWzZOSwrYJJGbOyDuGVWOZBBQmLngKfuovUjtf 0WTLZSMNGiTpz16IxU3L92SjXRLVGKbEoMr4MDehjRIpjESEUNFAQwGOzX5etMmFymAtXtiYB9he i1adp34V1ApkjfGSwjlAt53k8qWMyEH4l2aaO7ftN628XqhMvMh8mK4OVYAR7/RNb4//+q+iXC1E 4QBGBXdyz6m3u+JaGBeptWTD89KlEP9mYBrvsYWW+wTA6lBlXcGFZVAsdKY7eSu+cQDYjFoKin9D h7AKjKocI4A7wOfRDm6poS9AKuDum1zhzdxy7FlodayaohRoTJuymT+RtZvf19QwLiz1VgW+gzRp jC1jbTunKnTw96bW74rxh7s50gF/FOhBmcyD2HRPhJ5rOrjv2wAbidg8r6z6W3DMQ4vtoPsI6u+i RXDn+yibjkujVWMnsH83vqZbg2P/5wxavWRXFOfgsrnr1KWklF8tc4OFAbA1vNfcxR+u/AqQm2r9 dfI9QnBZFHTz2UcZFH4Uugxi3yowQDiWdnjGvPKc835MfJgyA6wj5RKCWyrBuO6+325syaVMWs3F WeUk8pXwJo6lWYqYa3kM0KRIRNsFxgoUEU4lpjXtsRBubKdWzuOGPxiDYITj+HMDnbH70GhP8eQe AAFkgSqGCMTzSrTEmajTsz11pVIrAzZhTYNw2OrUx1C1nSa8TK/jV+UM7xY+kNLsiMFmGxR0kFQI cdefmF+BDXlxjOYmdcxzqR/usG65Rf8jEpAfjTyhl8RixoDeO+8w1g15l6jOryAS5JuhEfSrv20K dq3OihRz/7NvQ6j6gMzmLMVu4ruR92Y24GCjwTYB3kNcah/RDEr41zCQjtDZsYmb08I+DDn9g4Md 7hpMoG0N9nlM3eRnAeu/z0g6t3MY7ZzGLohXpZLi40Wi24kGaqtSpanuhvgBU1kxI5KPhd/I7Hxt IZPdZS8+8/+Ip4T6qjozLol5eBzwyUxutt6eDO/XVd3Q6KZeBp4qrKFbJ3xokIoCKaGYFporXT+A 2NmnJGpR5PmdbvYWjn1SY/5gqfaGzbhW/Rz8G5a51WdTqEwbredexmUKQBFS9grxCf+/qnD42CCA SFxUUqummWpd4SNFkTMN15VxQA8xygHKwgphtk5t/5m//InI97PXhiNbX9N58tDK6qhf7z7KtzHO jgKC04C2+JTVuHoJlGMxPWby+X7rzkLJAuVINgGlSztliq9PeOl+h4Mx75rQIxVP1JHDdWJzGOK6 77BtbM+GayZ5mksBnZw/m2ttHUJEgwZ0u5c5RPsTKG6xa6/Nre4fDJuvZXj37zPQD7l965rfeWox uY3fI6Gwv5r6ki4OTO5mq5OgsajIrhHJ39Zh6dE9Iutz09Kva8qYkDIW0Ak9l2pQe15UsiHOYjHb /WDeJJNTzfTVN+y9R5viNvLyx8b1yaEYgzLVKJCPf1Sy5E2XfTpWPvBMrh5ddFQlO5g3O48H1tBd OZ0/8LWef4loUTab/SJ4/Dp4znfWvsmJEBGyQH8K5BjIHYgAZX2A+WpaXFH2FUyROnG8HTate7t9 /A55RlHGVQLpMrp4zdhWV4agGHvXod9Ot4+3WlEewPWhahrO4CIHLj6srq0GcUfQBwJGYlg7UGgM 1ZDgm/r2Z50w5YU84ytV28d372TYUA2TGQ27B9RffYvaYtk9pJllaefr8BHyhtR+W4MtP2gteRPC LQxhPOFtQSpk8fXHNVZX+j+joe2BdMcZ+gN/xPNdYYnIHNlpyGh9u6KrITmQKImoXYe9jtDp5I47 MW1jFsrQjw1V9YeE48RNfL//iEJXrtSi258RcBUiTSv13zZKc8TV2iiWxkWnQ2C6wCDTnMoFBMA3 yiMi2/vlO5opYDj0q3HPuGEFmntGafduoUdRVTRp633DKZHUQxllspUWQDqm6FYCSSun0z3cRAXa Z4oCyMl/eFIue2Edq+3J1UX49f43mtG1kXGLrgMWq4yszL7jZYsEnffiIl1nBGuRrid7SLn9FzjB x6w+9yNexS0dkVa6FQedVknhFvE6Xpc3rQfyU3ZQn0tMAABPLzSJfqrnq/rgsJJuBEpzvqrPGs1J VoNFgchIkn8p45pPBlDttjF4Xe6vmnyFqTV6LNd39MoCY33CINWdaS9FICd8Jsw3RsOpfdtdlD6m SrcrWsImzMBi0AB0HeHoPjBl4Kwmo14rBrG+nE0ghCL+T+dRxt4zyKsvk2VvJGpAPRvRy4DyhcrH bTjOaS8OOCnpt6qUdumwvhDmaJkT8KtmyhwvGFqS+B/CZsKofgn1yxkKSpAXArEnz68Et5sXl+IC TVS8qfaOxkn3GkCbUvA+6xfYi6apbcB7bKkEeGGVRvQEITKmNgrV8fBHockvZjO1n0+vuOOm7W8g 2/SwM3rhtvt9W0MrwExbnHkjHAr4scjGopd2yRk51lUAzBHuKJ7HmkG9BBeMBH+R3Bj7kZe0bYdG KKRmNTyHL3vE5Q4HeASBjE0wAbMLCK15MYdqd6xAsvl7mO9oywLlzTsQ8hDlZJCngfcCUxXiP7L3 dvL6LrtmomLqHWhR9pBHpX4y2YfAEJ7GuQhCeEgv0iNcznjV0NoaFSVP/lnRp+u8A5G4TpsGqgrl NxzSeu9OAJiORA1t49MACjniGZ5rmJ13w68P9svEAKtvvbQAh+DtN1RsfDiCc8ku/0crUMCsDBH/ fe41J3PvNE/Xd1JgS4adbqo2XwjFmKp3KQBaGdI6li08S3N41oBsmjWhxpEykcLJ8lke+67l3MJk xSmIJz9sjCWARPGFDNyA5bGKk+JlhxSYkvPORPwkA1wNo/uAt+zEJ19lM40C7pYjtNuAtkbXNzTo 1iQFRp05DLzcDhZlw0POAiZgP74FocjRnJEFLpjBzWnP0cSx5uh9HTCAzZbouoawCnp8o6791bfQ uXqQym94epj4EjqxNIIdwGn+I8JMVBzh9J6unPjlPUQ3PGSc4xjGZ/Gf6Bg7ooYSlWpQ44CDiV5f kwg8zLzs922+uzkSnXg83HICWbA2kSUmkqkoGHwOGLlK2shJ6Uo24aIQ+UbMuCuNReGxYRJcDdj0 vg9nIYz7Ko+xkm0UnY6YNrssG1McZl28FzVxmEnOVfu+iSYSENDF/ApsKUdvQdaQFJuh03php+Le 5rykQ7815BprAWEva3faVa+S4Km38Z4EaLQ/dNrXz7XH0HB+YHPvXt/BhqPwWc5AK7tLDjf6jxkv mpU7ATvQcbzb8cLP53w6DllymXcbfAq++2KrLjdLrxuMkGLvORRyl3R7HVtcj2RA5wZfBv8M4MuC WpE2ysHfobC7ouRnSQOF84tqJsrdKGNM7HEAZLmzx5gAJouxdOmXYAJ9/qSUFULCvH5li00iRfSs hHgl/2K7/rg59cr+AG9TcRQrn8az0d9qWEjSPNxbZstFSijKXQxi2E0MYPn1OZmu+wp2SWZW2KCu Vawql9Ctdq8UXX6b2XqZDkhTZtyLDeJwBI1u9mJtvPg7zb7h8OqA/gjzenrQTlCL3AqL5S0jQThS zVcky1iiLhJZqc0FW4kSN36yrzszVYR0trVKqWbQuq1YOf2jAkFp/psNJ2JejHpTbzRjXiddMCO1 Tcx3ofvxqgM1E5UvuF/lxfxkrn+KtWrtAMVK4lYyLn33kEngeSJyRg4JZk1A2HfJ2+kF0qk/dONi cdbPd1PqVF0b7a9kJDvkSuYtgdsRNZkRM2w5g+2GIs+4qrHw/IwubMz9KjoHlNxvXuriMtZfXMwO 0x3L6qW6aa5suS0r4E04m+DL9j2yseVb2qJEuBr2KrPQquLUIhoi7S4qC10zrxc6VMSbFstrmiF3 rN/wZAZBpjHI3b7NTOaeH1k5MMzeTyNzVuXQBdf6ISICpf5Rtt6DWkkGpIZ9jbpBxoeE/+KbocU2 wd9K1Gw+mKq2zr7SaBdremOdMvyTIQhco0NHE4jLEuDf/3dlJzcp/qVjKUndkgCOb4S0yy3/EqYj s6Th0IxTot3avMiVuUGxNd3jfZCMKT6UFYXD0VVyUCwlslREjNgFYcNVWRd73xi0mFJmqYgeou8x 1jvMPOaPRIcI/10e6BwecFWXpp0UQL/Y+9pV/zm4gEJtkYXWiZ/YLUDUxn2iT9EKX6Q/0hjg/e32 5KeYmjk/qIQc6y41tYMUk/f33L+Yndh8Xz8AuRCaDzMexQQnt6vo7mU3z/8FuzGKHPhdScwxtUgf ook4zAHKoicKgEPKkFG4sUnMU9r6U4y8hF1bJg0sEYaD6HwR3w5RWFsMS96ULsflr59kvatdbLfP pkqOHetZOUkcNeisNW+k2rqC12J3G1Pvt0W4p9CKyaivXfRmORVP5/GHDSxpKEoAx9jH+m7aFKS5 hrQSUrFe6or/GFWvojGpmAK6/Je2t00kW3laZHUOuBhFLeNt2Utsv6d2bywMXSMr1MvB3DRipCRT OqmUz7qwa/CNaXWws2K3Mk4tWLqYop2NlVhsfqrH1ubipWVWcg28mcoRjRPny+q3I8ELFLnMP1kE 3O/fS7+81fpio9nhtCdcyR+2E5d6ZWX2XAf61WtPtKoU1j9GF70stk6bTLTcQq4zlafGiZCpPjPM 18CdniWHX+EfZeDnf/PBWZS/R+P7ppX7GVfq6p4w7661f23jeVe4C1dOtc6RhJh5LaCzcLZxowhr XjfnFq9EfJcvGfoZjZo30iNSUFxt7+KO5CdU85VQSqOMFSe+EUyyvYAbtm4GQhn43YzGnQb8nsGK 10mfMggdhv8DN4JZWjA2Bi1HnyFeRJB7CxBszhlcIqAFXy0ykJLUQF97+UubYtaus/FESKIgTkJj 1mQIxXxwadDS1vzDNF4kuzYCfvlr71aMex6LsagWB2NjVMs0yfVmLCTX9rS7kjhn2ZtQPR+5InMU yXxzhWL/Tb2CCkqYFVApIMIctnsTA6Ituh1lUWqcB8vhVQNQEFTcfO6YSQLhejLiqLx4Xp3TkXnK zJB7dWtIUxdJvuECVrT2CfNcRSVxHF31ni/fz49eXqTqc5G5GD6lKN4ncbhCQrGBOv7EoXmiDvmr MGtG3a6/IG7E6/WLDJl1hEQFgJ7OU/E6n90r9nUWePx9JF7zXGtZK2T1B0RpjzXqplfIkWDTVq/n 4izZYo/xJz7hahQnGksM/vNHuQgYKoBC+O3ULnXjG9qdLoddWbMcBVSkInDdgl4ocBfHqKK53KR6 eCdU2WhpwntPhlcVheBey+S9JecL1CGH5ub27kGLvCLw3F2wJAZILLQc3RKstbuJXkJ0XhKoiO+E wbKeJ2dAv2S9Oo0PFi0yMh9P/jbHwg7qn46mYnvXqKMiHZEhQywswFtEEgPlQfK4AVBVsl5izIZ9 i4WlZ8p6y0cq+HEuQXxeyOFct5pjnsvr94iApW1v0yWzT1wYonL26eRFPutC4VAx5+dXfNvxcvRO ANlQrtwi3bzI7qwcHdciq4j0qUXUNP/kbTz7OgWnLzdOy1bdwvPmOh/lGj/J/k24P+pJUGpifUNu GyQxm3F+uvgAQBS1GnteBFxWRMDtHs8fLMQaq5UIcCCQXgJsMuda5tKSOFA9oV9AZVcxXnxT1+9K 4MdCIfQePsAsACUiXkcGS/lVcjEsJcZvvhsdTEkIZ4NvjX5Rp23mpAZf/GeXvh5aSEKp4kGUebqs OyGrvaelefYdMYTscPrzrIs1wsh7AO8j5LiYv8VBkYv4uS2cfLS4nm3D6AXw+FlvaeLiXMZWVgEy hropYUd9g8pQfSo8vo3ErHxL6IuoO52fiaqCiKYorEROu+zGhDHF4C5XEFheBwJP5exrsUrLqdes p/1mfOJ/phponzAJMHnwD7P1dT4Qt1O6x3bo9XhFSuaa6HMlA5Qxy5hCU5wvlWnANTyajjWh1QIR UVOJrAlGXe0AVTBQNjpGJCJjwNNy9ptjPFpYkGjniTyoGaxCIPnXFAQgYoEqxCfMUWiMiWaFeTQt 8eG3plv4f37T0BbHP7nrzrLp1hIre2BEepUE2NE5a8qOWQfS9N6dVsUR5bJDpk97ILhZpYviaknK LA1VZ+sMMKFYVajbBfj0L4x4l+sWeKVmol8chgJnArD/fgydqrGUyXpxwXqs9SYYwm6ziKBdH8f6 hy4HnVnhLlOm3QfN7bhQrKyFzoIFgaxDPq7ihNx3uvRp1ZNHX4tTXGPQvQZf0vhSysoY8Ywf/nLa HxqzJQ5Ni4wF7ElMmEsEsl3zwWs9GxUgJLCFSMo0RAJlWgMMlLHRQ+9+lGwC4QnHWuGHhWth6q5z tvqymXGW58tlcE9Tbrsp88pQksJX9JJKBDYxhVLcXJAYJthOiH2zfgqjAbyQpcJ6Sy0j6hKv8XjA vfX9UVU4u3XoT16lHJUUbZ9iVFrvQ2pWoSFdjtG/dqEX6GldAC7bQsjbogs6svhno3RiXRQjjsdu A/Cqt+zNT1aHGEaz/cAYJOhr3KMs7EpTY5shN2UWGyWDXE9vcwy8EHZTGcdKWLKL9/ThBUu4YJha pE5+ob5xqb6w+7R34z1CqUpmnSALwSuTRI2VkdXM52Wtb5/f7ivaTSkuVc60vQoZIH7K9Yg/U4VI WyO8nCGBApKomcUuruX6YNOIZir693fwzrCiOHqc0iAAmVprH4wJAugkFcpaZjc8+DOPlNFbzvxL E4epGmrLNIyu+rd5ckBAFj1O3w7Td0+36bvmgP0Hk+UCYUk5wAWUyWGKyoFKCzSvdmEocNyqodMs Xv2Np3G/k537mvIyMUwSIURYnmKJ+/eS4tCdUmJxToI4vE/yqC1spsvnJRvk+sEEy+3fp0WEs3x7 ClTwB+PVWNb59UP50+856Ig4ghCoqsyk6rqTbtFJFVxZd8DXs5KCv+OwkVkDAcXMUETomfMGmTG1 +v3cRYB4aevLhjG+zYHEYRSzPyvWjLn3HZ866fFgV0rrRCP+Nfhyx+25Tj7KZftZbsvi4JxJooM9 goQovH6/6z0DJjnn8wyUBYlHtM5c1lNPjHomHg/02XCsvg93vVZxGHY9+EsGpMd/vS5DSr8fpIW+ BMpcBrYB5i67VaipG2AENlZtys/vlqXohyUVC50Nebbv/WfWukW+TybLzP8gYawMbqKRHmA8n9+d LSnYoU8FAGPzvRYjjo7ODED7hK7B04JYMKT1LnSigNFIJJRkqRer45n6DmWbuLprHAHYGGj3zbL+ MyJ9hT862viXUe2n2tIdG5gA8OtJpKon7ex1b+hxS7byohu9aCRv3TVn9s1KJW01IuDQ0x2sa7Fh RtXrThMcHp5rvmHC2d1fe22MDY9k18/WOlU/MNX5srcu1nYP6IDFWVpRjOaA1RueJbgRj/IBQqvJ Tao3gJXwcp/okCcY1wo/ZfCxHm+GPAtM/Vzj76L/hejRaV5XgBCN3uuoJ6yObGbSFhNf25LjzBBE GLwLLkSs1krkad2HjtsVuXhVNR6d6l0vaETUtMgArb9B7Dxx9D63hg7bovfYeOBNZMj+W4wIZseZ q3C5/6lFslM47GeESBNyEYldisqwPOHkYaQhruPvjcKTtFmryUd8z8yMCCTVsMxZWlcuI++964b5 ywwnMwn44R5WTV4jxrIKrgtvfKdXRjqsap+CCsxJvdpvk+ido+2qFWBTOXikB6xMibg2gPy8kvAZ t2O3i0rwkpsQqkDfhdRwKNkKEFvylvZ1Cm44I1joURKiHJvdyRGMo0V+ig/YZkaMNQr7sJtb2IvM iihdrb2mPLGvDmnBsy0mK94xsvjvnEpeHJrRTDC79XPLrLdAu5oIwDrraV52U9zgZ+7sa3y3n9z6 xU8qxtNcMLb4uPxwkyFID5+BXhz7NHGs/OdPmCVAikDWoRqCtC0yMe71Brve91pfdJ4HYfNPwWUq BAMo6GDqmCPX2s7cOijSekI/Kr5AoqgYK7rg80pB1cHJvCVBA4fK5NWLOsuUg8o609SSFkhavejw 4dF0VLhp6GeTnHYoFLjhIHgckYlh1/wrXqDB+mOdfjL92BPVIqpsu6NSYP0tDH3QUDit4KtOkDtv T5LD4WRua7N53MW8Fr2zMcN5UtK+UHDTTyjtBvgG3KwyxnpL2XlPJ/fd42+zbqB7UsO1Zaa3a30X VCKrQhgM8ildBAw2ktfcCK2HGxepNhtr+W8KpLohoZRkhZjYMHQIuekaAikipN7fimpBlw05ZdEP iHrEMSjIYt2EQOURmKqsKaWW62VJormUmaE7l2CxOCpbho4x4GmVMXYTNQoE0fbSknsaRXkyK8OW diN4PRxqBksPWq75uy8vRVzFNk1rCEQM8VGrz+0E2aKPUjRr7F8RotsBA0k6E/mCuW4UQs1uxAaZ 4v/avZB9HLPuVLYjWRTkrpltU5gZS/B5YflEMte1TT6OTkC7DA+sOm7XvD/HL31ifh3owyvMshkK XJCATw6wnNN2xKXToDNppGJ07kk+pgM2srPRuM+ZajQdzVAc61kUbwyzqgwojYt23h2XLrN1LUIo 7vOPzfOe3NZRPLzoq/UcKw/Tvd/yduZht59Y4TTOXATrNqJDSpbO1LDAl6i7ByjtS2bmYO4s9p7x sp4WaQKNqv6QfbeY/MtoF9hEmPIitdRsKgrXyit0WwU6zD6oXL7iHUPofm2cjPxPhT62V5hY+mly 7AZICbyic9sHsNNrL++tKnCAqYcnVHSaxNtl7ebcWwmWjsuzTb2tjK7uwg1OSzYnXIA6jn/w8aoK 0W2Ohwy/s4zi7d4z6RLWavLmdyJktqHN0fK/QLev/lUk21kccL5/CFudbal//lWbCsJPJg4deHhj hVeOVD+MCarP5P14BvzOgAgM8PF0E3iR4bcHhR3S0z4zIy/yuMKPhijAbo/LjOfZ3vS7c4rM00eZ jehFlNY+6rkPUuRsNp4NaZ7y/YA7M8/OR5vLppe9RBREqhzE771XIpLso48hgokq5i5igjvuZv3L wo2X3N5AsWINVMF9bQ0Ee+l/a3jtawbOO9FBX80yzW2dyIaeXrQKN+ApUt1TeiHpZ0/L2zyrVpwm VmnyPxAqSwVk3WZ7+LTvYil2/AadmfEOTPUjzZmZcFvmb7kVP7j426RQ9AgIWequaxhZrXcnSswb iAJhoRu0/XxXAFvOx1HjlQB7fARrqBBTChzvPd8/ysDhVq06foSt3iRi29BUtd8gLTYGoMsHwd/2 6mcmVsfDI6Wf0nMKV2Yu2kidVwRicrPrcmt99blqAmrfkm8Xh0YUPkmAAPnof64HpVysnkfPN6iU +KxJZ+KjbrBxE8bGgBdiT/SI63sKv2kzAQ9Q1/VtnXDU+gvr7To3q3pEAM2xiSrHZy+o7ohhlf3X S3dPBOrtwhnqBru4rTs4tXtnb0NFlGxqC/V853l9KhEXK+qC5fFXnYnE/uZ2X7Jl7j03U7Rw8D7G 4uMSKlxXVsfNlRbpi8QU2JXVXmA4VpI8tAf3YO09oVaJ9jteIM66oqr8FQc3Wd3GIT0lNcYnxjyv tbsRH3Hg9V0ztf7KqRMM7zMkeMLwzkHpWEzRb90XhhwkxgfKp8i3UCjDy8mM+IHG0hnhRLM9p/C8 U75wc6cB28YC/c9fTGDQRa0nC8JscGLNVkj2ER4yZqWtpniEK6jnVCeYIpBb77p775fqNJYTm3rA MIMMDUEw2eyLNsTwl4wCCj77aAatl4z5CVmh01j7Gu5IywQnmPt5uBv+GGfpd8ypnXGZANG8lR+C vbn89trotVYZ9PuucG7TuClOKOyRz9/moVLJtsOMxDtjUnbPOFIm3u6cdApi/5GhyoNpOpXurCTe HOhEJWBn80dQ2RK8KPKxsx6rQ92B621Aoz9LcWun22+muHZPYKCO32a7A+khRqNLsnIMIANhFwOH yiErPhuCvs/n1KL6L9BC8gA4fF8wn/fjaCuQI5y4A60XP/cCid8yTyXn5U1vK6hLIeHYcM0ZSdKx xG8lbpdHRXYVdJrNSnl39JprVQSksNdva83i39bp78I60xKeOl3g1QUW8RPZwfEqonMSUzmsLYa7 wN+GvkHMqyQfiWs/E0M2gITJFERBaFRyick4D1kp9p2XItXIxpCDDZfzfxFpthC2luGXrCz3PeWT Zi4K2IXhrbNR7vfnVqjeQnZBop3dwvc9pLjWAu6x3BozJr87NfLmGRVwQUYobwjAn83MbaXNCth/ K3hURClfStwx9ym2tngqUhdwpHyBC0zq8m6kg6M4CUANQa8y+V6A6UV00oAinADrAEHXc3Uz1kA7 xW60sE8YnKOK/JVjen7aZsJMT9DoRyK6itB7yBsLfS0/HjBBgS8e4pEsQL0Mjd+HKdtsTK+tr9TW sXrxhWAW6DWo8c4DOok5qaKO8OsAy2FPvq0U+j9uhW/dibKY0KKmD5M7ACtFIRLHOzZWwzN/HFhm Hf5+1JmN7AK2EbKIQF8omYNdYD+nUnlXdOWEkuNRE3ygBbWDwIKEALyDg3iSEEQqyHo1eBIC9Lx1 YjdCgCnGyMbh1XpBIoyGuLfTm7cHSi7IRrAb6hMWz2c1jZpfsCtTSaAt8bANzUxGqIsr5dq09twE 37FmHeQVoT7mOOTwfKyQ2/XbUp7Lf/77sKiXHeAbwCrlfmsbAXp/m1Sv8Zgd1zJji2tewIzS905o mmib3ueMyXJFhaMKZZQVDbEWiPiihTZ9JweI33zZsvPjknS6Z2tMOjDjtXyvtVe6LXad+ltFGpiY /Aye2We0fWdNIMADeIVbRWkrPCHsa6YM4roHIkj3X8iCbjiOa1+EF4jUOeZCFZiHFpMSeVAYPYb5 eaJ+dQifKIgjZhNYJes+/PFn/4MQfaGrDUYFUbXvaMrUJOog+Bq97JAqboCwiOj1f7wRfjCIO4xG UYScBNDhrlNLqkj4CCNppO74LEdG9PRP9VTEZ/cxioiyu3nUQju3IfiYaktmYRYmV6O36lsgo+Im D4g53BYocSAWo05Oj8l+s8Xna0its/kiNn6ZbuyC9a98oluX6GSCKLVOoyKRsDt6iph+CCSh5Sdz Ha1V7cJ0eg41t/vKMzPd8Aze5G5BcDwMQ49MG3p3SN8gC/B92/Awgk2JeLFuA5NNbuhGWChlsPr6 PiSoizxHqq39KQuCh0qc7TfiW6UaGBN7GTx6Diy2Ltw3YfosU7AgkJeEDRpUtbsSOwbqve6u/H80 lm9ulMZEmLt6UeVDg3saPlsEOiiAJLIwLNQN8MFkcwDhX1O0Czsa17s6+kR7KYdJAyEe4wOP8mqY pB0hgdPJ/xXf9I80G2jpp3RsyXPMjWTwyDjCKQC4AZyA8gwzkm167xnx0+RuDYfDxjor1mgmhrUo T5E1EVh1oE9dypDifdBJEycu0NXuDiOs+CPYNzuTJkEwHvxy43emH/N5N67NFzG9OlrKSfh8iVN+ wTTQf5EloyeENpQczMNSMwwI5ZPh+fLt8fn4iKEd6IkHGgv0f1u6bz9fEw4Ux7wghHe3yyPgJ9lR Ddp4E2fQAks1/T6sC3SiVlHFlhQCHXzGL3p1VYYDyRTVXMIgaJQpCS3Pgi/9qzCKRe5RyDgQtkiH hpZqd1UFQY5Fa4qCWng39zb8S5ymPl6SoE4UTBokCNWBTVRRkWAYOLTU8VRYiIM2VrehvKRGFeTz XMXk7lsCaQvDKXMOQTWr6nC6AG7HII5BRmBGtlpCWI95+YGzEkH6xWdS737mtBsY9k4CIxlj8DGK ACJMn01EGEFZrjkfKM5jS8kUPkl3+njz9/jolXTKeJTrRznSphYLQNfBa9gp4kzvCWJ9+0jGKFa2 bWjJ0n+SgSpxJBMTjKKsQjEBv+0t9erWVuWPiwvU5jfEW7Ob8fvzuzP7VSQI6lH82zjZcfEecqIi 8GhpH47gsNyfvCCV7WFMnKi9QOIce83sasKQGePrGxkcCJoyPbngonToffB0gTNjFVCqpp61PTzb amdEKOWVhQ8nXemmvDPme5xk94u78KAQmWc65DurDMSldbBdSk6UrCJILnKEK5pXFKSKb4dCTum8 TCQVhVRfxeAiFlE22iwa4JTOtydQfRfyaXyKYx/pFOthgI5b6Lrm5bJ3WqfRhAt8dE9z2SFm16lJ 3gilcMkWaBAW3VSx61j8kFQvc8pHLBoH2ZY3EZN5WREt7qhvesSYpMg8m4JclpA2n+yjYESdfUCi 8FHc/0qr21dn3HWEF/LkAgTi3qFfqMjoPWQGavFsNM5XAtgDQQIIRQFCWPygBqiH3n1Qzg7UsPQr FlT2NsflNrUHWZaMg7f0f/AR/jdppVduWsoyI+Wz43bBNmAbBmyPMTAPMgNfGokLBNTxjivZfaZb QaBPgBbKIhNFSZyNqV6FSIZL7c1NlN0XwB76nSKMNFT25IJ5wkhOhuHcwD4fmSGGnGekfpGqaqy/ KxtYS592atgiK6Zt2wNgze0tufeoftl4PtFWZbyngewmdgVS7H9PBm5eFapNA4VznYszFzo6lZw3 fQnm+wCiEsQnEpG4gnJ4XRJeuoH2MuWOPKLVQqCVo4sxpp64q5kPc8IGCKs9PPQGZPcFEzfR5ZBF B6CbO8GKPXBlclaP+6SIxaFM8DDbpdKsANgAyfqHlUFp6whVNnze0q+iH2cyRJYczYcRWlSYQNao 9jVM3ICc3kHKkOWXbbXr5+hGMupZs5lguMmaHQ7gz3hxFmJRoREtr7a2/f8nCgZVf+4MfLHWYesD 1D7YTMfY46VZXrqi5JLtYiI0raj/ofzbDuCgHa40aTJv8RsCH/mKVjetO+G2M1NUfsmMPkYINE6/ 0wmBIEsP/19gR099rmHB25CR2Hfvw0TpX4m4dbVQ9WuQm+kTFBP0XZBV8KfQIGnk0BYWFd69xQp7 Zxhb/4en23skInkSVwVMktPrRDGFI5OLote/j+EHMeppVxdZDEWDsqsR8GnA3mYz/aJVLl7u2W1j hkqAjwwPD1/ZFsfpZI5ofxz7LoEwV4WQ3IduImorKUUElHKW5bzBAijnylK+2pJfnFeQfeLKNFaO JJgcIBAuZI2wuLQJ8QfuiW+hQo/AOWcCZyv9f/ASmFAxo+oxVstIZ5eJinmhb0ufA6ly3H8uTqGv rfpaFwODtL2jxM+imu5BCM8W1b8w5WZAkod7owwoFXsHr5Mp+53pagm7hZMv0lLjpAwH0Ttf4AcX sBS0NFq+s3xpCDi3tIgdwHafccyrSnnyev3motb/j4Wrtx5ziyHwqs4BlzgGFsJXavwDg+vpsgsC RTzaLUMKTsz0+sVD39wzFMwBdgggc03vIzCuj8AQtiqKN2Don+2k//MEMy7WzZXSXiCsN8YB8se8 9gW15WOKM22zhcY7xr0cD/gMdycDwuuDfeBjwMyC9gZIY2xWQAUavqf9PCDdX/61GGwxwcx+o/ey 5AN70z0VhzqJqAtEmn74maqcLh+eyTmQ0z055S9WbeQeCrtX6+MtAlRycbN15BEHHunCfvVXVB7d zVAWqRXr8lN+EFEOSa70ph1DINOKnBdyGEfMJQe9XeElh44qfMObVnTg1+Z0xH3tJWWA9GDT7cj3 mNkUQhbnRAvDoSh5Kz+DA4YBeSIUf86Wy2gK2ehL8bnqP0n0iPmti3wM1yw7Ih5JR4XldXtAceN1 FuIH0gT+NSxzwHrfshrIDcqRCs3FnIrmD/iSRmxfMl/bwNp5pkWEMa5kRU+hQ/yhDECFzmJjCBpG rmau7HPBwjVdn2dktMFT6kAGuyKvYdpODuaJi9CUIIfNDzACaI75JLzHrezgkOMYGhNXsPCuF7cB ESLMwzZwjfP2PQAaxRQPcUDYKKoT9FBqLPnKRsljmHelcsydgyyNStIAZTDg5LIzT8b+p4RofXhH f5KuNUfzz2X0UVLe7okKPt+zrh/XHrXMdQINJ7xZ0E4xwruWAtO/HUHoGEJEPDWZeaBJ/BJl84mY Puta2JC9BaUf5hj5qqFkRT0wABJh1mWrhIbjSgtEa3A/r3mFzVj3cD8mYWAx94Aby/HaJcsAe2q0 KbJkvRzX0l72JgRuGwfuC487fAuMKSU1oeYs+lHndnbSRkc8IoBKfkVokQeMpigfyGJPdaKKggOJ Hhj3+D1gNLX4F/yD5B4GbmuSVzBFVVmlXgsjzhYhgwfB+e+8OmThgXEN+yXGVjmCvb96EG0T9Nt7 6pnikq1ARcgE71YRULycwlcPe8BkctUdbLcJ7nJiZw8pSvvkCqh7fd3MOV5nZhFPzdp7XCjNQdfm wXvxQtYGKL1yAreywgJPyaK9bR1GqGU25c9UgJNo+P/bxd0Tfv+btSIQoQYIEvgqAaM1S9RGp0/r 9QVSJJOuuPxGP/373U3xRwD7g6Sv2lyj5lBAdKCIdeKV0Ut1NxFi5x1SFx2DVoW4UpJxbX/qVXig HoGOR+6/Us4T92w3K9vKfWfCkdGDrh9hwPHEtKLjytmyX8Du0n0B3X+68nhnYG+B11C33F568C4Q DNXzOVJQazzukphDk0sK4gwZSC080pkQL2kZOIoYyCGYftSk03CW0ttMCwPl9o3h3KJ7eAERnflN pStwzgKlK4Y6sjCelykDtxu5/S9JzSXLfKxNThRAPwbhEf6sniz6MWnnPOOVzHJk0ZLzOUJBpIbg awxpAWejl0nYDrONAY9HB9zUH3MnTtc+LKktBEmkDReKVuoWgYFNynNXsZm/zsBWiUuM+9eO0jHm /Np+Xa+y+Rx+tIAPnV5x39p5hqRaTtmJZxCzzCu4BuPmIADXwbccj4JFe+5u/X0FWyMuLda3ifQP hg6q+PUbSX9F86xPDsPGhtVc1HHceU2bwfvFNiC0B5RG/pRWDYqP7lfLOou4/Id4Q9NTWDLW+/uw cqpJW3Hje8g9L9ef5ba6hX1Uc2tEW11pvBf0U7GehpToNe9pNk1bb+0m8cZ2/CBqP0Ro805z4pg9 AEkzgyDW11R7ITENPz/p+IBYPdGTnximB2cPyAeRl5AU6emvh9HOkcxZxnCCkbUdvpD37Bbl8z4s HvbLn20rmqEWU/xA4du/ODc7lEwKVOpAiX0OhKi6Q929n2420pOPQHSbvv09RON9LTqclilowuYE sPKFx+9p6r9JJH200c0+/8v37dRTfVURNI9er47j2tUeMf21jO73EujnyuM+yjd93V501yEIOQFO 6YpF3Gu3Rj9wUtMdx8UQ/OOE02AncurzVoRAFkAJ1HAFjE+pB4heFENqm0pf8pF79XtHlHZmYZcZ 8OpO53dJ4ZlPZvY3/zbojHGLvf3Jn9LFQOFQLUljgg58z0FlfFLx5+datHaaePZZakPRBz0wffct iHBk03hoP5L7XvdGVASTEDXY3vtXAB9ocRU7I3omx0nGMiFgGD0+PsBnqhfY+RqV4I+R2Jhq6iIT /zfmUKUDtEl/DxR3QknJGIWyiA1AXc/UoLjd43QHzc00Bkht9q7LYi/w3zX2hzVLB2/N/zIitzpW cknKrr6opEapfsDDSvo+9bvrs5nsedqwJUz9bVVUjHd1IqWeLRNbmnKtkhFJUbohuLKDPV5dTqQ+ eALdGXi+/MLf+LESucdGMDyzTdNnrO3zSFvYEFbUCjn1GY+fmXjRDXnFqSmvsGCmjp5ZbVnfuE4l 2ANTQKOgJGyPDH63YOwCV0TAJ2T9LloPf85NAtYn3MrQQCONkygVY6cXOZGmoP0x4ZDRai9RwQQO c8ZTMqFs5j/6sbqBr1eeUFHw/phPyteVWfDmwYUfJRIk9RGx8jUxuWr7821m4MWiCyraLUVUSBrC 3BR2aqPJHR+trgPKTd+qb1RM/CMv27vakBi6WmvqhAyAyUnW4Db1woQQDY7tRYxPMfzIRnnFaMyW ucJcJuG/XcriZRx5wYhV+FR8QX+TOwyxjEX9bA4KdKdGZ8k81IygqiiPMSgPULYmlWi6Ep7Iz5LY M2mHpGTOXOdCCXAqblJwjn9SW6Ji7BRtW5SVNzDYo0BNzXNcJ694GxLhom3hBA4v5rko+ysoGr9z dNXW2v7MuaYHCw+zE5gQ9OJtkPorrfaO5nluyo3u27rXM2j7HLXFHOBaPeMNV/K5JvWhgdDSsj8o tiPQMfOCSscWe1HTKdflwWVUkD5+rNSgb3bmFiG0BzLVV5EIOB33COGfjaw3m1Rcvyfipwm/LCYZ gbFsoqobEze7rWJTnN9mq2Eqa1nVnD8pR6w7EG+CO8bswvLzCIiNmul7eeh4/tOpjfzw5OvxJl72 6jSitnqIEzNM1nqx89rKcf66DuD1TNSs5QxCmk3J6TKChB8JgNVjL0Wb7N7HWl6Y7Bbgcnmbtraa a8ESsGMNjxEz2rlydIBnNV32whHpdlpMsqxcClVD0sjqHSk+91WxEmD42G1PRzX13YiYr6/cUbkl NkK/ycf6VIGMvtpYcvLOt8u0mfSl4pOgQIP7pHJrW7yLnL0XhkfMKQ3Vq9gmwhLOuhFaWxrhLcxI HgZTKxhG3m9ofEBErwirtbkbVIsiyQ/TcCIJNgMO+pkJHiiN9DJNo6N+ThTPKrtKvaAnFWYyzBQ4 /uCcX56+IPQlpxOqOnMDr6tXVJgkrT2+6d2BCCbZmUi5TDDYRstLWmtG4w1EuS9AtOEw+ylpJAjc L1nYA1leARCJ4+2ZA+wSAjT7DbAc9Bmad8wtWs5VC7XQg6gdz1yd81iqC1WjoTTLna2VtTZr+AXZ uAHI5XHMEY/WSdTOnVN70RB0vy6pqSIjREWRmHccNNEKxTfwY5J5413WAzlj2q5Q0Hi+rtXZ3Dg+ 0oTtlYfx5EcARzMp0C74HCzcsxXxIBaIViZY1d15KRXp9jNRDivKDuHvAkzRTGc5K2utswv/7Li9 B/hqpGX1NVTa+ZPdVzixhhJ3UtLyT5wFyhsIRISEAdyrf9r8OZLu3INLKpGi3Tt3l8xt0iohMYfs Y0AI0JcPgYLbbSaVnBwLGRN+mslPyrwrXZAaeU1F58DbTs4VzOCniNF7XUV/96X2FgoSNZGSb9X6 kfRvY9aKUjeBuqM8Rv92COnGpEoxUwziJNHxCjRoVu15uGgCO4OicdwT68IMD9v9zQNmaWk9ksYw GLfa/RPGupXBt/Sc22TC/7g3pNqIqkxmMVbEGyxSk6bFRgTJrTmnojJeXbg6Nju+dDazrubC3FAQ YCN4stff6hbprF6R680wdMycj121FpHaOdl6CfMtRUrQI0GO71wqzbZcwQHpPB+lR/EcwZD8cL+0 h3eENtwm0ez1GV+DoE4g7HulsGc6hVZwlWzK4ssvHPQaCX1t0LXqkYktvjkBmPPFQ2GEjkc3yvSp voFwQ5P3V+kvwc6xXLLPP5sH6ULc3mSYOXE718+KyvfvXjD5E57rTxiNF9dCBRBDDwVrL64wlggS 6qHgYJFDFDzChY+1GBv5U6fMjT1J+s74zNjSX6yPtjTv5Bg3pJADVzi6T0CqAVSe/+nGRAWuinM4 d633oI7rO+l/I9UNTMW+ogic/jv1KNbQXCXOFNJ5t+rnfwsaI37LPWRt/g6cRRj7KmnTfWfziroQ dJOwQON1/wNy6+xrbnmcgX1dsXxdE576eESUfhywvLC85b86XbFCdjywtjH68tWLNaIi+RQKUlTh K5cBwHd3tpSBCk/b+WbHHzAvtRvQWHcqL6BMyMNwGb4YbZZ9ov6O4LN9+0NezIb6/WU7xgidPCVF K55TlzAUvx5ZZXYpX6HxBQ8Okjw4CWUu+EFQk0eXJlGicXqUrfyRhCFCfKecwuWz/C11NlCXFAP3 /XK7EhBjSOQ60fucC0bsTWNh6WRc4lI+W3c//EUarybxCu7GbM/PHJbG9eitPnGNw0R0OL/Qdr0U eElyI8a318PZnBV/EnmcBxem5S7R7HjU1VRcK+W1nqY8xvVnChp7beTuHOKGzhX9F5H7PePEq/hk khPgdPdpQm8wtqbWBEE0Uou2vEsGWBxWhpoRlHKP2YDX48Jn6xG5b9QLfHWPN5qYvAbw0zS+8lrF bnU7ZgV02sz70opyWA00/2SmE/lbarkpiZeylt5NAIktPSssMtx0SFqseE82wvv4FOwUs9O9NoRu vvvZE+CgqIq94PtgDe8pTgyqHAkypHxRHR2zB+PiK/H+RSMJPYTAwIUH7Z6ZLaoC8nokeA716URQ TWcell7UQMTXFp5BUQZIcuWyBe+BJjm6beGVP7v6rFlT8YTBr+ysj5t7FdD/yoBD/2uCpvcNoZg9 teRWFa3C3O5LjMDBRtvoGGrUCVW1unwhFhRadcHaoZV/QZbl5bIxjGBDKeAETULOHLC4vDbrMkUF rtcE2zR5DBHgQIHog3+AWQx+YwaQvt5t9BHDUZDFWR7HUfzakoq42otIrj85W+64XAxXnf6Swfqk 9BWu6/SmBMDC3Bg4iysvw0lMVWArBrPJ7cpOmad5SdT50p89wNk22lh9yaTIL9ydGE1I4IwMEosz lo+GQlWdEfxjZWVOn5glfGw/U9yFGcwaflH8YoBZGmfyqOGtR4YYUe/omVGBgD8dPIBu8LHFp0Gz QH/g2/Oy/176dL+DsKF6OaxC04LCDhLDGDVVBUnEyZOwwcY+pOo83DYVEYtnI+LJnjhJLOq6Y67l 9kmM33m5kZQ8zQWThQ1H9oOjl6ButtFPPmgYWkVMBgtYe2KM71oPe2Dwk18VDHhcjQ72hDeE2Ezj 0dCHDxYNqOB4xbPrgh7g1cnkiFYkY7VmINgZNP2G2vp8NUfqwszTnN4n3KimVZxbhgc4SYoBF4tn 0XqPw71rp4Z+ph26NA/IeUJ4UBtHMJlElhCmQcOMf5DH6C/Rk2e5sPA+FeH5UOZR31BgDTHF9l6m gAWhmlFYfXXDQLePQHWLMOo5oklAuDQUMnQMcSTOssZ3ohYob8FVbOKon42cnAxy8GrmuLbyHEtZ jnYdztll2jfdBaSIvUDR/iPV3ExJS0LAsKfSGOefeKXyBiG/tVhqNV0Lw/WyK0fKVji2wNu7wtK/ or+ARjIuZAyTinHyGY8Cv5XSM50v4dkqk/ki3ZgJH1LJYIc1BgyPWEhMEyLT8kVB3wF8WoUTy3O0 VHKgaUQ24yTonUQz1bLAAxquwVbixkDmHy6Qd+t43x9TcF4ezJ4ASPnGk6H6FZMRHVC0PMFajOQ8 XN3xE+42AQ79qEUlGNIXEjmS5FBfxw28JrKLDuBCntZUZZjcUW/GUem+/22AocxScOCsRJ01qMko EF434ruVBW0hBinVR6J3hT6i8wQubtQ5p5tY+uFKw+PMyc+ESK3fRt4yHag7ctgrBIu/kXo/1NJH Dv+rAGZ52uUyow+8AmWlQlaC2H/1qVnO6tk90j+cwZb1zJwPQg1E8I39Hr+yQhgGugc1NxTJGyN7 6r35sPxxu+BLu7yPrMP6269ya6/ftq2MCSxQGhLeUqbRccjZuIHV2JhVNvV1+OE2Zyr+NrTfsrFn PaCW3NZ03tghuZmTxaHZ1rsG9NgPhqLtd99SF9LuCUFJ60w7ehcylePd0XKV/8d/nDOqs96Vztg4 f46bUX9rUCW2gOH4pj9G1R/QkvwKSjubQuO+HZdFzRmnl71iIcBbxqsbXOQAvIu+LqQGl4Qg0ys4 VJsGbOmBahA7/n3BF5Tol3mBRexRv6e8S6BFZJpdogUeHpxrRfp1xmt7XFjTNnR3WdKujQw8uGwe KxuTHn6HpNQMbpj2PEWq6xgxm39kJVu8hnArPfN/bGVMaSKrTvYEBuw23D+qRVVXXYv1d/XrNnGV HQSFz9Cwx/SsGkM+N8Y4og+2tgJEskAJz+jDyMZ1ULnUFRY7wJSv3c/ETHogNncybf1zJLGJTH6P HggUebuo0oMFiYvBMr4iuOzteoGBnUwWloctdjxnxYhXeART70aYsyOBhD/RCwM7YrWKIWlV2qTy n4Ghw4atQuMCiR/uo34k+8SH6ApbIDChTN+fqgBIbgtmPyrIJKhO4Zzcv/AdNBiw5I7nrOpnw/QK weM87Tm1KHjc981u8IdzVyBr5mgh7dSzL8zfywbLPBk+X17U7W5bn4WXnIJr+s3oSBdeYJtuyUIO IY0wu7796W2HgImUpj9L+OJ/OWlkiAUSCTohUfcXhHYhEMmC9EF4SolVWpykrKgo1EyzbbcseG2R xulK+5FauOeBzBCBVWsqM1Gy2VUiiz3L15JfRJLWlZFFQFkPRnJezElGX+ggEyl//bq3iedXe48u w0dEj9UtEEiyfZghCN3dHsA5GmdOWh4FxNY0wlym6Xf9IH4INjwvNFfjNp7EXtma94QKpy9rJRzX EThRAh+iw+e22qnIA6TsWC/m3Ys95X09wzeRKle6y7/BiwXwkNWN2rEoEofbZ+kkEaTQqLnDMFMI QEJQVpLDqitbqbQVafmLjl/YgvQlOrZhKlB21ZM4/MH790Jj4c6P2k6lMH2nDp3AaF6qgLl5YvEg QnJrWuLoiu3VH7OFdu7c41Ii4Z4KSnIpzS8irRKikHNIDVzjgsid0ctJFCCsSAzinWH3TZcNEyO9 yb3yVRNUJGw5mYNFJ/8egHm4cQa/SjrZEPDHd3NBilFBjZTojDkPoqjR2eMtgP9F0/PfAiNHoyW9 V4r4A+imSKxxDp1LVnDfD5Wuw2StWzMkFaql0/0Kovs/OsHjk6dRsYT6ASOP6T4902AgmuwayUxT jbaWlFAbliN+R6kHAP1wxsqUjEiO+Tip7pYIxj07lH4ONUYF6hmh8HWcd1vbxb+wA++5QJxF6l1T H8DFAChWPIY3kbyToLq6liPasHbmZBDi4cylzm6tEEeo4o602dFlVJEetOKa+WZa6OrRtybLVvv3 oozry9ulS+BW6aULTTJ+cVeEjCmG7ptRxYUwfHt6VTpKfQnbFasjb9mbF0kJcSl8wZoH8cTSCDeL pTH3uFeKjP8xuGJSUjXOK2W+eStBfG3AI+zkZ1C34XBUD/p9TfH6UoZRt5KcMq0PX7JKDKpI2mST QsurTjJysoeydbabOB+GIZL0I9rtAHdhBHcHrJY0lQXCjMj1G7iccBp72RSmljcQeeQk+Kf6U1kd DyWwNP1+SoAW8NIGgR6ntDb9zoTUnZD2Q6+PIA3eQ/omatEhdrcQYgNdOnPwSBJsFbbWALglmGvH 9WZs+gBS0hJGzSJKR0w4brA5wEPwWQSrFOgjNYOIVRfyCHvsA4m/S/7QOCf2D0hRWO3z7/fxWi2l DWgcsqD1zxvnB/+7BUie3sET5TdtnQakEksO6mlOcB1DOIQTyLEZHWnJiBz5CWxlDU+r2twe3wGj Sz8SRbtbFarqmY2bHIhQm5LEn91Z+bmX35xtGXGQ/3EclTex7B4/6bV2AscjoVMciV0PO6Mp0c1Q TA4lr6PybZ/qaw6l2dOuxyqHD5pq7Ho28F2Q8dF85GD3bRKvIJhPVQyHbZAH4Zci7hElWOKDxpfu PrSWv3rZzTzBi8LF+R1GvDdt/5FnR1Usd/UPXuZAL492A6SAWf58cbzggsFQo42/CjDkVOhdm7c0 tacCVqrpNHEha1jfNwgQvGP1Eqbrg354eKhRjiusPh3vKRSi83MnsyTTlW8huYz2ftbKZLEfDt2B 2ghR98P7gobem2vSvSmgubqZz2sTNEBm89Es9klvA0QSe8HVdGbSgC1su+rXD0O+sA4OE3VvNA6M JnIAD/SVZfjHqBPYBX+UZoOyMNH20V/kAkkISsQtWv7FFmc171PV5HIpv1EY45P5DJVGO39ifZ0Y ASNsbX0Pr8auKiLKKPm17hTBxcBQTDOaX35g8di1zdKFyBuEiBQ1pE5uCxiyztyg58v3kV4iRIQ8 UuXeO6AdzrQoGrN31qV90VazdGnthg9TWNajuxZ0sp/W1InJX8XFcowHNF1AUVwywqq0+CjZU+hK gDLUaVJ9hdmzmyN3iF4ISHOddUqy/9RdEczNrqAc1tjV2E9u9iZOl5Dj/LphaVHFi8rcch1ASM6w PTxJav6wW9ysTPoUyVrb2VIajhowS7shqe871IMtPnI7/KS0JXHO0i/Mxj85dfKwQxU8ezbdKkL6 dL4LCu/BjIK4oloQ6qqtnk3UI41HhJMhSwUzwfxclBZfxJyGtzYn6DwOe02rQwu7y/cRBIoUpi8V OrUux3nAGcZDSot4a0OGoE11d4WTQnutCRONVSS1fQqEYAH+p/8UViRt1QrlWIRa5UDzJTLZdNkQ zn6bWve/8WkRhx7ZNWm976uFESWGkLRw3GiJiuoqVEB/5dnQffRIFwdFLPc7pzOEM8N4xI1bUAOm eIXfTGDmybF11f8BqKRSkFCLSvvbDo25jlWuPzQH983VYqApsra2UwdWQ99k6CWOSnf7JPHk7fV7 QTw6KYdkA7JHI5g5iTdPI5zXtfkiDlYMtuGnAI4zl1Ti6t+1CHYeo9jw5RdPEbim2ap86jxErwWb Ohmlm7m5wfsnUiGBL/w8/vApdCUlOfNtujQ30ci0YMIApQu8GuKsaZYL1fhVGy9TpAxylLz0+jf9 qOMOC30JhWZ9FT894BuYMhwKSftHPb5pcyxWQzNsOaypS13OrAm8Kwlht5GgFEZY5t4TsbLIkyAf Ny08KglRglTDUID9zWvx1uHH2KgvLjr2kFJ6fgk/bMHStwMltCMLHwEBAav1OetQKAvOst26I/Eu 7Ab1qexNVixC8rQK0e7JFDLbaj4ivEFPnKOvUlLWvQmI6ACAkz8mLlnP7ticxYDQcsh+H1O7VMp5 x14kqnyS6OdjdpxvOUFKEoga+yvpFWrBDzj7iSwFucX/cMfSZj5YNBczMx1s3FXDHHZSTDVPhKIe Zh004WIS2cgyTv/fr8QFnoJFwfn87U0CnEPKPw7mXpSTtxmzZUm8SLYLh9dm+POkUMplbRoVrMHU NtixpxeTTIp5la/9YtNWDW06oXoKhWFpQFUjM77Mnnp89uQ+8wUm7Sd7+JDaGIqh4qRRJfr31NKw FVcYIU2JD88516xHNiyv13jCVcQ1jHP/WzhAI71QeFkkk+rWXrs/FuUc7UDJafjR7m9G1khxR/NY kX0OjcLj5zI+Ku20+xYB/cDA2H5K7xAINijETqpPPujKobRUQXvteqY62X8ujQQO2IEdciBKRGRo GQdmNSTKmcAgoZN8G/ro0WL2pnj3J8FktYhtCUKCPE45CjGc+oISAUFlpi7144La46J2DHbADpER L6cjofWftpzLvSYebpDFHIX2x2NLqMJFWNOqGBMZRg4v6gw3rtHyDIO4QzrM2etb1V8HjBzFOndG cuTdH0FTBP1ESAF84fHgAnn/n+k19bdf1Qo65NX6eJlLkp+9vR7m2piMyIcm6DNs8ig8AuF7IoXZ oPXkV4Klf+LM1gbjJj0mEux12rcTc1HvSmte3pZpB/xZYY5eSsKS3FLGfEU9+KdsFf//b18tC+Eu R0hFixrBVeNRFE0X+i6QQiBE5ISCdoTGWa0PdPHCZNuO5mOUmBILUNtiBUqKCSl37k7cvj1MfYuh lYRkwXDXKgPa/TePSUGPezraWh9mG3BZq3dAooFCDgGaIHi7bjVO+KbKFpCVxIg/W7eHXAV6N9u+ HQauC9z7n+08MSXiHbQH5LyvBda1FEhroyxD7zw3bhBwvrQfEDWRC3klY0NN+9L/hL/nTO8kltEb SFhGN48arLuKhozSN6otL7iVDenC0uf4LBCXAWpamk+fIPVJdgsfOmZVTTZk+S98HmW1/iUSFhN2 z47t2AiSTJdoJfwATYBP1Mg14eCDbuZNbI5UYJmy47+NzIGRolIkZKwc1O8DMzCCzIiJ6+nmab6c NA9NHvxa5Df+RzKL9QFzyYK+v5UwZAatvcngVMovcqcJRSapWX3P0O0DsnG71nn78AeqokU8FoDI n8pYkhO9dIfExchL7DaydCV+W1M6MJoAz7hNJlxa5JG0oMTGsNPvK7S5HZBWjl0GWWcC+nH7jgsO 2IookGFqu1Own1r6uPE9IyT7cavcwa+wijZlFY/vU6KUMRg5DD/4hb0jiFQim4U2LPxb8t2eyixT cNCMnne80H5bztYFpJGPh7vUQpwJ9O/nGpXWamckaDWrYHtzRAS8xrQ88MZqz8pwtKE6iszlu/sH ieHAevrEIm4bhrIR5v7KNwbeWcFx8w8sZNZBwHM32z2/5+Y1xQdkSfuOwvR0hH0XOdMQwb1aSzRo w/17fSQewD13CjwbtRpURIqBXtKieewSL/nETxd2LVYk0RzdtktcUQV98LUmvi5fWIyGFnXvi/XY /RmWr54RG6mbHLQGkIZTVqNi0XjdBviS/Cx/R/MFr6jtf1d7Ya8Tb8OriD+i8cZjFx2E2IVMwrv3 hzFVH92WazKgFoCoOJk+RHE20sVqBx8x+77J4HeTLYaUfjFaYOJq2zA280ZaeYooXiNgH2YeB895 Ba4T3LN79atyMr+2VTLs+2LWxShG2iqxDwlQzvUNJ2LXyebfk9swWJr4zqBv+9Igj5ZHsv4k1M4p HcB8/5kFUsseg/2BiZiy6+5M0G+B/+EkXw93p6SPXeHBOQwPEBWekvfLO70BdOlBSiEaETr0icyl 6v4BROT4/YM/RePZ9gtAAZlhgDycUY2uQZZUILQnONIe8Qq5/vJJUmgPl8E60kmO121J0AmdHnSS dBi6u3Gq9R2TBVUOPQaDufIbBXf/d5Jn86IztIheMrh4834FRBlKvFM6z3m4sRIR75/+q9dPbb9R SMv1cS2t4A1n3J8pPxN06WAe7jXXNQ8eKBtuJMvtvV7gdnRtxrwWorODTIz/1Mdw/Q5HYqXkX23B FZGfXbeIXCTCcU2ZaKIDiwF0/LZPdvj1L9tOlwzVo7BS5A/bKbli6VY5hRno+UelcxmSDt87ZIy9 CWAJHoAiR2CyLQ7S+yyTZQ+ezhtQE3wUSEyd36AIn/zemkbPEztnzBACRvj94Z3n+hGsypTiEybO RYTehQjDwqPK8M8cYzqlBwCT9YlHWpqLTtUA254sCm3mqHJg60Xnv2G0mUyyFePdvM7i3d2qnCTq g1oSGO8cK6jiGbbb6XnIbZ9M+JBQxqhs4RVVAon1btLzJ9+Ylller/14zCb8oam8/ZxeH8qgaOiy Ju4H/8/j/OU8imDaZhhzWUqMF69xFDFy3/Pu2wSXgbiBx8QufaywyeMsNCzT0++06PXbSx0HE91I djkiV6fT7ccdA3CvNbO69Xe5Xf1ROu90eEF6A1ViqzsWedex1uKhIlLvlChP6EmlPnfRSZ/Zohjz w1gdfKq9B+JmBRVnw6CkoMmShxiwGi8joNgMw5GyTXnPM5h9NYinzK1wwDTmpa7BEEIz32gbY63M uEzlEYewxeY2DAUqgaGqNH4jMifjBR+QtOkxQAjjqSQsN2w/UNmGZNEYM/x6jSuEjB1wkQha1YZv T65fcb21lyfupIEfco1RN8Xpfc0+XH9Jw0kCViJFDTsVAfzB/t4LMyOnpKYnw4MQetF0O2IhDR75 fEujyPQ6psWaCQ5lezzGoVwqnd7HdFw8ih/sfbZDkmYPtvHf7JjMu8omQ1bo4cz4uLOzcwssDDTp P+QU3eEqkufEiTxIBcaJxo3mFApHE+uCGue9MZ4xgXidEDZyG/aGN+PbVwMCb4wNrxJfh4u7QVto +1+XsIDPousDQbzGPR9dKrUiQV2peKfKq45Ytp5qrdqQt8CaBMopp0meUFLPIQ8xlnPAVs095twP qeelI04OPFmyLzw2FFNA1K8y+c/HexHWRf52QtDp5BQoj7RMqGoom2Q6pP4R22k6D6o6HSPIyyw7 xerJk9lEPZv5zJjQt8HC4QJH4Rhi+oh78wuzmX8qvlss3ptaJuFtE7WSI0JWwltA9LMYwSLC3+DV KHYYhIGcvTjTYASoX4hR6oKMEgKui/4g4OLO0IcRqAUlf4wXEK7SlWUCHayupm6xEfIoG8vfe3IK D0A3xHLOVK9RB9PqZUuGusDQVXP9fTw2Sw/NOjoT65QjQiOUBZIvVtObdv0VkjrFZsShi4SUlAP3 MYPPsBKR98FOB/z9+TFUpkfOp70T4DZefoznqIusdq48Jie/9whKVRBiFR8mgsTWVeeA7jKdGUc/ 4VT7k5A1VcdvcwX98Iu4ATknsJjxkFt2LdiyRWH3uPaVvR2VNG5sValTwhvMTOHF675FkpwMf/yd KDko5oPhTqJXEpWFQ6/go9n4adWS/CmgyuSmLF0keMqLcXll8Hy6voAy9Dzfrn38whQQlrERyAcq va/dHTQuQLDYL8s8a5dWwHh2fWYjJg9OhGYpxBG0Ial0SlGwDLpRrb+XasYvTVFTckArNdOiYk2j CCxLOI2mke3l8ubNwQBPRpdFWbEJCv0+SwpNZXqY7x8ot2w/JN3PYi1NAH8vZm9Y/F51hs4HTqa6 OvOn71eX3CrMCi6Fa+J++TGyGA5B3blwy0KLXvX/s04lSB+MuTeB6i+Axrv+lttc/lZu5AF6cEX9 t/ycg5uBUfxJJ2XGD1aV9yaQ4bTAiS/bYIXGaZPpcEJBB8YDfWBAnnQ1aT4Zcmn6cqktr63PrZU1 l708D59cozDiy90JK/xeLsc72WCR/bW/kvy5NV3nH9VnjlJZpDUc1BVgoL2OM/tNyozdD3pQy0Zn GHm6K9LjYggfW9nV9i6iMe1gZKTTJ/A/q7ejMAeXJ52u4Kz9Z2ZebUZ+8aOluTDUiTAtubFEASXN zihyA+CscU3iMAhehee3z02RbvF0ytmio2492IK6RCw0utl6sfR5WhHr6ftxjqRheg809H3W6Red /S+Roq4BFQ7V4VXJ3Gi7vejKyAl9YxYK9Xap4jnogkf5tT7gx8CUFrEbmumA4jTOvybIHAYWX0p4 x0+oYMN+8N4EjXYwCKF9Clr55duAj+a8BKcOiWMTKm4cT5+rpQ9J6RKr5oozOwEk4IxP6Bw/hnCK n+nzLLg/FaBFc2Jh1ab2G9XrkMSrUDKNLO+3kRri8PW9kSz2VpDAhOzv07e+XAsMPNZmEbamfuKN n//zCpBhBFGuiaAINnWfGz1mQP3obi5gvU+4TfYwAuRqHgXjdyrV4dvGJc7oS0Tpr7IqxbHViBER ClTvtCBfZH469e1c5mYielv+EkNfHEkxzMJhThSjSMAMNxj//sR28R4jOz+bxj0MpiTKlCuH+4Zz qOWIBE0be06dsUxo+LnZEfKr/NG8LXIiDedaIdjt8TyuERAcYXCtXlrBLaMMom1gr8XJ4b8f/Roy vYwcanFcP3hDw0IVmtOYmbmNHNOsl+y4JlER1beai2kYJajVbc7JhaXMXBNjsLjdqFqwetmOJB1S x73Wsf7mp13fswpQm205KzKkyDvJzDBB3mdAzdsKZYqNv6vFh/JSSZMgduNvVgwLJO9RdR+oOUAE ralXh1Z9HEfIO6XM3XPP38CE0JAxO1wiRG1IwLnwyK22t2/+Ut9N+g3q9qOFmGPgZu9c3XgMe/Dv 6VemGmfHCcm1hqVaBKE4PN4GCNnQSMmr66/SqZxgohVXbkwTbh0eSrrT6U7lTLwHnrLVEhTcci// 664SVs2JPu9ZuCyuHAxd27R78gDz8hSNIO8NxSxjchwk/WGYwdXzS5Iigt6pQJluxokmrnwMUWPG pF9oaBZUGzE3d+Xi18COBinGHuwUDcZ8jXF3nktIX5+jXQfMBPBW7aqPF8K5xcBowu6YPsvRXnwq 95q14UEyXsGdusyfu5fyAsYHFo7ybC6OcUBPky2pVr9DS7QKkPx4Ehnr5rCOug3BXGfHGSCOvoAn XQgrvMpuArkByvbp40yigHssWho2pkUvF3+rHixJCFG9FiIikSdFbzLoVWf0LTMTi0TsDxcrU8/1 NJj5WTQoOUzs8/SsvbLZICrdSo5JQa6cKidfL93TGWos2AWzRHOo80Wtn+ZbdN6XtU39xNKxymjV s5L/aTT2QJesxCD+8vci19fSQIdgnRWASkhkWeuiVCH5/6bhpcfJ3h9YLYO6e6sE1dfiOeJKWy8K 6JDWn4+igGxBpDLjiiVoOHMgBU35uo6yl6IDcQQyMvtWbjKm1g+VPEsBiYGXwyMh4qASRcxgLtxo O6VJip7sI9io8IX8yNbyYQY3sNTs7dzWaRySdxGeu6zNBQzgb2izumdWlAzIwBj8Yz4ezmoY5DZd Vkg30WTpFGVQ7nIhmWhrcszRyjTfhPYXVAjxYsNxEJchSBX27Miuw+M44JYhFWyUXEhlngq1K+SO 5TSZv7Mr2x9cCrSMJASEM7bT2cmMVaEVWJB9KfU47rcPhhltEk7nt1+k/QiicZ/VtqPca9c8ZYNX fWuKY4Q7jKd/4hX9T6uWr2BGszB+JzR9IcEZVwpbxoeGN1/Fm5TZ9aVJvPrxf9ufMvz130AXW61j r2dKX5RdnILyyXE+ubFAbETtu9QOXtAw7JL9OJEcDpeIVcdImFrYT/d0s1738lvEGG2Kg7Q1MkY9 GmxzzJbiIikwoIWdyS0zw8yKUX1uX5Kg+xTSgejCqi4nn5JMKknxXIlU9Fudn0Z4ZBN7G3idCKZ4 JSuGIx49qflJmgN2pVehDqH1NXkVGJJuCudVMOmfvKwGXiEBM0Vu4+WYDgeOE69PgZwoMDxSe2M0 c72zH6kukv6Xt9YGv2c1/Y0XzaZQU+M8A1rya0irc4mDTGuuVnPuFYqOJ5BNnJgY80cqtZmxMfxH 2aTv836CU1NRjM80Rat2mWCHtscAdaVaTDhBNw/MSo55KuDnZ+zVTbL1LH/KshDmNG6BNJNQjG6G CuWwTmE2QFFKdy0o/6AQUMC6OCEDRkDSdUTp5bu23M6ehhAADvs4ml8ipCb0qUMXZ9CBz4JWcHev hGYzHW6B8bxyoRWsNelkro7yNuLf4uQYx2X3zHx//svzbA4+Ajpn5QX2N9PsVvzlQHa9udZZAnNK uBGxRrXIM3dz/s82bFjbKHgK/0Yi6DgG9k6/mwkOndOD/Kr7VZagEaW1bPtIDtAEQ0vKlpTW/cuU XAVCfFp9sI0CDQmSsTkJWEWW8C5DlxaJ0QfNaPzBTwRpityhpflfE1qob1Yw1ybA7XwG88l+008B phnqGhByT8DOLvf4PX6zvnfYFjSS8B87NvonEYLNnzNAgRw6k/+4ZX5rcss/rWSOu7DJK7et63Ow 91GdPfUK6v0eFAQGPOkEfOST1wKTLfDnMYqabEOFmAp0dor3IFNcV0lExXFZ1ZUGCrHCLXZUqx3t MP1QZHipOx69qSslZWsWlbxgx/dB7uTahfFUJgjHEL+yuSXFxIdIpBUOejALhemn5NcCsTr3CtMn pCnTGja01v2u+QUf0F67kaLgM2YMisnUCrkL8IdetX0sZIk0iUpH3gHB/nlyKvSAu/exmMOGki1o T5DdZfCzz6W3MfauOBF0fC73jqoHTDSVo3zpIgAnmvEtNuBmxD5JTNsuZH3msXxwYo5Z6TBCp3kl BH87b2YvN3gX89iVqrrdtyHRMbK/7ic4Z5C+rbwMWYobc29xOPCQNFbH8o0m54OrOxDBdWC42af/ JOo1ej69fUdeO+DbJitlUxI9VVyvGkLtFLLT+Slj+HX6Ay5jBWcNTdPPGHRKx8ILy38HNo3KGMFb qHy6TiLqHZW/7mJIdiW/jgTsQOKuiqhtxh3tuXGZ/WYM5NQrkAkmvRly7/EGUK1rMl58uWfvaTDX VQkS8J6tGNjFt7gwDnxVombpEt6uxbGipWL7ErR5H+2KSIeWWLD/Oo2eMyVuVFJligGH4TtH7HDt GhWqhtoKckaMbWZPeptUWmnDoxLabFZGgfXIN5VxLcfbWjSJzbXFhwMumLDXYvX9zk84T1wTdOkC 0/4a/G9oBKuc7YIOQCDisAThMvXKbOXcCrFjCDVW9Li+UkLeU5p+zQ2yDkkKlL8dgzn415i2ekjm 0aagc0EX8spBlUANEsrgYNhaDttk1eX55WZwtIRWOzcU5zVuveyLFCnXhXJZ4GExUWFvivQdOt8/ IoI1ynbO+PuWME8PqCXSbke+7LJYtbQ6vCmnEK/g6HMxP7ejNq8V4B3TYUzlvwAgCo6vHt+I7hYb HUFA+nJwO0irSsKG+eRMe1hLq82G2qxbT9uP6Ub6ZwmZAKTY7I74A8J1zI8gyblnAIuPOLDFR4Gg rnB3+slX5M9m5b9EV4dOBik5oVFn0ZWNQzr0Y9cHwx2K2tMA72hEmTmHIz3ofGGP3K8bv+aLX78E PPgFqLWqDGCf6/J46IpFPrlOH81iTSrxD+ABQncvH2gq08gshEGdTeqNR1wIQJ4D+YDAqLIc71c2 h6dN7VzRENLj5bZEW7HKtJIEWJQQr8Y5OXpaAK58Vyuc8ZAHKuBJPr7f9dW10sZh3keOm6jNnJYu BmWdEUSjcoz9B7t1fKGNynJu0ZQPaUfaOW+4rFoZ3Tuhb6owk7N34Np/Wt0tKmKEjTeaqn+kjxsJ TWvJf7cKhsnyKAcqKF3cPh/Yii4esjyIgKTiG8V+8NjknSsBE57YwhgA0RKHIcMlQCyWFUWm3ibY tFIRAdEHwSPJ+kSQ5gJvIqKqS/kGne8L6hb8aIZigSX7nrBtethT/4NoWdgKTwpgDQio7l2arGYz SI+ULrAktqjS3y73Jr6aKcAPQy6x0qP7mqs8TMahzFvtm0YnJ/GQ4gsO0ilpOBLIxfKQwXAfcKqj 95bnzGAkXkZqHsxMO5Fda3CKnh+l0Cbkfb+WQFp81dz/G8dXdLLVVBFZaXGuysfu/Px/lwQlTjYh noF9tsstYG6Tv9y2iQKpPXFNOQHCZBDuZ/mYkM9a8AXklCqoXOnHOGC4tbP9nxYoIZGJ4ilhCzoI qOqx/IKnrE90+5spYvIHHL1vvRBAjjIX9oOYWl4e9pTzQzXcj0GmJxhLk6xJZ479sQbKky+8y3ny WTksMnxO66Hx/UsqiMU20sY+s4YQLwVmuEZgfkstLr1oC9n36VOipQfce8AsK5DFT3Chi+2JqUVd saVpJCYA42LRXev0u36Kka8SvfGLY2lyt2uzzt8zWxuwQgVKHYPONYqmgZEXZ4DLxxGz7UN3wFd+ hiMjXNqC//jgrCmrHf/yccscK4s9lbxjAYe0m1uNzpvcDG+1FVPwUDDl5DI6ttvlVC4zQZC+Xg3H XmuZo5ofDehBkiZxp5yxoVpNtoZLIMpsgQbtoke+3xx5+stXCu0yqkQp187bHXISFEOJjEJ9gBWR p4MIDxJH82eoM4oS6D5qMvfrefo9zjtEkLMAlPTpX2nZVAfckXFCFor1s81UrvVnBI+tALwrXrzY 1xh2eX/D7EaiH3szL7pcOFOWPMytwE3U4vXV+3Nx4H9O9VNfA32u9zTS1Epyw/aJu2sbdtwvF7LZ x3l6UNF/WlsNNEptOkJed5dO+iMX3NOEc52wKc7t8Cudona9p8F9ie/wk2Y0v4bBDTJaIPlBlRhn 7IsBYZobl61amchJZCi5L71Vz7H26qXzXBilsvtflMGeMQP7YdgKiZS7t8sFmci3hWzDs2WUC5GW Z2J25inAFqX2yDnN2rv1ms2EiCioAnvp7kOCvw/ZIkfG0ELRoW9uD/0kSH5AYY+Tg8k8EC8hSFxI YXYM1hzD3r47YDH9x5zQQqz0ez6dsYkEO9nJ61fUTtCACLVqoIKpv9I3OqQQFQ8yfdLyaWYSKaq5 oObepuKCQowHvqiu6JRtR1wmu1XZ4uUG6bhgXyrSY3qnqNqasb32T8BDFBSLgBefo4HRO7dkZAle arutPJE71DZjEb+iB6XNJbKVjPlVSF9mRN2MqWC9vQpIfD8zsYr+I8nLz/lasJPi9W3fvS1H/5IG Joa9ME193LgVr/wz9L5g/ZUqsMgMYa1qZ7EmNe3PRncwudYN2bBYotz+hTzjH7KMF+Vng2sAjd2a sBDUJv+ZV0eR5f/vzBgtLgcqhHtMiqhcenih7V406xe9csp+7kd49mCpMHibWXWyEh2vZVXqHCzc VqrDWBMxbvlxtELUAZgXq4DxycF/eOfXcikIbQPwtYPyiqToRBn5ZmHZG0/Vll2CIINktXxTbBPU C0LSoOdP37b3pgkzAeogJtLNjj/TI5AdtARVBc2bwJ2rMpcoQlju6L/KazcX5WDHJ+ztnZ3ntB6T PxuXADrpmaNsMz6V5XVhW0w7tHvavKU5cqDyV0wlx3jaLqCzfUKF17X/EmOoTzkHhEvuipKUZhBc sZT0oLUq3lMHqYpGHceMxTvxoGEeMmVdVp07qQdbWTKNmiNAV89rMRJYPHj7oWMMgsJQswby1/7X +NlzEXpknr1u9UiThkHflyiCD79SkfDCfNBdh2w7Y6M4D1anbMaaXbnfwksmc+6DDLygpksCS9o2 Ph2zBMyUTn+6M1ntNJSP/WEcEvP8YI8KfADeQYvnlUwxcRinZb1pZGpRHOXidYacPhgPAKgHa2Qa GPS/HxvJlHRqyLL/GCT+gZJmIUtD/8uLojzemhDMLArH2WP8cRLKHXrDNMXgbHiFFuA5PXuN2+EZ sN2DoeeUEzLwAqZIbW3+Bpy9bsRKcD+4C/UR5PE4TC2jhdEJYoJQ3cTkCDnR8C/OLNoF1L582zg8 DfcGyo4svegaWSmFN2bH5siQZYvjeRIwZb9lKY7KvDwSEu9OtRQrXzNQoqUhFOtNtquPu2fsLnI4 Xj7ko5YLW1LizY5FQCH7kLOqlughioMQjKinQalrzhWeliQ7fEeEA6QFuV1pDQneKCAXrT4QbG8a NhHeGpm2DoNV868eMoos+7jGQYAiQbRZkvY30a1Mb1zqySszGYRQyXkCqWab8cJcZAnNn8Br8Ei5 MjjAiO+XVWMIQr3un+AIzjS6Zl8nBPYANaLUvb4swME+ePfXQhq1KXsLqitcztUzTApjyP/rTczm EnV8Jz1h90n8M0hDAf9Y/vR3OXaH97eSe1ITjFQVT4gXyT2VbGzPyzseMrY6E9MoIX0krsjW6ZIh IchEaplhnx0LokaEFvwZyOOLMiZL3ykGQTOluGTeaMvGAVL6TQITngR8zw5KNQsB1SgNbxeMVhiC xt1FLMlUDVpCPEEjKwNygYqJ5evx1F4SafZi3uVUUm5TCXdtFCeisEeoP9V6SMqlZA2v+Muvw4Rk ZGU0exNK/cRbwZbx1PQb/8EFsT3Ebdtbp8oO3L0G6yXE8FFEnQAJX/1l7NbtwmUj0F5YkS4S0dGD 3PI6RUM7e3n5sik07xW+aTSyWtXeYbMDt3MaKfdH56SENlh1EVCOAax9fQej/MJvviJyXLpqGbt2 WBpa2zsArwf2qighaB5pl6xSbeARvNHnDzRG8YEP6+elNNl5Z6peJuIi7hfd6W68YPPArpWEuzIF 9nFjYj3koeucxmNT0s9WSzZi9a2PH4b2BoWYE/O87ifc6aA1G1Vn90wWoUf7kymjNsSwTjCPAnC+ O1VSgHkeDUlMT9T4CrFswOmKzBD439d8wK2dkvOVGaJ5fc13b8LJLMzIkauJg1hcJnDPQmx3vtv8 snwP7VTIusfM3CH6DiuyrkP73tCvrdqf0zsfEpGCwfh9leSEZDfeoOVXCOpSU9NOHXozGVTw6hc4 LjeZANvx11r8Ks6QWrFXzlONsvqxx6/a6e7bJtCNuWaEf2+huMlaB+U9Lh6ecjhSsQVsia7C8Yfu GobzruzviWgnnYUkMm/2oc8viR9EMUDn+bxCkFFjqiMY20ZdCnrT87XtwhZ8syENaulfgDwyEQio lEXpWZ+vgVgZF+VQNYC+yfmXmuOqPCiu/UKyQAR+OwvGXjtZISV9XBh61n0k6D/JrdGPpDCuEto1 2Mpffxp1cguV1/KacelxMdkfGKGF9K1nfINup/ta8Ql8ODNbMuRn501WrouKHaJF4fcNK+z2CP9i TTzUtLawnSU+IeT2elReMLadiHkhofxhHqBl6mU/Ohs6k3SS/aoWSkqEkJZw991Plzy8UFIrdQt4 QQIS5ZMGxvOum5I37jFyX/u0xzu1AXR0si52YOSVT8g4BCO2kWtIjQnut/N8UM7qwik21OB/waKO luQQbB/wbCH1GEioM4lFPnEtSEP5p+07yj+ItT8LqXy7QpM/mlS+R/K3XHYQkJmhFGKRDzVK3sFP H+YKfCmOjHet6IA2Afw5FVkAHkJOtx0O1sRnPffsWop6dxg0ZgkoLD0EczZwoSy+uWEjNVA6jiy1 ee8UxFi/Y9ft+fdh8svZWK9Sswx9CbZctDIqlfffglp/She2n84axwekGnBhj+tocNOyW6eD+VpP bNIm0mIIYQUycMQWZ+Wej6NpagvPWRxT8la5uiOCYFyOu5ieNTW4rCyxL8MjeqIb2aNehZlScEw0 FuSyeiwPrz6og4deEVQa2YeBwrHjhO2PRnbIM8CTKLOUdsvuPAqtCM4SyllfSCrIhoN4JWykblQ5 V8g2HyfCYPnp1EeZiYWIBD+tZwIeuqy+foAiqrNDQYUNlXfBmuB/INGDk0p8beiCp5DpEtXGUsWU VrBXtZsmzGl5o85sAOZoEp5x1sk0bTCJ/MdKvMqqQj+vPFBLJnFPgxn8ERZXk3HYbUyuaHCNXmUK Py95zHkyv74jEKG4WN4IqZHshfJ38kuE7Z1T2ajX4XEoM3g8SLVY9DW4yXxNkJK+BbhdtjTg70hO tC2BZwjO9ZEqGCmwvcyy6UH+cYiNtRYV8Yr4G0PrkL4yMGpzdvdjIjxD9RkEmqZvMWfhA3p6Gwe2 4BCipZ9ls9vLoZMjSyWeE9tBOx3ACFnFG7p714a7wWR/PoRVS6gjQ0xX+UmppXN7lTNKGh7JmsKv UN3iic24MGbDIcyaYqGXJa1ajoKBUs/vogT2FA5Fm3Wt4ZCUIGOgx2eZNoIr4SUbXbhUjm4m38Fc 0X2Rz1zy5BUsk7VXSi9Yyyf4wMSqq+taxFtzxsrLQf6wk3vmSvwu+5VZVXOw0lBH7Txgt7po790o JzzGwtKJ4ykpD2rX1DI9X87f3YKYF1M6kWAi/572In1uzCvvSF0QJgMf969CVxNevy5fRSIpnbTr LLIme0TAOEn/NxdHvJMHdVr1xz4QidRVN8dckmecY8LLLyyTg9PUo79fQ3kuzPOzeCjI5SZwfR9f 0DYjLzEOmysjYDLrfGd66fDoNxNHW/e49c4HF85Nf2Q/vwXzZ4vBQ3BpL3AnYbvkqzz4sYwaWfZD kFsDf9OIYWgOCSw71vQWIgVgOeZd5KQ4kaP/l6Ykmsry0fFLSCISIGRbADVuAR5w3i2cjmI5CZHO sPWo9meYNmFRzfrVu8kUwbyQWTXbYEp4BQ2e9B35wAzlBzr4Zj/72+5jDUYGO5I67CTo+oPr3Mx1 e9pFIczXKZ3JFrhBkolrysmU675SYl/eNyYa4BusVi4BjvJST6BTrMObOVJmWjuokP02rMiilmLi KmP/ocFhf/zAhv9ttX1abUFRpC2aea69znu9O5XDxQPUH8wKdMJzg02DR8e5aFiOhXfgdglkUgme oKQgRkXYyuTvup5yMBSszQl2oGtd74YKscQtP5fqW3J5P/MtdKiXwSw4FzpQ4tWcpFEWL+rVEEmm rbzjk9ldONuYkBJBJnoiiJshkI/KfQwq3P1nqIoMtVvXzypJMXVOuaMWJIBnnkwxB8eWZ7B08ftL yKr2NY2Xbbui3qdGZa+voEzEm8vxO700GjAo3o6rK9fpeuSrmAMX2cMfAXBIHol+OcYeIkaT4nId J1eB+0DnP4ILGez6QJitDcscTkooTqmKARMuluxLUsb4zNoZOoAwjFKa1JINWd5MzXhy+/oFKoB6 1GhTIN8YBl1gezth6tsZPUGWhCOpqhICTlbLze8j26Poj/qg7KIlMOflx1lUDE1Y6xa1q9G64f+g /3ALB1PJ6yaNtr06PIKUhArh9BHSQGbJW8Eiaz5HvutPQo82e4Rc5kZQOm5pv25JMjPOmEu7AgMV eWrmVTWW+PmLk941g/TgKvRW31XOlKDkK+sfllo3CjoYk3xXEhe1ScNUzodR9DDTV2rq3TPCEZae bFr+mXsPDOsbpMAYgw58+piuI2sY6YCOMaKupLWelHhJaEk5MkM7srcpht18x7II/pMOPsSQH8/I hGeivhUvyHy4GQLWd97ZD/aRYmFy3MwORCA0A5f43ZMpEVI265Upw9u/bH9XNJD1DTkZfyisiZZe fo9IFNCv6CSZuY93JJXvD6+yMfFvxABW7oTVteBSsJxNyKYkt+pN4/vkN1DvLynvoWzbH89z9oHw E0rOTenacdH3FnNE5QFv5bik9CuYvxf6RzPUUujAMSlN4TIRmdRQE3iEz50wmCsyhkPdAO0fzqc6 dBHtBgnLfwF534Cui1hAMuC0W4ZJKg7cwfBPdIodkpXGUVeVXtPEMnhZMOe3XIhNmfNdCqhsftIS Y6Pk8keFyzPxnGjYqObC0PR7GZx7QzPaixFbVsZrWBplUBL+V6VN1d7MDd3DW9+sHOPX5U5N7GLI sQjJw7vlTgA+2hEZk9fk8DWYE/DWwqnQQxuTz02LPmLKYJ8H2wzUrw0ZUgljxFAqCgtcPtsIv5Yf 4yBbg7jw1O/eFh3QaPgCNpm9gyHkc+BF3W9ATUHqb5Gxi9s/r7+8o07eQ60jgZML5Jz+7aMMU+hG urvYMz8mm+8AG2L7GuWEsLdPM58T5DK948fAoQ3XZ2eaQfUNDfG5iFmc9IzcyzfoIV/mD5Eu00Qp ZiWOsEDLtMKDuGHQq4Sx5pXSuYtWhGc6N9ifrX2i+cvazNEBN0QWpUrZGLdKm50pD2BDCF0VTFYf Lx63cTqX7aNFYs+4OSidxzJmFPeqMfp1xTCstQb/lKbltRb98Vp2n3eabXX2pDh4IMxvvsat9djc O5ojduyAJLKf5C+l0AJ/o69jAsJ34JZtu/kZ9oULq2vbqZULnGH91odnIGejt2kI7Ojk1h4IfDAY OMVt3RXsknHwARxWSyMDNCSgI4FaLdkb25+gm3K4aOFMh/kWovNKgok+lKxRC3tE6qW+GyZnzeRX 8zubBQBVklL0SsHzehBXjLER4GZv7aUCDabEEJmIlsAM5ZQftEkGAycz4QBBQLGBd29GbNGqaRoK rIHcBxf/IQV32mLFksqGUFUmsoTj2YdBJ4X6IC74tXReu7xJ6xgR6Xwap6lIC4zeX5GEdQ1/QAxV 5ogDUd4dqYCZgH7y0p2pvzLsB9UIgJAeQt6PyljpDdTbNMQjo1QzurGGVtFrmGpW4CUflVL5MQ1S CkSrgqx63Gm8YO2JDLUTnpOCRNWFMKaXzGemUHC04iGTmYVqXaz6uZg3fogHqHO1XnUI6uOxmnpW 3VZdCfPWh0/3tfL3dzN6ckdtMfIAGMtQJeab5RK31vB0zGgEPAxEoFIPQtgfaIhkTVwkXiL8qeT+ ycqnbPYYO3r/fSmpEhprIOUsnYYfFN7z01VLwreyZIBpyy253BGVDz9p9jn11bOv5O8b82YksN9Q x2APgBcM7ATn2Za5uEGnrY0HOS2MLRKM0Xd0pnaozYKh1jlGAZjLfltbvvckGEhWzJTKl0GwRgNJ Z3OCipNsFUvqXD3edEC35IwUMQLX7XM5YYil1V6bIgTFtTyO7iBCXKKT8yBE179+NPoo+kXlU2Eb cYolPD/Oor/YjH7HV9Q1QhC15nNimVuwHGVeGjzlqsv+V+f176zg5UDxFqS5oGUxo09J0F6Mu/qH 3DMJ/vUd2oRL5GJwSwsRmYg0CK2exVSmw87UI8DYPFF4bW4Ravla1exAD3Uk63I0oPmAm6nThFHA 29CmS1qLPJG7tvHJYiG9E8AA8OfkdDIyALvELSC1dLAJs59/1Z3ui3I67zlYz5WCXaaUVVAE/m77 sZvPClwrg/bReQjpmaI9QXxrZeKmB6Ygqr9peCS1XwLNUiHSgUtCAK55HSAPJGHhbgm+wpCpVsX9 yPQ+rQSMf4oEHTPR8paIErigdQzuG3xHl5s2rNae/leINWvj8NPTWqphaBdcYHu2MjWRfDaVbEAt VfJu/wIW/hbDPUWgthNiPkmRN6WLntNpdBr9NaxIQ+VHYRlbT+M8wgaI69dnesI5ARUJ8GxIJK9I LyNSi34EUS/7xSKLvQiamqk+exv8CGwHd/n0NYwLO/Y2qH9RNOkgJvm0hYfrEkX82kmWXc+Whl4X LAEy+AkbTKTLMSnIUJ2VPomg5LVWEFgIwpYV7bH8UP/XES4uYmKIPOFdY4nWffRwIHZndYfIBWO5 9UqCpX05c1oZ4zopzg+AUy2iBe+gAWx5h/3uGuSU4Trk42IU805J2L9KqTDj5kmHGMN/Lp60l34l KZ7MITRtPiAWzhK3WRDc2H0m7Hekh2ymI3hqQQHVAEIAyQV1D6fEO+VhRGw2D4pqWHlMEYORLfVH ockb5rB5W60+lrAofpAWtM9aGzEo7kYetz0GBGRMQ8GFwiSB8N5bb8a6G7niK6GNuTdFGCSaqF+l tfO2tl/XLof1KfEUh8AV+9HFv6xCFlTWSTW5Pn4jmmUzV+xZuSPNzYCgDUiVSL3ilIqEyEUUZihU +sOdsg/991NP/FQhODlThxuRG6gB29tAiKGakzDloiNBU/pXFyCpVqRkHZOduMXFKbQ+bJD/HLUC AiowKdJ+ipNWrkjccKtBGxLZ6DGUlp/1aODzhT0kEJf3Krf5qI10FyzY5JiVdltcm5zox3AGNBWW iMhNcIi2gH9meUBMZrSozfuWIIdxDg9UYz5PhjREPKL/D/ayoDZ9s5pBehJPY0qrDm/6FDfAJnlT AVIeiUbOA3yCz013FafOjbS3oHOlSPPl3aWormxr1TIyTzO0q6dFWo/RsEsgAv/dkeGXGwSMJRXm 8GUtrujU3DFWeRv1z2wGKzV6N/bb9xeRGYUu/O8gOnytu/YIP+iAw3QxU27NkDXcAwLNRlq786Cj 1tulpzwItA/maQELMesmc0QNJ/f5q+Pn65I/q0EwMnkHCwnG+Zk75iesCKrUQHLKjLMV34xZAlUL J2XzCzm+ATlrX+bfUZcw5n3zUm3paG5pKjQMpcMyPc/25/NmnGpuUQKnMyeELc4xk9qpY8UKgpJN 6SaL64WQ4wzMbfjvLNG/nVBqeB3ZKIXhy12xcWa01ckkLCmeT30MZZ4GvHSksvl2UiNGQki4mGH7 PAHvfimHt83IvchqppZ0y5ZcaE0hGto5er+UjFpfzRtfQoTij9yJqDZN4/jO+iP8+ud6uJJ9pnin JeqlbefkIwbxpHRy3by3gZNawPcoIWCZFV3E4eeYB1p+2vLJ+hrvThA0tnWwBBuM0cvN+vJoIpVY 2sHsArWfBUF9iN6BlG2SSI/xh+iEPvWrVDwMvtJ3rMRZ0ZTwiRQ0KIkSlJx7of6ZTIy1WMy91BWS XeRT6GLRW4jZHVvashAlsqsipq4kTJWa7+N6EKOQy1Q8dIqs6OUyFFnLqMkesBGY+o0Qw5MlEmPv ZkxY4r34peFV1oUrq1uAGT+4f0YiO7MksIZYm6ozTVXfdkYATDJrf2/P1MHhOy5IpzTw13PN4uOn M8ESzMO8uoqkEQiRSeJ5YwC8ie2NTrV08CVXDnFwyuVR5EsDjQEdHu46y7ERMlAEYQTHSHHxHt5Y dofWwFARbSNwCK53qglIhkVCZRXXLY7lZ1+5yXEXtyy6wFBCkCz/NOY3+czs8cIVfKP39QeDLkS1 +kXU0GAYxYjbMCA15bjvu02AWVBfhWwuWzDxZ9GCWqupNyBH+Xpkd1sMVq+mFUyxZLYfrmCUeCEG 0JukeTjpTdN1r+8LuIQAouelZorRhDSvQWfJPtT+PKv0G/DjhrPj1sST+gMkT+B6Km08frzoceIt seDcaChH/bMuNyUAYgJw2b/ppzlQVTsjTR+eWQx1F9dNAMW1Tt8zE3gzEE5AIbex/+vpKO+LzsPa QGeibK1z75vcgGakJm3j0BcjfOfVzkFoc8iSL6dIPbJMT1O8NxKQUOqmoG+6R/mU6QGB04UqjsTU mPNpXEbr5Gg16wF4ya0fhrP3Nza9M5pcKZpS4sQL391CTobv6/dJe1ljxr6qd0BSl7QO6nWc4nSC FbDbTwQ3sWSFzmKhlyp2CK7IlTrmSVRTDkIXhvoLDhfhho8NV5L00GcP1MYc+LaxZrepb6XdOa1O 3+6f2tpuOxJIWqv6kV5l97P/68+jGl0shpKm4m7oi+XLHu0TAeRAa9rkrMDSJ1wYW31b6R/fkXJX Q6gF0Z8TtxtTuM4GOONxYWKY9uwK/LPQPFG89+nAUaJbj/0uqJeg+1+NzicwUK1yjmqRpVtnnzw0 FxHf7S2qL2DdRdoQi0sDyjDSqgX/4T78rylfDOoODjqD3eUEK9QTbjkVtuBueinToF1h85hqL73r kfSOM4Uw5Um2cCbMn0p68bD9cyJI3zH/57Edr/kJIKSycRwA6Ft5++G2ge4tRAwlSFCljGKsNef6 dB3LNGr/oFCWidEG9ApnvBWBHlcIwid8z0cEfxO1/S2oGIzd/bEyu2gMZYTyctXs2ZOatqboo4we DtwsWJ5trUE09jYoOg68OJpOUFv/ibef1Lyb/2QrAa9DgcVEldxd6eN3seIx5Spv+UDco6FT7AY1 lsOOVuQGpmfdWkPi2ur8VZ/F72ivVnxEvPdb4eTTPCIKOlCJ816UG7mfKq1IsgBFkpmI2ee1loFT Nt+RIjnanE0gYPRsUqJk7mJrGLalqwKezZ0FWD/JimMx/Yu9I9LS6aMgPbK0k5aLk7wVNDbZQSMt hEmnes2fKzYvhhQbI+JwQmGgIPiyjYmUzI1F1YykWZA2pkTWtk6dnCGQX4zjzNhHAVnhwHMfij2u /zZLSXafucCxsqizIfUbLzTeSWKpOTqP3gxLYqTBrFGOS0Mf4Qn0CSItg0lgVrM2GFcMx2iPC8FJ vajxBBJvaR8qmd18z+wTqRoEYioJYQHvMuDziRY+d0FUYn7LB4bBxejPAf/EoGR+z3toTwMgMdbW adxZPnY+raCzt9dk4SuioWl3ay4TE+KcknuVxbGEm7k7eZmXd30YmGF//HLUOElZm72GZISSBEoy k4Bh0EBIYTOpACWvAOvy/CEg32PJcizX4eqGUg9eG29+awAGUKtkSjaWQ98Dk9fJmkQJBgeqbUkI BQ+m2dZk2u4pF5eyxJ3OeLozzD5uNFlMSmkA5quxTs/Q6II15SJQzgHz8Vly67zZyE9tQdrtQEwh asmbWz0lCL288AWhFq0z5mWDdkl/3k0IREFHRXHU0zSAar8vH3iENQL5dc/uV+q3Exe2XE7jDO7W tpFbfa7JadpQ34dZcPLAzV/C+bxyxyhuNSthmKjm5wP3E9SclMLZcKDtX8nzVu9c1tZQa4iJ3h9f qaK0LTVkxVEx6T5dUi3YQ/G8cuEaLahsv8J+9NfMC1nHaw/m4Cf6yyLz4jvRuoY8hOitcJ/y+zMv NlP6RfPOg6l/rTtM422JhGSqmNY9kuI7Ex/rWV7ndaJPkFc3RUNpKWRrlL54clDw8+kdPGh0ojfI wohbIfbVe+ekM1U6a6BY/yXV9IVTapLZZxUZfPT3AJM05idsk6BvUpqU0ISA1SRsFaYY4C4OjU32 mHJ/gvNK1Uhex4rfCp1DynlL0yIpAwuDvfY81wrhijnVsCY5YdaUUnc/8juOTr2CTeKXreXGG1E5 kIjchqMBfvGZFeBXT3d3bURcGetucaR3POxeYQw1RJdCEOKrDBuJ+Ho4iIil0VFX7TfsltUeIZ6l P43qz8i7N6irCRph9arQKzdsipDjCFT0906itlyfQ+nk7hJgP5uXTSGizcvVoxypqx/k3hX7o7dv 3U/PsvombosIUQEikUo/qyKy9pcqjoNASJbuIvPbX6NaYGuraWyVmFv6gDdQnBcdHpSiRptFS7Wl k/0CMYliQlLFsEarAIcgak6GWRw2e36x/c6WFnU5O0xLMw2iK3vS3Jbkt916W07R0B3OqK+853DZ YugnIx5SC4YakIMZGgEz0h3CZCLEFRTaUmuUKFuMhAzqmY/lH8Kk9O8OGjGXybmjXWmuOtuS2yH0 TqkNrn/Bk8KVDT7oBGYjSNRX/gFSzM4FoM7cjb8oLCaUm/a9uBBDZYIpKAs7AfxzoqWuLK00c8HV UFi4ArKJHwZM9+Re2xGLAmn0nt2MoqB8NDN/RCXG126g5japD7Sm8D2c39zDEtVoR0YbblvtfLQD JCx8E5hVw+7Hl/QfcBClXnvVmy3QNIyK2NGZ5wCdEqLtYNZtNDhjZTIvbdMoWJwukO+a9Ed6r9Ib M8kB92HVOg/yByEBwQP1Gc8ZMHYY8bf10Lh1k5FRXhqBayjoeqkVDjYEUK3YK0CVtfvvcLMy0XGy WCv+832sbD3Vt4jn5sxtW1gqG9lWNKOc6zFjpgmjddvC3yWlxXVJkuqObCxHQqx/c+HSar2MFAKm fU9CRp4UrDQlxLhJ2dItkLup10ypEE8zggnq6qjzdC/0Bv9NOCtr7BRxBIqmFR9rv5Zfp6+e6DRL ywbC7zejBqItaBeeTsMuanN+6wPKaugQZVLunV0OIlAJncf8CtEEZu4oklzullrQc8WMO2v8q3/W owo5D69+gVGthnFBpbfHKONEG7fkKldSWNSmNu46+/GsQI712w8L/keML3//ri1PCBwY+KebjlbS OO/zN4M88HNeoz7MZkJHVUL/AxP8HB1OqmvNWBxFsC1M4w5fQMRvELlMfTEc9HpA/Y+Xj4vvxSpR 3n1MEI+cLINRuhmtLKYyoh3//tKGWqdRY09SOSqQQZq/bgBE2bjvoHZbhAqxAkeFmMTn1QLOn0YZ DUc1jTErO9qx5KDOPZX1/AZLB9aatxCBLd+R8RXG3VbgDc4OwP4+QBE8BKLMQt3p7Ue/fnJ9gQxi /1xQ8BCL2+9APG2MNucEc9Gk9YrodbagIztukLohzzRjrE5KCaI7D4e9Xx5vIrOM+0HSzFhFmj0j h4FCLMlts5RnxJCi82VE2nKqrDo7mck46TZ7sPxFn6JAiiLYTWrLlblWURax7bH+pu1EokFr+sQo KNpc7aRydRl6JXvpeGOcXFZuGLxqL9S4iucGYS0IDPGbcigEIddDORHw4tQ/N/AY+RgJQeZdp99A nMJoX/kt57DETK1ljzvEkSkpaE1ApqIg+2lo/8jozfx1l8pxs44L9S2iC42TvMC+ppwLZjv1Ua4S NwQr4okY4w9PH1DcsMDyqfQmHVGsZclZlmOMnUIruPnr95xYWXk2s+DaWIvCvqMuitZLNAy7sKuj 09VaTmhP96sDDxWvJPGY72dX5lDMpvqQ2Phyzho9LiCtAGi3UxWF5tUxwIzxSPfQDiZbd366B0uZ nLOYvoTBeMka6wSDq0N+/SCM+TdUWczJLw6cjIaqih7HxagMMZcM96gJiPeOsF1lLZPRO4x4cZQC kD2gouvYUczkLajze4HbY5RVMGlG6azlqcdMf5+eyZTy6XCCc9426jQwmbU4IiS0mUomndHEaiGy cdB3pOwth1JmU8VchkfwjGgcSMEEYC5RGgtjyFBJyAiANw0J7scPGWSom7dV0C85C8nghAHKjbdr gaOKeruwy0j/iGu6hnkazQ+zHA5xso9F+E3LmUr+VopsKAarn45a6PHlPxBk1b1pzTYsimjhRNoy mFsUcJDYTesdCE3yzeONjTgPuNmtutIJvytTbo8jVVm5aykmGHQeuEZyfuU1zLqxr9C7tGMl2uIn K9AmO38wwupnfvf6G3HQel4uuuBcW+QvqYn4+Yx4NfQaykoCQ5MnHNzNqfnnpNnEIp49HujO23ZI AwxFyHwUky4zlusAqs3wn6rwKaRA15jZQBG/LDyhs7ghKRBdNiDqDc8I+um6+6Q+Gg+ROZEZiJcU cZOOXg78wDKgTuk9319Av210Qx9Z8oxeg/4aoGFvAknA970hA4LH+q1r7lf/sxX4g1M13ihOU6OA NYT8QtdEVvCVtTAGvO4ttx8kfm3g+hqrdzYcl2+9SmnXKDTPxC8p1myluZwFP818hS2A466OdTYt Dyk7LCdHied46Tc0+zh/sZqXZbye+iHnlbIzrQUVaDD8T1qlYrhLG2IvNmIR0dOiE7lEfrtn2GaK TAP9eY6UCVeUT3okHTBoqU23QDn27R7EuI4zt0IFKY2zCRQzIYDMEGcvoAzUAuLkKqTGmuKSxgxn lFlDHMBKDB35muIuaZI0MytKp9HkDo9Mu5is6tY4l7+M2YdMksq6QbIjSMpIXPe4rlwdWrqGvJLM FLU1E8sboa1vxj0w50bDir8Mm+js7ZGXvt9dp5RpGh+gOH9bRt40piS3WmRj2zQjqbuqwaqz+2Or VUcan6f7kxqNg7kKOh0nCqISUACfggHVadaEt9djAz6syyBqm+d85xE7lAKxg6TwpSeZ6VJPeREA VlA3Njy8+TZWEwKRz1/+g2OuqTQTKmZbkXi7TLAZ/+49d36vAceh/Lw4uZlwytGyKu+0mgpP2TYR x1Yrw5Y2xl3WF6DDpkGW0F0ExHO3WjTvwoqol+F1UsVWG24re4yKHzI/okr00z+yfPTkEP+kqRs8 4ZoGgLmKFYYHR9xFPBMwlyeZCpAM7dYGjVyQ4VO9f8C0jtUq9vSAbxqRxJUOaxtrKVlb1TBCMFi9 HZ0VYz25M1kCeWCkCuxaO1/OuZeLIsb/grKwLDxXJhSva20smQW2f2szWGgiD0b2LNYhddtrjR+H d7iDTDhHrk0SECY9Qci3yFJkaBbB3SLVnLU3xekTSGuUwST3Di/Thz//bep+q11gd2aBYp9go5LG F5Z4N6ejn17qMvkq0IC67dPipXyD5yboy6OG/nRHzfz6shTZBvOxyS8gJsar0F0/iDerc8JnVedH UBzl+ewdk64kX9rmMrGUfy9TxGQmnbFa0DNH4H6T+1KDrD2oK7WLsRWHou19RmUr/VjwHjvEnTR2 nOvFmcFKdE9sxvew41LdZDZBGWgVlizI9flibl4BJ8a89DW+01mnvQv2WZSe9k41IE2Z+VPn0Oi+ 4IxJKbkcjOK/oa7c5AbjVSKWlwmONbP8RUu484TuVVY2SHemOsLDqE1RcADT/XuLjVCW4cx6gEVR aei2aBx6DYdCvA/C3R6dPryND8bVu+3Osfae/NxTBdstO1w6yZHSp0v4WSd5MKD2ZTiYVY+rQ1Lo D6vHpDrNsd5ZWeamAXTUVqZn8kUlFXRXsR9EKjCiKGeGvg/jznq5ohxT4XHDblPDnKgy0FDj56RI ny0B96ZtSPsg+aWGm3Jf4pIf/Pqzb9CcEtwOjSAM1PzPaElBYGFJ0nGbcfyq8cKaZPUQRmGci+uU UCehgO9Fm4BLT4T2ytwou6x2vdVKu0bvA4gKCo0pxkcNFAJm9Apb0HfrwgnEf8FS7/G3DIogZAbc Okz84XTVmvwqAi2rGGk3FonSwGLrdQWgUAsIZLJZOGC5lx5ajsPPLUE44O0y6asPb1Jm59CZKLGj KJZZxUyuHkPR4sCTsuqZYKUzrb1GHcwzOPF8RA2dDYDt9+Ob5y3XMS/EVJ/PyWoGmgjsxQLJo2F1 +OOFndW1PQH3rlSrmiA884Fkwu2Y/VCKIVZTwCYQLCGC+bG9qfT2h/JH7ShsbK1X+wHA+3+wDiGJ bQke7LQ2TSCDIj76Lwfkq/nDxa0JpI9UjKLpr1zAwkOEu4nK4M6ZH/WhelEcKPwrbN7eVeAsEtuG abFLUAKgH6wsiYLR9BvzR5WXzRKSUvtcvfHKBczg/PMCTl0SlfzgNS1g8eGTyJfNM3hoatDIdKna S37gKUG0A+JTS4KQFAAcwX9aHyt9KSGLwMusZibkQ1wVls/LGvMahUlSjhsPhEjMoZFkmgrcC7kw OotLLDr+4L2s3qpAsQJwm2Qu/yIC6UR4kMsqC/sCiNT3+MDw6FpuDcer/juBhXfkzwrKJ+HDQFdx uKLfZ5dGO2x82FpnPJ5Ay84Gl0MNeZgMNkiRAOSv4i7OmCloZnEVFtY6qB/8KwkZLTCHLtBYMWn8 DuiMDGx1kvyf9boxM3o52ytSFKlhCiOXlKlcU9hjOCnh9arRnnE91bzBWOmBReLsth23+2XdnVy8 IwdBidhCKSHfH/ZsY/l7Qo6MwUiV6D3i2h36Lk1PpWqIne9pT983Ta5W7Pm/5In+qeZdzFJiuifN gasRBHgvQbkjUgfqup/mg+UP7NK9m5OgIn44cxjTUSgAtlyzCpE5lafgm+nqHKfhdRAvUmX5aZ3M E3SN/Kv7BrCD0HZMaavLjrFor4GINCV6iXsAwU0UjlZS1x+yQGwnW6vDzjIQmclDOQJuctKZRjWC 3SAJzakZh3hkoFzSfSUSoVrk0cE8C+LvM/54zHBtjT14kWmGZmHSJ4qra5ZaMP1PvEXX/JcPqtIt HEsaWBL8YuhRI2S8wZTLG52eNyW1oNkOl7MIiIlxN/a3CH+56Z22uCG8SYkt+EXgQxxUW0MtzwAJ Vopy2UsNl2eYK+aeDkmGox0TbUlWbVSQJsfjmXdM4BB2IqWv9j4hLaQgxG5BHkv8GukbzKDjECGF 9t40RcjCD0mZYmI5O7L2ayxUy7YzyxrcmEkRgkSCtndaGCZwfqpRJMTkdnwTHpXL91XP/9awx/S/ rryzsDFdwVKqFrG1yHwlYVglZN4V9WIhHMyqO707p4jN1p0Uirr9IBduEe/hQ41iahSJuWv1A74X Bp+v/Oj9SwYdFSoPC3kJfG4OSevrQdTJ7+lW7CmEOpUe4ChkqlSGkg+ejvbn2GjP5jlYHnViT+eH 2WiCMGYysGkfOsge0ZsNKnEtWL+vl+PgazFicaDvrsejNJi3Pn4FWX7qxHIxVDmnHlYvnHonDKT9 hLtuzncbls9IiCsmj1dyV/nJU8bTLD7+jVTLdegzGiqIdyum5MyvnKmauVcgFjuSDGPxb44XFLBs QtAJrgzKYBVMXK+KRdR54S/E3/ZkkK189joMRirfxMqYaWfAhr9PajbWCSKcOFlitmQbjiiRKQyo iBjHLEPRhyOnh1i4dYKRLk9Gu9z+Rh7Rt4wR5mCBq8phHyZfwjka3VFxbwVRv3XhZFhBY7OvGmv2 uGIdU0J19YWp7th4pyX6ga8Du+mP6axbAqO81onYKVBzuJ/F/JGIqOEcJgy2B7lPz7/sEQNICquj k6vXkjG/n+6V7BrhbRcCotv7l2mJW8KJCdvvfZfYpyXXDCiEqMzUKJrx3PA/SVnPIZV9teBZ7zEA kNUhux8HsGa1b9qojZZqGrl4NP/VeCTUyMwnLLolJ4J/LKlpqo20TFAzyjU3VpIjWcnaZdQ1HMh7 RJuJcMT2fk+zk92922hXDqafbpESNQahtxMFuwuTm/IMvc9rowSLEfLroxhF6IrlGgx1KWTFErxZ wSrm97ICyWZ6XszGwHFwQpXS2SHn3YJw+xw+J/PTiw5/BS058OXE+Ifbq2Be03g8jTqN7yaDAjMe ACeV9bIaxofCWk7LVgZKVuYJN8GL+DhiXCAvSyrg2lLBShI4Ze497SNPp8pqmmLXMKeZYqGeZhQI cp/91fDSkjZq/pX/c0lX4XaYsXxB45WihPaBynPOKzc4ge09r3T6QIcJ/Qg8L6h5E2bJ7FJKHnV5 8gLxGNkMgfwK+BFhIyaKrz79MQFYu0IbVgcbTOYQ3AMvS5HDhQB9oCDWHHkU6az5TzqesoepNJCI uidlGaolSbTUFBH85pHqDawYMe0dMtnLf7H0604NTK+R23+F5zRCmkIeBdeZVJiZBGekzo0zRSC4 fBnU2t1AP+MtV8pkOkLtuFtnqbu/UyeZXXM6fJRVpW3WdemApkboYuaFcWvtRc70Re6DnjssDJrS Rnx2/MqWiANSrw4/3zkv8XphL0KGfZOiggk50aqvbZ9/Uw1qfh0E6DmgK/DYzIt0eY3OC9ixIZ8d naiI6ilTF48FLMFq+w+XWLUdn5uDHw1eSeYamKNgo1NmzBlfbfCT/qWVsbfvr2g3kmn2AatFZAg2 wdm8q/41VEo0tLOPpzIG74+VvSPBHqxFV2g8Z8LUX7MzviL2hgzWXWTRlF9SAinnKWu8phYP5BjD 9OQzwAawU4lxTsB9Vtxdz9a2o6TKKy//VTstS3EpQ5fBPLT+vAAJsEFdXESNoIZ6JsMYbnrVO44W jl/a8N47JNGznWs3Zh98AOREkIB8yHT0ZyWvNLc1Mkx+azNPidPCo7i6Z56gNYmUdJEOY41k2+kI T/bhxUhpwTYrhPxyEdvTCKOVwIfddtwKGJWy0ZgRD5fzzT2z7ek+h0dwhmZHweSnAmJh7zGnQOV1 PdUIdsSqnBxsIH9KdqzkUcFcka0IQuWhIK8Q6Fw8hkxagUNCAp81lqu2DGeypw3smMDe0b0wrCxV I+mEb7fQWj+p8j8cUtXht+7L3RQHbWfXsb/HlIfWQWo874vv5bTtC5ZOdEU0aZwgVfcVvhGkxXsf 2oTx/7Igbq+Z374VcEpYkF69EehxgSQv9vilJM+4FRpxloKFHWkJC1iOjzy30aVRxoiePFwT8FOj D+yvq0CDo5BcEUXEDEpIyyPDINo0t1sbqE9NTFG1bWuoGrWsgO7A/XuKJ7uTwGiAGQ4GgV5GIrEH PLorYSeg3KPvF2isbku5+7UPOYP8AKEtM/jmBfUeX8z8HIqAT/P/gNvrZgyevu3eWUUX8yVxp2TW naOgGXslMwvVsDnNy9QBFhiseQjosO/syyxMkkQ4VswhgW3htUEtesf19aKvI4L0tgq9WSd/4NDZ VoGVXP0f9HUdcoG1n97mOfcfk5fR5wf8gRTNQE44B6UmRdwXXeVSr/xe+sZwfXO3O9JRyOlMfTw3 pP1Y14KoHszDG2IFrsi0+ppHQF8XT5alSxqxSkvWtheb4TZysig8yHqHg3byHDAtcbL7Ee6dq+06 hPpWMhQPeIPpCGC+jjK/u64ZSQTUwSUbZExPYW/GpKNI37BWSymSXoTmk3C1pF7j8Gu32YSkNB4i vCJuamXsuDRpGch3Vs8dGG6IPfgNIQC88wTJ7zreVS01KWCJ1zTADS46iqjBF3BdoWNR0ET8giWG pNirvIn/c/EigPlhiLGoq5JpZlctYS/8p+pX/78uFXS8Em3xcMG1L+Et6ll8CdhrIATof/piM7iw tB3OoLLSxPF15hQjqwpnE33KzeLEXv1kIxBPK7xmAh9Xj8RUyuxOzTCrcqv2xaDllQN7FXzgTkil nzi95JbGMUv/gZjRjJBlOTr66X2UWFaYkRXVJ6widk4CSRlcrRgI9d1unlBQZMw0PiMcGMhsGwdV byfDU20GQXok0BgtIOxodm0KENih8N+xc5UPXaNnPAu+Vfqt6BRE9oFGDfOH0KXSAdAlT9SzCQl0 3omkl86ijW7+NNa9Wjc5P0NtXiaHrYChOfFuW8R6B36nScbwu1e2/uf1BhHHhslSOg3Rmsx2sH++ h5jMDVVAVNb8YB4hCN1f6iXTj9MHtkruQ/I4M+ADZC8wlsNAPdY4FMgowKhXYaWQA9G8vpuVnMKc rXheETB01BNUt43nuEhaTW9+KbPXWP0Zgq6YUMzjsXvF2INCkqpdpHHkRfEfEOCEhNjr7htBW4k4 iWeC0MRAI71V7zcMg2D70xBN7b9blhsqkYqXCC1lZw8SvIWFl1Uu+7aF0cPTJTs+3gbk73nSkgZS rA3t/h7i8D/0OmjJZ53M5OgrOhgHBnkWFvgcZOR+jiojmLTM0AjtsDI/VQG9kwI7ZdgavkXzMd/A J8gwOf7tmiRGoWg8JzItjCsdQqwOZrWcJzEWhftUIKbzgxX+jN9AbuhVhbSxtrE/7ck+aQuJ5ejs xcv+iT+eRd3LwLEvAm9NTXPO4CFdQik/9wAkbl5A7VmX15IoYjEnm42s/1mNXoWBHc9MO38tCrCA ONviNwBBHAI4BhtW0gCCXTj6Q6k6U/8Y4JCHPYKzkf9EiDURtMidjoArRI9FJbF74SrjgF8xWv+5 TrGX1GDsfMFBUrc9WzFPg6NX7wL0IkjdmNdVlzqFGTTvi/0Ssspm+wYEqZuMB0/uGq7tsCCdow0D KhR5HELz2cnbLLV2Y9PuKJ9TnVFF8ENswBGRUp/1iTNjo2ntODO94P2etNpu+xi4UP/ln7AJFigL K6WecKl09mAL70J4V+DmVUOeHDQ59VyuGBB+nPTK4ODmbSt1d8xNcXVBVUvxp2Vj+r11XPU3dkg/ LMqgdZX/GKN24kFS12idcWEppsguaq2Qa8E0IrRyuk1weqYAWq8N41si+Jdi9zTnSxWOfKi6cn1N 6ZUy+mS+wIg9I6hsf4qiV34XmLaMd1M9VSiXGWoKy06wBLWwRXUnNqYic5F4XzALwUUZtUSiPmJY FNLuOKQMzVRRNhJ+JaB6ujJUFQUgjYneFnzGA/lxeeGxw/U1n1hCw2dx3ERA1CP02g86khTQOZTo XgXShmBDb0Dr5Rzg+yobl8ib8jF/Bu3fm9sSoziaKpz74ZbyGuYD6XuZ4mIVDKEfhwoCmmOCWd+I UMjBW555uKgn8IGScBV1G0gEdFrqZzN9cxjMlCPAJOw7ScNNg5D4zuVWdZhIfMxM1+bVBQrL6t07 PYfALQGFfEs2/kijEqvhn694zhGQ4GNzqXrmO0dG2BEANPEunRZKotjmy7rCrbF7QehAQ8HReTVz 3CJR88ImnY1FHNQyvc34haN2LRCW6xyO4je2yUwVvncWmb3azL9HC6nptv3icgrAZJ9nX2q9KUS0 9cKz1oUGF6JmRyofeopRWHk0fpt6TI9iiqyueKqi3YMxmHKf2y/SeQhPZGMRcW//GIat8kIUrZXl WwceaeyXZw4SscV4SYVatPGXpTOfdPZWqUys4VHKXFfqaK0vXfqqcfoqoOZF9uo0GbZr9K/XaX6R BQoVx+n37lhuUwkivL3+5+aZ4K3m78BebPe21a0QTuYNie2SW4trKH0T1y0xxIozF97h6La+cpi3 q1bmzmh4TR2StKXRM6S/Pha3Zj9Vf7Jf0DeX6Tt1xHmfs/LVldLvS3CquTSQ8bjHuQQrJfpVoPHq S6BzWx4e6Po1Ni9Ps2BwKKXdODjjthSJ2xTw3TCIhZI/y5RnUqSmnfPMTBmNokfgpKT/ABwqdeiV Mo4NpmYpGHB9onTSQ2yduZMeL2woVIaafyS9VQpKZLqPYD/aNawNgJB1kRuft7PEBwdjQPEUZ0qs zNY1T53fPam52neN2xyiqMKR3bajWIQAXAT886xd5x1mWihCVleCYf/HJigZWATAHKDAHBnX/1Eo A44Y2Ron9xX2a8yY78HoCdYH+tlSNUgFuTPXtnkekrMIWJLRszKRoMqeJpabGSH+dKqVuF5yq7jY jse8DwOHW3wcKAmkMls2iJsmL2OxUAclrOLSnJUw91RIkMmbYQJVI45qRQ86ltdsZWoDVYPZPz91 opibZlkQ/l07MHYH4ILAwMji1u1Qbfnsuvg+4V4hGiJmO1Vh0oWk6UzGOzw/5Hz6WgZeJaZQuTfX HobFh+tjbWDSheiRJHgS4DA2rn7H9oEdrAb8JYUNWw2xTjeqXKdexI/EjdK7I1CH612MlZMngRij +DB5oHYJYXHmxyMiegOVom2mS8nPSGvsfqTmFQoMMtGu2kOFXaJstGY7gV2DtDHk9GAFyOIYnxwU aBUl8rbAu7l05NkLE++lAbtaevHhKG9yUBEF6mwkUhDLce354lI6DDVCWQxdER25aK6KlPUWriht LF058vMv27nSn5O6EIMQ5oLTHH5vVCIVPVauWubEhvGeFVaxrL36eB7simZ14T+LJuGBN4dLypN4 COsIQHoTA8ISpva6ak4saM8VAMaoGSlGj4wBsHqte43JFKFWMXRbUWb3p40AATwqgcccCreX6Mjh H61XiWb9HSEShCuzTb8wH+gAcynF63a0/6WfhrC15qruWWOHcHvnAhiE0QC0OD7+lrGBSbTlfRP4 XdH/o5M3iHpnUbGIStrz3a+i2twymQTQmvgdSJi23L5dlhXMYmTlmEmROSft5M0WVfJPtzjp/Q5+ 5nVgiykXZVMGqszPGD3PuGI+X0LrP37fQtIPK5nE9QsWkaPi8HfdGV7h8rEZrSXDFc4j7jyHT3t7 jp/ViZzoLeLqpI6LSjLAPj0BD7XOPaYfWm72nwc/H+8v3lzzOBWSouITEweUISFr7akVmQO15G7J BQMBN4ajZPMBN8x3tSZSXQqxBN3mcXODO35ft540DGrGGlZsFPmG5Czu9UzSByLo38pxHDQh7R7J eVZ0eadmXDc8a9NwL9hSw9lmn65kq4iDb41iILl3UJedIlCCxcDfP+znTjGN1sjK5R+DCmIj6iFI QDDjh63YpZX8IZK91l2Phf4vQisx6FSz8rwxyj0YjniaGeYIxTRdHV8UrDifYmYXspmFwJJf6WIU gOpU573WZ17N5lj2zgCiKKbhTY955pa4tFwRgpFmqGFulhpjBcT5aMBvfYueAXkS6qd+/NrrsQjn PpjFjMbEBJP5/9muSpb/IzUAEntYh5jiRyESHMfKDvdbzZMCJSeQoM1Gs70HQeQAWJlu/R29kBWc GUvIifmfsMBt+mh5SsqmxAqd7ktM5jsapzhrRwWjdkkuda94L8kJFeyni4EhfSfuvdfG1HDqVdCl b0cGkKLbX2HsHBDUqf6M5hN2YzK4r1stjVKbaxG0hJidG49NaVssYArx+K9gsJQcu3xE0+lY4OBD TCZYIDxkY7v82oiWeXmtrBoiEUylB7qH9KU8PgvYgnLHpsByUxiM4wHPA0gksbQRB37+HDMNcEdG Drmj6XlIbGcajTrEhJgEO+YB/6giFFBxlvB66Kzce5/MA+4Y2emCkh7wtOkV1DAAAf6lWXNm8+bS o0ktq2oU6/8/Lq73Kl7LdN11g5xhpifl2XidUyuVf0zZqTYlnaNgztpNtMQNKrTCnx+LtEHMoFPZ fDcxxuDu9b5jWhhf53SpYbsCOfr2EJSOX5/EYFAOYhzFhyihedWGru7/hMxtCz2iX3GxE9qW8MGA y4UXwaPTV8LVeLL/2BLK3BHh04Y+H+HL/CjT/x3iigjX/icVNlusiotZPkv2UShJB2jMK5DB8pae 3Izl5tm2bWYGBlDO77Egd7LXb07EBzKRJ99U5Gu+GfH8z/ApIIeOPFdSL5kCQJ/BnHAbemzd1kYT pWXeeqHr75JKhC28CDieZnldp4zfzrBqmvd4Izdh0ozETxcEkzEDTqJADSjzYwxb0q4gA7ODfKfT TM3/vmR1oqsX4c2jYm1at/g6ovmLMBMkdATTCArgIJxxv+ldbL9+bs4aJmTp5dc0JOZ/lCQEZVvh jVeIHr9hzASiJ4NIyKbKoNZjp1bb0NKZ77xZW6ur+Isop1UW3XYA2S8P3PKCRKmJNjq4uwZbeBv5 RhJQgFzWarydR6yf3ZT98y01OB9J+EdK4mJvleOOKknmz0Uu54vDQnjjKEwQMTXlYDVlQHwi9S/2 0oqeRfG9A3kZ+kb4nEu5kOy6zYHOaWLol6ecUCnx17ho4OPZ1QFDm2B53HNo8jvGQ2I1ODzBb+tj fFooG+cY+p7q9fWa5gpKtN/XG4516SbdBloOxaTNphlQTp9knVCVCk+N6POPBNPY1pzpd05VDkm8 FxfKD0IcPd2WSFVbtKuOtygLNf/ZyOop4Hcfgs6Au8FU9afk55aP7Ca9bXsq75b7Wg+IXPbFr6eb 3QLZvJDS4e1UH1wO84BOh+Q9NfpNtjW5jU7aOv7qo8MK04crEHoU6RYhJZOef3CoNy/R66OWodI7 f+Mbf6trWb6tj1B7EAQnkSnoBKGhS7vHh+LMcTjzdLP4k7HJGYzC7ui44zJr8bZaHKpl4yNoe66k /0N+0IVgp9CRcNOP4R5JQ5y1mjWhJZytXCGwpMvv2xfa5qgZuEcWxIPOi8aHHeehX/5nG8PN9rDK 68adE6bfLhvWHA== `protect end_protected
apache-2.0
95da0710f07d5aea7879df8234f0d63c
0.954337
1.809641
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_occ_counter_top.vhd
15
12,619
------------------------------------------------------------------------------- -- $Id: pf_occ_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter_top - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter_top.vhd -- -- Description: Implements parameterized up/down counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter_top.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET 2001-08-30 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --Use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_occ_counter; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter_top is generic ( C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; Load_Enable : in std_logic; Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Down : in std_logic; Count_Up : in std_logic; By_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1); almost_full : Out std_logic; full : Out std_logic; almost_empty : Out std_logic; empty : Out std_logic ); end entity pf_occ_counter_top; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter_top is Signal sig_cnt_enable : std_logic; Signal sig_cnt_up_n_dwn : std_logic; Signal sig_carry_out : std_logic; Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1); Signal upper_cleared : std_logic; Signal lower_set : std_logic; Signal lower_cleared : std_logic; Signal empty_state : std_logic_vector(0 to 2); Signal full_state : std_logic_vector(0 to 3); Signal sig_full : std_logic; Signal sig_almost_full : std_logic; Signal sig_going_full : std_logic; Signal sig_empty : std_logic; Signal sig_almost_empty : std_logic; begin -- VHDL_RTL full <= sig_full; almost_full <= sig_almost_full; empty <= sig_empty; almost_empty <= sig_almost_empty; -- Misc signal assignments Count_Out <= sig_count_out; sig_cnt_enable <= (Count_Up and not(sig_full)) xor (Count_Down and not(sig_empty)); sig_cnt_up_n_dwn <= not(Count_Up); I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_occ_counter generic map ( C_COUNT_WIDTH ) port map( Clk => Clk, Rst => Rst, Carry_Out => sig_carry_out, Load_In => Load_value, Count_Enable => sig_cnt_enable, Count_Load => Load_Enable, Count_Down => sig_cnt_up_n_dwn, Cnt_by_2 => By_2, Count_Out => sig_count_out ); TEST_UPPER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable loop_count : integer; Begin --loop_count := 0; all_cleared := True; for loop_count in 0 to C_COUNT_WIDTH-2 loop If (sig_count_out(loop_count) = '1') Then all_cleared := False; else null; End if; End loop; -- -- Search through the upper counter bits starting with the MSB -- while (loop_count < C_COUNT_WIDTH-2) loop -- -- If (sig_count_out(loop_count) = '1') Then -- all_cleared := False; -- else -- null; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then upper_cleared <= '1'; else upper_cleared <= '0'; End if; End process TEST_UPPER_BITS; empty_state <= upper_cleared & sig_count_out(C_COUNT_WIDTH-2) & sig_count_out(C_COUNT_WIDTH-1); STATIC_EMPTY_DETECT : process (empty_state) Begin Case empty_state Is When "100" => sig_empty <= '1'; sig_almost_empty <= '0'; When "101" => sig_empty <= '0'; sig_almost_empty <= '1'; When "110" => sig_empty <= '0'; sig_almost_empty <= '0'; When others => sig_empty <= '0'; sig_almost_empty <= '0'; End case; End process STATIC_EMPTY_DETECT; TEST_LOWER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable all_set : boolean; Variable loop_count : integer; Begin --loop_count := 1; all_set := True; all_cleared := True; for loop_count in 1 to C_COUNT_WIDTH-1 loop If (sig_count_out(loop_count) = '0') Then all_set := False; else all_cleared := False; End if; End loop; -- -- Search through the lower counter bits starting with the MSB+1 -- while (loop_count < C_COUNT_WIDTH-1) loop -- -- If (sig_count_out(loop_count) = '0') Then -- all_set := False; -- else -- all_cleared := False; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then lower_cleared <= '1'; lower_set <= '0'; elsif (all_set) Then lower_cleared <= '0'; lower_set <= '1'; else lower_cleared <= '0'; lower_set <= '0'; End if; End process TEST_LOWER_BITS; full_state <= sig_count_out(0) & lower_set & lower_cleared & sig_count_out(C_COUNT_WIDTH-1); STATIC_FULL_DETECT : process (full_state, sig_count_out) Begin sig_full <= sig_count_out(0); -- MSB set implies full Case full_state Is When "0100" => sig_almost_full <= '0'; sig_going_full <= '1'; When "0101" => sig_almost_full <= '1'; sig_going_full <= '0'; When others => sig_almost_full <= '0'; sig_going_full <= '0'; End case; End process STATIC_FULL_DETECT; end architecture implementation;
apache-2.0
c6b3cfb0eb0a5bf22179de5aec3b4558
0.432047
4.570445
false
false
false
false
jdryg/tis100cpu
mux2_tb.vhd
1
1,630
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY mux2_tb IS END mux2_tb; ARCHITECTURE behavior OF mux2_tb IS constant MUX_SIZE: integer := 16; -- Component Declaration for the Unit Under Test (UUT) COMPONENT mux2 GENERIC (WIDTH: integer := MUX_SIZE); PORT( I_A : IN std_logic_vector(WIDTH-1 downto 0); I_B : IN std_logic_vector(WIDTH-1 downto 0); I_Sel : IN std_logic; O_Y : OUT std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; --Inputs signal I_A : std_logic_vector(MUX_SIZE-1 downto 0) := (others => '0'); signal I_B : std_logic_vector(MUX_SIZE-1 downto 0) := (others => '0'); signal I_Sel : std_logic := '0'; --Outputs signal O_Y : std_logic_vector(MUX_SIZE-1 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: mux2 GENERIC MAP (WIDTH => MUX_SIZE) PORT MAP ( I_A => I_A, I_B => I_B, I_Sel => I_Sel, O_Y => O_Y ); -- Stimulus process stim_proc: process begin I_A <= X"aaaa"; I_B <= X"bbbb"; I_Sel <= '0'; -- If we don't wait for some time at this point, O_Y doesn't have the correct value even though the waves are correct. wait for 10 ns; -- JD: Why is this needed? This isn't a clocked unit. What am I missing? assert O_Y = X"aaaa" report "Expected 'AAAA', got wrong value!" severity ERROR; wait for 100 ns; I_Sel <= '1'; wait for 10 ns; -- JD: Again, why? assert O_Y = X"bbbb" report "Expected 'BBBB', got wrong value!" severity ERROR; wait; end process; END;
mit
964d69f73e3866edf4e63bf01b5114ce
0.574847
3.26
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/debug_trace.vhd
1
65,160
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nzmtuNFEgHjrnZtr/tm7xFQPGKncPuA1ODSMo/6nsL3zy77JxO6TeK/ihI0VOAR1NFJM/azWL/gj 5qnlajKkUQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block D5iTv9gJ9yND7XCo3wg2U5ASRii7Ejxdgf6rjXglhtTh+IfSabzweX+epGzS+NPeO7lIN+ZMVHai k8jtpzLtX6o76rEHClzcZTvncUZ3RbNXGybTohk4/qEk8WpV1unWtHwu2RZUFPblWaKIpBeLv2tY /B7ux1demKIQBNgCRAY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block E/lDDH0XMOho/uxxpO1eHmkYbR7yoaYzqiE/+BSjkinNDf8ljs7mfuTXvI0uDngHh7u+Sz/Zx/ac XkSzmIVrZKBdk7LuKvaODnypdcQiAq5Hsx5CuSWEF+VlTc6Ld6ET1/Xa2Fw9+7dBgvPlB7Fxy97+ 0W1vjUBfCIwnSTVc94NSpgeC1e1Hcyll0YFY7DtcSJUvJRXOhXvNAWNyWw59lXOGk2eU2I5PhpKO 38oBUTYCfVhwV357G3TmruelklKH3rzX0Ns0QZufrJqd9s2BQ9IKi5wRt3NG92Cqa0SySXM3SmR3 PHTi/YP65tysXqWlc9eQzIjY2uPO0pSimqPQvg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R3SF0KJpqm4iYT5BskdlN6JoaQctnKYjZ2hhHBpoBWTiv9n9XNRniOfJDyQ/ATT1i3KBzMx75dW8 AhjndXkW17HtKGb6beRsOR1vLbT13qdJ+VjBIqmAC2zCAMYVq7qU1m3RkA5PLQCE9rYyr1wxa7QK gMJn5qwcxAXDR/uD+lU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I7WpT9cz9bn+q9lq9SGqgQIZ0xiF1A7JAh37PPLwuWSyMVJuYFY+jT3h/nDs17RvaG9ZLXigvzwV Re/MGlR/R1jv96dk7r0dn6XXVkWGaDtAH7XY93sy1shMDENSe13T10jO3Iz+r03RGw2X28ZBfNuf mHNtJE72tgPe29Di5YC5y1jR6kTCZxxatBVIK9yKH1JRM3w/BxVMMMshCpV4ekyP+imftvtwvdXC B7AydnltnRFQQBiDiKz1M7uDMiJtU42QkMzsZaS4lUtR6m6sVtZSQlP7M22tdayFUeTQ+lDfM1Bt q821J0tdkVEOYXGOX107+UTzBGzkkb2XaZm9dA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 46496) `protect data_block n0ejRewX+LTbbSYVIzunMtZ3xY+WS4eKb/Ji1jQWGYoXlYgnaXy6vpdeSsZZBGHV17KL+90Ubnrp aaj/Qgn0Z8TSTj76O2WLrJ8aTy60p0HIw+K8xF0xBnYVhzLXcojp6fjFBLuQLtM1QelaKJ/bgl6A ynxLRFaEvZPKKnZ0Z2p9Eykj3th/452jTmwOVa+xLMi/q5oxakqhalu2abCR0/mqAEOLAR3OH90Z v4nCI5bK/qf8QKeY54H2aDGqhXNT/vv/lJL+IdidfdVVHtKI3VTSmzI56UDRj+HFVC5DzkSVCmg0 S8szjZGLUBj151kFzY4+4r2TzA0Id0fRzSjQyHzrH1ziDe3iv1/aMx1/fJRkhtSke3GyK7O96iin 1ZMjOCXn1DBpr49NlZoaN6u5v/w+1GZz8nMfzZfl6Ku3BQctvy+Ge0qCXcXKiuZl0MatqjW7kaCo eJxtNoa/wAil8m2MnQo3ffyWh+4sr7q8d9uIxkSCJZyxfYCSC9OYR4rFuivgBs3CR7uYJbFb2Snl HekJBy/zk9lf99Zm0ikbxaMAHq/c1dSj/gKlXsaW8gA7TSY47I/FAT90k3u8smm+y9fwvQgaMRmY z/rX9YRjpJA0ZssIIU7negjitjtoQxiBrINPXJpRCf5Nd+cy8nMocy6GBCJzkalmkASdoYHOnj3a klZTTEoiLyPns36vmlVn1Un51aQfifK19kW332y9fycU4G58qtX8nMz/yC3FR1QMmzu3eBOIgJEA Rdqn2OByFQX1D2e/uGkkbduKmaRFvbqi/IbL6iFfa8DSYvLyGt8vqDJW2r/lXj72G6/TUHhatxLW Dc+M7QkkMnxExLDBYDxoSDo3nXWbYbK09jK0CMPYXw8XDNqCRJHly4HJMLaZjjQ1edTUKGP0rwBC 8SKgyn22d5h/ARo/N30YiURRKnRIjN6FVpLXSi6uqK2BszDEhQFRuYdbE2fizhB1BNHqm0W5dGL9 P6Y/5PnSbfXJRCC/H1z8+NvCdiIGHEGEW00xoK0WMgrUzoZvAeFpe4tbCjyzlUbndtkjnDyyp4ov hZrHAZzqRo4FMJyqmaAkLnr2TiJPzcSoFrVgaT/jDVFMKFj9/9UESz+fVvVPg/MqzvEiqfyuwFWI Yw9hq8uyQGGaOWUDvUrxUZNaQpT5BAZRdWnVNN+NE56C92HAunSS2AMhNvZE25VMOcjaIJjUmf1Z ddtdT0jkln9grDOzONxDruZnDu0j5ut0NbSNWelbHbQQKO8lyEn5Wrbyy8Ro1p1awYGk9jAYqKNM 4zfyNxORfu34Rkgp8MPeFUdU3Rqb+idsGt9LCabkcFx6xQIEyD2peJBD4XfQtZP0Oel1IcIEA35i 54JNXgom+wEDN3hsQkdrFnNee2D1CSSqp3GZdyo/mTCQYZGeFezIecjjVMyuOnoiyx37C40rK1tI QM8Y/tfxKXv41MoWmMhIjRJCvcyaEjdWAyEjUkbJtsWkEmfVuE8U6NvX2gOxCqVlD6kAvn7ufy7S GDoRgtHSV5GSwmOTv5wmNbF9h3TAlIUt55B0xHdELJS5qCWYP5GnoLe4f1xF54kLuLHtRdIjM+XQ GnVpb3EnqVLhrmwsIfUitRu8Z+EoHJzpR5F0zhu+TtctzjHe/l1F1zL1mpOEDFNlbZBg4g8Ey20v +tc22tCICtaEEssGBVjWlDYgnVLVWp4inCAOjB5BS3KqaOZ1veNMvEQXwr3uYUuv/hyuH1ehwk3Q lVtTI6hbyY0U8vnEkIFBjLVpKEXWmT5kNYN0k38kFM8CdcdDCBc51dhh/v2WB5E2mwtzDhj76zXh t2zN4ZNeqrccPN2SC0ci/F7Wq+krbNMn2Ub6FjMjqj1P9eVAcQEok9xHZnTucPn/pdtAYfMIxYNC 1IXEv10k7ovneAVtiTt+PcfRtUujsyUKxpSTsvhXRfUEl9jDEeo8MfEXv7xMjhmZj0lbKrv7EUuf gI9p2GifSuz4Au0bgArQD10AwLAX8XY/he637n2okIeay+FRP3FdWENmRPCqEGeVOmsoILuupQRp ZRfsm01FcSMNUnj1BfvgcRpS4kAaVARIrtpT6JjgeKmfHN3L3N30oj8jZUwmyIc7J3SlLx7+eXRx 0/eVVpadY/qP97eAe0AfGEMVsnQuRcz84ABnkvDzZzNIShe50TtVwZ9mWhGXjjnJe2rZjZPal28b BhpdUwc5MH78Um9Ou4rWj24DOf8vpZqobz3VkVUhhXJr5l2NWqS4E+3KO4zF1dhNc00gmMw3CdF5 qOn5HII3ALJStojwvgmP2nrb8un9ERBRAS4PlYAFtOOZ56GQtEf0atISrB2EAqJp62krZvaJ1GMM Cq3u2PNLuuifz1M5FHXkN/fAQhEWUzbTGXv72jQG/1lsHS/fjQFzP3wDbVw+pu+fdEoJb0tmuXTt xy40ZPMcZGayOS3BzTOpCGvCiaUekZQDdLyhKC2I/nqBFBtFygjrK/5tZ1ORrpAXA3Y8ngG+DtKU tbmJyyY+V4G+YjwOaEHReqjSc29AllU3hBIUyuf4FFSI+T6K5+LkBLueG4ipjmi1tmE39AGH0EU+ ME3QeQiPfYVW+Pr7wjFccGuwuU9p29rd6nlCrdcwBVm8jSYEA7GS69vIO5W/+12oAuTN1PGmb3J7 +9bZiwcR+5w0cJeRcthsRlGxph3ONgHt7ivt1nXGzM15s2KG7J3Exs67rhe1qOFB1z8OGJvDV1bQ HJ7PzfU3FkZaiF7bYlVqEW/4iaSMkIARL5qkYmJVQg5oD0As73p2UhvNiHByt7lBzNeP+4mOZ4y2 /P69SMk2yLewtfUMKEBxcoslZuRLCHIxt4Kuwcu0hUN4/yOZAA3tnBQT7H1JK0taGNiBRkb5vo4Y 1WRtE77PAgByTe4bT23JAvXuUjjYdUlSeg8gRMcFYPWJpD5wY+Y3n1tvZI85i8S/pn/e62MBqAMh 40z2jiEZAX4qk/WTBh2pQNwJgFvLb9MiPE25cyprWABM9zA/mp2lWmd0o9DY9fe/td7zsq9Im9xH Dxxl2hIUE9Tek0rdl61xPuoPyHySVX7ATAWKIG1s6JXO5CZaW10kK9jYJmFEPETJXVTC4p4IXVtx IBMA62wfWjMLO2sLGm0jSA31KwxLF363z/f0kBmL8Ba44wHwlkt8P7yq6ZjR9RecRqVEbUPEmFGv EMpX4GP67WJ/EMe/pJweiggN4pY9JzgmzjTpjrNSu3WzE3ZoXvG5PbJdMiJcDzOrTLnFcK4fyOIk Zvdivni+kZYdf0Z4vShO1aQO7luuQCIlNxpHDi7YheVh+KGt/p6d/Y60QduoeN8pXG7kGwxB8XmY GOE0+BDsZ73EhDjB086MQGWFlNpkt+fl6tgaoW9q13fayOKgR2soV6CKzb/cEDZRIGVGg+r+hRCu MfHZDCIB+O3S51IrLVXofpf7dZymYgnDKx72izuhYhKiIYXwiEcBMLsWgvmcFaPbrgzcrEGQU/SP VkgzsNjhDBBuj0GapjMoU63/8x9gm1oEEkerH3CI04kkx0oiA7kJAnh/qSfbekW5t6biZ8G6hYGa LFePW1hPnfrd8M36vTU5534oLslSXXPTPwFfMuzCq6u4nw8An/30b1C8dj8iQdcgVc505ehWsJ0C L6I9smmc3Yg+QsoTj+JN6OAoPb1aA1J1j6xAyCO6yDe3dNh0i0LB6pq68pMh9prpfkR3/kb+AHcB bwz2BGlMPxDKwGm6UWrvAUo5wzf+fjWogdYeHTCa3k3rZkFgpnp8bYU49pjUn4N/9VaySbmqkeZT WvKYcqQowGQptTkjDxWR81QMSJ5bEMxGHVUZ2CCebjynxBlhzdwnU70eBE4cVHgBtCkYmi7cEtfy /n3qvk28cDb6YO/qvYBYGaMn2VccUc4EGttY+9C40kimxvybyN/fHoju30bWjFW8atZnpv20pz+R 4ai0bdsy3n7IzahqZs8z6V4hACTIIS3SK39JJIdsTUJiBqjYt/tvIM/EYVW8dm4qa0H5TtbbGTrT Dvb+YyKYbFqGnByp0UgIbl60Tw/M82P1WXkwVBW1gJmTyiUiQ6j6JPxMf7aogvTH68ZltMCjD+hs mCZi5J+/4k2XX7gliBUqiD0n9tnFO8rCv145AJivQbEN7zCsJBKnmuzLuvkP6DI1TEr3quWbfSsD ERucsDk4ovi4aprTBr5jW546w7y14FMyoiu/Et8tVWwdnf7cZdFU4V7s9HQTpAQK3mfD5DLIBkWD aByzT5/zD8F+wqQrHu1GHhEz8tYtMphIuM1pcy0+aDymEy6JNCt3fRBxZs1NGZeb8RoVd+NKqFbx MPIxQYWd5xEJiXAFkPCj4dZ+AEVcLJPEs8DaPe78agJinF5VgjFCC0N8uf7RdJ0sXM3LZTaTRBaM PvWmoFxOMYeum3oQ9Ys1jZXnIux04MWfvDHYa1wPmfTbAClnikSZvYDJj2tGyMAN8gc7dak+E6BY fcL9AtHu9wjh4cAIVB7WJMbCqxEFaz/ilAv0XUkALwtqljSmBwBRVJ/ZP48L5IbZ4s0jAvpzxDfW SDqBVbPI0kZOzUbYzboKsCriUC9Yy8ux1Y3i3YLQSMn6oKvRdXYlIpInIi3IWU0v5QE/D3t/AMOi OnSCemGRTGe9+sFolgrBQ7wfqgskOrHuljUXlIdcI1cgfzLw/bdImdgNSZ+m6qlRc6ZF4m1Cg+GY p7z141USaRN/9t1TRNlv6ElDlHV+26TxqQm2HGFtFJGdfLpiud5X5oRfr/88DbnFhPJcBKidMa0H vLd5q6e9qGWEyS3VU1VYPWR0KQ2yHt3cYINvhBlD3KdaImQbqxBLB7s4mX3CVJpJHdjdlbl3V6So 14oP916uH6lkYhImx3cogTKWEWlg0z7gE1gMDUI1pNe9vj4QexnOOSvEn5WZTOtyuSaBcqR8wYo6 m9NJ/0cljOCRg73RSSOXrWgesGsQeaKziKUexZjVN+wmTAAB1FBvfWDghc8ABdZdRbq+nftiNn3t 2MRz3IFvsPLKJBTkB1TzICA/uCIKmuvYcssMcezqe51lI21wa1pPueIkV/xqPFLj2UkyJucFIive 7FrVFU81ZXrHSuZsHywY1sGFx63U0n3IL2pK1Ro/l9JFRa8f3qIizgz3d6ZDDsK+ovE9S0yNMpMJ ipbgSXFL5/XM0ZKkpjj5L2MBCglNojG5+qN+klRTGel8Esmp3xmgNMuviVBiNx/YgYIaxfdbye06 TO6sV1ST4z116tnh+3JniTGVvh954rKX7tCMYxJ0lOEkn6jvwaKH5YhDsjM4dej527JipGrRvLdl 3/D73TnPe5eErs4aNrk1v3aOQ2qjH8KyVQqEwhZzkTqC8Yr8Kwxl7fuXZjzSq/8SivELcHf+/Ix6 j8Hun6+01JC7KfsyQ1BoftaEyULgmWVQqpFIRxPIvjpLXAMTvd4qkoAF7+XWCZg1ZGCGznkVn29C qWq1CHycElUvEaininu8arHUFGDbV9sDlx8/v3M2NNGCQ8lZneMMEAIMsHLcIwdvGAHe0TFcqwAq nEVedz3TJtbuGyztnELSUqsCOrBEaczcE+hpC7atESKCYw326mwkt1A437dcj+hd6PCd6PIdrqVW 98qF9o6x10i6YhH1q0g9bwcTwNl3wyN8Myx9B8/dBr1BGUN6L6DReEYlXq+h4AaN9NhojQS4nGO6 jqMshuCZe/tPb2ZVpObzIHS3RXgSkvkYLmIrZIDCSCnvcVDjcY3pT0sdiFOzQjst0yxN9mTgRX9A QF0JZxv0ocFtSN/1SsdYFknWWmcbeFz6egTHOQyaYNVa1f6IKWoSoWfRKk44JhXrnbjUgXySYlVd lnmRMlAv2+OPuO/zm6kuXBpd6EcdL5Ro0NWHYSUf2bwf2JDnY8ypKV81mEvizMyLKBrj6diS1MCD lu7br8+2I5DnUYzH0ED/c/9kgrBWBl06Byd4NArsDAxfo7H+cD/j/2rnZRlX1G+ayfSXEfPtqVgG oaqxsuHg0EfxH80S1mEYReXQc5GPHVILPULivJ6qxGTSuRq6LcTN1hHBg2rZUCDGPbncVIBCDz7P kWpxrtmiIKauLZ0WkUGjn2Td7ekW0tPMHfKQWakZqD1xmvQoSGbt3bJEbPiXJXiie0mnXr87ODt/ FjAfa4xlZoDhwsYmXxaDD9tB3lnvDmu4Vh3meVQ3DvODNEL8OBKMnBGvXUMq9+msAxzUdJw0Nxe/ YebAI4yOPTgR62zyro4meiQgqGnG5dY7SvryKpzlGxLdbaIIJjd+iFQLeC8QRN8SNQXbU1qoxQnw ZRdapxAJP+BFlJ81LKUBSIpJ5rF67QZaSEdyWD0OWJ6Umj0r8wm7NqHBPA8YzaTfSDSNCBJPW4HN Uk75cjsoWnTYnD2ZZOq4Pl/lwbgDaKnFIdEi4vS/1sieq/C+DE2uNBenf4PRo97BgYY8CbxFyE3T PgaSTr9P1X5u6f3CRvFLsL0BUoTxsOrdEatiGkMF3MfveznOQtK6z4BZ21t6ZAdlGW/YkjfuelG6 M9ynnJZlA24vkHavyvgBB0y1EIgQFtPnWg+Taljrdx/5G34CftNlwd+32inI7lJ4dpkcMsB8h2Pd h+j+VMKb2UUXtn3OVJcuFBU4KeZJ/IYJQFMFb2YPP6aUqH9bvAwSx14/R87rsue2OEFstlsWuROn MiMkXHl1kr+3cvlx6iwOeaKMwnJYTRCnn0he+EyMWubN/yBLpzMFG576YVpHNIJ3//uT8VNDZaZ1 lMZoXGKo9VUJD0JKWxWD+IRUN970O4yh3xfWrisu1imfaatyUlGc67ZykhRvbUrTULLUW6D/G4dr c4z4PsMqejAd0FqTFceB1CJCr32u+aQx1GfFlKZ6+ueoovghiQ1SC5rmGIZQJdV7U3X5zVRlI+x3 AdG7v9iiWz0bC5j03ysJSZ+uKvIPteSkSh4wwG8OWIDtIvsWTkyPRHA/wrZMmJguXrFAYlOPP2// 9zle5RkYGtlNkXFQ7If9xs7Yfz6Al6vobBUIosjzZ9unikdWv7oIXOCw17tsUSei1umhx9BW5adv Lf9AfJZzS3ZNEsVdlYAk1w3ARJP4aOSSSDByjS0lEAteV67S80UojfpxEfnn+xBqZ0/QRf5vtkBL ildtD8yCeWr6Z24KxY1sEmJub+VLuHguGlFJzR3/FJ2WzhgLNnElj2QW3bWyDBF4HRl1SlKNpeCb fdAnqn/PdLBuoF6jw30CuhvmmmoDbHR1onj8HbUXrcQkN0vR7TOId7fpRtj4cuw6cgpOHbCTt+Il dsKyA4E2ajKFxgNEZ7B5R7gMHvWdLn2m3k+fWHgdaHrCTdK/nROg84amKVGbj2CnnFVKn/xxqzaE MqFKJUBYFKfc/18Dj4aTH9ql4ld7lJA5sml6Kr5GB9u0XPY77XhnpP8Uo+2vauZkorXj1d9Hqy9c r7TwqhlagPf8hP90J/auo11CbH5qqT9bfBKVBEV1wQv/CokzNgrLMNT+Ctdyo6dAPFelrFNR6c/G j/hCB81VnLYhW7koyStf0J8gfczQT5+yPoPXJ6q75cJ/lzF2pRwePkkcfxJXabmcEdzILxAcjPFd BeKEYplUyqCGmIwBR8gkFDSa2sXpmp56D5MVZEK47RNWUoiDj1bP2NXmwCdOuNLzgYpNcacx4/lM z8ekTs3KpWFDkns3TSkez8WiOI4IuEqr9E7HBLqobJBVTSCBUdL5J1JqVXkwTjs1Mw3XXAsvZG7S 3eXLoj35QG3voqTh4j3S/iz1+yUbRFu8nTCFFRQkT8txwstLHcUw/1TY3mVfGft7GyzXNv9SnWuY EnghEchdZVHyQf7OuQhwNebyzNP2o1H0yz2N0VEZA5gHWYHE3bpIB9vQ3cldQI0x1Zs6l9AEy8HY gr2SgKAwLStYJA945UMAk3QLj/r/4dwtL+5FeAsf3S/AuU1WdaU/DkSrPyhXWLTQk7gqQ+8RSLHO 91Y/2layat+MLo8VU0yIp/0SCrazD8X3i85Bhim+gwyHQMQufc5T1H9ADiDYSXQnrX+uctLnwWxo I0BXkkZsLa6Caes+r822GzqlRUqsNP1Qey0j+wc7kdRhtG5ZjNi6Me2nwRYGk/FSzVyZeV8/H6c5 EvSxW5QbUC3vWuHFB5Uw8U0w3DGbwK7k9IdzZXzZ4OIn965ZbM+mGW54Py7lxZASeeofC2IvdPkN qfkZC3ehazLr+0mv7d0yxT4tGnjIhs0CkDiKwWvamX5sYzjOCmOi6qV+ANmLwgqrigmo/H41EUXs oqDyzl31H5k5HKM0pwqHWr8R2SdDch3m1nFQQBrBBJ/NxSWAAwvLOBocSqIhZvZO+boZmRdwP04c rhlGvcwbOXM/SXnWDLT4Q8BCLbTpTzxhNbdUFZqayPuTIE6ucxDEeryZyM00ce78ipQzmR82QVIA UWjvLY6QoikkKVKSLIIC3L2TW+RAwLiPCyTBWeytPppjolm3pubaPZYkqnCPnTiUhOW1H7XsCV82 B8BuKsKbn7Zuwr4+TgOAPXyRWNvkRz13PqYnnG3Uy2FVbco4bOuYnocnrr2Pi+lNu+dPBmdk+hcS d5vixyc87XowyJy+/qS+b2FOYI+ccfazBA1BPNPCVV8xnHeHJDEqvErnTUXH/DvJ5jJf9al9x5YZ 13bdneTdcT7nda9bhecTBUiM3+2ihxBqx5/+SgDlCCP/lYietUUJnW67uSHzRx4gUUQ7QofiS/dq MtruxFy8bJk5orI5xSRmcuyB4nCuBtemQJ8ox5m9kO5GUxdk6qlhXPSqBPgSWb3nDV0GnCURan1j JjkgEhZJaTfv9Fp0Pk3R2dr6o+mSeL2Gmxz7PHk9MXsPpSk3LjMQ55y6XVvlgLyM/2odBWg5Ua8L 38q+JwwIXdQ+ZejO3uOINJ6MBXSOjwV7VyRGu3Uel4FhgpHl138TTXOkaT4MBUsSPub3UT1PiMd1 DvplJJ9ej2/584ID/Ej+yrz4SZUZ0ek2MJ210NXfumjAYqXUbrGdtq7nBZpZXOoGI0CO/f/WE1Tz n6ro7arGHJkpIegYr+e2LvpXQsTAVx1mL/zl5BaukpmZuIr7ofRZ729Ly+ShDKw0zQ9DlH8NrPul Up0EiTQZph808ofI9n0VY6a9t+WGDfa2UZ4pGOW1gH0FARcWgEF6j1WXrTYMYRhhzKb7MqErk9Kp ng9dTibxyWao9RDkHl59cXxWr3KoVf6S/If3UZm5rqSJk4JC06IhK91ZZljp1Yh5yBGQWwm18Gm4 Xvqh4jQ0+ix+tJszDRyCWv8FoqsdQsojj8IMIkCaRiZCOHz/uDWqbLUzYkAvT5JufXMtv++gBk8K OjaSFdGMoXDzpvSrzJYzp+JKauwQg0ssWUDfAQuGjU2j+0VBzeo8oNvgAaCBJQV83SGaLKNIzjfO BIkh8V4+YH7C/T1opFiWgGWVudqIdD8CM9KLlJ3KcTV7iHtMlIY22n7tLLzm0vy9FnqQVDqCEbs6 xGs+KDidOtt3jkW1ciG54fpigFobV4Tcz9EtBWWlKgdVkZlG42jDVwoRldPwRUnz3UzsM7GICa4J ynjqwMvJlsisOaDEM28abSUlSwqqVDip0MB+RcvneB0Z+KW4IWd/7lpOlwoJpGUha1u70MKYOYQt d3U5+WHwbwDG8WCx2DYqt76s5532wFxcu/afO/TMDFvntWMtKm0U+hjsuWbVxh09DlYRfUrCxz3g h3Qk4KstveRSg3WWFRzYQdh1OC6A5bQzH+W18hnDmMQBvNSGPuxcvs4JnuCfdtUgtH8l3sBOjiU/ Grt5dx/Uc1voIY116XEsbDuT6/iu9JyxqYi1V8Di3jjKhsQ0kQQO/0GJOfvdviFdKHMkDIweLr/s WLiQBdiiQ4Ost5fCUFFbSXh6X4v4u3I5aGDf8k5NtvCJxOQY9QW1tq9jMHsXTqYCJUdZQknIsiv/ z1N+9dY+f9cSgukVFIhk8zrFXaQaSc8iNm9pDehZKihcnoPoSriJDtpsDQ1ls1viyyf9Dvqup9Jk 7jfr8AiRUpq0kVUMK8K+/pH27vnazIc49QOpZy2G06naToKFtACRIm02rSkR880/Rpi0bhP2o+q1 979t5WtGm+VWPduR0s4NS9I0P5hR0i0m3XPejVstFdCG/opAcdOvrAUwwpZWrdL6dm+UzDf/MM/0 R4ljxdRG52C8tWE/eFhpJAZn3LH/iHZoIuSg1jlt27cKCRGsYSICj56K8AaSAQs7oXi9O0ISh5UG NxyU0RqF3xNtB5FM2Hg5oA+yOwmne/nY/x5cWz9t2QhOybC7yEJUz6MCEQWwIF/dnIoM5T0/q9nJ Rrqe8SkhsiD+XYa0jGwTMA0uILwBO/KCyfIvF7jckRHO6jWRBUnFReXffMXE2JWpus6F0LRNrKK+ L/wQ7IEEuLOEEi5UEeW5DQm412q7P/yl2+MYLUBB3mnhRDGivMUgtsvYbd3d7PiKBb7SzSYZON93 8NaMKy8+PORttWxCcXsFu3jbNRj678OuRs/crpjl5i3dubdmtEwz0U2Bvt2WzCd8NUDRUX3HhZLU 2zrbICu+djP9W2K0u++Jsw473fZDZZ68JE+vDyC1im1QqcPEeeO30WrkQ988XXRkGnb6TzaS46Ra 7uLWAgmQ0OPADNfto3VyPykkC3u6A7qOm8Vjo5osFcpqWPDncT8VFT0ZR0k7H/cvP2+fKWxRK7Cw Yp1ldHPVVc3lUoza9Pb+d6Ysy47etlcKepRMr+Jjqiy37nEuVXdOftpWieWJGRG1o9RFod7Ve0kX teElMfIC/o67C/CFDKoO1EwUOGUSAZjS8hOw/r0xI75Up8ffFYXtPNAaNaoh01v9sLkehgM+U8Oy 0MHqxfNAcEZ0JH2VBEyFxnFZRp915bSF9Z/LwyNLqErnRHMPC9H6TntzeNsNPOkDb7z2qmuk/HKN oRUXOSCB33ZmI+H2JVVSamv4xZTXGZJhGcH4cArjeSEoi2ddH6j8eDzE2AybHeTia6LueFnktIRF g3URSKvJmA2Pt2iXlBisEGZyWEga4MJp+xzqc0ZkMOZE2HlfNB6UGNRKUSeWC1CepGA3YsYU4ARB lgpw8MtnYXP2Xcw64d0/Pc5aJQjxxG3/Q9694UQ+ZOeV4lx4avcVqJ/K+uslSvMMN9EDq3VtF9kf FuriwY6opyTxBs88Zkdp+iC37zBoseFXuPgUl2h201Rsoa1j/T0F2gwUrF2ufIFO23rT/4TdGh7L 1tcPDpxKzqB84tMoHctWkkapb9wpF+6UH63s1GF5MTqOYZb/NZItTsdUOmZJcxf2l4vJmwr1xyVv dZaOa3iGwV+kz3Q7YNLHF5St4kuD7KYzTupmQILkuTX2LkcB2+3gktnMNDB9AnIEqQ4LHnglLZKc /hUr4j++SqgiFmf0EVHG2/I2Pp5H/4cwCaW1nlj5GN5eU9FPlO0FJpOTZmt9dcC8bvaUJ2jLhV0N Yt195GYm8Kurytr+hsGL+YeGxzsNowYfZy44CQJKXRofgtPnw5OEYTORZV2/1vjL+hEFUDkeTyRV 9oVa4kqNV3+vQUwVP7Y3TkM9r9CVsNtbToPVWPEZgnq/C0++j1sW5QAtZAQ0WBD+nAfu9eaIxLnm /ANKUbR57vtc824wn/wOBjZ+7ORCLjLIQFS1GPsMjyEWwwRJOavW67ENlZzZdii1Oc6jiJnbqchB mN2KBLe9pCix54urd3tGVK973A15FWzBi5Pdo+y1C4ewBUrt7/FWXNwRElnSbhAsaeFxo14eVthz ftZLhla+Lxh/2YNR7O+474OU8oGQmLxM+l6a0GCJaeqJLS9/NwfMZpwvfZGM/7ClgSKm9jQQyTMP K/r9hGbLYr/cU69eiO2/997RAuukt4wUW2Wppmti+/SSuMVgcemUbLY7IRpLllJdZdwPWwmeXW8N /qVGBAJYwZWIH4QvDoXX1b5alfCL69hUt5XS7y10vxg/PjRd+sk+bI5xriYp6P7VjnGrleREZqcR I7oGRqmFv/mUMuwNQ++pBdbub4m4RUvbx/3lO9gNx88P/uSi+Tkx8FD0nEv4/pn88fPQcbltUSGR Azdb1UBpaEEkKjznFWOxRJx3J6cMcK5hgYKDgpGqcAfCAcH9CsN4GlfWaICmWquKIOVlYp6spzjP gneR1BuoCakNoFSFaHpp/0RBGmOZrhk+xTaJ0YWc2ERdXPGaxpFKRrg6sjSCNvIxn9C9AsqTkUGD QIedTWHCRABhjWmUSaxPsWFDwpdPdxozJNlc+GvO5aN9rXz9FX2qG407oNVe5Mn4pjUtd6UKmSob EBYbv484OyXAh4KoqOtZJPStNyuzHBr1RU7sqgiON5bOSGX7vVfaSOuxlRvkCb3yqze3YMViO18i qypGQ+mdJ8wiS+peCivhrS246ygPLOfirA2R7XBq/oBQnZFQPLPDRrUqQ6Q8qrHd5LkhJs/ppMqE jRyFtKjKK8sVjO02vcarX0AO3n0G7ML4Bx4bCbfr2ffhr/TBsQXWeDj2EHq0wRX5omlhXc2FQg5/ zHBmfXIvVxGLZvhZi6FXuO0yZILaaGjtcymvSL4Y5jkHDeBiNl4X7nAHrigeVQgkgibMG9l4hBfk eTGwHPs1kW0VRMAavYdP34bZwawtC6eDLbYqoGgCVBHz/6vcSwlkK1OJhFyHNBaJeFwsFm+Pk6SE 1Z7uJ1xRSI1kgMCOefCazJSPEhcE0uh3I/XmC/rYlRA/tW0WEAkfDO1Jzt8sCLymFFGDMPdRCuAL 4oPI2Hje/bKdK4INw8n70Ny0BPKe1WSgJc6J6YhfGj5KNx+x496E9V8AGVsg0Gss7VxZcp+eXprA gDnkw6S6HuPM/IvmCVYIY4DrdIsAWY3/2r2ySNNOimHah+10kO7ng/E4t3X2ktBpV8o9f2+PDpzE DqgXVSbnCIhYTg94bp3cPNWhKdV7e30E9198mc9l2nQpxkApizfd5KXkY1eULVdkvrwdiZxtcG/3 MQUNp8RMQseT5O/b7/kFf2kZkmqirBoo54Efoi4d2dGNBOFSssuvn3CEvdnpwzNgdaHe8HkXZK/R cdNKh3ijSqBRRTNZMeyiLH0UIgCQ78Y+BKwIZkhjflglSSRcTLjWrwFhHGYOPCPcUWX15lX/RoMV 2yP+9sXZYetNuZUja8RlQf6ISkmFsIU5uhTC9QfsFUAlkTPXVtAhJ7WbTf9kU7dCNF3RcbjiZ7Ab F2eIcg+0hsy37n+A/MlUKt6d/1KfsRXnR9C+d3uVoR9IjZYaLeGi7auugPKPJELdfd0XZFWP9ngr f582dMb7PJri7y2lTvdit4r4hmWrb5AfSXiLZ9LgTPZGNRDRvGHTBZ+IA1bLS1qw0HynLPei7Xmh wnA0G/MIATNZ9Zj942drpWUAeeKx+BfnUIUKyaNs8Fm+Bli/NO2Mk/EA+9VHXVHIiEMK40nW/guW wF5K2EDy4uawVqGy7VJ4GDeYDy8/i+C7e3h4Oa50cmRosPGvRU4QGjakJmfTSlOXvxMOndwG/hNS zo8hWPTzk5gnsqzCXjzZqVniAQH6Puxz/pK/1x9+JM4M4EbiVzaZFD+fnDqz6jTpB/d9z7PiE3OP 5C6+JAZgR36PXP2E2OHQX1E8grzY5E3Ljlq9GoNF0/VezkhaTE4Jgd2mO+Vuxk5ah1mdEQO+0PjE ggr/9lsegntjE6nTQWm43VDU3mOxo9+Sluoqnqk9AtI/4ElZJH5Ah5Gi3C14H4Y4J/LgkmPPMU8N FA17uQU9cQhp4zTpNTOORcREhYK+IdvkXaZTuJcxKEPk7r1N6ReOoTbLE26wt34TTJzcwEnfBxuH tZA+mLJirIilYvkdgUcgaTsb3cwjwXHPqnDixAV56dtoPjaXGtL5JZTx1cpug5fQAX78ZcC2P94L bNoHWJ4Impj4d0Gfy8hHtEP7p/7nh1TZBdf3bAlt2HK9PIASWzuu5Q6b3ApJaWjT44lddu1SfTZO yCL8wC65v8v1f6PX4q9shpw8VcOKTFCrhVfCyvEkhR8XnOBqbKyELnowKVoU6CZp9zWsyJvqNK2t ZOlmSaNsm/cuAHq/Y2XhvCpxGbs8Ur98dkIENIyrDvgnbhlSGa0hkWPpmxvAF1s4/qrLsjFjkoHo SeoFD25hdy8YzvbvBd2mbfB5X2M55kW0neHAanlEKW1aL3k0IhjSzXIu4uHE6+aw4nf28qUu+PZ2 vTliwUYQJr4WXDC9VhaeRT26I3AOzZHaMBgJUTk+tMi6rrCbXTT1eIsJ9VAzvOfossuHHoZc9/TO gT3FeNzzP/x0SQ00qmaMVK7zLHgZuXfsuRwjt3hfmh/13Akg2gejWgASpz4L8CS1qRla+Ls7Rhaq 1QsIdvFTFJ5oPgbMZodKodzOekkggeH5yoPtarS8Xjn+bhAAAEZxFys6U6nYfEYBMnJlkjI6vfbl OrJ5aKiZ/ShBe9ENgv+q/64Q95B5S42VGjqNom4V4vxPNkL5asc0UCiyEd13c5Sthx05PufCHnKE CfAZ87IoB92UY/XOLHyJVOg/5oN/xYXTtxgjRCv0wVj7XjS0uJADL03Q20gNLyoWq1dmS4Gz7djW aP3O7/sr0oJCzB1/6aKVheD/ewF1QGPgoMOYKztsH1VkNxIHCldq1zr+GyV0cNncbVM6hluRQ6be EDA6ivmKpgkO7vFQyl7miusmi7l1nqxNV3BuE0wmyjHggFuLL/c4ZHlwTv+i9p2SVr8SR4ubcgm4 lxrHE0hFWVHwjsx1DNny+Jm2GzAlCG2Bje5b7D0UOMyjtMWg8bj+tQ3xD7elUSu0uGWD+q+ksYWi DDUABszyD4UDGxFn1UAxBe2SYYIIpo+zBk1KD7K6uRhX1gHCk4oaJNUaE84CsyBLnP02yXlc0roQ VsW1Ie4R1dMIE2Zon5CMheCHlk8Jaw60O1os7c5gHQZsaYc4O8dF33FlU+hXplBFZStTVRQb6q37 B2sjKtMj7NiTihz960D5kHsirKDx39zsTg0Ws8UjwcOFSVC+2rm8lLO4DHljHKWiqmRdU5G+p/dk JURSYpvsNUswZmRqKlgGtaUer8jITloVXmlFvqosHaQ7cFSwrROWETBAMRX3SWDTuRNf39QNEphV wQacXg8mxBHSpVS3JM4FKs9kZ4kvbVlbh0IFt2cI7B5QXUgv+LMBj29vSexHl6dbIsknchW6d6TC G7c9XlmzpwdTPTmV9JMUgpGDSWIiU4SzUuL72IGkeJ/2hhxb40JRa0Gb/ZZmQ/gb4vrePNlcVkCL jNFALKNEQs8ZxhA0MydWpvtXaTqUZLqNllp1VfST+4Eq2YXmFJYQuQdn5uAJlbgrITfzfs4JssGy WQ10m3lJqSsuVSuZKi36HHSYyl5g1ex1ksTEOf7KjMcYIF0zoQiQXy/gdx21VMHMuT7gFwKfLnOI rMQrTvbUgdTavFNnLSNwdISkxpBtPM5numCtROyY9/TY6IGgrCPnORYLTedyQbopjUdc1Y5JNs9V FZVnp2Zhrrd5XbiPmfLHmjxP01FutNOFZFfqZoi1WHlo4o1suM7/+kDeuTeFKwFQOo7eibR0eJSe haI7YYShrmdILRap5UpD5M9G4FzokJBtAQDX4SwE25ZZxyMuzpuQ2bnnkZ530Z08S4fiwwh3CGo5 8x7uiavQKpfG5xcETVRJ3x0WJTsdEAnfEekDL5543C46IaKE5VkBErz8T1Xih1onpCsC/Uz6U4Jz v7hU16DGyDra9u7W8kr1QSup9+Dtzo5RVsPm8IvfojTomdKSMDmP5WMSUQ0Rz0Nt3ByPaz5dCu8V ONzm3veaGdKDxVwQNaBmd3yW4x1RxmlddMxBSIusbO063/1Z4bE7rRxs3/fyAsi2fifgqVeUOkuV FcJX6GBB5Ix9F/A550FF9lHy2A3sJHU/pSyaBbm+fP8j1EdQ9At5FROFCvMxiI8uuyjEf+51KFgi XMLpXvyLOJiAGR/A8vLe7Ez2wPbNziDN/q2XPFqVOIiWuavew/Ka6OFzbT6sHEQB62MMfhY2bZSR EZc1JMzP/naihUOdM01xZ3bC29lpLQu2v/BoH9rtDm3rznHMGXKqhhwNz8ZJLn3m8tCfGe6kkIRZ yq0wQjBUmJe11bJvdlkRdSxYoj7CYlIztLxfn6+NaN2ybWIwAeQ/4fZNJhf0E8Kd4NQisxq9j+T4 w83/6LVHBf34f+qZXZ1JADJD6D0WIVrBZ7G2FzOqapJ4rrPWcWkmSNyjeiaQ70SZRmoWMzzBaY9E q4RIQvk1ZQY97048LMmWycVIGGVxPT16muvfql4yIqEW+R+XvWq2JkZnen2kvnT6x68sG3/fPDdx c41GSr+VrNkpgBPcVg9rn7zM2RfNnPBQl4fnT4V/0o20hhMtvRsAuzWcCpafpqCCEqUkMjPxN8e6 oUtOSLEXJL4R2fRJAZ0ez4MpMvbp5yjBgwL86GQyed5KCHMWJS5ddwS3gQYNJZXwztam2Ch8LlLT ItoZX5C3DmHvbTn7CP+g3r3ZckMAsrKSYsnrbqnnqspB/LDlJFbbdd81tClPUd/lGEeXRfSMGgos aNNtuQfzyAA/hbXwlKd1kx//HeJUYxPdvQhITT19fN+Z/3s1eSaq0gnrwvAJnnuTJuAYHFnUw2IX 6LsCWT1wiz6uf4QLiYaIHgJaQupvXLuE4p0OzNA+bKmNpu/F8cz1bZAQIydabrWG0kS0gJ0UvEo2 LkXskMasZDL6B2oi7UC8KqXi+oqQGVk9T5ol3Wuc+E/hNoo1vSAAIeSlR/+aSCiD3fF2KXJcVotR Kk0tS5j4SLUR4cMhpCIQVRs8WRsG9qtD0oXPCbaIUHD29dfl/rnRGGc+qT4NrEtlmcyAljn059cM HXm7wxi6MrbCMXtBhebECZMrfmw5PdGr/hmG5wcl9Q2ZgxnNB68I+bmXtVBrAOTWhN3nYgf8tRD1 GcK8NIwg6KKPUikAh4alB9yn1dotqYRknn2M+SbZtxrOqC35keGuWnM5WY4yLWufYQj0CsN5dlmZ rNLmAr0UcmB0ICBh6H2TQA5NTfheOsla5RxDPUVGDunXwCJzW803MMf9PTV3O7et7PMrY+3I3Crz J9mP+skyaBSD/zlYmUDmnO3nxVH5g2MO+Y/VDt4jU1abF4pP7qUT6E3mmPqLZU9e8jWTaVhTtp+t G/IkFynBAzS5VZy0PQTUOvC+/xFM9PVQzj1c1fPJyBrDv6tXGpxD7c66Wg/aJ7U4KaOMKuFy2qJ4 I4A7UhSjYVklxU327xAUsEsUjQLLO1dUpecRIzxPtKoBQrRHkeSXt8hbdSo7RuvEr3Q+KqZ48s4z Ukj613QBWQ4XyPZ5R+67+coRbas2mqOR8ojVtaVIiolffhzio9YdaQqfiCAW+W5ZIho3ARpfblUE WhuPSn1JlcSsWOySV61zHJ5GYJJcjnOBM9P8ncLrDI4/sEy7zodA/MKftVOXVHsYLQ8Djtiw7+J9 OFMumIGV4v5otzj95MHXaQZR7I8FalVkx9Alk5aSVQY5eomVlmgQ0XgLzmb5F89QYbwrhhmBwV24 Sq4EMxICYJ1d3GHM1nsfQXh2ap3C1pM4XtQ52X0z1TiMpJK2yQXmJyf2au3s5rEQI/VJpcq9YZE3 edvYmPkeHPD7cw+EM4EB5kCy3kldbWPfRq+4KE1XsO2mlWzRozhOOY3MFK197gTGtGT5D7UtGEhE t2q6JLjmnW+1ft2y+d5xrnusOXBkvkZYl4iOe/qQ1oyJG6GdomfnTv+UH8QGtX2+DoInms8UUPdc DPSw90QKkoXtW9t0iOLin3mWeL9K++P9jxZyYOIM7n+i9/4uhMzC1S9/EjQDvQtY1QxY7TbxhZMP j7KLDs3rY1nt7X61voetifJxnBG5r274yRL01/LnruEc8j+kMCbsCOwRctoHcm8RoD8CV/0Bl7wN Z0M7yuRDM5l5FlD4pzgvMzDUjysmP9Ej4vw4UgXN2rOurDTzyL2R6y4TZE4+0srfCNQOjH8a3c07 JpKbusVMbyfTtaa8Ya/sLEMNy82WHYJ4wuRIvVdX71TUhNFarkAFFQ5Hoa1oRPtRUn1HD+/+nFZ2 v6CNyY8BbXlwjdWZPIV6djz/C6QmYDzKZBrAB+SsCDnNKcNpj32N6YDStmucWeBuQ7R6I//fjW9q HmEjor2NZ9reiJdPmDDgypRNDgTKrpRbxKy6RF4q7v0nmeJEzZlWdaFkJoS3epXrYTGPxnYBtapD 4DxNcG1m6lchWWTMbRrFmjfZo4f1/9NZ3FSNvi7LcJpw2syGxKY2bHp82+1ETnnmjKeH1/N5rwHy DtWZXrlyNqk8pnwJ2sklM9Ac8d8sdAc3bzcCRBFN8CTxspS6z3yseOYSs6ptrKgj7F2SHn4zw8mV jktn2pVD4mFPsm2XOJXXyKgRBczgsC0n58EJDpsu61F4MSwz1rwWF1kZuqFPt6LmB5lGm9QzvLEK CqAOdizd1r0MCAz9cyE5y1maz2PhkQVo6n7vcLxx4ukEasFAMe/kA0q+lxnRepo/VZ3MNrFPDD6Y 7ZEqvTT1uY6AFVZ2WaXAHiRq6lqpTzOQL34PQlVS0qGumSce2D7F0aR0MwWbBKa9IWuuYqlJCrvt 0yiTqSLy6mdfC9WoaPsbdtfweAzvMgZoxs1R8RmMYBCbH/UX9UyK4NxB1F5AY3Snt/hfxpvASI+A 62/95b0qZ+iL63fwX2yqV8C1bAX57RPyBhvjMJZGo53hzDuzvmCVE/wKIqM+1DlszteoU2of0pbF UZQnCz+GLlk4d8Ynx28Q19wHWLNjuMUNnVLZ4+RJzYeVTMg2UNsAiq9/Cf6xYmTm45cDq8Onyqqx s/SQsQF5+ZKlQ8G2zeIC/iAQKPL9BWIheBay7p5aRRwb2YNoaSYcV/j+j2Mtib/hYvjWye/pWESf Va1oftPt/kfah8kUBcRc1pQIVwkO9OY2UCg/DYJwUnKsOnv3pNsvL33FirD3xB6POvcCmsm3gibB KOOq1T6AeYEhYPnPzMEA36ShdCBFbQZKgbNy8cx/9Qf+9VXYG+tuFVqBxu5k3gircDJ6VITDyx40 W0DF8YSbjPAsUZD+cYJy1IzKChDZm2Qt7Djpmw9VTLlgXazfCksfiUlCAW1lCsmm51tYM6VOaitC 4GeidC8EZPuvSoBofWsuudXJUY9AuIKM8BUX19lxTLpPvIcmC+nKKE+ZtBbQnVH2olVembaByot8 jK3Oh88OIBo3xzF83o61zMJId3olmuOEwoMCbWchEUPjdcfn5Jw+Xh+GaS3E+Y8/tkKZYsJKiMaM 4Ygxzlr1Aa3uY+U/pYHw+ftS1cCBBoeEmyxsFEkTQZ+3w+8uXS1UdZ6GKejqVFSBObvbhD1rUkw2 MPty2Rv3INjW/cB6MbCBzSvT5hNoHF4HZH9GEfINRDt0BD6FurNypd8Doj85g66knQRdfUJtnp9J fkiQPVtUg2p96n+HkGoQQzq7OsCjD1t2c97xV550JZV6QUFVk9wTbDkHbFEJB82LRaYUxVBXyiC+ gnuzAmdhMsGuYs3vHux9KH35jRThrjL3BN9wPIP123439DfLuOtOXXUvuaOnOKrECP1eghRLgTKw y6zjgL6zsUyy0WWB6BU5rUHA/IhBePVfh/HAxf7dCMI+H4OY8O1fu9hW2wc2zHbmEh6lvDSsqSkZ 2IfcV8lBFPnKBuK4+/bFzXN7UjcIkTSsLlR1d7biPEiQGs3WBI/uiu4H4UEn7iyPI5aOel7KCCGp zF0gaLWYAvR2WXmR2UlXNzZV/W6x5Ozrnz8jhyEYmpA6VsqUKFG+tKNNs75BQuWpvS9fF2FYpK3W gl3lJLeOUdY6q3rLrMUFBJidP2x85N5GbKy88sS0tSPGg+tS9UUKXvcYwV1DA5941ZKhOlE5WZ1g N+rwUL/ARPsxZtsKUQTz5y8d0tXRotR+kFjYNSGQ9dFEafO/bk9DVjnKEoAOvcXvMOVesgWT87Bb qHKww09gF2X4jfzYgS1qbyukYWFfWYQZjKW5ETO6tNtQgTDztJ6hZpSPcystZrgd5UZXqsLZMAKp CO85etEXJPoXG9zJIvn1kQWo1nLx2OWhssQd/6qRbOZy5lhq80+lYE35E0dGjbt1KTAxuRGK+3pR Rbi6/O7cRfYdzKbheYUSwbt1M9ATe4jqAjddCE9qYFnDb/VwKYsQQMJ5gJ6hiz4MdKJfyftDijHP E3dsqiNs2PuwgznZAVPbNB70nhslgyP7YB8IIOb5Lw/SS27dgyHs6mUB1wYWQAso8ocy+/n1OIFu ruTq1ncqV9IRrOS4ozLxuLKAgWW6ALHHP/ox3c9akxrvvOvgnr2TAKIU+UYKck2nvh/oiFdpFy8W Mhev19DZ1G+8gCTGoZHHVmMsgoyCELQN9NfRvA8mU0sV3ixAp0tY7gz4gXD6cZPMYKoeXYsOKL9+ sTdo88DrRbeb+CF2B0eVFPpPgfdPAz2gi04c3NOxjJQV0r+/sue1HGQ6BzzzKcmO34EPgBTGPuDP /H6H9NpkZLaa96i/FyQKaKDs5dgGBndY9lUYOAPXX5qzhQNyZW6pLYgzyKulYDVAJw4+H+VelXX/ DpUxQuMBHl/71xsmBNTuuMmgtQbBsIz4zpNlTZEwituFchPYgaUfnLnZwW7OYttKLNPZ4gtbKWyq DcUkdy9XWZ1viddp1Z+Rldoy86Fgbja12vLTPBHdiPg2rn94IKQOK/Hx/NTtMNnko2M8fPPzXb7E 8n1sNAGgpfP239dmHKrleJUu5LrLny8qhvmIdBENEaCuY8FBDoI2LYZuOiFarkwL1uHbGdPm5fYw bxnIiEguAEoZoE7O5w8oXg7D1jgA2PEe9/IiBHCJ9xImbTeYWCeZNOsHPGqaHu7DnuW1owWKENF1 Kk5AWBOUrPkV44nQure4E4Sd3HR0OSrxGaXvegNw5RyL4kVUq3zGvZE+3zr/UY6KfA13/hsqedP2 c8eTKqHuOqbuZ7VPhmuVChpf9/IxRjp82PMSmnMbTvYTQrj76JiW3P3KJ/vnSCkX3X1PVUeCv00P RNgisLWe3QzeVGAZ5uG/ETniOMot8uYc9iR9z/fKT30xdovsV08as2P4BhP7yyj40Z2jw+DKrpWg BoNP31WNgWsgB2AtsQ/7m7rvA77fk8RHBkim0l7cLlYoD/qtRGMLYBh45C2aQeqi2VWpRjAglf1h EdTgfc7thuBDtRRDzzEEzypYQq4bY15ELKTIv4F5VL9+yX5wYWxhOcuSwBeS51oiuwfm049I8smH NAc2j6TAnz8OX7KSAUEcc4fJ5bWeu1Te2R3bFvx9FKo3YwqE8rrFV+LcJxPNcm4tGstqLXPBuLoD bDZneqa+EUg0MfMIn94TGOssCT6VllKbyIOESXlcLTNFrP378EuQBEgCVh135FVOuT/RvIGf7gyU lXEnmBcQYIC/9V+RkksNGKSkPJ2k0JcXS8yULMFZVHeWVdbsAwcmNBkf7530WDH97wYYpIueiX8t neOgoz8yjdkJVZANf7MH6nE9n2rzoY2fHNJpPrLu1n4jd8q3/IMXHrP87caE3N0uKiNdRL7aCcrA AOhs09/5CfTMKTQg5g6tgUgCH7J47L9Jz2SVNhjIMxqwJWJlvocE77BD2py+al4CVd4zTflreN1+ zPVGuTQWcooaZemRhUC+5NeGk2GfcvIIaARTCGZdp9QQEk4hBHcbaGierGBS+AhMXBRB9JrK83yb cxi+QdVH7907oRCygp5lXyo3TuS8oYcRwX4K0SVCVVKPoAEENkw39N5YsxK9cqcNfZjQp1sAkVTv NatZgG5hH3kdNkaFAWN7zmG7i5+OjSltGgASRMyzEX6s8vPYKisYgSvHvjq8ikPMXGowAm25gtFR gzB9+TbUiJB1gZTqfly9QfFGNUOTAXhdGMGgiJdgIec5G/YMk6ysq1HgTLu3eBPpAzlQwqQGjiHe kst9Vzg+/7dfFqwAfRQFCmS7jSnkNrTDVEaDk26F4pCqtQjDgH3s33DwdvFLhN20WRyP/eee37wH jHj2U/eC0w47pIjuIPKqx/vx4jtQdMHRTRBb9ZQ7JHGqaaP82Kn1pXNpxL7ZxljjWlJuPITNu/34 Vbw4wFMpB1NirWq3V5mgJGaxy7KhNH2U8N7t2niy1TijVbReaUReWwVOMkBvOzVJLR4zJGiW8TeT SJCWur5cdh4k9XC0MdT98VuhsJuVkGOVabDEaU3B7JpfF9vwc+xOwULov5VF362FW2xQHTnhpnLD 1vpW5lcUgcW8J9I29xpDlVpZ6Xf0Fvl0SyP2/z71awH/qZ7ADaNRknumk5CDr6JeBI6uQZwwb6WJ rOHNEwi3ZimCCgkM6EHdN8mLW08an+BpE6ECSWS0W6T6fApbz4v8WpZyCtORMH18qjJl9iwzuB/3 2Pjj2+vUZKtOezkiJHuuyXvR12wcCaXjhtkILnct1Ppocpd/0DdWClrJVArXAN5yn7zCKwYTkZ7x Vg7T4kWWbz96B16HBva4wOW7TBTnEQjGLgE9fMYI2CyAME5p6idkrDvkIKDCF4e+Edc6h4Gz+3PA S/AUn3fp+xEEjnHFuj8Uu3EYc5OSK9CKnC/SB9gyFZLEffw3ahRjBA1qJwpJCLfEarl1QGdN5KEw 3W21b1+AZ4Y4WqWWOjrpY6BQVHD5TD2VZDwRX3w/nubZm4OqqOcQiLlhiK6ds4b5Rk53sPeM8sJx 5FAJor20D6v1M2056Qu8yaABHpCzK80XtnOgZMerRIHIIosIk81rAIOb+HlVxCthaIDsqhxaHWIb H4bSTFrArD4hTD9Gzqxxw1ejAVkwl3+vjefYwS3dWkGezUn9o4OcNcNjWG8A9o/zFEdCxc1ogLvy AGyG8/A4GmHD9nzETyperFcKEqPDEd9A1wUg18FELWvXiAoXsDiRubYMjRydhIDNvJ0WHIQELaJa FWs14hN3HpcmFtzXFjViGqKi2zVB5x4PZnNQkdrG+k12b5QqRABS8nWTpEzOQSk7fnwtcfj0XPAB tWN+IpTgw7zjDqVXpSbM2hayAuBmkja3ARjoGONIqrQ3S9bo/Eej24GYZkfO9LZWkPYW1tnSTooj kRJT2xIIAnoDUNe9ZRarWvFq8JDE1ZgWqdJhm2HjC6tEaVxTZtRSH1PdAhbvAMs7BftnDzA+wAo2 4lp4tTcuV7qjdXSWRqIOMB8U951opx0AiFaQWIb2Quy0YGuLnPG8BF/mRcQZ6AZn0J5SbylzIPuA AH73uC6Hx4U/xCCC1B1FtgbIhOdj58NOGhScD8ccJ/HqeRf2GOlZIgkl0LP8ZA3l8D8UEKa1zWLc /cwmm6NYuvGAx0cFu3GHCCqvKj/JMybCvObuVn1DEowBjBZDSWPa2OtWLZFYez1PbH60mRney0yr dQJW5Y6Q44H6Et3w5eUduoCUb+7NElcevCjg6jzqQNqm+6gzX3iqImQ6q0enwflQ4J9l6hlkgwNy wV9H4gK/7I8uu0VoxykcD7RMhBiCEUnuMgvP3MA1qB8foLBTS42vx+imd+bh/CNrs5E1z2PDE7t+ zI7DbSo0nNQxSIGEUjC78+J4nW53Igxz7/pUDgdqSHTroutfzWIPi/zXpEriLLrqYSPB2fmdvV9f QRPqFvAd498TykVjaktuKQI3pSUuy0p/Z1pnVr3+inAZWS7Bvqn8WqRhVgP6TXOYQ0Wlncy8iLV1 hHXk2Z/ctVSagFpw29nMSX5Tn2vOKfJ3wCAsEz3iCAEROMn5cwAHztvdOPHBm11CbTOHm9c+EqQO PLna3ODg9grCs0YwcS9m27ckzVq0U6yrdevXn12rWlAXrAxwjQAwAe18QngSkLmkljCRV1dJjc8J 0g5o54yEH6Q5UR+/47FBC8SQOspN9gFrYkJHhZztIDUMf2ieOnf/MgRDafWzP/AKVmec1MtUqU4b fbqZzMcUZY+SQf0fmiVyBvjOU+pczYgRd1mJvp1f5xs6+/ovdrwtvUpJwNIUX/HLn2H6WoORwSfd h7j6Pf7DTq7dhr6LI1Gqf1Np49h0YMn+Pdv08dapdlH6YWG7og5Am3jGQVOW7u6eb4A3RovY3eHk bRrmxNY3P/dv/7wGDtw0iNAfINIaKPRtDGp5djk4Ai/nNln4ulyEKZJ9Kk+ReoSOTdJ6PiiHZJUc /LmcKLiVXmG3x4H9x3dSSwNf1EqJtTZZGz+6hoDoP5jm3AV/BfNzjqX6+j3O/kh8Slt15UDCcT+2 CGqbzukj7MIoXbX0KnY6JG1a7v1oEYaYi1JEHV9gvbigzw1zxi7DMCLSeoKcK/gtp9OISDwd95E/ qqDShqkZmnqbXdgl5fdu4KZmHCqnqFY8cYjmpF0qADZ4rivHy6u+ya1JzXAbOzfLu0uGRTXmTOlm YSkCOOWRGZAuUQoDrCPpOYtiUMQzwLQvTUJ3TRbHf1P4xDnloWrsp4ItGwleADKSJCIF0sZWNb+h Era2Ifo0wJTz7B7zfKsZsCpXZCwKU528meoCqzNG6GLvdwzkeTAJLObIM9OSp0e7i1EdWB4rd0PP 6cMGDeVVC6CRuKTDcZ+ZAy/9x0BGabB0UL8KdTCqfHMEf9WN4msCMlrl7S/lth0oNKJcqofndoO1 8ce2fWT4PFAOsV1UcauxGy3uCVKDj1JSr6fs8d2N1xOA2r5BUA8CV2inC4MW1N4kn4UJNMs7hphV q/1ctcbDjIaF/MQDrey+pJjhSNmHu9wfPzScpwL2W1FPGCLoR2kwY/hSCEH/tWFpqxmxtm4sW3x4 vXdrju+dlBzeZwCq/Wlma/GxMq7/eC3hcG1IBwwwiOgKcRQC71eTHh8m/B6BFcrnEOm0kIscFFoW WpYIQUzGIYVJgy9D57cFsGpHt8jQBr+hpj7APS61hLlMYC4HRVPOytqaSEJ3NsAO+Scr074TM4v9 biDzu470U7gFlVPXxFi0x8rEUQthh8o32jN/gGQ2DydHch982dqwosTyqzEFtFDnTgxYDykstAJV +Z9zLwTXI77fUuxkm+tM7sODKV3woAdq5vqXKcYhRRdq6N4el43Z/Cmopstgcvz1ssm7rYytKDie 4dti4iKQ/C69vH+A0znO/Yq9wBuAvzwWkHLSeXXJQkcly3jqiBWU8P7x4Vz9FmVN6bPWjYe/2Jz4 Yaua5Jkath0n+Z8gEiK9GizsWU9YtzSdUhGWpQgiE2ctbV+PARLFIxCeMo521Uflx6bQcOom4Q1P 1KZReM6NYKX5FqzUe212GMFQ4WXMcPpvvTQ/m4i5Gq2d5Hn2RGUl7Ee+QP1AVptEWODJIIDlmNO4 /2MES+LASfavNipZZrw9F1TYRf3Xpom5FU1p2oikU75I4CDqj6dF80gnYO323cwhAcY58HD4flHu FTeH/Ov37vg7C4wQB4LEvdkfUHdFqL2S5Cx1fATaLuaFkUWl2hKG8hrflUt1hXxt9QSdzZP7+3Uh jWbgCltXiFcPGWmwm01Yx4OB7odREqs5+QyKVUd/S7Yc4WNKQL7ZFKdTwVuCa89R0eZTM9zZaflC CyVOyp1fU/wbGk5t2xKv11C89257bTsIXnm52AqGzDrB1IH/K5x9rSgTRjiHM4YaI25+XJyYMcM6 /LNcQFhSI1KgErWvLeoFPMcXwhv777Sarb19Xbf2vwcOCmVDN21czdLjMVc3i4VGPTrRCjLEESE6 vce47iYfnta6jSXD/Ijiln6RP22gJjdbK3VSRQNytAzoT9cgeGqAtXKgG1Qnb4FVHl695Pl3JvK7 KszbPpxQ2HPrJcdyvUg1aDzEsx/s5Sx09gFMRIUHC9Oml9VIIoADHYFxIMUTosJJ7AVgBOdeF71X 1HSNLzN5DuGfk/VG4tPRR+ILtgudlSWcgeCqK4R9700BHCWiuwlUi8AYkCIjgCAuTicZsY1rvZ7Q HNlIDjA/icj0dB5ypSBdG9kcm/L7xckQD0FPd9QcvD/n7mmJRVWOPUHprQAy42Lbia+VLfpxmPxC l1bBKPFPjyVWe8ZKfUPRldKTUJUjGmh9MZHl1KSd+UYiYCY7KXCmkDX+V5wFS73cGYwfSRh1C0eD UqfPwCZCShGqzbgWYje3XXK5z9Z/AUQy+0FLlyLEXpmFps1sx8ebelblSoi66bPu7t6gJG1x15DA JBmoY0vgfGdoaDakapmpFAyGKPdxFXlLySd285TQbglJnNtUhnH8y54HdwutZGqW4TrphT/019RS /AmkRhpMwffG8hTbW+PQZERyivcVAuFTNjM++uWD7XVxZd0unlI6oIpAayerdimL5ndC0fG/g5Zy UPnDPDxTwCYXbY3Z6TDYdUzlBMD9L0a2SHd4KaLZfcgVkv62DfgrPZt4B+Ouprx2fIPgeiaVBP+W HHXLVNiJi3O3ZlF6k89fyNkcPTwixiMnsArmpTuG9drztlttu9mxu3rof6GqLYWXeEb0SsxMWW+h 40RVxHQ5yUJHbRTE46BLvfic6fQlqoWeimVBjnmFatb1C9wZD+c+wsPlMISk216kxQqBe0TN3Xlb Khd5e8NjOjbbFAEHcQL1YsSSQI2roxZ6iGUXpn3YaTd4NWUngexI7Zh1M8rp//huOzARzErdVwpB w04Mhf5gc6lHCio3JXsTzoqmi+rnySgTVuypT3vU3YMi2Dgyhy+ZxCFrKwyrXtNBJDpbitEgK51A HQN0h8edw4Ghqe4lj0Y+jlIIF7EFmXLuxklSQLxtHJnSXRl2NgB8w0Id4u8jUCs2qiXdg+3pfwAa 9uoGwg1JHkNvrdEbAL2o7qj4x2DTNlAkQ/gs3lGOvs7CKP5Xy9zKxhF0JtpSVbEeWS/s9hGeEMh5 ntqz8yqABlDhBMAPzYCi7jwmZ52V63md5lLFUgGZ2bjlPPojRao5z7S6p/5W4p1zbUomu73fCPyh BCX1s7Xm4BUagd/sEWGZKMWU9+TXqdFAmSxRVGeuCRLDz9LQd8Ts1pmIG6RS+8iRVVCPC5lpV+5r FEiQplqDJR1sF/6FWwGToG3v7A9R2xL0RKI5j8lrvMJUfsfDjFSyxjscJgUXpoqx0XttqyW+Km3w sfQZihoQ8lj9iV7cM69okwMBg08z+nem2QzBFbozX+LfbOxUw4h4A97HDneXpGmLmhH+FCijOQkN d5TTINTHt1T/fl5XGOirtAvI+Zu31OMUBbfz3gO7z7pSJ09VyKLmZ778O7L9fUVEWU99eZx9Bytf nKNjw39iV32ia7LFpHLNAXb78YtkS8/5mpiZcVdncFeThcSMmWJFgkkmYKYZLl2jimyTwi5xCspI phQVpyTfZekm7hrZgjMJYq2nIjX1hfKrqZF/TZG2R3IkuQ5C8vo2P7hzlT+wbxrzA84/CkGzj4YD 0v/ubjxjSlzqKM6qVw2p672sFMb8Or946F/KqDc/lSmu58DTv0TZEgJMFWpaywSOcMH6tt7iPSg0 gmc4oe6BFOTwrt/91kyzslmZE63DaI/8m0uebZwqr0Dr7Vr2oWypIdxflPkz118Pf+A8pHzmNHu8 uldmEAPr4iYd7bGyYWsnRHsmvF1+iuzYFj5nIdfW9guBLbkWm15dY0WUFvOafuXhvxUtvUHN5B2B E3SQbDyWTv7n7AVVRLZPRzSbuueD8lNP3HrP3KrVCTycGPbwpdJJfq1jrXdyeEKxcAIQu/bMfk80 DMV1+Qen+gfI1OZGmepV8lTB8lYtY9LmLayorEoAVCLRxu62U7bqnCFwh01qAwwokGgb70cVYkXM hpUcGUJg4R7GfAZK3HrStH+wjRciZbzejZ0PXsOxHSpH/dzVykIIJt7afN0UxTil1RtqBlRJClJV kfXQyiM7yg/XacEkNTZhv+kd2grEJV6vc77Gf9FlzYvJGSC2geapgbAEulP7WuRQnlZ7JWttmo53 aTTLfHhwi8WL2MAFujTthKvpD0WqaTROoQxFv+hLDDgx7AvA0vyPt9Pddkco4NNIv3vYpTeHK88g UQazMfndAG8hwxGgdcdFd8l/hS9vuPb2DVP6TkIZvXfvANBgyC0Zxwcj1bgMEKZxZGopWJIrIJkh mYQUbgCKGZk8Tx/QJncRGsVTD1tAaj9aAiH9KO3Ul4J3IOl+y12Bh0Yv/Mvnflu9mCtdqAL8zoIp 5Gn18VhKeRmhGmYD9IP7R7jMXyJr84H8Wy562V40lXsdqZVBDbHl2hvHIiMke2RrHD2Zm3VxqJXy CIfq05HMUIVWaK+WiyK2MQAwRAkzvQzD6AM4fJXiNdZdpPP7UNfJPGT7hwBvmc0TkkQBTyeJetwX iH4xaXLrrmngNxjYpE0euZ2EVLsMaBQCOrezx7jnmBfK0XSkIuMY+PQ0qtcTq9z0YhzyqMy9rwDx lzLLKLT45AFO8Fpqygw39vSHux1/VzWh7CkePYdQ+HtOzuKUI0c6XEooj4bNxQBNbUxoEjzff8X4 CUY5ynD9Pvik6rhgly+xEmCKGR6XE5AoZTnijnORHJIMoLsX3tf62apWeL/JDPrvCChKf/fzjFq/ I4WNtAspVmE7lZnrpb9r8ixowSwd4w7Njs50YFEpYqFuVVv3VkRhgDCXHWd9eISMBdCAaKdAjaDc bn0nT+9XoYxtqELcIOdj9sQTvP7DBDQIXkyUyAqMHgK6vVFIeDhk4PA0nR+fR849lzRq9YCDtqUH FXw8GKmAaQAmnHKpSiflHKSA3iCjS2HoOfnUtjtiD2QKzAf/jxfogrKE4BrkBuHPcit3cXOyt3Ic WhdQ2wNSSGdp6Ok2b8E5gZghuV9E8/GY6I2te4W/5BgP623eG7CKl+3FEmdAtUN6dbyzxALDD0Sk FBJOD1BXiv50PpWDsJF83Q8Ghq/57UDSGnR+Jz7M8bWMeiizEvzSmDN0ghOCahh9zmPyRw/n1D8w oSD7EqfOtDATn1BBAYZhBY2Asv7SLUhPlNmNHEjyFs0s6QL0+ArznNbbX7TtYA4KOOvf25eTpqVg PC1nIR0oWOovUWPHoGyWcSaV6sj/2lBLlLLdpe1XrfxeFISduJeBTtDvIGZ5446RtNQcCHqD1UUy wPbBhWSoDnJotbrJLTEUSWSLYN6idsydRTr7bTIdEGVSg5zldmA7cnqQZM2w/2ZgpdC1imXAxHyW LLQhfLrF0XmpNixq4QSP9l+7skudmmuVaaiWlqvBH9ild2RLZciiasqsfFk+tdvDqADoUUzdNrxl 2Qkgz+pMwB1eHFOSwVMSk8VYlA2W8Wd8eBPxRRxvRmF7a1bmFaIsY8WVzQD5XpCsLoS7ogE7CVdL hz5NHkPUucMe7hprPspUpAEJKpizqctmmwwzpnaPFjQH0qnwO97JxGwb3ia7UAPGGaIHQRvtHTg0 /Len5HWV843ZDywsdSHEN3iu5TDGQt9mWsfoVI+4f0k76NGbbpmEsfmFvGSdgjS5hm2Fv0bkfcu6 F50NjZ+0QjZzl4YMmdhxSZwrk3lPzMych/aHxJouEKIrdMSxgvt1LAUUt3JjnhdRNUVcNuHBQrXS ZK1LsRrFeAvlcq+cKelTw1GhJ6883XNOvgaJymvPlE6RYx3E+b2ZOPl7AYe/LhcrpUPwoRR+YUiW lQJ/TjNLZTNZSkaLD7TKRUr1qx1fhc38+MHfal8FLH+TtR5OCZXy8OjnBBntKrAy4ENQ4LiPltaz 1oT36WRPumb9sroWF2RXhXww4DpyEx8vBGX+YEctB+HQpE+79hl7Wl/fOA+T+Strhl/KdOUG+48T GybmbehHIl3QqaEE/t2h5GWeWk0k0/RhrhvYwfglXeAuMUWnPSZwoogWJN6Oe3G+3aDLtGsr9Fkh nS1fZ2ew5vjr6XdqBL1Hnrnea82iEK/clVPbmwqIV4tgO3etEainMiu6/5CnNOY65dp5bwBXXbma qjzg7oUx3dREcC4VblY+NPdDa8emxiDJW4+1zNFfZMkchdby8jh6OlBsu+xkj5ZoDfIbpmCgsExs QEVRs4l8+j7cL0/tRGjTuli3SlQPuPggyTWemzC6p6+jueDT9GMzwnnKUUjJXwlMfRsAMEmVlkCi T/RudmpDERYccPWyiAlVGybKtQUSUrnWlfl7773rMxRN5gwZL4sVlSpyHaoHzwFfTWUm1Ky0vWgS 7Sk3eyts+JjbY1g2EjEUAMgreVmx3aB8m3zVAuSLM3lvZlp+I6rF+kXSD6Rq4O9s6JPtZ1soUz4C K8IY2A9f248RRlB7Dalk14zFO2GZ1UxoUWfsuoIXSncUh+HBISTJteKMWmDzyFhT0iVARI4yOp4I v74saqEsczWkVs+NGrB7Mb1C+93dQEI6Y8Qfzdtct76B1iXpPA650/zXNP6V4KK/8mrsq+HrVGNf hsO08E4L1qRY6R8kg37PXyBE65Zkk1bdujqvULwgyji7TWiqBAZBhZfZ4vYN5qpx37AIaiOr+duC C1uD7LihNS44H212xHb9R9uxtJ610iARkRVZKNKjhQtJlG8cMnpUgC0Rd5bMm8RafNGde4d4lUsM ZHFYRsEJA+mNtgpLoihi6r4tVn2HRzOi7IF/4QTvKtDpxMjU7bdxuH2WHIHxz/rN+4XZd3De5DiZ lVw3Qq1DSvkwsTMTgHdAp0bqS4mE9mdbAXHqytAtzgdJvyRI+f7ScAzQJf72qafFcBqc9xTrz2nL ELa8x3JMAG9sJnHNojOgNd3As8EqVA1EcrOz0+dYJM5clHp1jM0OOuJjt3bXj/BvJEHkzkE/uKO0 rjy/kYoXU3Aa4hnHHyf2yZSIl8HN83+yidy6vQkb8vKGZv7pFO9Fcf32nHPJL6GzxCviPmABqLSz vQx9zPPTCH8k50Rw6rpeKPNlyB9U0JWNFRkExlsrfkdIVFCEZu1Dkc0/Ho+sDoIF4gOHTACQk8Lp A47hb/iKRt5mUvaK0HMnMW5u4TKiL2GnEVcnY7npxQuKvcdAU/0SAVp8Z2X1f1HsDRNRc8DG5eDz y0oK6Fs7AA/EttIG+2wvWtvP6AoYFx7Fxnd1jLJBwPLLQd42r425wFywOl76UeW6o5N0lmOrMsjV JfPf5PzIFUkP1ykT0CyIA33astVSfaCsakz1p2xfYYOduomdw/c0+GqYweXtFoZmuVMllJ4HhyAf /qZcUcrNmnKynGTnDMJN9jKiErzYYVI+uveMcNNgOUBx+NWc21rOCRS7zhQ4LbPLAkeyqxHwKgZb LxEovw+uhyosYhecGNgEInS8vHQpFJMiEtYpeI3QLAp65UWPnJ5lT440oRKYqXgJ/bF0zeX0zk/N qIfPtkbtV9bRWbXD1ukdVZiP93J3OeALDwHespTV7BRpWEXqE4cO3ViMKTUcZSLtKEgJiTv0ayKP naBGG9La7DXiqBsM7gjs1+j9pJgjgBfMn0f0nU/VFgwkcPevgusfKj/RoJqrLuVs8EtQBAkeFFyh rWSTjeR2lxOEUEqsBWJY9wRBGsW9uIpg01XbKG+3AXfuW5xkulDUx7+uoYFbo2378qRFXK2DP9MT NTs29G7r4eN6zP8/CZ7Lv6vPYDvUGtixngG2/twmoSHt1YMcTIjKTUDdmRB+IzqjBF/AM4MnwSWU 63Qn6eUxjLE9mC/v2SQ9oEypmP+0gh+88+1iXG/LaRkot/yRVf50NpC5Oz4twh7Uhu10S5/ojseC JuxAHrhXTzPHuI9KJv/exA4c5pUdP9uH3Mb93gNEoKtC0i4rZSMtnICLprXIjxxm5Ct89ezKWihQ d8VL6z3oFHbKLxqabnRupN0ojkOK/P4W847Q5hyiYpBSpRYp3MRo+Eio/ntMrW1RKTpSlVIRymR+ NskxyZhf3EAO85zWBfqY7KasLmoupnb6oJlxSrfzT9rS3KqEkBNtFx2sapAx8Ni6kB72E0kDfeqP tZDYOcYGKB9DG2e3tpeaysGUKbLSRQyflEQuTDMOQ+orukozfwI1fxSo5cT1Ac5S4wC+ownf3iev NgXKcVoA6W30ITAwEOFoVyc+rspc7K9ckL7ftBpKKYxkzadFhz3cg90qbK9nOGs4pthgjlEk2xKS 5WIBKCFnQ2mJ3zdFqV2eO5Tv794irbynxThFP7zGDDpowNLbt7y8FT3KHPHkRzDRbiFrbnt3GWkA mQx22gQKNQIkoZpoHZeGm35BlVlS7i1MgKG0GbXwyl3JDi9EQQNQrPYuV6PIEz0drPBdf2yrCDxJ iZhSxv13783Z63burwqm7LHNMcNXBmvN7xRlzPKEvAZO6XEexhG3X2eamw2ZOtrm0IBASbZ9l7xI Blwwe7qyHmyKRjdrABjWBx1b1rTb3Gq3Tj7z9/HPw8GXm0mG/1SVDUu8FURJLLZC0EYjgvdH5ypx pIgH5i6yKgKRWq7iMT8Vz8nx8ruL54qldDz/smBEVlGbX6pZgq5VTkBd/qfl0PD/ZzfhprA2hYqJ s7+dkDyKCPnaE6hDJUfqSbmoEPWL8tq0JgNZwlyr/X9mSYhswQKWwGQD25uhXWZBCNnMyOJKDecm iy6m3onB3EjTeajhjzAIXI27J1Tpy/t0e8YkyrhucTqvktVTfZDt0PL3o0zxGeTtmbbu0OxX5zci n9HfNKtrMUbuHxd62c5TvSHLifhVF3NNJ3+ik9WHWdARsQ8/4aZJ8utJgprX5Dko1/6Ci3w68Gr0 ihoSaw+Cj6fC/7PgIA094EAYM4XYb26S1nilKuO5Zaj9JhUsBAkpLpmldfyAWUYIjbTT2bTY74iw YVQBpvRIYBCalm9CeQkDIGUKNqKuPPU87pQ7/Z8zvCXWhdHZzyh8QEmDBgS5mJ26a1o7zWXtDyrC 48EJpPtQqN4J0kVA2kWBF6C/8fgaJM9gQqtpXcKcWJjDVEl87W0midzjjoIG3W2URmFbPAGiW52+ 6D/6uPRhZ+kv6YqWbj6lZmYtlIQKpm+y6pBbAFtJrxCQXDeZHN9caG3Js35wwFQSRkCc+npZanQn o3QzWZJOvvnJTwP9TVnI70M/e9vXHzRi2/0M05Dmet4xPbAwxn1+QiUbMATrt/3qvoWjBxba/cv5 nevkDE3Zmlw85XMycaysVGbmgXTuGtGI46fAjEETiM1sbl/0+HaVXlKSNUffqvipDqIZj18hxMyx fWDkUHaDKfhj52kgSNgT+lOng83JA5HlcrwBYle89IO7k0WMTMGlxRVVb40diM+BRoWTvOEmRXl9 BH56jnq9VYMI94CinMILZ481XQugWMxsk961lrkk2ERs0N2/h+P2bTHLIOVv+mJe9iVNlZl+5pWa xl3bvGhbezTNCDF543FEpH8c4HoHO5imfbHyV4gyKIfraj+6A9/Kwgu+CNdA19aOeI+c1wVQztH8 vsR8z7tMtRGTHbX0eCx/QqfLsLnGZJqgysIYMVTcmahJjCznIk0iDRdT1RZqKLLdrkSv4mvDDUiU FblmkTvHO6dvIVXRTOTQy/0/cyp4szibWnL5guR8hOpizFUmG1eLQ9gnQ/LMzKJEBremL29+P/dU BanI4horlvA4YR+kXtjxsuIEyJPidhpVcqn+6sxBeRZUu9Ol0OK6RAWjCzmVCIqoLMW5BO/ut8TI rjdv6NHHQgOlhQIrW+YYnuzX4MBuQQQkel4zW76fhb4hh+Xvcwycg6CgM7N7qSCT0N7vXUwXocyw HRSiMqHHYIel7R538Gqft9yE2CxmM7CQAPKUZoVkO/ZUM+dSY9RyEUkaQ+Y7dMSOAqy3wmSAqWoU iRx8wjOAVBGPsF2YX0hKT2GAC+Pk31+Y8VvRmgw5a5br86l4SGomuH90r8nVpNlidcIb31dG0oj8 CSaSONgcTOYSjhpMcoGlg5SNagHZbp7NfujMx9j/ZD/1OKETbUlPQjgT6m3zVZWcmTfIBlTStK5S mOo2zOftUTzPT1PwZk7mi44IUBQeCd8m0mYM3UWW27CiWpcJIPoykzi4Dm0AxYatygdQYiBWiGBO Fm7ae8YURWNO38cRPzFK5/zI/rMszPIbnREyvyNls7279zqcj03j+uNgH2CVvSC3BGVqrwf9otwt GdKqnGRIJyXFiQxEK9YXQ8Gx2I8sskk+f7KBZ0H/QFbErwX+dTnbILkmm9LOarvkmZVJ5UffCa7w nqpAaqM2ZTfLKR1vUtdvuy5uhX+UbP/jLYADUT2UVcByh/EaXN3QTYc3fMyXaTOCLJSfHmPupe29 Jl85poSCivENiXvcUxLe/bigUgbi4mz+i1CkyiAd+NBgCC1RgGvwpImkycwjILw0vMhzOuC6trjP As0BIFeZjFIQI6c9LF6l0ZMhMuLxBSnxrODbi+A1WuaCla7FoQVlA4YkG8IUPAKv6oSaQ4hr3xk8 6CQYHp73OigXOP0N0kh87EF5PYucZA/0fCTzT3luxvfk6dRG3WZe7w18Rl4SKsR7s6A5iym8/JSx QRQE4DZUoE9aB+u90oyPeYO5FvYc5675QIJir06AfuMNWWjJL1Niq4Mn9VZrjvotPpkjBpOah5Cs cDrLLEmbtGJsdJqmzgBeAXQvsE0TIAVFSD55BagGjmf2ARDWw2/Ddmq2OTdRJPBu9efY+deuo0po 7h/ZmrVLWi7TqG3a9dmTjUbMfjSz18t1Q0RvpRXs5ugrs4GA2WW85y+BMgUv0QIvT+i54BC/sE+Z vQY+Y7k9Km4PCQTDqt7Vcq9B5szbZ26mJQejBj6IA8015ImtPcj/nAJPWv99lRv6gh7tSEmmIkx6 8u9M0vPaZYGOnsBrdyI3qBlPDZuczWPrCFpIdo+i/PQMj3WCO4Jpx1TkwHbMFeokXTWTmyPtidEE 096Ew7jvxOJIdFasujBcYOb42mQCLlQCfnr3XI86juep1f2qqthTsTkfZcGZ2uCVsmMTWxrxKm4S lgviFaxs5z+sAticgcg8Ez8xD7JqApJMFxHOR9VkILU1DlUEsZ5462TlF1xY7TV6RjHzx1bndUXE vLFJ+4M8TDrLYb7h9vy90/jdQTzD498O8n559WLlsnnRq4zmB/ItHaSHwbyVsQxJ3w1jbHJJqWLP G/N6NGVUSFyIIVIO17EK4xlOvEjBOCOH1azDN9Mi3DOFKR0Mln+IfoFzE4QZDjZ1CvVJ9L5MHf+P ZB4jfQfcYumB3xMBdJ53NAIdQEGZ5EPy/ORcXDlp8fkesIjb2baS+rWpQoDcdFYr7KyM58DGbbBt L3msFbipvTiIT3eUbt1d1zIGBaZ/RHydmKWYmsh/WV6nDM0tFu4CJHcPwZz4HZQ8YiWd7w5QLQAG +PdJ0y6oO8Hrch87nBMHmIKlctSjvH3gu6KRSI3TiiC0X9F4DvgDMXPQEstjvL7dg8RBKJ2hptMG YSjo13NXdiuFo1aktV26srt+AKBuYFVbfs4W1v+9rEOl7E0yYTyNHyh5wePtsOnRBQ7gWwt74bDF SEShUD0Oz3WoQyCr6rRveUtzdQ+UGho8q6Ovxn1vo6r0O4Uqb37NmFWIbWR6bGJex13Doo0OAHoC hyf8CZSKpABHbQwLr1ZfX+tTgz/w6xOfy5vMHsbo/gL/Km9P7+RawDmtvaH0m5aOfZuANVJqLMpW rQa1wFawo3KHN6Vad+7D8TeIg/doCrkatkQogn1lnSGEG9x7OilIbVNNwxnMXbNS2mhEMsH52Pbi /xkRyfYn1DUnNYP8oygx56At+DM1xvgnzGlvVoq0oqybknVCXFX+MXSD7c7TwzhhrOdXMtVlI9vK XMWjGvNI/a4VZzTCDzLjp8vGxLQGv7BCkbbN8R3HGLnugXLf/Ws/ORr33ZQzV92V2dD2k9ys0yPD ihMlV0fevBdovem4RU0AsOHzuOtBollvbP3Vc0h/HjBdpb0p7m2y28PdNzBc2fFXZxU2OgCiMFSO I5MthMomEpWW1JIZKUeHVrzlldk40sROTCCIxyX7kb+bRFsAJPOKWeees8I9wg4H09cWBS1e7rra uzXogQsVxJdF+CgEqhRUzLI0WHjXf1Hf0y37NXpkL3p4NMYkxdDvCQdphXjTloDpRxpGlNc1jgmt dbxv42YJy8lmDhwGykh7RwIU4++zXo0Ggl4n/3jE2MxxvGzy71ClmtoqH60mo0zLEknWj+PrcJIW Dy70Ay53/HDxMKEEbb11j/u/uPs/4uv3wObpJW/Ddk1zPVmRgYUDkCqTmiUhx4783jYzjjOZ5Om6 LInQ5MWTWY0pBOce6nfJhKK+jhGJ7leNRwhIFZy0yE1icu4HAfst4+n1mCU1uQ7HkJCqOpluYcYc 7rhBj61q6DOiEaWfN3Gd8Gc2SmD9a3Zqh+OPvLlyYmNqBYN+ADqjcZ0kUxqz2jscnhciMT58a9np e5mjpgCZoxjhGdDQ2+WsB1b996dxEHLPxahw/wj/8Mj7yNxd6t+b3RKQaKuG7b51MQY8O1mbsWFY YCaOwt/oyWbqwLbN8xk9t3WUO8O1rHWzH0io19gkvt8+gqBxrkj6vjjzpyU5WuOWVnDl5XkchyVV J1UyfXp9NMM3e4wKHY9DHaMIrklnmaMY0mNMCHiF8+uSyD191kv8PeJ7RwzwWvQQwCWT4ggsB+LO Hcu+R4z13cp3co3EJpg8AtendsD49Oz2K891E2qlQF3xEDz/82QAZw1nUNQi+O1y6hj4VQFn3MQG f/1qKJFWjA9JTIXb732tW5T0Wqtw6ExLzudwwZqpx6MeR/HX7z6G6tjJaqZZ0jqVlFStAiWhn/9I hVFC+RPS+qU900b0DpW9WiiGkXA151RnmQuEdIpRngpexZokAX3q1rgALgii9P0BALZQmzWAi9UG 03uZnQM6qj3XStfWshrnNMvDQCAjdf9g3eSQ6WYHTiSuMrjB6EyuHsbD6dua6VVdQghxs7Y+H6F8 bjUeCDvzAE6ZRZyTdjaAKQpyYYQHySSvYJ2WwfjHbrgaly7X7e37OF+XgbvBgv3w1ch5hsRVyeG9 OZQ8fmNugyiw+jK+O1xJYksCNgMUmfylcSnWxXrPgbQbGSxDMwYNIyuuwoDdKXKOmK++tFTDxNkP sFlFdbc+glskiTOj46KPIsIY3mV4QMBR9PdKm5ub3iwsseVI9WdiQhzl7DVuxeN7xazeVSPccgo0 TIDo+llu8yzc47mX9KP8dyKNiTtlX+su/v9LUMjab1XPAvg0cEfhP452BwPvQltp1ejfpXPRI2B3 1Cbfc1AbTIoePeY17EMKbMaV2EISFhxitQbc1IFycmghvF2JhtMwTSJ1Vwud4NlaeiDYENmk10Mx rINoXmXq9Snzu+pV6LgmYyT3KSbat96zYAPAohTefQ9afMtTstO6YWrZET9ctRC4enIJRsMxyx7T katA155kAuzyas+Pgj/Ti020d0wgF8XoAxHri3TxmQjdSAdgXGKRzbTdcG7LP0h3LNuotEu26Ll0 cWkKZ+DEcVVY/RYPpanYyteQotN/ucbG6b9AC8vWfiRyXHaRIEdcw8bAoIOpcsde+wzWUfKeKcAj y94NNb1E5XCw9SycD6cKsiLz36VSfpcvbQvkTVJ98DnhAB+qje4dEZnHu9G8sQ/ouj7x7Sbw/hH8 j7ve1w4YvOCvFxHlnARHnAVEPsuL2h5lIzMSFGJE8DK8nXSOOEdZcYs4sSwpXMgnUAEyR0X00Ld4 UXkHPk+u+kAwdHTTAtqwrKvRCclT6nfiiS4TpBjmBbnJG9u2LhRHqzRl/WIHobLea0y9ClGtc8D/ VtWih2/huOOgSRF5v2P8X9rIi3dTFkrDEwjWM6Ao/xS1j5xvBleYBDRRJ3tColTZ0MutjdOz3xFv YviliFP8Kmi/9kadz5SJUPs7URJWvJE9sSOPT0nzAYfQPt3BpKfKF6RREn3MMQ8AribhPM+hAH5V /H889aCRaq4jvSgJUoVSmrhuXJXZT+rvMCRsbBu+vNvad4hlWRNHflUn47CF6Zkv0/UR/k8AqzIH 51XmPCkT7NG/3CObSWGphZG2wA1NMlbY8cQ4e6YQWKBx+ze3VYrMsU2LMJRevaJmKfc6xUCIUAiR /HLWwQN9p4aaStLhJYkfu2ju9BCZ4KrUSRFb2xlZkgXo5GRC1Pq13AylDqfM69CDQlVbWU6LmED8 XMTvZUBuqORUXQqOuaX4h57Ok+WVssIeKVJjt95XQMy1amm9UVkMqrQ0KLEPmsTOtLB/CQAJZge7 O+3bntBpVQgs37b76XE74O3yxlyvrZeijGB8asRzbiirCXOv3ZoGnt0lWn8BbEsA3pWzjNIDHR9c ZaAdAi+gGO/HA6O4u7TDKQd/lNJYkKjFMTq9StYW+bac/Sanf2OzYv8rdB7vuSo5IPJHmDXOd3Ki E3EaytATlYFw9IfxkzTjV5nhH2U8SDc/C0JnNOAiziwrfSpi+rwBiPNzScFz8sEXm+qJ4MluWY1S gjNxXb1/CRMmP9rfuBNcUgZHWHL/7BmXwmbc8mIPIm0YDOWUWSM+zPlUoV1lIdcjO7wVpmVmd9fi TJrdzMu6rbjPEr9/DZqm3xitiOnFTOBY5KsDcBTq/yjm6Lwj335BMq5VPpSYm5XUVG8idZYxP5/N 9Y6XGxBN9NXaOrp1bEQ4pz+o2t4dtRZJZd4TaE79z0w+zt0WM+1TD0sNvNoJTTHWMv3WUd5jeGp6 CkZyYCj7v1QDNl7qkDchon913BBds5wCy8MB4cUdpwKQizQ2HGJIhslgJ5ja7OvZutitGuvkGXFc bpVkbuPkv0JnFP46Y1lv7bLd5ybG5YbPtgDFOEOkLkSC667PVv4nzKPC9sxWTgpm+ngq1pzmk0yo G8WMBqsC/I+iQSSlB0KYfX4STXeEay2GNd+Ar8WkRj9+RZzj0XtwGAm6qJS/vwJwd0LUySSq3tHP eI3k4WEVHkAh6kZvV/CeGN+l3+UmuBL3v059v9pSMHtGplM1n45FWc4VIdKHbcseNaf7S/Ylhl1x PlCgYNoS063RX2BZlGPhXuUbfZohfmeKQhfThuCGTo0uSvnb9Kzte14XrkjfV88+594VIjyNhh/1 CYTSeoa++elosMf1L0UMBVeA75eGkzeQRjJvHNvgg4sAvy8uGUr7en579/WzCqqzdZ2/+XZvYRXf kysYTI8IU7nt18hrzFZXMtQmbJWj0uFg8PyJO0J6W1hX1PqMlP/oJwl/fz63B3viF1vnADZR42VT PC7pCIRYY/2VXyAk/CcMcidt4VnHzZaeIqfokURuZa77X9tE0RP6FHdssDdMK7uc2JCFRHxodso0 elEBbJoPAUVTq/7sEpKP9Ll57VB4eVTh77wegHhOElFHVnw0y1uhtAyueZtxwkqxRF6tvHz5LS5K soz+AjCkvpkRvDi0f6dS9J9qRmlPJB4pSlA8i/UqrpCXhhab9JGQm6VOj94JSSe1GFZr6Z3qWa7i sw5d5u5TwWb1p9VfRv74cBsPg8C7ny9Lzr8b0sxPS6AWjesEtaY/hxfyORV2qP9iQBePnYjaEh76 c5B6QtKK6m7HqAjH8M8rwd2poDPYLpldXw0LhN+8ofWRYzpXvay9QRZaWnzGqwdVr+LHXC9ZbHJL 5Tt6mil19IrnnUxnYDzxtXLDOhbtERCa0JbJURWpnN1sAMfqOfFw9Gs3nUCjoqRkS4aRihUnDx00 6nRiYDovhEmBClZIhp8p3J4zXL0DB5hxuII6c90fwvxMFraYI5IoZLae9llzwPn+mjQ/TO/CyMQJ xyLV/u3FZuELg7YhnQhFSBaYsnzeZo0lDDil3Jcb2fxlI2pyTw8YdelF61MhDQLY4GyHSS4SdIYc A0nUCoMhgxI1P8uX/tBk4GUGN5oRlK0OX6s2wIRmjfYyvakzCP6hgyZvtFdhDYhbnmiKloWxDzLZ 7wM2D4HYz9y/23LmWB5WRs+vpxOILhSqYjM6RTVSQDrScLlkx7ZXB0oKTE9r99uarx9lxiDYvnUM xiX3B/7EAqQQEMHWQ/u+sFOeGYz+hC8UboitAdcPMzI+4MELZYODHJGXZ5SiD1EVuh3AsuzImx/c oPDCoUJu2vtYmiWy3DIfT6N1xJ1miEfPqV7osfHpY5qq/0NXI7g1ZV3qHnM8qJtyYDqjlzVGrUAd a5+GGoFGFbrP3QC4JrFBD931Pb9Do30EgwfO7r8RpRYV0eoncoeGZbQLADtJFAxeVjVYTpI9KCyQ dzn9yNmt5yPhQojYALQao37xDWTXlS5zxKPt425erCUmG7Vr2TtQf5l6+EGBnVN5l0uroNPV8Nk3 bHVfq9RnJRIRM4kXRNmvs7mX9919CkoL9NFGFGzUkCPHcHV3ff4kIev78VXC56PeP1SiI9Mb+Y3i cWx2Tro7Zk9BEBWDZoFRK6bJQlKl2UNKRdwmuvcgkHhsVWooCf+NdoRDv/7dfi1uH0M86V8acWCN uHaiPz/VQbSvVSDxIg7yNtZVo+MK3bBryOHV7weQePGhQvMPNpIQkU0W7RaRxI0K3mXNqRdnXCS+ btL1UB3eKe18JHKhEJ5NE61AF+SNK3omjmUr5Hdp4cjNs9DkdAtpPE3rQO3unOjkyIF7ebzb63xK 2KCqzZx9W6uWOA6+ho/Jf5s21zB2DLr84pzsTg3d0ZKTECsCNF2nkvmjrVpKR6DwGTEnA0xLp683 mSeQYjfa6dSoYveaWEjy+MGaMsYZs+5THG86y9Pc0REp5JlIrbsvFiK54Drm3zY2fv4NyEoGyftd HdnHwW+wKEXmjSbh/fJsf8klWDCg68ZfrSe/BbfVijU6Ls2TLp6pGlSgpgFQ0oK8JoDtXg9NDN00 XfZjhdoCJ36gUwjBjQFcPrXHvANxRZTJVfItSvZI8HEav6+UJB/+h8PGa7UFZcJjUj/RBnR9XiYI hhnfdpaFOigyzi7ty74VTT2zTeX6gPAHK9wqFcPgVE8yWlkkfhjDlUTYkMvwtvzlGp2OmvuPYUC1 +9hfirlZJgG9uzeyK2Fu4jAQ0Y/s1SlUnGwLiKxbOLnQVZJ+SqZ9vUIK2B/YAbmVNYeYiv0RXxnE w8rKZUfEcQD1P//g+SB+Aab2KC/CqZBgyZFEmBSSCIlSPRNRj2t8VeQbjn9RlVyDOHYXOaMcxPpa pamrJMLVbO0imI7xxULCEpGOSypaZghLvie1VQNJ3jJgvjYWpDDDFjSQBSYet/hW5Oi+dMbJXDhV 7tzbv02PFqbCIvkEOZ0Sm2MmYvCRBsPr2MboJ/lqRZ12oO9ELK+F7EvgvbaZTqu5aUSs1Qe1Z+9P 99cOL06feOuwBu39LKdgebrpXJsvQ0X1N2Yr5oTureZ07Q8Z2cMoRhMB10hbDa+8ggwSUDIBBPmN Qq9goM1g/7aMNy4JeZHOEhkuC+s+9czF/OYeNupI0iT0ZuX9ILJ1rtC3LVyNLOU1wVuCkf6bmW3f mD8OgcMTn63b/d6HJ+gVEmPliCnQ7Ywcf+Rb6jq2d36v35T4C1VOB4uMBL2tHmxWzZn5OzGeXwJa D0A4E46Yir0WCGjB965uTck8uc/Qdss0kd1VQQWsTljnTYC0LhKjPYLCJWSaTvQGdWz9IpHCY5Uy T+LNuiGfZxFVZgECZJszbTv/BfwHweRvAmUNZliIQnmNalbomEbZeffJHA7SqgOG3IVPMlaxiihj eakaLiAXWotYWt8zDr+fXNaw7Bf72+ex6N39VtnSD1qKg9p5q+/gNEfUA2nmnNQE7qfFNORxYe4d 7XumBZJEk9U4lR8vjjzRBaPr7tQkwzUnip4m3tAB0Fcrzr7aY7NvdzWCcA37fWOD+e89qePqaPMD TSU1zLJ+lHHSjqym0sNVhPeJsimxUAv5natC6lZlPJ5t9s9Td5PR5NpfVcydbPNWNsovOd2pLJ03 g04wWKnsQfx0bEJAuNgs5TKFtnMdS6ppwvEKYnDhvl/VGD+6zOR56Hwvl6g1bfbRZhnkqA+fH4Uj eoTTZAapzPgkSJJmoGCFVgMu94kfwlJcoWdy7w2APisXaQbmAh2Fg61sf9bY5cwn8IAaQcRwbfKX uFzH+62AKtrLI78IfckgcUnxUwWAC++ZaDQE9JaOArhSQd9O63x4t2ykmjEWJ6S9hkzhq9vgZJMx k1TcfoxqP5z6LpTvelZVf8hVe+GDIyyAIg1cB1xbfwgx44eQ4HJ6YSmdXP2jUmm0v6ux/YjKw+rS HtEkzsx5Ww3Xn1Sg1QYYgMd6tHGTR3hwyxptPyzzJWfyaZWdO38d1eOCkcVx9Tw6j0AtnXVYWGyb TOh0WANhh4DiZNGzFvbRVn3ZMgkKD0JhysFnEgLlwfh/T8yDur2Xjlgrzu4OKibzoazqRT896rGI mi/DZHG/2mvmDYSkg8kVuft0orEx01RVbaLiPAS7MnB1lELco0da13KoxgFQ/OufQCCdAzEnjPw1 QtDq0wsMpdTmFvn5j5JU+XRsbzrGDMBZpbkjNcYuPAap3+sHIbArF2wmASsN2fI1cyCSLmYfdYwA INFXousxSEp7R9YoTnj8aiJv35DqcFpzEovpgzzh91QtCfOxKvYQfb1Wp2x5ZDWAQ09PG49nNJNI H8dKdHRUSoojCeODtI+wFMl6H8sOsHkt/zImyhvr/nSZJbnQIYYwAjXA1Ydi5KJtjWEuvYRuZIhC m6nyWOpqRTW3j5/P99KF8MYsj8YbhtjXLNUnNuIBB3IIn6ljcU8jaXP0/JSExS14XGVGtirOkzFL xMxBDSoAzOuaRaMO5KTjvhH/9CpuokdQ7NJ6Em0XLORyaDhEPHYrxOUUDMZsLEqxKfhUdBK+/CB0 sa5QNtGYaZEXQKWIaknSphoQFIlN5qxfBSCmOAu754LO3yYAHPrmtcpES8ypCjJ54cjM/tD2E8NK jqWR1+a1wcpHOabKl93VSTM4T20LBd5R2Ld8KHakb9E5MB6YdNBaV7komgE0ZKeoy64HkBGd+Mx9 f3A/guSY/jY5g4CiGhfAy5H5jOZxMg9xX0Be/0p4l2P73n0YSWya4tKtXm4K0esajHBVZM/poofe EQYTtEcyGto0zWEZTJRVD5acDSK8vKHlGGHn4IkZSSyYl6TyyW03an0pUZp8D0L8JZS5Yj/68iW1 VoArBDsq8hQOOlV99qPyPH8E6PupGa5s9l2z611bzQ4nOwPAerMAtalGi0zTRcDdKimU6p0l2DfX ok1TLuCSqM0rg2ZhGkssE5zJPoOyFnv6Mjcpeu7CjlYfepDbfof4BGxOPt7dUWaRWxLmMybjD7is hbIksAt/TFtCI9y5ZTNmVGCNaf7w8VoARY1DFdBPjdcudWIhjR6ZfmgcFum3Nf3wl5rP9+9Z1rRP VxfFm5aNUYvBEyLQUNMsmCrgvC2dYEcVLb5vbv5IfDD8ClYoRXZMPaBh4IcYgG/pa3AcQIihT8NG fIeW0LlhV161iS5w4h4s0W7LrpD60GLbKD8FJ+kjhKoUvoZHrYpsHY+/ha1FYgXgjueEhZE0frbv suOpRWL4VRBA8Ot3wUWEiwxfj1lX9sJ0EIoPWIROLk0g/b8qSgbHdmBs8zE8+a56/xHgMM1TtcXx T7H/APzh4ilMeJRvDpzd1laAa2Hwd4smqYZahZ8BcuXixYMnbiIeNYjNAnnMB6KD8NuFVp1AWWGo HW31H/1o1YpYoaS5GSSyE2MwBJhY75IurGJXbwJClVn1FbiVWxAN1X4CXJ/kRT8RJDjPAPkbbdb4 gNbFrinHQZQvtzdkFlhj6N2RS1SIw9W7XoJKH5fXN1LjYHMUOcokPP52zl6THZr9nEVSdTVQoss+ yl39OcInB7XFxyzNXKgRPEcnI3cJdnbumrC3M4UTRGnK99vDMvBVVgHESsddg9wazDzDBJS6JvZo +GTbpIE8YdsILI3X0TI+tu7g/I48pR1pfSNBnRx3mWzvF/YsfYJ6CKzCNXVymiOy2kDsePnCeBJc K2K/FqZMmVqPQ72R4kGdZ58xE/tVttBKswLwdybmj5yWXUvblMW2JXHRmG63MFPEtF23sTCVMGod ZYnVPpGZdg8RsZz6AeAK3oO3J0i13aWx24PC3G5I7xpmN6i7OL5S0eZ0qj0VbGYu660tGLQ5io73 Dh+RHdX2q+LUEG7YCpSl78YJCt550gW9CZfWF/dXAha0wFBMq0UpzmMzmyzzrDprkEUtRTKZ7S63 LbnXiQCddG2DBNiME+Ia05muMpSHFchz4Dbiz0xUgSPzcnk4/A3ZHPt4OCl00tGSGya1kKsBt08m B1Ebntmms8Aic594c84EXm6D7O4epXm6DYGOZcUuRqh/86kuID/sT5IHHnOosmzoJ0LQogHhg/oY 4K5+Z2lUsjN1kjpYktg5Dpcsq+8hcKe7SV0KZd96o9+NUA+hq6KuMdbvjxPjyFKvJqrErNj01rah SyrWXmP3Hib2RMEVuMURQlR7j5bk7tm29Aocl3dOFp8mc/8EzL91lfpzQeJSAco0+yzGqZuDMZ40 CFfRy8hm7hqdzW7J2+ekX2n09poz1Gzv0xSK/x7LiKTUuu5sSKTbllpqEqga49au/+RU/JfYgiBF SgG9OQhBpCHK1ZBrVvZquw2pfQhjd4DIeNeuWVmW85Cybs604dwFYu7SZRCBbxoDtEXruswMrPub KkjbuXc/VrKWKXg8yaS6Htk3fj8CWw6Sqfm2zZg3zx+6Loo8WTA4Sphte3z8/jqSBlTLInlaFT5q elqUtMwbhoT4/0rZnG1lC3xMP3csHQrBZDCqtHQ8atv5mIX44sT1jNxBYuQCk9D1qk48z0kLP9ed 3sl9DaelX2e1/HVPZegyCF8adHiDvKjc0GhLA+PNR3WRezHJQS7mIW1cXDSo/72j9tEJ9MWoytoo Q7U8LxFwyXEUSthcjyU1sUo/mAdC8UcMj3sbxvmtp9/sdP9oLGNBajBpabe4qk9xPlHQZnEFF+0c 8tbhKIbnRSUp/owuFSMnV7DRXhESzbB98ya/K+1OF7rHJXTCa008k1+VKzA25S8FH+njzbH8twwW FUPmzCtvwKjFGdLRdGiHw/v0mu3RqKJT1W0k7VZdqQ1COBBuv+G5tAGDOGU/F0xxTBoE5gxpYw9W adVnnjW5dfQhSKnlOaQvoS35jwjiYpX8R1CNsWhe+2iP8EeVAoVou6ajrX5diHubfMP1U+7u5/H7 O9FL0I8ix+iWY7k+LIaHmIzzNdfFQUo2yR7PmAYA7OL8hmpAFBVuHaGnxgUQldqzcPeL8FK/Je2Q W3l8YG+zo1npNRyqpMyJKYgjrvrULD044bMGB1KWANIN05XF36C3JWC79Byp22+dtg6sfwk8xeRV CRu4LxmVWybEPAB2CsN63BJV0Vqug4cDZJc8UHKJyaGxCTPQIDaHA9Yo2RAJ9/XcW+iY7K9CktPc sOtzDWUVcOL7P3fe+hdI8njQWDbTuhDbjaSiQC408vSY2Y7mUTYn8reLIl/7hg9kvsnjKnrcCAgo +oguHzMG2spxf9J/vPz+Xz8Ih6+XFQlApM7XmGRN/+fG2GfXgY2mFtW2Cc5o92euKGBK9EzhiR5l /quNnVEWyQH5SuccqqYLOa20ud38PVvy1Jp2gljKApePS+TilFpYsrfZifXS83M7N8t0KxYz8yov ocwK7hcI6Rn5u2+yTANkUiue5olhX1JdxVk0rrSCW5bhXY9LcDEVo/PZs0xaNB3kA2MTxrhEnFMC rt313je9AOSq9IoJqgt22bft43o68KfDMWdgoN8V+r57qwyLX9KAuPgJiGsrdXNrllSl4t4l2+UT tw0Z66/z6fMzRs4jG0Ulg/qH7t6IjpKgmTLs8AlW57St78/nr9Bgx3FV12eL4WKcOodRayBtjTWr /RNJsswxk/XCDJmURyaMeP01nf7aZ0OvCYSVUa/CDamdJ/TQ10M4QB191Ia4y1xKhFJP3Is3ajXE yuQ4IdJqioWI2Cihe28RbuChZg3aoNbDBjPLjndaCqdIzaOTjq3xUEVqo4qgZyyt7duWI+0iFxOh S24tNp2FSGfugcRSO+dbSoJO96mVgf3RlUpawrGnmu3mD/J1RLIEA/obkc8KzCSRZkSl+ASNdJby iyAFmGNXtgzfSovse1iKhShQMUfmLfRD1lEzplUn+3eykqERVB990kMu70ELOeoIaOAdmsq5p2vQ DqBM1HLZxBluj5E9zykbGq2IHW+cjmLlcD7VW6XmKQUIauWLxff+g4rBCYiHYxxXC8zg82sfTnXw EALP87HB131m5P2ja2zb6j4WI2ku69b5XbTJYjJw6S+l60fNrg7epX8Nku511KoCjG5e4cH+HO3w mUipnPmW18NNku+BRjXLJip61//toHiKQKp22Ksj/alpL9nuLB9tQ0r9cRfG2lv95yv5j506NKJp 8oYfZsrXfDBHE+TlYgS+JckooxV4d20VDHbNqrawtyWty82HwgE2+90cGIvONScqZxCFoIaPaoiJ hSyJY/ChubjLVq8ewZFwi9+3Alt9IMNwZZfZ03I/9rVmND0dib1Ft45ZcX/du2xyPqzLBYY5RWC4 racNS0Rb5gXGQR2kpJo0ynKd9ZfVwTriWWWldycSH2vDeGVgAC2+afIjoM5W07jRrUu32z+K0D1H tzcto9fSku+xre3GmGWfgQabCcB9nqhBic76rmyhrjdM3a8QtzxhGXcQWCvaZMxEtZKalzvnCnCQ MG9vJtEQ4ahmTX+lFjUGgB4vbVrGaG12E6AFX4JhUmM/fGdMUPx2OeUHctG8Q3l9sFq/TjCwb9SC iEeBo2cIubTy+7GC+gGKVdtDcXain8rCzorrSeD8Wg5W7FUyYmYoVNAJFS/cfFNrZECpW+2HIZKy 4Oo5BM7nhQBwnoVpORQrg/fIyibuxZ78VbzLRLdhYhw63MuYcu+iOiwKOcYQNoMT3pa0uyGclPDY PZDRYSwlVIiwlwTfVEsSHg0KIjsoGcc6MFoGCMrv/n0Ar0KSFMnRKA9qzfePJkrW8OeQrTjw7Q8V Z7AoSupEZt+WTS3Ttin3pbO0NUPLGm05JJhDeHpqgtABLd6NDAzEhjTv9GnIjlDSylbb8typRh8s WCMd3Nb3yEzbTrgGUGnX4aftPosRg7Kpovggu7qi8jCpYzZ4F0qylIclntBgsW+YWr+fWBo6g7lE ImLo1lFBOshSanbEIqhV/pkqD1R8kE2bleD2RoGIi1V6hH8qbqX/SVuJ7pWMz2PUB3x9bTS5938r 5uhkkYLnrleKUA8gSZ1VeqlyNH97B1Xn+yuFNK816QYyfsZmSeOPoWw5hqo8f1ePPgfZ40Za/XwC do0XWHorvAx7CPnZAKCDg/WjEhKvu2AqRm68V8rNKahcHtkH1XOw5reYhYEBdftJscEm5/0IK2WS piI1Eg0FUFwHExhKYDIibCWkByInzNKDeVmKtF0hdevqlNg4jEsI1Ql7m+GJRBio5U8z/90TzELD 1wg7+agNmkC3pV/CFvDjtlI3dBwln/U/lsGri1tnIKgjd6RZXhhF0mpq50UdDdk132cOO5L3CvJe Qpb0J/gR6VuayXE6TDPy0cL6GGVitL4Bp8DEr6J2BaOncI7lRFoJnuyd9RVUa29zRaRwsA1C8Nbb zPdmcfPyoPld1lyZMyVdV1Wjl4reAQ4IL+27svSqAGKUmmGK/silcxcmFlXnZiHNgPAmV7Lc8ZGp mA6cdjqUOPTRj5VMRp9X+ng6JTvDhEnJqgeRSAS9ky755asASSlJ68xYJlpna19Wl1hDLNvnObfK hWorGgxNkfITiv/xIvQlc3uJxurTBn3q7HrAoBvrYyurEfoiYoF4uOidbT0c3xL25Pg6J18FRd3P hcNpSTiNCCXAxT6zhOrmkkb16QQuE5TK6zO8WdVuIC8e0UswKci7sIZHvmDmZVJBNfbBkTwKyg3z XKSRJapQR1cKNhpq4LTVFbBhm4zrCV2Wyw7qWFUUMJqL8DOZ3SKzGEMKXBAbHla9tlaYEt0GPl4b prBt7ugkJxI4uuGM1UF4+Cg0we3wXeMGoG2PvyF76BUIBCm7v54g+fHgl/lY+wDJM1Tau2kCRkv1 0XAXtrytQqUcysFlbMsovuwa4k5P5OzWHiYYkJVKZeo6FeYVsTXo8H08xp4BCoM127OEa+exiRj8 hkZLENzOir9YZ3VtManv9+oGWRYHYnlA5pV0ZPRg0vAN0UAFDzsC7NpBuP9m3gXx09b0tUXi9OBE VfZPfra8lg8r3CM7Tl5ZXHVaYVCsbg0litRFKeQkClr5R+Qf4tdYxBIybhVJd0F1MtG2X4CsKmxT 9HW7/k3ZMskNKsQBE9AWuM/mB1abdvnWonEkvtbYb3+31x9i3EpWlWeMrZTuTRPl6CZrHutMLUd1 2lLZxiD6r86YMTeXfy1SVgDqpNVgHw4DIPoM7AtxSZJafqXA5yu6avrtfIojH36Vllb7vIeN74kN j/gjvB7wVABwaYKx0HSIx1tdq92lVH4T+OcneGkg1bbSpV82k/kIcecSpK2uveOis6L8SGOY16mr lrivfqKgRqVM7UUoaIe7yoVvZJ5fIYCAesr7Hh375+bfRpQFJGsj6PLu6+vR6Fl+r1lXGe3rao+r Yj56wjDdtukfvgFC6zh0cVPI9edV2LJxcrIociCPuIwCiA61siMObaPhyXiNDoGNBeEqEjqnrITX HUc79qQJ1T2HtmB/PmNZ14+RFGeYbv+RYJ1e1HWs8awZOqC7kn2cIlkDerDhvtc5n4i73PLGIWGz BImk0POyhwadwfdnBdyhePS1qxnSwqidcDnadh/XNA19KTpurqGk6AKcXux+CMber3+RBr/CwYz2 klNYFU6iDMXx8nI6dIfymaFiJ1vAdUKbkzKpQT8AobES16H6z4heseFvvzQv0OsOEBEv0q+s4TIe WhqjmnjxoViEl6YEl1lwIKQHpLGWb+YRMHUqAOSWkggWZD31mEv+6+ITtqRA1vTZNbtWm8WHq9en Ocuubx8blu7DDT0L0GVESP4qM9XnisRTB/zOs3nu4rsd8Zn3oc8KrnqmJQRbn47I0LQA5fhSmMjR Tf3twedbjmJ58CP9Fkee7AzegCG6lhDAzLwgXzZFwz6w+kK8ZmRbyofBgTykB3l7aV+cP14fiVak ir8aHaCi+Un4s19SRknmMRjjqZ4O1vRIdES+/9ebCJul+he0bjthz4Fi+nk6JvUBQJX0+cO6EN2E Mn5xeavGLjBGehpHB6jX321v7WTwb+LUuCFzkqTYvW/zqmE6ldmRdIKjENjBOI/jaTX6zt2NNaGa yjuvLNiKeZaTpLG2x3/vj9A+B1f5rbfWD8R59neDn3raOxF98TPBq6hcVZ5Aqrfqu4tPhltYyhLH 0eHOf9ZgRSbLUgyQtelBrxufRKAumXfa0ySoXqvy3u4u/wpRvksQgGEAYXShMyj3Fsq+ZpaaKaUa /M8RG80+ksl9UkgUjVQo13/B14xKUpgkj5WUN/qtRZe0wr+0vD0MmHX7LJjo1BWbAWAxqYp/y1JX aY8aE3wfXWAPZPqlzvuMi5hGSAg4yIlyxnZ3/xj6r8yyLZJUhHDEhRuxxYTmaYxpsLOt9BvYH7HM 376AMzNmDxOhu1sQstwq3pCeXWIC6pYaBjutOaA7Wj7sjI0Naois1epyj7mRQVjjLPbP2ZOD5t07 eUmbRfSkpABND7keTAPLFrvPv3+/89e5QmU/tRVYWIh6NGmTMS9DmbKG0EHbjcnmEBXZKBGhjQEi HkrmN5Ds7NQNMUl0fjMr2MhboWs3/bTL/NxAh0IN085Sbe2cV8jSVZ2HfF/MZkKQIWuJ5MGHfo8f oLsdSZJHL+DabrH30N6tIlz9DQOQzDCEjfCEMqiBjLizu+SanW0Pjysh6hmNrN94E0diDUxECi0m yyYGZY74jaPXU29pN6Re+wdmsJiFXSX7zi8UVrPAZIohhSGDqhvG12FChCgABYcD748tglR//Wjm UCIqA22JQapd8szvG7BPUC0lxAj1kLzFAtOaGPiaPvy+ar/lIatgsRk8N4QR+tyVfEbzcaP2o4Bk 30DH5P/aaQI37ECO08vdCBmhq9cdrmyU3yqaMqWNktRlCgGN+NnuG5O8JPDp4pn2MK9+fxYDINtB 70HRc/ocN7mCEyHCPbx+cZB8xnspzx0+z8Y8JfR25bhsqvlDS8olnyKkTAoYgVIG8MUKMMSmvANX oHVVDbT6gzb48xem5HPoDqnG0zaTqPkEUHNag8SYY6Ldn9tTzZghWHQSgvr7m5j8KbvutwMouOze ZAwsiJdsjAnkPG534nPb/zHR8ZTvxhxXqUsaAFG2OILdsO+PN+Bvg8TEjDqRnXbh1nndWxiSj6Ym WLqtebU3n+rvxVmEZMAxHvuVmT3xGWe/7HnwWic1oMvqK2sdfpquKbt/Y7ibvKTxsub5sjkzc9Qf 92NRPIbHdj6hsZ8m33dbkQuXXWH95IXtoRNIF//aPryyBlU5rPJbQyI2ZHOlZsDhzrqKq0uR9fSJ 2cgxlGAEY2F5vqolhN6ADoDz4otrfzLF1KOtFob4YLFi2aSdKwZ4+SpszGJnJkuJaKJD4jrs1af+ RJm5qwIs+ShSSdJGs2d+XhYxQ6mEmSwYOdbVG5erESeLhEPTEQpN5EFhEsaEwsX/kTS+29E650RQ Is3UzV1XpIjFJEPr2u8sFl/uAc1AlVMLJXTVxlYzd8EhxxQ6KPG5HB+gq/3TlMGKwXkwk78KVmQw b78TW3WbJ61hEVBVRtIL+q2ma8Rk5Ki+KIJ/sXrGXlAPEWjqXMgGo+PriHiJJREQgCCuzDxtDDAI s5PE8ci7LCP4+UdwQEKcuKWFLeUX5tlSh/0zbjeaxFySw3inFIohJeNN1iL1U9w17i3By1HrK8o6 PAUDf5ws+JfMMohKT6i0rqPPNeen028xzBYVAcmBIEXbKtis7SOm5GRrNQOCPbxsxdcJNpcMmPs+ TLDasMxMWa3VOWUbetPjnTQ4R3yYZk/oT1HRm1Gy+dd8dI0Uaj17RGMk/O60mawwY2N/kEqgCKfg TFy0ps2MN98m5B+WbqcEne4SltvKWL/kM/8DL2K2I831KG2XJqqjqIRLg6FUwZA62Y33N/pZEZnL eoeJjyLR5UcGNJ1ZLey9rkzg0PjpyazrV0Dsqbcbxap4j/ZZK+Eq0mcuWJuOaxa5AflE6gWw2TcJ lyvTsIJzsEAWHj45eu7o0eviVTofz7rnbaCx7wqWAP8KceXOyA8P3wuKwiKM4vOnZ4NhpUmgktBR cr829oQ9uctOpwnUQB4M7ykLsunjxdIlh2K3T7rdZG9KlIHVbS092CM9FA2pl+nIKLqCEDMvxptp RSgJPe3DK7fsTcJUNGpoYeUDHPGIxeWxaV+1vtFfZrXCgdhcXgBt/vg/1i05ZAXcd9jjtG0rIRcz l9bScF0IK0uI+bcUhSW8jqegeGjKux6nes7IzlE3Q0hJfIguGJXwmyGtAeHEpTQNbj2v8RWvN3me K3lv6qbjRhtqBdHS9ldtjcZr5KJfc61fWeRPLPt2s/cQ1G6w/liV0fufyLQ0yrK+XhWkQNjCAQ7l L5u5wDyN3delqzv2APxJqg/ZwHXVfyCnJBVjJpS97xH2GpNImurKee3AaHfJ3tqqMJAqFsMOvQ0U 9nYi/qDhAAgrzKgwoUJZun54vdgdXlhU5snwmmaJJXLAZBCdMbNK6gpKF+gbLgJpm5TFqyu5m3M2 mcAqbo0adEA4evgYAtR+dIGAh5D66KgcKa+lsTMYqiIymWHO308vYqnCNkSiq0VyjG01tGHEQM87 99vxeTiS+qslvRC7A7QQ1vCWASj24li0CzKMXOFv48seuJn0B9ZdSpDjG8dUkP/7HflaH2KZ87VU W9jgiL9xacVGNDUV1OGXQmv+6Ao5mfF2iP4KggKbvVPdZssLN9s4/kAU1Nucx7eJOsseJAKeIpCJ FqZrITP0kMQPOTbxXAwmeKZZQgcoMm4dx7fFOotvBni5OJPUrFq6eo5n/i+xaDqR5u5Gp4Kne1LW HaKv43y2gSrfPqBvp4+1arBCvpgOsDIP/IuL6KiRS08o2FcACGr5zk8svnlJ+mfxu8+/aGgoGSQj KwO6ATBVX+ovNg8ZDHLQLcBitDgavDpTFuhrjsUy3c6BCKlxLjEnt1Jjoere8p57YNahE66e+x5a IhXO2NK7jMDuuOpyXMOfRgzohKPmNGApoQ0C75m8j1PuMsXd3gNhfFwh5vWDEt58grovNxK03QIN Klsb1ra9H8RG7Ume5KQdd7O4murqBpQrq0Bt0Ob0o+Z4UDtEeEG8VTcdjZf7adFrfAv52tOpyhIu JZnw+DQqsKUry68H+qO4Sh1do6YoenhaKWJV7li0gLiIJxMaXzLF1t5JDgmgflJIkDc6HG20sTnU MjAuw3rbtTJI9U5tpNOXDtuBu7Tlea991FPk6Z76nUR1QHpg8yKxIK2ak+VhavNzFq4cPpMuEh2Z 3IIWqheuEIBrQJTifaDgSPTYzOWbY8Pjxn07rpV+8boNqwtwSXVneCHjVpubaXyuwFGIjn750R59 O1zgUxmT6vQe6yIgyWCZ2SiTKNo2jJ0z9NzxbSpNnIJ3XY1ROa7dEvil+rIRyEN/OTWbKpDdP3cp gyeWVAkZ41lRlj7lE9bZAICCI5orBWkyop2thS2ABK6LCevWdSDQSbyPcleYUYPVo3Xbe+htEoV+ MRJPNkrlYhgxqoRbHxiRplwXqBK54Nom0TTG6KjU0HCATCazL5uuhhFfM74Armny5dAVum5wXhEl agXerhMP/k4qEA1qVgN4WX9qwhYs69vgykvQ9zMfeZhhoU2cl4lFndaH4yLVuqji63e9UxkMzKsB anLsiVFXWraIC/4LGUtB7rnJ6B0QgoAI29cnFM6A7RQdkmlV2tAJLMGkHfyOXJdX/VcdGSMvjWov b86AzL4IvysV6sInoE5ai6ei2IZGeVEw3gpGLN1YNYaF2lduIslTYVS/cyRJUB3tTCx2dw9Tl3P2 irNL+Yc939tzCQMkyTssJ9y+EY+GMYy3p0Ehtg33ZXj7PWjOfoS3Zjdbqhe8F/LhJ+aX/RBhmLjv XHC+ILqbEt7sYwh9l4b+DKwNvYkiVsY0r9w+I02HIvhPp7Y+APGtXN2Egmwy4auXaAiT180EPW1Z RSAhQJsZwK9cY0hguGvyEemjzWbn95BFNr7y8GozDwlChd0O7nm/J5cXvClGLjT+rN0KZtvIu5Zn jyN1xRyKqCqJntcV19lv4kJuMVGRWEwOi5QC7cfffsv3yAYDKNe7vFuJ9BmGTNY+0wyu3vok0PQc sma+BSxofFZrUfZDMSgGNTffcNkHYcUykeLFphKoLIUaOcaInaDtBq+3IXpQpCWTirDUfB0luPyK /lGVaRFtxyzPW7lX/v6j0HECQNUAO8QRi/8RMxLuBxXTFAi3ALSoRB+5lN4kOabpf6h9hagOnZP1 a4ksnSrftgphKrK00E5GQQSZJOAj6XGgjWUojkJiJSI0Ddn0kQC2B2T/JHify2HPTbasdddzZfXW 39CKTSdEr0fbxIQ/rt+kLySSWoYdYd77HWPxxEw8ai9Ywj3veoao9CHqvmHk6oOkFWZ3VfnhCbB4 DFu8DpXi162tliPIx0iS/J4tVlARU+r4lSgMmB3/XBG0kL4ggmwz0UcWJpBzg4BZgO+r0jhGzfwl BO9XR8zm7ZuxHG4CTjJ0l4sSJPlLwizASOS3GFQ5QxzpMqe2sHq04evxCQ5fPGOXi7BoCvvCtCQj q7T6+W/z3ihyOQrpe2V2KKqCfiNj6RIj5gu1OdU9uHSvFtc02BSzJaUAwwh5vx1/3B5LxAmajP4b V4ukC0eRxnvgG4QSXo17D6PMXaXWld6vknsfG7ErDMo0NJ4lCZ4EieG/Eifq3du//v7gZARzwgwJ /Ca1NYFIrmuFeTQ9CeyIVyajaKhmlgCstYweykxv1gohres1V7I4aTHsE0ibx/iCpOSIiIVMM6U9 3tHL93FIlTWBKiFX0nDY5QgEFDWqlML/gXjQbndHHt5Q67snhQmnV+8qEMLMh/B7yEsR/dOApsFk f07Z7vrAazdh35QkVly+JoSS7g7kHFPiDZ6hikTHhthm7N34BixLEdvHDk0MkCMvEI4VWAkcOTWt lGaO25hKc+dhsyLcDpyWXEiy75aAVgCztwTLUToTFnZpym4gghJVDbADvRtm22S4kDA12mDOTB44 CoUqFhWZeCXSkLFP1GxUlGtCf21532bGy8Mn+2yIoVimTs8tm5rhrJ6ctaH97TBsW7qbVWQ0k4RZ Pvwg0r2kps9oAcRxAj01m5NyVpwg4teaeZcOwlwzRveMQAecSmk1KNsg3rhLr6CQoszlzumjGA96 A9Fz1oWlFci1UHYGtt+I6RJa93uWx8YRsDcCLocZo1ujoyEGP0OOK8PR9gnAImEIiTYE6FS9gS2y HflIBk1XQQ3F3lAFWGN/uq+Z7wzCmZzeG+DiZQMdZXo//YYKEuoaR6jH4Q6yUk8M+kiN//23ltzk r9JyXaSZ/iQ3I+Sp5q8KDj1VYdpuL9IPOflOK40YXoMYWaN3DJE8sGOXuEEFktS0cWaPs96RJOCJ qPpGHVl6iy0CqFfd1bR8KUcBi3mzTjAuoRZvujDJ0s0HUq6Psd0yykTLaTYx9pr+xycAayY7ii+n ucKmU3uIaFw4fTkx0GdurpIEzxNcLjsVNutNysfHwMNGsATB7G8gbCMMDCfXDm7UIwyK7FrWqgKi QfufDIOVBReaOjRqsBPB83ltENtlRspV5XPmDcu8SxHQcP924M3o3oVG1ku8tN9/yr/KwWFAGUia jY7bJIFaVraKUfW/GgoxqaT7c96TUxyIihHqzls+Ek2BvtX3GJtLIeAOIrCh7MflTykHW2s4hUKp M97ctHDV3m4Zc+VxkrKuXD68Kljj9GPwgmigcXEbZC8JSsNHNICVNdHF5DznzfH/01cGSMjRxYxP JzqvHWA+7Pt7VONmZXhSzxVBbOS+iWuoDUEU1FUlc2p9NgJoQIF7sEtUf0C1Mcq9I/v80a9WWwc5 jhqGQJrrioGrdjm7ETsXofLqVzuIBmF/6I8oxWyvNw3vIlZdU96V8ptyo19evu3/H5xuHwd12Wz2 1wqyPNz8De/7GKGjgHcAWW4UtQBURZ2+cvfgzwJlwwc2YTJqxlDP3n9y2XbO/THRdwtdHlphhEqE 7U1CTRMvNeTMVzE4I9nTtYEkJg7cIM+sXLG/YSr/O2Gmsg0zbs+munUG53DORNEY7zbD/6+JXVe8 gHC6lwhPviLbx+BYdJgEBxQvczkzd2xj5I58eafcbGJjno00QStWnFrnEnouhd1TB3/BVJwdv3hy /i2J3UklxPL5QMf++GvAE79IS+mLDTdeY4vWt0tUAx6JMcgaiK/MIacHUXmACwb2k+0avVDOOLpQ BEGhwIM6dheMrSMghUtcl223m5KVj6fCLo5dOH7+FMDzDf5TEkJO7BWerLiWeF2/ghhu6bp8gRG5 omZ3CQ5lMZE88IdudvHLalT1QN4WaJHxmnsGtJb5/DkdhdpEoGoWwfHBJyX0BSCUKAB2howUSndA 3k+gQLPCm+HoMbgjCw44bBAsey8U4a5uHTh736fjebDstLdDC7WW7RjACZ0krqWlDIlJQbnf9jvs OSenVKzhpmF/jiRk4oQFS1hGolE9+XSscjQGbTNyB0RxWEG9RyNPO7M6rirBDeDh1OEOQ0STPJvh YIsQ5pyQk/iXplv75fHeMrC5dkHAcI6Kid/dyMLuywWe2JIXm4is+3WpIhOiFuflh/rpNI02fR3I xrLA+QlWI7IeTsVijfJqIVV3tJv3wKum88mXeMPhqOBC/Pp/hbIZGNKFajpouIfHkH6kykgkD2IX ovzlV2baezGqJfbqgQTRKIyIIoKFnh1KAmTRRlkXfiw9jVi6og9lHs/pJ+NwgP1Xo0tkA2WW7AsN BvktonDY5O0PUFpBfIa2hOlas63u+8sEn+9XgyzXFgMfgP8b4ISFDY89skWzp76T8rlYS4zeqQOZ AwUrofR4bZfOOtQxNIYp/vBsuBIrYLkoUCjLEGgVFKIC5e/DCFGYu3xCT81ySEpAxkHp9RPxvfMf 63SQFCrNaCF/xCamz23Tp7CMKAmK3rmiL6/RxxcExjkX608sirRxRnYLAE5is0l2AhFnYu2EhgGx JRoMGHsIEarD7hEWX89vhwZ6DJjq6r20oE7GSU9gLKqJ+3mOF8jDkETjtFtwhptjKFapE6Fek2Gz CCddpFzslAMLlzreohxhzSSgXMU2+bxDa2QbWRqCkkuEzDO/bbQAMsPq4nX10u9G1ol6Qt7bfCHC xRAKlrE6tYzujUUJpC8MCLVuIFRHE4X+mz3eY+0a4Xr+jp3LL7JWxK7NkXTBu91xBzvdXwXRyM21 ntDjlIYqcbTneE1fKD0wnTqXc8RSKLzscHG5wqXhknmj0zYXcw/XtzkGQLMWOPTcmANoWJY8hJNZ dirt3lwkW43+IPxHtTTucF2J11jZ1qujwSmpldcRjDOD3g1H00cPS+5GHnddxWDcmrVSKB5rIjX5 2eynZPf40BF6ic96N4VrJbnXZdVlK1cC5wRKNu7u8KPSEZF3+PoNfZ2RvcJwsWczvG8qZ1RWAKOy dfeOtfCQ4Ji4XsriiuReo2amgmd7bPsmqrKOci2gpkNLlE8G3TLgQonAsY9D4rQoVOsm6NM7O8NP SfpguXYU8LtCOfdbvUeSjjn7uOMRWWhz9Ud2aYuY9koUsAr5NuN3/AG+ReSn+yIL2idCaw0oNN29 oAApUvRmID85gwg+M0njIOGedaer54VC/AnJOUQ1e5+lUUfInmtUe2gD0GjwgYApoW1XWnbpGpPq Bnefv6AGdr+lq2LxsDODkTBNOyip091ZaeB0weG1bWBYUesR7v7HGKsDlRAKu6VN9kJYTRQHD8h9 0a6p0qYSQwcTev/cO2lFl2Qa0MzoCxBL40NqemP8nPFQaH92AG2N95jUWBYfPjxmhNIifa82LOqy Fd8kNx65HJ5BTiEUoD3XHUe0CPwtjOFOvgTl+P6zdpHor62yqmu2BZ0HSYT0mmVM1kJYtWeT0OPV l91UymVdplNc2+3SqWJcHDSUQoyTpHshdBEU1rKUPRPBxM0rKDQvIIM35dF1XmLSTs3dzmWvUhDF vWHgmbS4LtNqv5Mpa5B6nkKyMBREWDPLQhYaYV1X3OcVZDMbMXfxsf/oXzPRDKgn3o27aHaW8+2h pykcxvPBfpYoKOrHHKhBcp3lmDAeJr8Zogdw7N87aY1SyxWjYOIOTeO3WVpBjmtOeayqNxn6p1GX zB0ukAP09bTJHxXmBcGCbALss6Kgc9ZmhpTRcHnJryhzsDdVUKZXbWLeoSTE0XM1vuks808as7w7 B3YXf+MEjAcf17o0aH97F/0VqklOPyN3dWuzzx+KYGDHuOJwdFduZdoI4OA796o7nUH5kAuX9Iwb AfphB2zy5Vh/yQMdfRf+G2PW8zB8wQsaqeiSvlFpjIELymT/DFUP9Hll38Vucbg0C4t9yrhgTmiF Zr7NU14en5DoU0Oo191Ng72R9aJ5P/fB/iO9TbFMZXsfgqe007mQF+N07xJniaR62KHcrDifAlFZ 9jwksaaEi1+qM3B4Cd7iYO61q7/kY8vaTl9u/6zNv7MnyxH6ID/BYIbO5H4KrWiui2kpnIf1gRJ+ /uGw5GX5a0yQnWYz0SC6uaUz7cBYXvjs0zh2W9N48fdCoGKOJ2EhoNc8z9k0FaonqbEtimzO0kiE sTbxpL1eXYjRVeDRDRxwsAqUkdYz/ZWIQRaYGHChz0m2ssFtq+znGipTFN1DHZWGRcYlz2P7hftg BGn/KY7KlAhayRCZzV2VHQT8NurROwlIbCCfArGgxV3bf8R/30MrnRV+KbdkNqU1xsJLC0jqtKnP fMmowJqF7Vpqy/Bm9wiZnv3Bkg8oY4ghWseog9LuqWyjjLH/kVrwJUFCQwM9v8ZiGwQGlbnmfH1H i/7Mhu69NDkkiciItEvA8XcpX8R518XLbubfn4WBN9fLnHsg4XizZ9SEaaQu7ISdQYKt4EVKV2lE WH5vLXVFc5wADgJ2LU9+Pq9+kUbOlNFy06T5RZu64Sj5d2pE14U7uNiLGABVaVVsuT6gIuWLS1zp bQt5bZXe4MA/R/GFIhUcq9K1hGftl+LPkg0ZF/m8T61gEyAZmVyFmTSIMZkENq+fUfKPPHeFh9el P+0Agg6D+jHzcInu1/LUO1AnlKQHA5ujGY70onkT4iMJ/ZMqZE1FG+eidSjru2YHUf6ar3/9cv3u 6i2slV3+8TyDElPSAkF5cyPjIrFGya7AqsOu/z66q6M7jnczQiaqyLWmXWpJBBhGixZr3ItRxtTw Pv2bLfP+CMfovOFB5q/ZBEVHlkVNGyq5ooxapdtQl/Tb2mLY8JRvWGRhdTaz9o6gCG90mnl8Hw1K cjC+wdvWekQqyAnqTQ4y3h6HE2zdyhUE2xuGG9Eg2I+UcZy/3wPcG/06uqXxDYhAUKqTT7oS9FRy p4HqKxWVfy/48Xe0papMxwX4yJN18sRMptzckIShlfg9ZE6sU7c81a+Jel2rnUVYBO8VR7/FXP+D oNb3077/InjHUpz5VO1hAy22i/WkAJfknRa6cGJr7UzJ+jVDhHl9sO37Vl8hpi/V2BsjjIz1XvH2 qO4vV+lvAnP0fOGjxRo+g8cHx5LHiZ8GinnBTRHAj4lqDHlFiocuhxdBuXzM/Em65o8ouMDE7TrD aYfjmjWqn5CIRrL/paOS2KEKqNF2fkn/tOX/zxMIijZBPVVZJjrXNjQGSDVGTfgw1XbIl6XDFRmS VSpP9fxtZrz1ThuTnkwqMOsEyBCG9pHgS8vSvJ2WxwBPcLwUy7WYftuoS/0WGXuP7vmJ2qbOICIy T55gKe56jBvri46UK/4uLixmVgoCAPBd9oFOzbwPzTuj7onBRySxP2JWBWOiBvWwJblnlCgFRg51 MQZ40Fn3aDEPXFONSVKf+RAIGQehg54VnegBSa9R/ZFbeBP38oABBSAtWzgcnX8fUem2XyZ4zxHm +PPkMlvOifX1bPZlbAGiMFstkvX7wP0dCdNaUOaF/E/kTuQY9RwUas0jZLskrAPfI6/Q1rQ9S/LN prK4N/AsfBJbjnMZdoBNAWmS8CmtXOBn5y6NotDtq61x6s/913nVR7+dIDxmm0ztveFsihgsnbot VKleWt2Ip5toK0SfsDNZW7ay4a/uw0u8fIXHRHCd94J2wg2ZjwWEbDKNi2WkdgBoURBmXF40V4iK Q7Peq9zeMdYplQxPasi2Scztrf4DAkbyRQCGkEPujbIxJsHZV2kjNlzZKlXNgKC05loocKESPNZF jzq3VjZqSnVJrEuzufWBTTxJWlj725k6hbUudouG6/fnTTGUAVGzp5OK8aRFAQO9eElEZhMQFz1J F7Tn6OlmGxe+rAL4P7/nTTCcNt6yhssCADdSqIHrVPO0HTksY+37VcLy1IoaGDFBkiQfNCpZGyQn RMzVFP0iq7fFdtmMw9qxrks+F5fEoro1/v9h5Q91FpBU7uOS85T6BNl6gC4j8qnhf9G4lLywnoTn z8yRIanaPKA2v5GdAdc2b81xY5FL7tmxWpaGs7KisoRi1JAeCF2w6ZxAZNhPkKSaxEE2rKScVXZJ cIOPyd3b1Hv6CYVqmHyrGs2CLeW3kc4nVCXNVWKuXKD0wbK2f/skzKIFAnV/7iXem10RVMM4kaeu veysPxwz2XvtpOvQMP2log12uD3Hj27e5QVzV5vDGtWoakaLSVOgGSp0gCFrSve+q5ZUHnZJ/5vV ZO41gc1l+p012UtNWLkaR+lQSqi+Q2jnJvFMJGMjpdvv3d0qDtOERySG7ql50WvCBewdtAj4HzrM Cbgt4HFVjT2drKkTv7SmiunAXIROqWXNOqHNfAC39+Zh6TFRHq/H1dWFBcdHVJZbiyc//JWnBD+r 9YtPkiNCsH7wbRYsHRwN5B72hEbY4pQMsaH2iG3lhBJKSnisFcSxVMnzlkC27JH3F9kfj36VG6Kp T1h+ltQL7Ya40pF21DiaI4hbcNkV4qlYGA98eaeLlMh6hun5bOZVHto/HsL85G8ZvqqwHj8+pJNd RdazxTaDkZE5UXT2LKD/WTT84ZMuQ4Ekj2q/cPfaJnutAjZQkWVaYAyG/jtHp7rgbDerSmOV0xY0 4h79JBbeY2EqUrvARsm+kw0VWDG6V3at0HJYoXSDkWhPC6E8BbCaAP/GXa0QL4UJxSjDSnP107qH tTqQdABQwR99aeBmESXKrmq5q7RglnGrcdcd4BY/k/ViK+r1RrN522JHEwQF9yeMgDPABYwXoUWj nrGB+opwdj5m5ar3sh54eT04bc4kjzSuoH6EpiJN2jimcu4o2q6PagC4bPF4s4W67x3tGE1PFA0o toSiMNYcukE2105oh1IlCBg/BJ4L0ZhxtIMmIdnpmCTdV7393EbsU91n4ZIWJZuvmzY1p4jE2Ij9 5RCpr4s1mTseWizt3zEhtmCQtvzhrgX0kKEhQQSFvpkZNDBJdLfdRGzZsBynigFa8AS8dj2Fi8U1 okaDzHbKQGJksEuquqjEZXxXY8QwOwFEAATvKu2WUQH/M8DOEst+ks+28S7P2W+9JxbLN5ZP7/7g w+qPWkvGBnQiSahB/vRUiiUAzASxv8sgrvxSdvZVDfukAy/0d450mEweJEKqc2xuWB+l5J+7Z+Wm sTmHV/207MY9h41GafsIMlLs0Q98u/J1OJS2E6k6O3WieMmShEwE6aSU2y9HOtov3J5lOVzVStbb iiVs7znY3ZGEw0pQ/+vdh4Bt0CkiaENyJk2VMtp6DAgOsUgAJkUAF9PAD6yQ3+LebOasWxxQjUxZ PNyWM07L8gCFIAF/5hXwttTiD77hJ8YznHux0j70q4eiYYKJdHaVwJ38HRX2bB+9HEBcy0gaO8Jb +hrGozu2LOnB4Z5f0Msz+OO5zD7srJSPWpK0Y359IhD1UTkVzC0qfcdDNeFhBt2ZjXGdvIxpLXXJ enJ7f9z9NC7TXNCGBiIXuNhB+UbwaBzrAUjTEDejth4J7r8NrOpoaQPqOE6HuO7Y6M4zrh1aBpTm dWe84Fs37mqyobIMmnAzeyUU4fTIAjgdjO7jhuAVUYvSqjXQXyTUOnwCcMWBKVTEygHfrqV1PjQY nmCtUr1Y4+xXw1bNHTkgkyRjD+y4GT0g4BGFguf6eFDvI8miizEnhBQ70bnm/334AhCEK1J5X16F W9Zgn9QgwLZPRfCfEDWpYKieRFVjGl9AJOZ0vlAbip+lIoeRefxtFzJIRC5V481I6m8lKlGjz7/6 ObthN0hfsaHTIhqj8fEGvFpLNRQpngES+B4bTZr9PMJiDHRs5Al6tBEZkfZxzzoVM8aO7XD5/1HP iH1TuCJUX6U8BWtdov5amjPzeBNI4gNC4Kh7beRLJJDeAu/F22KL8kC/eZl4TxopVX1xSA3YZx+D NBhGXjv2kpX+VKcOGAu14zlZUu4+SLgO1Lb4wtG1FNXShn7xeJXula0XDC5yn9v5fVqiR78ZGcsE JLL/Ix3jrlA9qNRLQ56CGG+xqCrRM3TTdn4wOISOFS0iB39hscgthl4VbOHnY02aLyT20mwWZYRw ewGkNye+trwdXkOERxplFx17hp8gv2otQXpvkoiMuVJ9/iZQqnfRBcNl5Nd0V91biRDi3E4J2oil avxJ8XcV0msY3W/rCKg8KsI26SrWhAcQRSPic97R46VhyeTHqpzKzvZAk982MRJCiQEp0Hpa2PKK uxcgvPsO2RG93A3X4MMWe3ak4GLMFpFD+HNhhqWWBgQ28+Ub/V9TBbOjuoibki6k4gBxlCMrIQDJ mtOJcpO9Hde7DFXpobg0gh0HP5tWrqvieJZ0NOEsqxRivSA5iGJ2tOsreqkzclLjBNBwc0YjVCEg npEjA9z3yyYJO9jNeCFLbHaSuYOMgLlEHYigId9Tmpvbl52FTUGBB1VS14NIKnOy7Hn/WB2/zIfj GMBXgYlJIA/AG/pFStLKsFmLTEsdx0qsv+eocVhEmhXxk3sh6HGxnl8NfFoUHHJ3DvymoeTX0Slg tYLmqBfbK5qYTAQEsdl9UHOnfEphLchBlpfIZ2Ygbq1nTs7NU8WMr4w= `protect end_protected
apache-2.0
620c4b450fd20c5cce96932e40b89d7d
0.952348
1.81808
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/microblaze.vhd
1
223,895
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block M/uEuSHCw33JynuPC0eSMctGomDD0UpTfj9BfDrmec5/uDPYNcZ7KXEC5Wt7b/UYPhYhXTAC8WJG jDHHtM3yLA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eG+BiR9nr+uCz2RCISvi21sZft9E3xOj3ICoWz/Q91hrT60WrMWLwX4ZR4EO8fmvni6335teE1zg HxCLkc7AqPd9ZXRyRWPC9p5Hv5vh1rM24Zuj9wPoJ+reusNCzytOb1/yrzBFDctD3zw+0riC/tmO /UJjzR/xxBlFEEw7YY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I3ehrD4mWf4uXaGhN25Cdt51XMPPdsZoCdm4gAYjat7ZB8nboyI39x5v5brA6mj2qhSHUZ5yDGAx VHSlXMCELhk6RR01ENt6dUZeOqwM2EDFfA3+DCAAKJ2ypIQQ9nEAjSdfSoHo/tZK/MCD+3kHzlO9 U0pt+Vgl29J5BSH81xLOzDIJmIm4pHiT0aDLvj1MzuCsjHZfSw28E2uFQQc2bc3vG4xQdvgBnjmB D8NjYE5xoq4iNh+IOSEGckDSAMEcA8A+6fR/ejhl/8PPyl3cV5ddVLNWvZjR9MN9Yki3IO6kaQgy Bhty4i/SyJVK1RP23Z659pjxVLiuoH766XU4Dg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mwQW/mOvrBUdfxHXz+L8orjKBsboT1rzTl4DzUVWlJ+Ugutk4zr/I+F3jvSrWB6uJ3otkUDWdNwf AW2q72QIKe/XGiv9d3RpevoZ2gTI74Joc9z1uA4EfdaTRFALT6PShG1eLNKyJx2kUokz2KaPAlaq KUREgYlTpEqBNsCQqhc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UVQzqHHWw5pcsaQDTnhVcBQr8LcuI3q7xjMqNkx/em1tuOutSW/qptkKs6eUJkQrU7qZcFUREpEX MtDcWJrizbE/1H5EtTy/5bVsJpWI4btFcfaj5qKeWlkLaUcUmZKGptB+LqEZlRY9sPBeiKz0tpei HD0Av18x2onGomHviXVVHraPkw5n+eREwcAdPYvzWEBgg9s+Z52HK6TqSn6owmkXmjTlJJKBOOyJ KRmHjW3PgXhQmSIE97kNFquENwOppotWP8F/Hsalvn5qkjpkv2PDvAkijWQK5BS6JeQlcovQEMHx h2tKF5WStiFf1tIMza9689suYSs3KK3DdF3g4Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 164000) `protect data_block 5a4lMPSGo0TaH9cjYC7ErNkHngaiOX7ecrxM0mRq4dVGmfCosNGcy4tOf4KN1LemGG2KIToO0tK+ x/nXoFInK6NP8O61dp3Z4RKCLulAPbAdvJ3vmBPdPDXBCTpgFg2DLnTNYgbGmzE4hHYmC/Its3Kq QI3otnrn98ssAFiX3fPGYjYIVA4ekEczI1a1Lx7NiNUScaaQeyXUoyc/WFef/LBxBv+cGmOyZQQe sYjYPr1oSYbXYWoNlRZLJdRkOrneRB2rotXFGnFXS8yFqwHGVwDxgkvP9HjKX6Kraqxlic17lcXx a0pc9qc2vgAFXCZsnPFIeyeX6q9kjAXyOY6a4F6fGJhwNSwaG0J6q99rJ8usBvZQEiirGqErJZfT P1ciWkxX2QEZcT/RVZDRnG1sdp4KR2LIBe/YHK2dI8oJ+oMajxrJ1gG4k38u3jGh1PE+Msc1afwS 17NI3a1HWDCFRjpYllm62uRitX0YZFpKyHV0/hjSzqpxU6/4fUavvOQdyfn3xubKAajEMl5GPIRv ctX/SHgVg2CenGkTMXM8Mv5ytINlD4R4RHY5+DliEHxGc4s1wQKmhEogqGSBKseXeSD7Ap6vrcgZ Bmu0508qyR5SPZ9slgbO8w5Ho3/9LIq4HQebXLbTzFP6HVtfu+f2Pe+NsuGIr9jrJKKFWgkgt7i1 HbssJEyOHrSdS8tm9i56gXBkoTE64FTTHauigu3GncolNbnxFA/j4zKWGw2a7OjQY4JM8BmOdFHu hm/EhBZehUoaRcl6eqWOzqMsdisUY6FVQtv90TaHzU42XZw3lbU/Gl9/nTtrl8FNWhEJYcskZ0H3 oWpf+2JQBGslm5Ix95gAcOcaA9eQ2JTiEalqoMPVBC8oAVEcGlWXDE6Let684vXcbSmVZhdGkqnx Orm4GFwXBbtGd29zF8J/76ebb1iufbQSZ38a4XQGT3yjhxSBXqeQU4kYdIZIPnVW8mgB/E6BXfgh wkrEjsdn3/4p1m/C5Ql642f9C/uLtRIgzzcBSGS/bvJzJoOiASnn+MfNBMS8hXKIoBy+2AkTnnxA 7lFwQQd6K7W494a2SbFm1PLXZVchExOqlX9/IoG/LvAmCDKFRPWb3NSe6sTEAylef44IobsCAsUj ImQ1cWcLrmVc7+fzKF8n361aePXOORQ28wmYw943zahSvTAQ2tCKsj73A1yb0TZ4KywOsniONvjc XHa7YEq6+7u9hI2e2ff0k5fcJpHvrOesIEUYcbAio5vhnTJDEFk89f0lggOEGAbsvfN5Y1Wcb4cc 7SL6Jg/QEsqqlADlDzLEiORsKX8Ljmi/bBNjYpeZQteSAMlWUl7cvQ0V9qtpALBowALP5DUp6ckY DqjH/Tswl6ku5ZEzje7IGTWkvbnlFr2DvSoQV2ZVnuWDuXDfcKFAEL2vJJ4ZGW1jCasXyoefHf9F UozFrU/D1oXBwtkTex05cAV8PV2L/Agcj7ZV26dyb+Xi3jz4+ImeNZqL4SLZ+5UdCkv4TIXzH+Yo ge/52pNgo/VhYAQN6Yv3b4Q31SbNfWh8aumRUQV6kOgjXvwxZicakOHBtDM3gedNAWKBUEOFsHk5 LsNGVxwcYOEh1AI6gp0e5pdEIjgjWs3FIGG69JBF64boucxjDn2vvcPVpMe2xfEXrkmwrvg6LB/j JMDlMgDRJmN+J0Zz9eP2fs8wXwR8CTj4KZKTjdBeDA9MCscMHDVjZ72+ZKuqCqdf4YYWl7Y85VC2 0ApoS+a/GV3od5npPxPNzAh3kCP7FeMaQOmZGcc5TOTtscjBtmLGVp5JwDzV7LhBpw+S56h4QIog 0zQ+waRJPb1Q48VYUNa7b9pfPchsJG07X/MSG493d8IKbiF4RpYvpiZhRhxCslLAm8Xv7VcGLMkS rSOY/2bTzuQdnn2KCDLhTrFpdGZrqNJwneamF/384M78KzRFENeF9Mcc/wweBa2QoRap5BIN+3vs Eb6bU5pxSLEONSRschzlyFCu9SwULInYc6J3+mzoJIRSoEinqpX8TD3ReXaKIxcHbElan7dzXnSy jgomIZYCDIqU3bOGHgtei2Xll4Zy1cBzy0/1iRtWvaFdYBVfOg0kyOtOaP58ZlfJcNz1zgIOIT9r 7HqbbHl1/72DSeALeTU4OvzQWvJZR7xGzauwDZGL0qUO1hRIIOym9QDavX3E5GrhOusH1m2e0KHT YIb0SyX28vLxW51NzznNode4MTAsSqx2H9ldN965y2MqsUS2nT4MtzaQnuJRFX83dxN35DGg1U6U eJcqB1VbH3s8hebCfW5NkaMbhDUk9jK21eRajIwDYKO/vCCYl83i/xi1lzuHPli6jJF8AeIsboQ7 JcvcwN2lsSWz6i1XrTFzXI9kijDEa4foOQpSPoUCxzEx7Q1kGWbwtm+AT/6r+Z1KNxixNIf6/AbI IjefnLv2ns/Swl70duEJODj6p1ruz88Jampm4uNPRy/BE/HmmwtVIHc0/2b3q+s5m83XZ8wevr0x 2UFFOoL4JJofJoFfBt/fKb9R28g9EZSOW2aZodXxa1wJwZisXPwNc89rwB942136szi20vBimw2n KwPZ4yKO+9MiasEptIc2yUlhUwDzB0upZ4Ibd6ZwCut7q6N3r9LOpqf3X2AMuwbpDMm2uM/0z+HN tAZACkUrNYNFIxKBqHWzhctYlbH6NKHbTjsXP/rpdaBbUQU6e75ry6OjBtjvRmEWyaNQyuCH/MPa YCEnSQS2Pt5CQvzvOWU2P6z2rIt6FIHkuBMqTf793TKBEScxVle1kp8o1GlM+JQT7K4mp8/qVIK1 sw+7GUnSbi/wVcoImcHzZMfBEbbdBIBPw4UNniQOhodxLHp3qr7fQ0Yev5hOCmC4mzyhqUzN7eRE arD+6zzkyy9uweg+HY9EvnkfAqX3c07BTQ3CkT7iqgrGLMc2WbTdzeI+jn5wrTiw7s8CRNPeqv6O EUlvXi/dzoj9xZHHlxbeMvOSWxA6tRQooIdaiMyJfa+aZcpwMcJO/Fteovgsepck7Hd+NZfrG6lA ZUr7iOkoPYhsgsNqQpIUNvU+5jKoMXaXreU5wLUvtHRLUmDjZAQmk4xCthrgC16+KJyFwCqwU576 jiFv22usm2AbqXIQxbf0xtjcFlBq84mcWjy1Z41clNPQjL2W1J7ApHFyL9PMC/xMtf/lLC3x/N2u RqWGaCwGTw1Nk8w8PTjTkUPQri/UEmC+vH9p9EtLH973OU8aftNQ/4pl6OSTgixiOP5cL+dJAKX+ /NSJR0U0HlCB+E5zKfZxoEogoXmlb+opDKRmmLgi63kDH2NZQHCoq6bIjZjmR2q6BodQ/WBTnvL9 KOTrw2My4PygLBDb7B5NxpvwqBGsEWyqc8qkkGfDwvwGJ24WMPmS9R7mZWL+h8ns9XG3v/tMzK7O 1cHWNENSIGdY2e9ppPojnZktCNjlnbdpKaHf81577wdNO+ogYyTWo4zcgA9wEDAVapuXC9eVzfJ9 XmUMMP2mPkNC8r+wUiKHHCDsadbLs/SBStJFqUT7GCVafEexHe07pKwyFjH/R45jzstuF9U8Fyt6 2QBR3RDGZvdnKRvtAqfnEk2shIrqIAu+B+yIp1s6X1KYRk5krpcyu7+N6B/bBsml5X3lC5ALI5An NB3hZ7kjnUu+b+VZRZ+nh0wlcBqj83u3hr7ZUFWFmTV4cebDtCKiDyKPPYgvBe1k/nQZO2/H637+ AM6ObJLtGxZ05Y5dVzYQAvs/rDX/YavYtndrbu48xDZzxwPaEhESC8PcGZspsKYtLDyFKVgjzjpp gQ3PR9IhevZ8eZDLSuOLvmMGz1tau7xlmBumgsILKlnr/2tHKg1/6ZjbfX+fgY5YRUgSHBjrV8vV spKQnsgEs43SfSdra3fjgFExsyQPWTz2dKF5dk8plL2akXY6SL5xc2kMUkUNHNu+B8e/y29RBxkc Fqh9q4vS5q8+TlCkjs6GvjSaDoVKP1PjAuKAc3Ku5DHOm8fDN3EfLJWdnHn5E5xM8oczitIqrt+a xMKdsS2Kq4OYd9MwuIDHTi2gqZa2ojYJKI15wq5FimyNWn+7N40zYFfOnZQlzJtsJyRdZI1JCQGe e/h4Bmf97qupWJRVSHQ17PzCFuWfNzEG9F/ZXpkovQxv+j5C3GXHkfHkTdjej8R6uyK907XPyGM9 6ef3DoFDT5rYbNGcUOZ8dcWqMsH16FmhkXpOPbMSxn/PIG20wVdH7a2UzJuvF28406VcUsLfhNKy FYNMgMR5QjJNyJO5borNSgIEqZsEeqnxhEsI8VWbpY+3pDxClV1AWEkSdloHQtr5sk+KJB6Lv9Ar 4NfVB9SSgBY3OgbepnLLQ73seldqgebNPF3zCOkRI4F7UZ/6pGZWM82JY4s+Zsw16QqZEeQgRlIV kyyfyss7icsDJDAwiJDLlli0coKwyk3NuBKvdiv8WKZhQqkQ/FO81s10QdrmXjDjo5Tg1hZhEHeo OwKQ7PWvZaZtDkZK1djyk1pIAxW3noxtkFQGGET0WHCFD+b95YqzDXHx2bhIpG3jsdsftkoTrBG/ 61hWkypRbSolibToRGN29TrNL8P/OKZtKSQnrg+mz3A8jw+OxvbX93VYw1uroQb8aPIFLegItuKS yGEbgk5v7yAA8I4iE+1rI6ZuAJE3Q5+u3CdJu1slZc1/Uwcg28FL1kSXwQAm9vgW1QYHeXkmmj1T Au+73qDDMhGDv8XzIiyCD9KuGyb2uq8XbE41oxcnGKyyD0Z51WMvr5u89xudUFf60yz24nekuIIf RV3BPFd1Zz9QjHGtZ02OrzkeHoI85DqnUIMbbqGZdb5xDgM5LAw5Z/LIunJQYRgaifPZibc5O9UO cV6DQu30mphj+DJr3Q3MPUw9x7HGEiVF1IAUB6ICpTOmwDJXididgwOpInQ4mS2hJx+Gb/ZVQ2BU V4GTQTzRG5QhI9875wr35elmfTxVSl9GM+zcCFzgaXehVpOorS14ISB547ALb/00Xq67rYLu0V1N sum3W57NGjnwKcGROKAo26sFFbvXqc5qVudG+ZVDSrxTJ24/jIvFSftkY7NfVRyHLR/ZqrlVORxK yaJME/v11V7vZQUyeRMQYeEjqJwiW4Ka2fNWqHKPQ6sA8mjnWQWKOb1HKe9mOTE8ryNh7+0+QuYK c/ahDosDxMYSNkXOpC6x8s9sRkOTJEbgEGCXDg9xWUI1gcpmVimxpNIruKFiwkQOF2u1aQVuOa8m A6RzRgG7miuI+898xNCkx9Ak+GfE/7a5zVm103vkb3kvC07IiFlMwHKLV8Jr+8pNpfhs7OjNrtD/ YupMvvIpmbprh1+xI6P8zWM+CHdEZe8o6P9DchdvutHYRiPpBKv0mJ7mi7ouxU9Gn3qC9fzgUfEQ nPzs06gduaZkKCcOVDNveAJoL7oe1/+wEqdZDBHhPUGLOod4Lrj15YR2/rG/3G1JOwSinqzefnRq TLfy8HN62NaMy+8fnfNy/3WFFrp6rvIcUOebudsx+GxEALySb2+kibSZ2zzjuRl2p5rzkHZSxqvF l2gOnVUSKsrTs5cU7CcPXQk901lkNYzTaoeExceT9N6g2t518fQ8zAuV7rhtYi2YC0hKtsgb0VT0 NcSHAtfnr4KeNffP2k+fi9J5gPXYFVesMwtA6jcV7bCLOqBXumF5oA9HZILyN5Sei74ZV1A+eEKy UZjeE20WzLKR4TgdwW+zn5vAmzGx+5JYut1wVETYKLXQkA4NgDaREbBGUYH050H8qP5PrwQ36Oz6 R1idBnvfv2MTN7AWZrkmcKgTc1GFjcl25+iFWkOKPV/qcbqV0hMrMPr94f691aZT1Y7bIQtVY/oI dGPUd0s6VdGXp8tmIQPeemsFAWlp8z+NAgY5RPf4fSoT/hCB35YVJdGYV5PpTEQDZEJItNb8UMA8 SkPUQr1gu7YrDW0AT2AeTXJpVYvrk4GjO19Iy7sfsVXY6y3LwnGzOCAbgrJLkyn2a52zeuilnuVC Bqd7vARv1rXjPGjC7azalG9MAkGDIYkJNK8CpTIw7ZZoQ3FvaQvpS0qRME04nc3y9KjqDiNDqKHd jXYdPMKtn11Duf80nRdx+UH+GEBIYNzI/eq8ogWvgIsb9/DgEQw4WZ6WhUrQYBxBKpallY8KAHI8 FlfjgnXdDVfCPYd1+rxeqDPH9kXGDevCWgvUZtSC2imqYMKWHrtSiEOF3WWeHfNy+xf+g4Y5d0ss 5A02GqVFvgX7RY/P1ArdMh2igQl4iSIHs/ucg06xcWJFULnfLpF+uOTxcX2F2snQ53YetUH6wPJ8 UtMuJ0cN3a5rrI9SPFE6Kf0njOOY7BFM93xPTlQPzzYIZwts7VzWKCW/8mn8F7EX/g3p37sRJW40 FunhmvP7Nczu1MQBeGnyCpQOrvDWvfK9jLZU2S8GKG/XRl4wn51O05TvJyDSbdr8LUPZxVHnHSmG hEemM8zYqK7h8AW3L57MJ/Zu1KWuZ7ua3+ln5EpvvYny5wXDDFQ5WORUKAq5YmmMtxvbu+ZuOQj5 g32+sTB+RAAYJQwg72GXFLzUKfFlej3KGVpha/o6xrVFbfKPh7JsgkAyC0iLlQURRDzfuSRSu6e4 XTCJ+TFMOwQZ3UdD789FHAESWJG5tZQPzFsa2eU6YWiQdwu7B+BhtrsYerVIlQj7hD4LElyKGQ9K yw6vK3WEwYk8T6P9datAkqPFXNyVqLQGgnDOFlASqwMXyY5Q+8w8xTBR7QU3cM/V4i8RIvHl7k4/ /XoMd/s/QiKHUduP9V8k9I7Frp+/Vpcrb3KwiU+58GW6EwkZxixngCgYi6uG9Fs3TcUFORA1WLfZ iprfrBhlRvDkraF9mDoDWJ4zDUW43SWPvpvCCVu+jNZtvsVak11/+FI7BOVbsUC0PLT08TxFWMkz YvD1o3R08R00suooRAMPuOwPtFCKDV/xE3td+XRDf3IibFV2OQ0KN9cNLkV6b+mBsY5o187J+qpY 10Mx4OIjwp06ttTek4R92mjRf9pr7hwgyKvwCqTKIkNP7YEEn1Ge5C0OZY8vRP0MXOdaxWzvTFKT KJKSCaEXzwUGs8Jc+v+aLliMSbJK0tNkqyJ2fy0jCQRWRtq7yf3i1xk3p69ZKjSwOA95Bjf9YgTF 2sogzpUFJ1ui7NczidGzZyei/gLYL1gMHzPVj05IFfC2A3QKw+lFoPzkYDencBqP1dNZ8Zad0az3 ycHRkcLrzJEaXbakQGHZGDpHVUV+boG9F99HlrGb+4kw6fmosMmUigCrM0iOJXMG+knJy37yyHVV DkERlI8DgvArlv+3DIgeVhqZUS5JPZkA8eG87++Z3L5X53mjJCB1PmEriXFu+gMHpSPzSwFgaeGj 2o9odhzTSfVRMxWfFsnYoUa157zMu/1VQylr1z5oNmLJsIPISlEU/bGFy5YMY3ilBN2ubqcT89Tn uyOAzI9iuyskTJPM2IzO7LQBfhm0+X8/slTgH3moRiTweNxkmNkkiRqJjZafnIvp+k6RH0q/q7ZA 8y1dcBacUxP/T2ivQLmKbR21+aG1EEYKk8RW3mQJxD2rSEKIvKx7A2vEetoqsTR//n72ky/1cKYg /X6xml5pB/KHU1TbZU2Ijj+lBVXaPA9WdwnhQFtJzkvpul8+vVNmuLqIJvZWJDBydBmETa3Np3Yg fOyWhxqglWtpq0ZDTqPD6S2adVX+8h/Er4QbJcrIL6cMAgM19V0svb6zADXO90M8khaS8aGO4inG Tvc23OL6klIKj0PvniyNE3fcax5kOQf5VMVYJQ4m9E6oHaQTAkPxuONeqUXOxusYm+FiHzgy+ee8 pYztYN3rmJrqA89oLK59edooogmfw3+DU0z98FDg9vbig3Fpjt9evAks0iBidXOUEkvfFLj55E5W sJvEdQ/4cuS2Ko82tVzl70SE89ZPl6Phgza7pzPEm0nl/AHbmZm8dbQFGTmFJ2FCHOq/rNPleAPo LgY3TbSKhOXMCcK5g1cId/NBBpjHW8VHMIlaMM1lk5uuKd4aiFVWWY2af7ZtS45F39N5Vm9FBV4i bgh2b8TAf682hcPjgLBcAolHeEjJDBr5NYciY+8CNmW98Wk6P0uGZBnxuQrdX921Bbt3jhYrxPI0 GKyEsymKDxrmnqux7qtzZ3b5l6BBBmhdfW/ggQFmuJExikb5u/YHN4MKdopGuVbHGgL8x0T6Trdj nPsbny556Epk0lOiTmhLqmqKLSL+/L99kW3vYVlLD3X9er79o0ap9IMuxMUJKfWltqKwccZ3yMhC yrBycYAPrfFo/0OjB4/CuA7LzXudEW1Htf6csBwRbkAi5Sg9Ov9KAr2wjLHEhw5MX+Qjzva/mle6 lm3itoidSvJxdLMDZlpyeSODFJPL9eyqGLRPRQiie/cOUN8xJiMEfFE8BJt8EmRx2VpQwUvUl3Ya U5ebDGKQNO5thEfCY/Z7bgRpwwSx8KbEFp2cJzMRR5/mHrfrHPO9s4Uuep0yCbwGKDNWB3YebW2J K8LqNITeQQOiqegQIEQX72d6kWBSy/J8+swtAq/tTPlBPmkyJAPv/h5Kw6eqI7Rllf0jB4XfbiUJ vckwFfCCPz+qOzkhDJaInxYjQcM6RcumUMcg0PKaERVUdgC0mRcgzihGxljUCCtH/tKEE94xA9xT 7eL03p+NzqOofClQwNl7hxzJST1OazuGxBVDf572kBkumOfj3ggdz69i/vua6HfZJOO/EwuII7qX aKJYLp6vmk1IF4cbQsye4NyzUaADS2I+gZAbH/F/YWmbXWmYCfxi7qRKrN/GQDV+YEIQE4s33aJt V+cPH3myBlURAZKCaUw2WnC8cGAXbcJFWKGzApVgtMuCEnLhBsBmUbt/daVUJIyVW7/7IbE6cGyG dLaKVCFW1DxE0Re3D9O+HXVYNMJ9J7wZmcbbYDSH/ZWHbtkaVhTKAcrKcyQvNkzjjEKH1EGclhQV rm+FkqMbeW6ZcULDgcMuZ9V9dWdysf7xjEpGGH4wRlqZu5QK51ugspPAGA8CfwiSw3W6AX5hrIoU 439/nHhBA8zPLah9EnkzGdA0jJswYkoJwyZhzGSwYiLxOzYZkIZ1/hy41bQ8hQ/66JnHiQ1p4Kt7 nqH9q20ZuXdr8ByvmTgm0Nxnf5IYSAUMrJTik2E5iRFSvceg/w+xwkfTSNmuvrCZxjl9EAkau4mQ ovej05rlvlpiS1JjF3uPXAGlFL+VWCR3lhh0AL0H6bTNqU6SQs2noIjmTm+G2TkRkJauV/I501Iy wiqauWpr/Wwx3MkJNM3gaKbbdr20nc4K/6JhNdY6cp8ykyon9XzgqxRcBJtntyHZgilsFfJyTrwE lfXvwxQ7KBNp/SM0ocU9Tge0BlFkQxmQoW/FK8b5PUf/bVcCygcLW+qSiDKvj6TPFwF2CH2lZ6Oe CcDm23d+Z9CoFGgNIsu+Em2mOSm933VjMlT68Ypf+Dv11xw3BglPJAnv46f4BDZkWYpWnXl2mPyq xUWFibSHuMHOGrmEujy5ZGx/QT2Az4iln+2mQqhhCg1RTjHerolb0eRLS8OXjilJV/wPf0IqYvqf WAuS7JiHG8WH8WcznbBhA/iRFVXCrQlm7T3udOO/quAru+a3xJlvbNW0W3AqMXrT+zyMKYF//WrV CCxPDwKZvtNIMgyFG9p0yL4N7pVmfMcXJg8eQF8nj96hOMKZjJFXX1yJ+p/yVfiJ4bsdsJKSGTvW AblJFDqmh8GK7pH+nvC/h1vGYlV/GCHfWaQUxGlcsAwQUxF/of3UJDJ2C3QjE/f9HYKYWbMIqr9e p+QlqPrE0dCiJuiShzith6CxCO/jYyDTChkp1EOm6VCbGzYTyEzquego6Jp2XC/6TzBd0Kjb1VZI A53NFNC2Nl142xlwE7G1FT79NKYzz9VsLdwYCVNnPqXepnaP2j0XGSLua0jbsjX20rVv4EZt9goC WHRebEUOumiH96RzpqLt9CEWj7Id8ttg8Se7/TdV2UPexbunMEniD/1BCjkSRBpxWsTpb9szcnnB aclHpyuduWrscpjaDrLvnyJPf3PAsOisvp6lcDEsMAS614hbiZ7uKI38eu2ROYfUcqDYVH2eF4z3 6hFybFeCKH58202PY4X+wFIr8NFiN0PDEPbpRYsA/t6O6D6RR94pvhR9llEyAcRo1w48Y1bV6ubA 9KomKbug/GMNfqadQG0Y+O2Bm4o+hmrasRbQCpP+yjX+ioXL0Zdk35cApvxzZmie7oyDHjUvQbEk 6JlCSDMfB/QaqF0Tp3DGep4yV8pmZJ//ZoUeZVWVIbHy5igyX2vKm/TySuXk7FrUFD2pos6XNT3t 6AyJpzqf5/ClRY5ajSEm7uHutmK3rcdfdsV1Cv9QevDRQqsnVDg/MP6hU9IaQNx9JSGsoyGldxiU 1ZZtsUKbU8FvYJOLXtW1y4buQu+4DoMZ92IG8AjOYeJXS0qDIzz7voJDTnAyi8r8+vcMawD6Pwsu n6j/hdHG7SBtIIZgT8auTKFD7hvt5FWOZRQdxHDRSiUVPIAwEY4528aiTsUmksCwxYDR9L29MwpW rIQYXkcoed8pBRx7SrHFjt1xN+eLZX8pO1NGBoaI+XKfl5k6bsE2xpgMeILn19cQwPix7rhWGehC GZNKo1Mv6PtFubcIGR3EXsNtod5HKIGVHV950RS4FmNAcGiSbdD0Cr1G0GowYEOkQIiVJYedTwXl QL+Q6J/GKis12QwAqx85i4SymYfKYfP5Dj0rzXIRUOklcS2u0HzuQyWTJBsOmoyOl2ySP8V+80qW elLsjb6LsODGZlHpndUOg3qp30hMS0drdCs8j9IoK81uB7eAG3vpsBItBd0OzbDDEfVGOPKvSCaz ADifpd9FUPE4awJLV4V/6a5YSRs+0S8EPA6Ip6wBZ3tZ26fjxeqGylZr/IMCQDv2Vqg30+sP3FJc JPnCUkLf/pZaeeXhN+Texx/Kz507ekn9JGgvfQqDSuyXe4egaOC0wzar4rUFaEZq5vwjbKkDD6ka XuTLR5lfu3yi8l4HVTZdYA1/73F5jISFnR9DbMW+BtrQp8dQpUt4YgKnmRQWK9p+0SHpjqXlHp1j WDyIj4efFSs4dcfKA67/N8PXQd3V4ECJISPy8XCZl3cS1IGABbeMmjMsCz1VdsSMoaHmTsl70/M8 fSLR+qDml1i7yRDfzvYePT78kX12R+OAPoVPMTTolT1/ZEC3q/3f5OoR2gzEPLFfkZ1U7Bz5SJdP +lDk9vTQlrifsYaY1LSWFsTDA49/ZlbVWXi+8aldlGelTc5wAToPOamDVuRagvJ/CAyiIeGGMgOl Undwt9nWrtCRyXW3p3Ejp5vTcd85p++WH30npTsErirX50OvzLmeO2YGGgHxY/mNMLJ0phlwfyxA rIVkELd2Lg/K7oVwQUGLCRDeUOwMQ1Iem7t8oeZuMy8ljepbbSRhmCDN8qjWzEVSXUW2NLr5qBvG 2KCPYj066z3bwNpvDbYPc2mnnNBr8p6y6AuWIZGmA7pE9A8Kx72MYyOl4W8W+Gf9mLpqz3sc6X7q 6cnoj0cu60nXFEARaTr+06VsFo+9fLkxr+3AApQ9g539imKUy4DreM0nPLhaEI0yZ1fIHvAeQwfe V7aCfbsDv6XPFP4wmkJHdFTW+jhGzznyVUYZIcnH8TUUZcBnpl1ohKRYjR1w4iX92qOcxg9dke4S 9E5FBpkyqi/3m1JLm6A0r9ZJJvmjEcJP8rndgrOa06meQA9TJWlmNdnBU153XPTDUS171znz2ui7 C4SX5HYFg9AiOMZp0LUJSoLVpY6yVJ6J83+pzPg54RRVoC/q6CUmhRDtnJaowxqJmJJ3A72fmFmq 1KFBgcuLUgUKTpW5LraoltJDophNXI8BIM5uUFxzL2hIy8nULBtAzXcKV5LEZS1B0yoTEf9k9Ic1 qzBeDBJHPv2zWk/lubi4Rcut73LiqBjNDA9A1KGzW6ljyqe/kSJGUx+4CtXlk8WBTVtVgzAdpHU+ ARhutiJyXsGH418Im+uos+5GRBZZGWFDJNqDXQ/yOK33Y2p6QVi3mfOIW2eXAz7vTb+DhfaVAmQO 0eebZpr3RCA+AtJYC1CmRniw5IUGHfOK4PrmsdU4chkSXyiPiXx8n+3FZzfDGTq3MM5sDw13/S/7 7h9rA7QS/Mkn8DuzDRNGaMygUj7OiQ+DwiAYOyYl34RhMgZvHS4iBEAzV/afWV8nTfrT7kVZ2kRP J/lnEf0jF/0AmURk8Q+qNQfcLAb7v5Z0EAP7t+4oB2VDcgbDI92n4sukAwm+NO81+HuElcyyF7Xq SL0EkfXDH89tGngiDY+PWLsbseXjPteq4TQ3kp75l74+vx+U8kG/B0ZopU8mFJqssG0mBtq4XsCz P2lCVRzHXTxV+z5U00W3AMf2hMgebIvKAbPLIdS/9XL/GAtTdsh8ZSMa4ZnNKSFyAJTRvwRFUlig ks0+ZDgQfCLu4N8qHHSvBnvlLfWqaFnDGBwi5Ks5wXb3Z4TCPef1D/uhFG3aIu7rHFdHfuMSLBPu 2H+nafYjKGflcXXFjyLLml9vqAra1yq01oM0PPosdSvxwDPW8ZrSlF0cTpxsqPNVQYNTcXir0GdP g/JdNJ0bg13G5O2b5GX33dlnXAHqPX+U+8TiammrmdDFMSpApMbbHAORwwxI3AWR5vjMCPjBVipy FmdIpw5E4VP/n7wLlpTMwOLxn99eoPP7N1A6rZv4UfEAK2wFYjF97C2W4fGt3n/i28iyrgCLpKh9 ih8C21akTLICg1+e/UnQmC9repMFo2rfmySMjvcl+/di22N4oL6BKWLMTDyXKww9LvdPWix5m8pz 5LAd3bFl1ezQY6Hx4BYbteWJBkd6Tpb3R8e1MxtDs+/r7QTuat14ucca2qREcXZJJUWohUhBLJCZ l0bR9BZDhu6FJBEhK/T8xliBviuxvI93i1cW06CceGscG/mRPzOGSLo4X9kj+G4hgd2AJj7+Ed6w zrjAsGGWvb5QAzR25Q2P6JuICMLHmMPbwUlWLMrLtERvpzMfwwJZU5ZE6uI2l0opEvn9t4i+819k gGfHvorjAbk09XfyFB9FGwD/773/bjFuAmmXFY2BSzrN5FSJgS7DfYypuc+sZ9JbAIS2myISS90Z olZLQlj4DCczdO0sosW8ZOa6+Yo1Doxb1ZiRGE3RUAyXtP2x/uV0HRvi/SMFjjhvIZq47k5hxWXM aRvUYazyyXWjaOG2f/OfrOl4mhnwNgj/IL83z0UJVu2LhJYDA461viPf7lOTSgUcAx1QwwCkhJP8 omxOnvfnTuDe9BLu4JdFOye9s09pp9Kj4XNlJY/E5Q0dPF5OX1LrP9/aPNM5tgmO5AuKtcXafUOK vA3BVMwhImpsgWe0sZqGPARKIcO5+iAiKcyG9XIlor/aipmrrk4AomsSZ6BJp/27BNfwhxZqRHiX TnrTGQHhio/SDq0XaJWKTiCCWSS1D9EdLlgSPHAsp5RcvV0cdFIA/qE+9OK0KddEeS0h/5f1AfVR cUk0I6MS/Li3HaPGFyG7cwGu0XaCWsSleBR9AKdEp/EOdrNR0aviDobgHbmUwe+A9uLEPYIJ57bK S060l0IOpcb9kN9lW2/9TJVlfLEsSLdPdz4bxFpw7Cm0QSVnun1MKTN4o2YzAXrj9PDBdHweM7kQ o8q+Z26ylumH9uCWOhbsCK9FV3UI1C/0rXBA/YdoCLOfJ0s0LqvgOpbnCDhl6uKVHfLHW6X0z96o ajdeH33zNohHOES4xFejHNV8bD2FLfmMXeY4P5EV1mK424CrQ+UT7AYEWscEiclDC2Dtz4W479Cf pyjOpxQ9dSfLooZc40lXUI3n5EvEu1VAw3lLYKzpMCWvPLgKeTaD4iZy29ijk1Iz8Bn/SHWXlB9I poSeBut3ded1KaCPEeJkTtfYwOC9XvAFeBAjVPbFwKEzzn/arlFBS9JgFHQk2tqE2mLLEPjBsQV3 Jc8f8PYR7VORo/DtloexBgSM3Uc7v51Ci5ZU9mzBj+4UsS452Fx42x5vdEoNiGoSWGWEOYdHxWpR b6+vn4oj0XiT7vas0FZySZFM9PP6MkdupD/Pw/hgZNX2ymuC+e4MGZB8W0/uPzj223YAB1Qz8Vk2 L9B4Ftwq/mtQCtnV24wyA38A6KojNV2UGW6QXI1GZla3eT58qzGv1pdUCKigfmyBNrj40qZpwIpg oaWXmw4z8J1SzAEKhnSmurG+e6nvr5crRunlwMEA/oi0sEyCI91ZfgfXnINY8R+q5/7J1pSI7XYY 1pRbWK9O+1S2UCjXoenXJY602Z5JP7KrtQBD+1ZYNt3VaiGEeXtVfb9VAlcViNQhAOBTjniwdgcF msAH0e/KMTBiO7IZ+NfO4b+jWGXaNg7CSgyZlNgevLRfUvrb9bJSeN3DujlS0QMjc8Px4Yrux480 9c8c6rUgNH95JTSfgTV6Y9OrF5Jj0YKtyjRqtBmrMIiS80JsPvRVkVI1zMkRycM3/XLSYnPOHBe0 0rBitgWFjcszPIyFyvhNC/ubK76KCTkUV3aADtx4l2VE8Ui0pEbiVylgDKzpd3IJDVRKHQlv7JEW ho3ANEL8IQELqsWZJUC3flYakFCt1fxO8IOOCW27qNFqyV+UYHG/WzVuEjlGL47LOpzJLEs3kCQ4 bp/y9DRy8i/QmdMXHVzraBxoSJ6kohqjUUA6hYm2THUP24M3UrbdVhmSGv2YgCnFkQQiqZ/W4Q6k qyc8dXpjcQpEBL+b9aupFPLaVo+oKHkPQobFgVdk63bL8G77chNi9ludoagi+ukDZDOMgzLBas7Z 9sS7BNQ16MFtciMyMJQhOE5IHA45tTysw7K8j73TFfo245hGoKRmFSU/yhstjAhACLSjCX8RlKmF s7bIzOI9B+sg1mF5oui99YfV1aC881kmlASsQfAAtWT21AVCp7iQu/D9HOOS51I7L8fSHa1JHM28 LhVPb3D57CBzlpkgTlx2UTcxs4S+zeSEicf8xy+KNig5CUMpDnKXaj4m6CO9i0Yz1EWzUxbYPLJm IJEvO3EEJJf3zEfA9vCYvjN2VAfNKoLgM+psmUCnQy6q7hDNnKB+RGF9NY0e/zctnb6eF29zd1Lx Xh6JCnMl7RC/WAEhl7ETy3x5TTFJEBvBjqepvVRZiMmlGQMDGNa+y44K2OAs3mHmuF1KL9RlpOQ7 8VAgE3PJlKe3lgPI/b5e4n9beI39/F5jNcK/nBmpWga6iGEuVbRXjX7jhbfeBcEuKXhfmeXRF/U3 5RBHs6Lk1svMvL5Fk9jch2AStG39YnRvwDkEfoxpOcpZzorcWohmPMLPDcHiLowZlMB4kc/L39KO oAPIPkjgPO6h4RRUUkMIS2cQHw4nWfrgfRXRcFs87cL3GYIMKFWXNtlN+Kdq1LpylBZHdJJNMLo3 vTjw2JMmW/QstRAuT3mIYqfdNPKUryNtmPzwYJU+zhY6Ce+6ERkXTC8BdkApwx7zhRspbvEabtJq OEH4M7Fg2n6/vBOClhtSh7Gfo9TomJ4u56ziuqpysrSGF7zvHBRcDl383lAHICErNfgFnerovkoz MapZm8S0VTRJ91riw4bZOBf3zVVGMaCxmdh6m9l7TRpZfiyMyzUkadxGBC5+BsDjdfH81HkXkKPx aC1aV2sgw3ba1jcY9IWHP1AGRqUfqEELx+mzdkyfIFXN9t/K2M20a5LKIMdARyPRqYKf90kqVo9B SZaZZyDRZU9BXhWTkwn5g0f15RpXhE5/JiY4F1e1cghtOHnTT/8qCmvtLGkcRJGs/T5hUsiPXLyt nyibMzTi8dgCrDWXtaE8VMFQg6Fr9/1E+7SASbGzotpsO06tgbthLZAg/kYEzSZdMoHQPM7wGg3p lIYIBRlEGjgoOCN3LJhdskrJaTKGRSEP0uwB1VhQS7mpXx9AwlHAVZifGMzit8Z2XE7mHzfN91mi WiXIdyWjigyEZqkXNLyhrdWsNjmvuB20YzWWVFYbNVOfCr03xodXphJfelH+TkpodHBjEqJKAfCR aEG70EuUY4Yqs+1BSUfpT8z1H2GsWNJLybMLV/9vy1UD3oF0QKuSmrC8jxjfoBTyGhYuGIl91xND WehxJVLdjr4wDbgjbS68JMPNmkQ7PyGZHM15YfJZf2Ld8VmzCLlS1sLF4wpkz/ntyTMgoeWF7of3 pI1wONSXEEGvqM+N0dEc1JXX3Uj5FVIaaPgG/Qxs6yLyfhHnb/SjDIR3UhB0+onFLn9qFLC/7raC c0qwtn+yN5lEHLKC9Vs3smPj5hHb1wYGzRMOxMFMNMFPc/wbOTVaczXEESt+LMIH9yQVn82cGei3 0njQ1+5RDNzFMxVdLdrn98R1BBdtcZTzVU/x8Kpvcfz3XpgHBwCgY2Qhft5S5gkOTCURcIh1lWvE fZX6til3InXZp4ARAnig6L9ocnK0hui4TCvZC55nnn8NCVpv6XCUJ8kuRGHlJrKS+myvh4KuxMzp hAUkGPm+X7mkcbZyrlVKNqLhN+UfUBziOcVTW+oF8PH0PZRKVzN/OU4GnJ9UTKtrQsmnK/WXfP6K b44gmWa5iRllWW39DVPpGBPfY3HwBEbs6gfr2T4yNVIyODMZeEPRPAPOPeJ19BwVDptpY5D13I5g fYlHG0HZ6iDe/ug161PmoVdjbGYebuOcdmF1zKc/EC+4lzcFSJcY50V+YjvQ6z1gL6gkTRtTPJI5 TElkltslcHHioi9QDkgNxjkvkdC/ijAgHqrHu9/Fd/t8AL4A7OiF2xqyuPr00UyNnwHqxZtbd8XJ 83r3OaeWM+CaeZufd7H2f1bT4DqxqOGTp9Lwdpmu5X97ClEmmBkGTyfI8fkycrEwUB9vYVGZhG2x ekbVxm+CKeQ79RQ2DE9gdwlXdef8f2qTmKn1dRBZM8dwa/B3plZPOT6SufuKDp549DsBzhGLmW5t lUVWgET2IMzG+arTl8W8VeLct3N/BBWgiUde+2x4AJUdwXRkSW+uwHsGyKLeC+Noj2mt+qd3KbUe ej1vT4gVks0eoaQt1ba+rLxtKTvankMl6Ep8+SUvEPXyfQUgNnydikph5NQKo63ih2znlc6WXfeX OS4CMRQ0DbUdVBR5TkuvSWKMfZpfPtcYuc4KmYWaq2xoy5I8wbEhpHbGp9VFt9plI4odQ4vm4TID CU8f6HfOWxFsEgvwNBxiZ/QRY63HgPBn257lV4IpLiDNPdojoIyx/TXa/+fWdiEfZBBHY2X7NVje 4KpFn5NKy7pcra3A4SXcYdNZrHKIEcBjtrBFVEmPqIE51x2oYGorFC+g/rOrseho17UGDsXdvpbj lkhoucHJZK8HCR8Mfxscc19KrE8f1svhbt9bjtreLXqGJpn/1NpaGUyf2Npf14ZwmvA2AHnbeh9H jTsMumlnzTbwFQ/3eQeIc0CxV4AboUdMeisk01Hh0/yWgwoSurKxsTl02++Coa/Zj7P2IQ4pfqw8 nXqw3QMtiLZl6COgQl9yVqehGqb2utWQbHLgOpBLXN9QaquKjqDfs3RjIf5XyrVezf5GDesuGaMG Ra8mWh5n2FPFaDdPn69ylZgdWgmxQkQGXIlenZjMaSKk8Lka2eMY8ZN6PSHjKQ7qOHQCyQJnapld JKeaHG9lVMhPaU56wvKiqPb+YbKUdPjdqfCxlOzeANWPTt2I5sUV5GyMEdP1Ood5RJL9eYfn2SeA rNDrzZJyapM23BX/EmbVRA1F7O7l6BVtQzQonRg6YN8+N57wgn7PFOwqTcjCTXoNHNSvwwKw5L51 KSDo60UtsYI8Rn9nRh3RfbUQ3OxQh9c98OqkwBgtwBQHDrH0ML7HnDaGoBg1EBcfdVKz967Tu6gh RB1m4de+W5J2JxYYc23jeVvNtn+IEb1W1KAS5rRg/m1S3N2kUfYeR90h9vQwGum8j9pnlU1F+Gln VayTIIxxhgbSZ9eERSK2Du2lv1Rw4PKX8U0lXNFJgCm0SHcHe0HTBzt7dEQQVRG+Imx/cs6CrorY gTTx96GnCm8/q+YKGlujqeTJ8bw+HCPnSJzTGK55HcH2zZvYzcmS/sTzG+H/qcu4EqA+cVJa25Fe EiQxoRbYwqT0KliQCexVWfQJSRCZqzG28nd1Yd3V8vLeAaKS0RdFESLy+KjtdO6uPz7EF8Yx2F22 BQG5kzL5kyrYgyPURDh+HV49ROngJ1l8lWwhJtQ60vBcYH7T5tSNxaWRjpTRhhVdH8CYJLoYL0dd ChKFRpnOkmKTFFkK2tKDjiWonLH350UyJ4ZLgDWsEpHVbq3xWL/F5dmrit/ms3oeqax5sgPkVNS0 umU60QShvpHBDtr82fuVT/XWwE1L6HprIiS+zIRWDY3fvlqiYsaH4hGkJyDL66Mm4wv0s+0tA8Am Yoyb8ivcrTLQRXi4ScLhdEW0+hT87p7NeQYAxO2Z+ObXdLNKyUIJ+cD8zMtkRH/0LYB5lOMfvHos 7l9F5JIMEMRcII6EmLUogb++7g7RraQgbDRidZxAHhW5kXV91YTM3EEvK+ELkGgg0YKbDM9vgN/K /5l5cFkhg1wmapWR6Gms3RP6LHvsstQQ1JtblsMF7MYy4vUOkqw5BG2f3ID8KDpbYZ+/HbQqLLB6 6tpPKfrF0bW7PK7z03FxuNVPk/vpN1AGon5PxuQjamm1LZf11DTLzD+Wb83q3NlUzrarICABRa1f cqwGgyAYuHnCM3OUJ/PDC14+wnPlGQGt9DjNeWloSJJNdIynMHrZKripWNA1CP84GJ47W/99BAGJ 884oeu8xk+DLudBZsLGTdYiDEigpmfkrEMzbkIIgrttU1EBoxDmBfwWbA9/It+hbYfgWxTcAwYI+ 62JNnEwwvLr+S0cViBUDkWuNnObigRKDMdcXyDkFGHXzjRkz5W9rEsrPcDOifRgJhthwipqY59IP S4XJn3t5pc4CEG9row9dVYHZAqi81QLRG2qK51+RzTTEFdqtqkP8ii7//qmOV1EfRC+Q8zWoQVeK kp7F+xMx+aFNr+iGwzXkmQYOy9ghMOupgs5pzVxPZCjsVW5rrRUifO0vXU0IcjAkWDtb6dm8ykHZ NAIukaxDqZRFacn8Z0Rfn22XR/9LKBT0p47PRX/Voq4rfgxzdtVQrcAtdN5gMhjEul3dOvBeOef/ lD9xHvKbQeJXlVE8wGZrOWq5V83vZfDI1B8jcvgFAz4Rr6nbKqRkSduBW8YfQZTStyEEo0o1SUqf bH4vW85kDoKgCKHhdm5GHZMIztzo7PWAqG2QkjXc5RPib7vONKeIes18BvYlMR+JQrNtKdLYR6tJ 45cS4RuXJ55hyZdel7nXoZkiozDBaIBzTluKv0hJMwMvLKksP3yeISb3j/8SKqr8dv6lClMM7zIN HJax21DIYqUsle3XXBy17LEAaTsiYJhUOOuk2VqfPsMuTtysGZcs228GSzAzrhkBS+xpg+vsnjUW tiJ74K6Oot2zST5XHg/uncnfpVcpTfGuBBdIEtQQapU4Di/CRPSZuUM4XiTN5og0HRuZraUuPao4 68TkKz3SaF7aNf+o0EFTp39165JiMtMKd5drtgCl9AFeX60C+owXVCebC+1ECx4UIliqAywhjNlF /jM4fnjo6GuXXZ8aOtTIpI6gKa6Du3Nxmo0TsL0mZBux/yfucRN5Wg3+3v1ioCH2Wsx7BLUsEHsX 8i4glWZ8x6Kccs6qNiLmav65KDjON4qtygcIDCZj7KVpx+C1wb7Psjx+/ZY6kTq6ZpnsZ1SRgsIC TiVwj2NltP/W6brnpu2/DA9ZC6i234BAOrd0irIvx+E+LvAqhOLgg4UQFqAVXVQCy3tV8ZBv7OVy 2UBoZlRyw4tl+sGTbRTsA0OC/Cr+2b77RXxhpbGa4CDfPQUZZ/HJNN0m/7QIFCIYaNqq7MNBjUIF t3alGTsu5gbXryiymWxaZhcM2W/bsPTTh6sxYxLKLzEDR2iTSGUv+YrWkmfQi3tgoWBSr1ebdkzX ts1B1izd3++jbn82iVTDVa5aUj38QYGulxslY7IRLjYK1YfkWSbsZ2/zpePOhKeEg83RN6GK8JJy NNaaDMdiTzSwc4dZQqbr8R3Vrk6s4q0uydcY0fKna2XL0yV6mNH6MnVzLEuVi2Z71y60/7vSwVph cz8UZMi4WYx8ZbrrxtufjgxS7DtugzvAo/WueKvt9GG0X0Z54sDRQv6dMMsVqNysDJvurg2hmYP+ C/CCSjQgPk1B6LY4MEc4D93vcn+cazceG3Sbgmt2VQO5pFSLZaK25W+pSRW4zwQ9FnHQOpCsrcDO X+RUqeM5N3bDRKmxkH3tfkx4LpyxzvDotZsF+AStGqsXayyUaOzWyDDMxiVWJSYm4J943cpot2xB qSEr7w1pyCq1RaOruCunIG75O4pWw0VDQN7HqdkWG3vJhqnmkJIp2PpZ2qTTDFGjn8XmKNYT1Cpq tFXV5IYtfMfnGq+HIz53GFF0oaBjujrAGJdLKejsn67+oxxhptX6b5WCWTf1micwwfO/ayndAe9p QIdJgiCJ5C6GfbtR8moSgsZL5Xkspfqtop7BIY9gHy4i5zRkIcVVOQ9CKEcj975Oq8zD0+oi3ja5 c5WA9JeWW4FF7xl67wgmlG9xFqwb87nw19ojqXm6zwjJBXVwS8+g+zXbRwBddn38aPKnz2cdEltL ATrCNlsiXMX4AeXhicx0o/5xE82ET9k826iJJ5qbzHb7B5kojtPbXfCTzolnjhe5sgWcSqv0N6o3 fCoWF3GUmKx3CplQxjyYJzHK1xMrUB7DMJH4Wel4hYHCJnBHcDH88HN4Bw/An/17gcTbJXYrIe7Z SWT85uoSjTsArb7Y5a7EOru5i6ccHQmwUy7DpTKOSlvActjJnyw/ZGoyEXU8loYTyNBgvKM+huyO EgPwGv5iSGKVx/sGNiHPp48x78439J47vDOMAjez6DOHuPeFuL87WMvPDEkpP8lfzV0wvYWxGpyQ fwOxRQGSkeDc+ha/2GgXEoa8fnXuyPLHgHiH0ngRnSoW4EJQC4bNBFWsdO898BegbSKeb1uTlkTw R39mJ6ZHgrGy4oqmeyaJfChvy4iIQe3dUdbMLOHg75AUfgM/pHAPJnFkfF/U0Mth4406s2tbxIPI Dsuyc5mIXfi5qlzTeVSA7wcQuKqjuzGNSoz+JdATcJvaWEJm9J2aDPS9uqbw6Dnm9BX8Fu63KwH1 6s1kMKTGMvyewLQki1lZLula+0QtPrvOQwlxkvPzUiUNsEYsEVxR/giVpSwXtRe/09hn0gn5cPFa 7e1IKGmUIYcudBdJFFwm7Urh4tMTRc3Gcj63T5JufMuGTfPL+Bm9bFRwYCujuSnLb3VSZ+g9hHqr 7a1eA0QmGHBNAcmSHo+CCyqEhDDsw6sVR5pLJ6glpL4/yhfRJra4RoN1P60chTUWqIi7L6n63M7E mNtoE8ovz4joRlExykpnw1oiOmMNxzgawiJST9G1dcpatK6NRKnHaAzHWkIsJvQvyUO3BVVSR5O1 QB6T8BkgmXRvv+JuxQj5PQIZawN+W4keMziyhIAkYuYfT32Un57N275/VayT4MXeUuUuI3x47hcY owl96NPOwX97i+k4cexdKtVw+m4CQTCZEciUGPzVdm4iLsdFaT6yoc0dOnETI8ZOVDRyplkuB7uZ JQdcQVCarJfPJeRw2EaiajTtB8bfIN8jK13KU8osE2wc6gMb7OObGyh9TvRGziAHAiPZQq4ZL82D WUNdt7TkXShn42W5N+A/20QmKG4CjSlzW1vHTuZzT7BzJhipDTRx4axBIemZKOjEg3Uabih0bTOY iR9SsR5lH6bsd5XERSdbGnJrSRE3OObzsVTl2nMCj3y0RFnjMivuAZbWfOc8cmxvmt7JOuVqIOMh d5d6cKR2SE5THrZ500JkN6ovAPZXMcAVhO5kSSYbWAgxtagRYw8pDWCoLU6Zj8XP/7A2Gm4IIrQ4 z1xCDdY9hvhhhVgLBQB1jnRWSazB0PiBYtiurgmwKwCieWGFtPH144aaE0/W0JWOiXSAFkyECwL9 z8rka+TkR88pos8o1GzpmAsq6TAykETXpEysaGkNRGZG5liGRqfUXj6eXQGxEv+EobX6h/ou9yVg MXCGI5mf42Kp77yB6aUHQA6olHnj4h16OO9tP74eymSFlg7Sx4HwgAR8cCnhwDI86HI9N9T2agwo mDouMzUdDSYYYUeMemPVQ+tw96MgzNbpyfWz0MCVF4VivCgiv/zEeGA+KRkur0foB2Z7Y3SADJBD Mmk2mrEt4yDGXp2c0ieQA0eyCaX/unprxXEmINzGc0GdE5SkHrI8t9Hkt22faq7/fPPLEIRmM0uZ ovhZhpAHamVnVM/57cabn4UoDfcDfHcAMLq29FZLdy7GzJOljzntJzkhL2q4q2tpxbNXgf8gEIcU 9EQjmNfQZ99mP4EpO0zuQk6zX5iFqy0uwL8RfMixsP61BjrBQlRUQsq42wCfbbi8O2S4hvyKWtrX ew6wW4qrjenvIUHJVrJW/2HMalT7gYqhW97+kFSUvQcQLHMp4A4aSyI8CBSll/AKSzWz2wwHVdLr BfhdLh8NQKQNezdQzD9K60fCpWSrZ41Kl/woPvUW7r3kuda3E+ULstZemjYk+fP7cmDDrqfN2KN3 vNJg+j2iO/ofhUB/FKyO7xiDk/GsMjztBiG4CtaHM1xbzNtIy1rz0G3W8qoWrliWQoj0Sam2eox0 T2Z0y9/P4P6xRK/uTQ1F+CxHiL7oDkBovwHm9TK4QiP7ZA5IyhOkcQqyxRhrSngX4NNFTPpJ/JnK dj10CZw6vZ8u+bi3HJGRS/bTB/5dqvaEeA0MBP/Pz/4T6n0NrBrmPpVuP0XxE5UAeH5WYU6jezlk qRVRrXMOKnkKREc1+zmuekuNFuOXbsztOIDQqQJHk2uiSGbTFLKiohR/+ho/gRM5BFbl+WPNJH5b Ih93P7lBw7a5A3x7eIgQK22axlpHGNtrN7R4g7lj1AEIduNO1Tw7fc7kvOH1NnyHdAqghfnHukwV fO9+WR5ii53t/9CvXy/KmtFDAHydTkov5TfatFXExosqKVJs8BwFbZ8it/qb7g90/nSP9/LOmC2w W7FMEtYrO78X6SWlt5oZy4RZ7EDpTZ1clTZ0Fy57x5soPaK5hxnwSeTgPmK6eJI0JKK5Q5ZZBw+m ruC8FPi7UZPhAs30auYu7bXVcdCdSNJtyTMFUI86CXZ8z2ho44wNUMdpAUMVuDvckmfRBZ416Oae MGPOq2bpLf9sgRSTjaJfwQLf88sMU0HuQ/M0cD5tObGO5YblVhpg/SljV4EvJv0bNg8yDlCNzJmO Cm6KEGZ1fdEN5Pl+sBOeZSHmUvhn9Vxfo5Q0hv7imZmSBO8kQyQCcj7EwaF0qE6KGVBhoZmZAtu/ r3vmEoi/cc2trF4r2VSEu+87bxac1Fekj5irCMZUymDCs24frZnGK7O9KDF1TiwcvR31C8s04xBI fSO9tSo2Wr38ywj5ZgLtd40wYf/DpIK8wu/eNGychkWw7FGVf0WiFq8xZ2/E0ztORPyERRgL931N InCHC+v+rAHLALwLbXUMaLkT3IUFk53HRANSePFTM7bm8naO+BBAdm22lIsBFg45pqtjlyOtb4Ek fPB+Zs7cL5yvnULoeyMiUj9o7w1gLJooaXFGD3OjqGEzBoZEtl5QGvBzhM5ct2bh9gfaidXdbT/h 4tnEDzsWTAOdtA5mLdGBzWgMmhsjrZhK2hUowinwHbbspXv2/F/RW23uB3z2NzuvrWYu1tabmxbe QiYE1UeR4ZnkHNEJB51Wlv/bC7VAe1gucfdwbNQHu8x/k0bmcGEcuN29J4CqihbIalAL5gVzig0D 1ImLKA7SA0gS8ufFTzKCAgqU06Y8fugGACAk9BYj8P3uik5HlLaYnRGnXoAOIwmXcJJ0nQK4q2AA 3t0oFCMsscr4FW2lbGFV4ttjdjW+6LTgwL5ijNZjrWboR1sWf7/UBahTvYZ8if1HK/jkRGAb9egB 3vSP9eLgUMi+28zU2VIoTDWi+W4W9fklxk00MRq9TLgSN/bwsLmupoH5+wR/6FQ8AcXZAm8QGLZK IMiyw2kYr+iA8uWI9l0fSDA/4jtEGDsfgE0Z13tEI0YBtSjK1YIEA1bKSr2aHQp5zyuKMwhdZasT hsFd9EbmcjwYmyAUmf476gDZCznKGOKWQ5d6wSwV/lSoiMsdbsWSUFXSEX8C9DgpR48MAcU+G38T A9D6mEI7YNl6gbqZ1dYqBk9AMD+uVbundKfBft/Jro6Q0YMqWq/mb7iQhq+EWn9DhyKDIJNpljQN U6x/iKmZXPK+o0Slrd/jI1GWXVQgrBmmS9V4mL01LXSroljzW3/CwkcpSGQdIDpvKiA3qcYxd6ym oMsVG15DQU13JBJYlTUQ1lLFGDoFiD1WBRrhqgzVzzJ02rE4TRnrGCZ7p25Fcjhe+hEY4GE7W+ho WEHmxgeg7vQtTV1t3D+jajeNoX7h56tUt1VAQBxHF+EEDrdFmOgyIKn0fYqb/SL2M19wTBDnwyyq tLYJ2q4KAYGQQmdz2+BVQYwRmOc5xwOjrc8rcZV7bkxjJc9EKLjvoH5J787I43yLe6zdnBkS4+co 3TqHcdwP82mIUFXwU4CvyqpVHlXlrYIquJhizwUo+ma30CcInjRZgdAYmrWEfUPWqv6QqFxpUve3 8TflFQivL9r0yfqv8wMaG7xW6W/vqVJILqDbEsmwPyni1iXgjQIltX988ienibhbTZutaOpOophb FuOZMaSS+S1c8glyTtDI31T2SbIHi/ShF984l+CgzwZOue+K9mxOLGrJyuQbP/iPx3oRQ3eIM2uS 4q7nBaAxcLI7Bd8py35ky/l52bGu19tiyYBt7hk7IfHnhvVG59midGbF6LHe1iI75hN8Dr9Jzg1V l6MlGxEceSRvmMUaT9npjl3sZzqp6aoU7pETSQoEmsZvrJDhe0hYDF1VNIOu5uRUG/06qlG098w4 QzpCJP4Ms+VK0O0pFAhdNsS8ITIH1UcYHB1GFwxf69d1oBh+gmN8v4pu/PJz48P52BlKkEExq7KY wz7+yZJczlgW68E0Dr7yEYJcc77h6skxRXfCsHDHmgexcZvh48YuqKsbu567cX/i8VxdPyi7b8eZ kzoTHODaMwqZDZlMU5fG86Kg41Aq6IiAEALQKco2C78IvB889b4h9bBEJpVEi6Y0C93aj0AelbTJ tkg3P0QEB3DWB0uOWz0Pb87XozRVz5LlyjHypx2kcAy1vLjH9dFP6AfOTMYgaMsKO5nNzBZT8xln P9e1O3UqiSzBv6JrJictji2kVHAUCeAjWouJlO+vaOMC3WFW2P9R1MkqUtup7tBh1qXv1NJI8RgH DySbAFmg2eFPCjYb1DF761WPcvRZOKi4eLpQfW0Y5SAmMZS6CGaWq4PBTKw16tJSwe7ZLRicd14X NpFW9IFFUq48x/v3vpoDf39I0WXY3KCFO+NtLTkgC0Y7LyoQPU+cMXc2xi863iKldnCoJuBnMKM/ aniI1BoYCl4gC/ez//9HfC7yeLh3RfRNHv20BkMCqDPavRI43+86caeXznxLOUDzUwiFCeSGETuE S4VYbgAgJ27Vjtwkd5TsZZF4fWXZ08kdFZDVs90G/Pqe+Zlm7nL+VyWLVY/TIEALDfTHvv00Y3hM kJwHwIjjaPv7KMAxQ+V4k6ju9I7MfB5GADjiqUNbrwZ4mxkJ1Rqf2eovtt+OypbLZKtbolSfYAQV wnOSTedFvqhSdLTuiTG2j1M4JAzHUgs0hD5cUgWIV00i6+et8lhxiVNtG29uTIcGWc4K6qO6Dtvk tiNcpZmk4zhUE1aHHu8KniWE3aoRwPyBXT9qbsymu8b0Sil3fC6Jr0Hi5GZmM1ddJbomNsbGmPry zGTFfn7eprVruiNRIBC8hzTZqRFImpMmssUswozCDzUHuY5suMs+V/1Mjz2yvWuJ/NvGV4GJ12mp dTsbDCmHr8VPpGZgncoyoxV4gdCcnnJNYSwawPyxVdoCPrVQ9X76lGnZ+yqxFDRAK+eaUcjuVfoi AdgujcDb3yX1Yo4oFWIraZ0OdPet5nnG3DEgDtcRlgShuoQg2yD5ZbPGoNqr111C/s3f17+alFcJ 7IUqlqDvjxvpsuzIaDKct2SIS8dSULoLaA44chwY8HJnOoxPvQLXoAXnP6gMKPG2n17byJsoehJn IaIviYndbyZJlbNovKZGBxGIQPVGQ2ESKw2WKRvBtjiXW19/DAdb1lqhcyTLLfBKmrUQD8y+6l8n GpgWy4sUEmu1hPI/IwdjbicE3JU6mGaJNIJqFqlDqTspMiCgsZoGagawy4SvjA2O7iWWNWpYG3LN OXHY/sq2d6dm2myErGfCHA2IaJPR0fzH2A/UZQVJi9DhI6Nq4G6/KHNuq4Nlkuy6uA2APOqf1bz3 /X+LwwMJ5hgsB7q/sWMtb/UStK5Qmz3RPPw+OZlPjh9ORrNeyk655X/DV9VSyGKiMiIja28gJpmQ VoRSDT3Zrnwf0yqHATP5VVGMeY8nsYB+n3O6Itm52mj229D4kwx5Zorqy7T22ZdRbsybWxK/8x6D Lkme15+2vsCXkaWscSC8CFfKL4h79CDgP5tbkK5zRbIUX8Fu4DlpOPXtDIRUoDrm7XcO+Pzgjyst j2H27hHtsckZ19It/ZkCPJDmImhe9VnUh3SmeU+wLDzTWWtKUGyvBvgizhuvZNJQxwyUes+AP7I2 yM5//MJd16GCgNa8bCKfM5CxvZ0X5IkRiE0BKIuijaGEk+LMxdR2F448V3dnlHIFXLFsvQXT7tj7 Zw0Ckvn+Ef4apuJkk3qtKjnuanIiyYqPEQ0xP0qd5TH+1mfaS7zVDnr1tlOS563VGrvNaR2iXvc8 zbElyGTtlTRFmlS+7kL44SFZtJQ2nbrFZ4BqcV9AHP/tyXz9ve823UWK/jRN3IxDF0xC13KgxX1W L5ozdqv5BhRb1jxBEiL8M2+z1N7ATAnhO5E/J1LU4FibhXpOBzwXT7V1FZdTLSrxTYpv/gJhrbJz lWBDeHdhKG8ITgI07uKosX4zX8QZe3PhqrfNwDX9tR4YaFpDKw5bLOb5IbJ9PZh8NjjeDC5E9TgU GZu1Dm5YWWCvqhCk45pkyN7QWzYpzKb1wsBtnw2okBjbEAzMRAcfhpjaYOGoicJfc54rQoFkdCne 7Vk/A9WOgJqLRuKqnYG+X2XRcriZKtANvAiYbtCfuYwrXBL/E3MlJT+LR8kS1GsfkHU1/B5mhP0d YGmlXzJZViMpxrVhx3mWaOAnKBazmwCvo/eY7FRB3PvpDWJAihsHaQifEQoJvUJ3WtCSgNlQ8I43 00X1cvWR2Qt2T2KK/QNSd2JUr1hBGvhf4SYEhRPYD7m0VWAPuI8TzmXHqQ0cVcg84V9ai8NUW0Pp B1kWJuS9dY443rLK8IbT7AW3LGDOrQmSleXoKuln86tDHWepTLrzlNl2GgZrZVP02Ibwc9fGhv3b oFFN1BeKR7ugUnkEDkf64w0ohpskPts8SMwaFJOWFg2MxBkjTyPW9zMrMWNc27k14ykBtXq/PvUg seHvSAZ7ws8hn8dY9wLLsOGQmP2aMDyWFS+xkMXBS0wsUg2+iqFhsk+wr2egZE6ji4tgqTf5oNcq 5OJNlDA/+wL61AU/OH+fUoBCMNLj9wczs6Ncbsc53I8dQGyhMPYmMEddjPdY2FsTYhGHVnU97oCw VJTKQDqGNFHsP/gE8MKuP6LXM0SN5bDN76RfMsOSgfJci2JaTMSpu14qlZ4lWtpuCdZsz7ZMOjwk HslIQqCzcex5ExKS3FTSL2xqCv3wgJ0ozvWHpC207rjHvQCma9/SW22hdiG34MWnBzirKi9ZyC6D giTUjzXwSV55sqq2UEFkwaL8ripE0HqMSyVZgcV4VvKNINk4NXqBgBa0PdChcFCmv5eRFz5Ns9xj vuKfrOgq+DHbwqragEmKIfriODfrJLAjeQtuS6r/Lij6qbYmnieojCfFEkcU6QWOCt41al/SxDyG Su5mnbMhKmMdWJF9jdyTxgrfPdLKsMP43laj2+JvXziGOwNGwxu1GLdXVEvzIXhe7zxBjuLdos5J 0MILanjoliBNwI8+E5QV4JIFYT4qDSm+Uz9YkOpx48xEj4QnEHwX/3lykfz8sii218d8C0ZY3dE2 WhXjoRLssO569IjXz6wahkXqCOFQ/GWx7nXhPo0ZmCrzyXe1U4M3wsWBHlSlDJ0okn/ecCjw77pf 1NPyveNoHVIjBOkynGN2z6lzwO1bGfUShqaaCDw0j0pjVxjwh+A4pD2sQlyeEyw1QxYN6urX+BNy +tfOaliOovXxp9XboKLdSKIk2+Zm2zXIbKArFBXtyk/pYXwftaC/6Fz5pfiDR8ydQM0UA5Cfelsp zVuyEetx5tZfPUVXRgmSy70gcW/dJ1y1QO0witHwyQ7iWE5/NQ962sQjsWwJldQjCdwWoXZ+THrZ 3iF5wZ6LhfZLqGLqV9Y5Uhxul43gz5WB5e6rISuW3+G3UJWMwJds/hvdFijGCYRRQx9ZPzhin3Vh 4/CxZnxaGCEFa5Mi4We/cdan9zhsgYlbpI3pVjNhQ/dlrK3P3+uAeG7l0ZqOsKGnmTJ3K1cVviMe ga0m+LWs5XBrMVVAFQ9xK7j2QN3tq3cXt8gN1Py1CaEfzdwSJW5cAGvk8A17ZEOoZPEAxCX4jV26 8QYvF5Zj1Z+WsmNZOsYOZinbcfq7eklYo5dNZCQabBNyqJKLsz0p5rtGxMhfCO4v8uHU/AOVLZVa gbMsYZoufB6g78Ann3K8nZGV4ozdg1cu8cUAWYH7D7hnLxsZ8TGtWl4N6U1731pyO/CA/IZLs5zk uxKWo4Z6ngWo+hRfPDFkJuH/FVLTngHgNjYe7sdGgAHSgJjBACeuw/tkahLui0FLn0zWvgUyWBza 25ne15+YunBHGYertvYsGIAu8HHaVplrBiqCHrO5QcNG0OcDMopT7UJXMowooT3Zo3jjZGR/s4yV 4zKpkgZvj7nasPYvPQmSWDD6k8SoqSEpwQR8lseYAOUY1OpEySjSaau/+O9aPc0ZhklW8+Id5/6m DaHCRYqeqCNsIaBTLglcXPwRoBlhHhHEYPCzz5A6LfXPORWIj9/Z60P0f4OlyPAOCe0xkrzlivmN gG054/P+41eOnUSsfO5AOOa0QM8F1gF8b3MLmzVtf7RMbHJ6Z1xoDUOkxqlG3l1ELC5GUrX5Gab0 xVLY1+NydrvpLZdfLhym71YjPi7msVxxtFbnaX5sn/rW99w0nFl/2AW3U6oPM7hl12SQ9bz36ii6 drgwuHrR3P2eu3apKAMoPy+Cr6OAsiIjsn2DuHsYRX1+5Gjhqaoe9DQUYSBuLOEtgZr0hahKLH9A Zv85/wEpTAYd/SzmWB8Alu9Q1hQdrPC0fVOXts8028dxPSneByE4QBE6R7k5poqbnNdHmzRYzehR 1uh2Lpln7tMQeMoIPIW7yWfsaLmdvkyP+SbMreT9Qwymshc1DeKU1TG/S6bGufBWwNRAIqsQhNRn iEk8D5Soh8oNEWgOZnJ+Uyx4gHZ2qB7ANdsF7nZ36pUfB26meYnXt/wmryFv7lOVf19agLiHu4hd qYKOt0FaCi4Lp/N8okMBmPSW01onh5yIWZmrAVArvgOHrdYtHRnLcJ5RoweLIcTAIynOLoZbx/7X UU/DxPMyUesvvIONlg891tQE4KjG1jNdojSt/lURVxvxGm0l5NixBx9D6VZXg+4klPJolgVmfNkg rKmJa3qEf/Qml+dSsJPbOk8jmhXIncqVFxNlZveI1IhtemOYpnaHxpwGSaVb120MfQEiqn83SUqt bUsb8GRwbL7YpDhaJbSVTwj6MPixYcSyrPug/5Ir/GY0qZeNpd7CEfueqgMXRFbKlvJTvtiLu/Ux 0vipRUapTY9j4HWb+p7C98uK6NRrpwuz9wDFlc6yzdeR7uSOi4kQxQBKaWFPaTqpNq7d8eHtGgGl bgdnbGe2G6uA2+248YB8s7QKzuNAOOCvv5QKVpeoTrQsuuWr5o/gCJy4WWo32Qp+luoDpRd0cSB3 sEmipscx97Yx45gRifUeWT6DwfVA0qxpROzV3I4qHdP9C9yTzwCnFBv5An1gJzYRvl/sfd5LUlDU hRqwfe5lEHH2iyj+xI9bWkFx3AW9Z9cqyN/0sGwxSIEvj30MXmOMrpn8DnB6XpVZe7L6zhVhcgOU SR9ZCTOj/mmp7p61CfxnGhEeSmUswwnGJ+rv2Nkh+h+4FY+TmT46ygPSogtQmx4PT5XzSGoOgsiZ Rp1xikHi7qxxVWAZDQ4evqfHiGwZS01adOWgGB7bTL8xfSGSGJgBn3Fe6hrJV4pFTrVLrYvJblg3 39C17qpqbqr/NzggVEuGYEz7Qb2FN+2BR2MMao8eWXsgQ7wEjeceDPkTONgGxBrlhcJzZ+4Adveb YUvFySqojiEIuJyHfRAhkFSuMMxxxRcCl6e5mzdQK+P0LFoW10gc5/374DeEfK/4A/XJz2TkZxkO ZwAZ6tbuqo2rMPR4STZkNCJP1ltyipcouaTSTY4uWBoKXzU26odBzHbOIWXXjrafZhEXwFWFay8b fDJJ6rJgfmHjlYuJLFEww76SEMMMZZd56vZp6RDULTAQ27MNSllA+dBzXW2f4paqoCQ+S6wjC1Gt TaEewTx5FFD5i1Pl+zlzwe7VHDl8NMaFvDY5DaINXLy9MKVcTr+b2R9BlTuBV73Z/3qua8ivJqVR pIOlKMYdHgd0MexrxrXlKPWbaaq0P1zW8sZDYTIyIxQArNvYLddou+JafhCFG4hNQNOHLBllZmdU DEfxnOzGtvSyP1VtrLbTbesmrY0wL5VPkDmnBQaXa5eO73j4hK4SqnkI63pv6VlWsJg/mKU1rBkh k5nS/TPnSp0BrBcim5ZANwQgKkEEiCyZEm3AIPsegbsNg8/O74+Jy6F2HE2EdB7O3B3WvmmiP3FJ +K2MPRznYvFxuEkRPxPKAYtRcxUyGYGrkgDcVU2/tbBUX9o6Awpy3YaVC8Ws3dtx3JJCZZx4aU9U Q2IXNKLCzLNma6EGLagdnPjr6Pzk3lXDC/oRw90KmyEl7guo/o/TzCp7Z2ZfZ6LFVW4CEX3Wb9Vv jVZPBYzezkmzUhNB/ImuEILIUSnvx9fQNPkjst50h0EOiN0xA+JXofAaaBLkAp0BVRSVj15VDTkp cRaookWqtuQ68sRxg0RcwLqefpd88KDwd+fRkPW3HrQyu8UNe31dH2f+WPGK/BEBfHiUQTJaftDK /Iu4owCdT7UDZ0FRvuKOsA3qB5DWgqcGxSX5rRnvxGRmPBYYLt8FSYXk7EAFOUkwfHpyXE9PNumg n8+bi5N4Wlw4slvdeEpLXjP1PBeobncUyUdeWpqjOTlgtrr6e9oj9Y3epbXXBtkdwAmsKtNzFp4v C5BowCaZqBzXeuYFJzWiYBPYUoxCCWPnLFSEyiTIYmFDyCwz+XIMMn+9Aq6FnueOJShy7IYWGN/7 w3AcBiydLhFXh3Gtkmhj8btH2cL0TxbZWrEJhvZvvuuZL8BzdJ2/MRv1b4YePZW4HmtE1Vi+tNx9 FMhDjBsEwpMwmUGD1FeDMI/v9yqTijva3FvtITx4zs292prXUGrLwBCg3ehsZjMHGEuoHl0qyd2q ZqOONtn+yuDyWtTfrLG9wgnuXlenYkwLi5uWKa7b7w4CtFqp/MHPRjXN683dYLSYp4qsL88JkMjc 8Lz5M6v85uS2MFW4YAdvyyb0whsmrkXPSXsxLQHPnnl7NSKc+Otj+FPYzgFycatC1DHe/GfGcQmE TaRVt28gy8cGy08eRrVGOzphmVvFQPHgvEeYTk/RjTKYXjyVdgbE6i3R5MP05/1bfyTBIorArStv jKt+sSbvCwS45EEfcct5eRinZCwqXVhekWzJefltt8MmVY2h0OaW+BgHoFOUbQiTGlRSVOKeUBc7 NL59dlY+0NBc6Vhl+cOqpDjCEuudR/qkL8JVS48+mNPSyeQkKNovuwNAcG6p6d/LPLTSFEhJEYhU 9E4crIvlUuczkCQGSgX6PundPeX38Z/msTslXxoXUUsfeIW9b+b/3Tn3S+/45cpWyHvcTvZtldFz umMK2bX/EUisA+ruLYNwFj7jh0bMJIFJfuXebkG3tyfvfLRd25kTqtp9zq2S3Gxy3otkYh4PRcNL XZQcrJo54J3ntVYDLaaK4BEYJ4IKWtkq/ljl0xVgIxXJ8qmhtCCxiGLAIsS5GfZUB+kL/hsWCRzi LwWSvruznp4mtLKE7+OceyO929h/JIkya6Fs8nS4SxuJf6e5vNHuEeOV3AVhkpbJpFMelOtQz9Kr hG0ABJfQNcU9AKkUZONzhpq49qjGq6kvRG4UvWU25k+qcgPvV+2JZJFjBKXVryreyROVdeXtMM3q BSPqJhDlRgn921r/LR8B04t/yNkfDWhXrxBB47EPfNaAK4WY0KouotlE4BRTmVbbFZD6oShZL451 t0/zkaQk15QKgei1SUXd8qLL7thYMTKecejHpvqb5oUd5namgddXTf1DsPU19LZbFhJXcIUkDV6q a0uYVTTtiRF0lx7flPenJSlCcqVYa/uQAK9VqUn4jwbY8PBgZG62TjUlVCk5Wn9zf+F+ryl2UyXJ JFSoRgyfr4nmwRpnqRtyQE1771Cr2hkT8vTrB58Lt67+OYw83LxBXKZAjbPRohAKo5le4abzUCwS WK1EStQXloYmIU3Zm5Td1+OjuCauJI8vX8Mix1JUU6hiSGWaTRM8eXTCzifH33jMbMFTxC6nipxu bWm7z8mN59x8vPUtPYQvNgxJM2OJKxPpMHbRLuWdh7ypUB2QwTDfpENo1gMwuVSzdN0knMp3j4pd VSoaHrZYVxQ0yR1hml8KaWmgQWVODIuMHTcWkCASCBtv39iBk8Gr0TU4Y5ffhU+wdrLZ6XJ7qU1t YMykSKrSmoLGDierqp7v3fVo+OnJ3c7v9Hhu6soL9T84GvVXuFKlI9aiKqRt8BK9Xk9LVl8YYlWJ qsW2+ehHum1Oq0kEj8O5QXupIq50TrRhTOrvgPf2Zlhin95CC39wkx+k0UTql225Ci/gTbnQFr29 Fy1tgODJ3nkUdUgd/4bjvlUO0SdC3GZU1xuzmhkvrLr9voN2PEE9gWYjkfhqltHp6GNNdG9o68IP EoWmzv6xJ92t1THObGytBhE/89pD6kGFbqGyIBx4DXkoCqfch7rBgJjrLQsVyn5AQ0rNPTw2ys/m kntJ0NfGmNVqGGIrFPbfpvOVANrz1CABj+zGfkuW1O0bdZM27r5SB7GJF7smqPYg86dik89qVS/q sc6wEaRhSeb1A10h4MYg4mUXS3vEY4PKW9YFl/maHq6OkwPyHWuJYIAo3cVaFHQcOkV0yPC6pdHr fc6JflJlTe0x8fJJwLgTv2myIw5B+BUyA08/OkETQ+4tU504yKgmYT8ViWGOurWLDdOb+oYrILZD jPmDCeSOp4gTggy+Yrtr+Lx97Xbbn9uvdQnHiuxO/S+bC5taLfiIJHqXyN92glOcqCU0vxh7LJ0O g83MxKyL6RqykHlRsigWXT4rrPaTIPh6izC1tqHbH7u9ydWLOrB/NHWrpuZ8jsRtBNpuDMVb0DBW T70CMSIwkEzIR0JRAD+VXcNgY4ZM7j7+pPiMEoYUHxT1nD2sfQ1Fw8i1KOVE5S1fePu07n5Wo0pU mi28mSlmoUC6naMCcM9qlD1dhtS1k/a/CFWbNzLFuZq/AaQQCQylFBipINcAOpwGrZYtdVcyWTwt M6nsDfByCEcms4vlDJus+1UrZswtKoIMK8w9/xaFeS3NN2DeQ6PfoiwtOTcIv2Y/geJjE77Ww9YT uOqPhNhEUcjCt5UYD4sS5C/qrhj/C/fI7T2ck9AtqabTih5hKUJhw9h0fvtP6imhVDKF2td4G0wm VAs4aEv08IfWJ/086EdGVfKjF9kSP4myZ2hhsNiJ2BMiRd5z3iJjUSiq8eWLBRVKsa7AJGhRmToY JY1A0amz84YNKhQjo78kjLob3dfE5QVpHe6YGN+pPYWZkJ1oEox9+zpmoEJNE/s//sgaYvvpECW3 JPsc5vTVdN5xx7276PGe71x2YI04QbvrvqSBzhFe3DUu1fR6YytNEd5GV9W2DCAflvjPaWXero48 1tryDD5TMbbmMj3ajgWvuV6hgQGl5BDhNVEtKS3dbDYtVIR7+ddZ5Y5nbx/mODAGcKQa6G28v5nJ 2vRqbTEU/5KYYh8fXrnclZ7thlzFACC8VhvDprg9YmQK7rbtw5u8gN7os/zEl5tchmZnnIEdYTaV 6HLeGXAvCZLYAi5b/5zLTsUcDGMCj4OLf1a7txoEhZ3KhXLYOVPvSNpvUmGw5+rS47J5TWxZIxQ1 UCb4WCxkjPJitq0oJyuExDokzZlrBW2nPc+fKWuykK6nwbvRclIGKabAo6eAdhCPTtH/2eX/03yM gvjE/aFDB1pqwG12XJng+aRI+RBAKeAY8DIV9nkHOMEPaa2JZUhMbwc/qD7GysxFiAdgVQb6AJnn LhzoXKZ7g71bPNWKLygpVtypUldsjiBIXethbTe9zRw+20y0nNb3aJ7XOQbrgtMmDFCo8QilThd3 HdML5Gxwu9u6KnaN1birTkFI9S9fkj+T5rzUpfjIwNXldo7m1nOeghg4QFH/mrMZ9fq2PnJ7yF0r YZteswyb0eKhVVQNBzTlk8MLLU23abcKUXHg2lkYHYVGQZ5wt2jw8n3zabTCN2XrOlJek3todbEj vu4AuB62bmuoH8f4rk2lnIAKNHzM50kqd87bwQHDxN/9D50Hkzll4lFoGUHQUq2Yj9uUKNv8BnAu Lqlj6bH9ty9TDZGtXJyRDXOC+Ua4zcWZkwyc0+5s1SDdjvSqSirOilJ7BdSvcaoH4cLXqjtzTM3w nknu4GUEj5lq6VvB2RdGo4ZhAr5sa1MaHo9plqeww4wH4oNWq+q4ODEjXu7VPDtKpH/aIVQzucZn ML2VzbXC2ZC5SaNblftQheehs+YLLpyz4jwmHKbD+4E6p6/1aQbfd3a4U7AeVImsv/O3PVC90fNx lAyFCD/llcwa7PI++YAzf+kUd1VHlDDadPxGv8ANCf5VuLoegL7tZC2y6c8KgdiBbMuXBN66J6pq oAFUdtZSSq4EQMixLWc3oC96PADwHBsw6c3kNhlvCKuOwgQRC4X6ZsAOMWSWiwzKs6EVlf0GtO/H g/gWlKAw4o4mgEWovODr9KiAw21qOjKnH76vZLjVsmAM9xxUVroRnr3lBj1JXt5pwIS3tM9ebwl0 8Ew+x8s03Y/g/Rc4dH4IAQ7tJoz3IkgaoBb8+3P6cKyhILQrej4/qOYghGjo2E0NW1DENMl1P+Hc ljv++ESpWZ/8fPx34iMEmmJa2e/SpUksuI0Jh63Ea10Do9kZWVnyp7//e4nrxd0zfKa8WjzD0fsQ YPOLTSgiDb1lmq3BO2+3pLgeWew2SRao5a7KjlLFjmaxylQ56yTHIAg4Udpkj/jt77xWRZlCmqH0 x2TMyMKN8XGHCMZbe22pp/wfNzg/QaOgNsPHs79pT5m8XKtGKmrPA0+7SsiASyYne8A/HjFIciba DDKD36lJX0fbS1MVSSFW6dv84W595E/Nig06Dp+TRRTKRjsXDc884NLy3W4JSlCmRGVxgwyUsTAm q/GOBhl3/3u3MaFax10z/ADm4ybZjaG2sZsCGvYyUHiQQ02jFEVDhecHPlSsoGw9vuQKPIKp79zC 3bBQ16i64XHPKiQ+xA+erngDe4PTSTBNDGOFcp84ZH5z9NJbREevfcY6u23tzmIXHLonZIs7IWG8 fRHJ1B1dBZn3UoHIpNpqiIArOKWjukIWrnjQ0aGU9kibjrlkzC44cl+Ho6yp9N1JIafEHMrcCq1D Pll2rM8vPVCk0g4e4SBd+EGLw1u2jxe/v38O7MU3vKUsPDLoWAoMYFrn8cqSBCcWJkK+rJ9B4DYC olcL33l20VFFM4GA+FiMpFJ0D1XxUTr9L0yekpON5yMM1JF6yweNnCDquplr8FzdxQZmr4hcp7q/ AwmYsYy7h/JuaEoI4FsPjRIWChwmoMZNrC2OSP2qvtzAOkwqhU4Nil3zM4U5yvZkr7wdBWZh8m2f WXJRsF9wd1OJl6QTDWcOyCYcbFmJwr8SdzqoTBVsDFfttVlZkTXTAg9gahaxeYsILVK7ahAtrpzT T88yC7fqY1etuF6oMqGPGQeCSsxG6HsXt60dP1Ug8iVKGvhSlADTEjyQtdQSthWV26lBkwM/I2ns 6TbQUelHXcPq0FpJilcF2a2rI/PL1T+WTeokAGk+F166gH+LZDNYUYHtmURTv+2LK5N5xzKoQxbD FLw3hEUGWImazWc62eMfm84IVKPhtJOGAcIskB9XKkus8gqVDm8m8nPs/7cWdpAKqyQDXmebSgIr TY+fgvyyf4hRSdzOCF7Zier5GkuMnZFC8nCKn3aDtBS8pHN2jy7Mv++iE/dnKGNK0GYxqlE7YJDj wcmSd/hmFpuMKLI4IYwrYk/mXuQxtGKi3fgW2ptjsjg/BO5UCqt+XDV4/s8Ypv8hQ31RlKIQOLQx 1R6hV3JQlVWbZiRphNQQc25TTHVT2QV5IP+eW80Q6pFWgBF3StDi++kPRfukTI6Nge3KRjfat7Xd pnjk/5LQuoDXfVUQDxMvVJcvM5dkBohw6O/RbLhznEg86aHIAJ5vQBOwJxBT6t4vPD0zkA13NXGj 5oLuqlQUq+ZxFZDdCr2gdlOr22MXcfUAvSpPNNNd9+AiKTMjPqAGAko8PcPs7qKRV8Tvii1OYgnS bpvyvHIbahy6vTVb4gW9gZu7br2ylEk6vXZLt5pcDZjYl06lPlg/+HMY5p7c6lQO8gDmeL6256fl 5cOrFSKk0iiIMIEUyyjHt4UF1Fv0A0ImpS9MLK0/ye6clZNGSOmtRGVlIBYl6GBAosb4NuiAJCkK HYQj63ZTqRq1bAFmW8io6Ua0kimCBc9PyObNpfnjCe1W8IYwiHD8ofAKBgiCYQ3dQJjjqSWhX8ce 7XVfLWzptX2f/MbA2TSmP2kedGUV9aSx72Y1IrQZArdKcc5IS3St7DOppgnTU2rMLyiqw4K+yUp7 2370gKZx9RiuXsvU/lHU07gneACoARW53UnoY5GoajR+CzKx+X9sed/npGldhgQMtX1qepdYvyfY VK7VIBtpR9YcY243dMLlIez5mTcKCum5PeolLLGnuaa32zRl0lTKSlFy8sSliP1T8+KHa7s5et4y OX7Jq5efUTYYl0MPrZXts8pw+DjAjiH/zsvl5dm6XQ6cE8jUu5eCYBdgCa64ZMrZhImzOS9z2G/B yDn2YVrKtVESpQdg1sVQp7hHKTeGuaGnrvM+r/5iEZIUDVf3FXY8kYR6sourFA6OAJ4N4wBJ8sOV Fkm1z7LO+mkpboXGi2ZZfiI0Fwq7BN6gJZTuTG54N4qw3nqRWngnV7ww6478LSZNWk7UDtfPAHON EBcbK2n8BtnWZoqsYcnrxTPvfFopvwC8ysMsXTboPpI9IWGof9rZ0yyXrlb9XpsXuQbeAETciB8B cLP+VKc+AzectWDbX7CbpDSQbmUw+2iwe3EvJ3za8A3RIi1tBSuh59daC9aRLSjbbune2QKIS3WZ JK/rI+kADE9LyuNaPfkkH/bOYsT0GkF8UdovYRkSz1oCQ5q1L9JRgSefLMds1u2AzPVI3BQ4js7b FJrfg0JJ3/jCiP6t1sZbq5vr0QhxwRw3Zi8vNlYlSk239hTE9IneFusbBTOcdUOcyZq3qnydFeX2 pwUAIHgQyMv6dbMT+v5LzNEz3DFXA1aw1f6DpcsTkan4MXPLgNEGgTGsmIgbC2THea6lwBk8WnPM 4Il893A/kvaQxv86Z2Qc/MFaKq2hWiYwRG2GmCHNlDX1qFxwgap6/j+aoGFauXs7MgShQ7Y7wPcf XnDaKMhRiyTEcwpmRkFnRoCrNqlP27udW7diJhX8RbWQt0UmMRpNp9aGFsGkVJufbz+2yNHZFzPE qEiw22M/sdP/2npBgFSYSpSZD1iG8jHHq7BuyEw66st7zSRuhZGcvMCB5x548A0/kFzdcqm3Ujam eHrWX49YYIyhNdLadYI8wW/V/SL7LrbSrc01diBuOzQBpB1uZ3nkyJ7ze/G6yRUlZBaPfq4i3IOz krag+9aehATHLMSN9ZWQtoUdPGwUND+q85MjXgRqjoosOwUaBrXNkFgVSDxSqULe9HzYknHmwIeM S3JgrnsWehz7b9R8DM8GKh6ai27Z8kZIgYsBwDOxssVkRvX2pC21xdVYAWYNSZjfA8QOHM/xzQKz dkth1fFjtJMU+ac/XoJmdlOOwtrwOH/uac0/M81msiW5No7tUUd/KbdQZhRHtL5clJGK/zEADdJu GdTgZMz8Wvy9Cjoj1rjz5lfPi55VOtpnvO+NU5CW9WJWpjze43iqpdzO8Kes/H7tAaZ2/dXecc0m 7tEQ3zfoMQStn2a4MIBBHJ6W3BbBqnSaTu7FoYxYiS6HsLtVDhqjsd1Mac1ciVzXzowtepTfKZ9G qB1AjiHCivHQdd6s1YAz61T7gR2yUPbBtwRL3kLHpdwcOGmPsy7TFVPXYKkQ/X80vBC0oc8QWOZN r6g+J94eId0Hl4qJz1DsUloPbbn1PPVyBWfdcj784N/hv6kk+OqsrwfgooeXfw6v0uM86hmzpKY9 r18GkmzAoLYAXf5J8yAZp2MUSBdhrdl12GkPv2VB2BPVKsFxBZa5n5xpaQKY5Oiaf4+LhaApFUms fpOWHutshmlWLf8G2Z1CAAgsdyY9PJZEHhOmqphHnOAezN6PMaMmEan2Y3Er92vgMuuXUXOW6TiD xYuXYB8qRXRA7ndnPZ7TWFkrJUUgjg0D6n+x1yak65g/sibwdwtQnyfPXSItDaIQSIEz9KrA3yKN QTyecYFK79Sm128NO1z0JHFYs9vKTPNqqD+VGtmryQ3RklFzfjjvTxzET3QrTStN9xLOAOCh+U2g Pr01ADHRtE1hxmh/wF+G0S/QOfm6sAlFu9Pgn9b55JFZZBWaC/bCgeY+3XqC4nZ2QMWdhiDFI+in N4ukbxMH4fltou7QzzTPaFVSOaX8YSmglTd193dj6JPMrn+B/K5lELpTDPBsl2TUAXydO2ZH/dnN 9uZUPFWAqFi3uNXzBghVaM0e9Zi9vV0S1COn0tMzZRkFgSBMCXi6CWyPClGIu+aSoof4Wqe+WBsU lbZbwGhgjbZ806iJMmelHdqWpVKxzsUYxmWsHBdBMs4n1cglwq6k1tGOMxCCYzFShNmPm4N6bcHT +kC6AeQRqIanDMVhAE1xaVOlkzGcxjRI/XgJQUwA0KhsT165wf8T2pv+5WVmN9JAEirgf3zk3795 JIFMW9yV2dU3Abn+maaVZEiln13NU0WjgM5quoOWgmi5s/yqAPxQZpsGmbkuGAFvHx2HaZlMrchP 7xowgT4m6BHQahktzjriKHF1B9RghDJ9IinAK0G4YHlwIFI4yEcQdcw1OKMrFGNFC03KGVd/t0BI aQBa9Q+nygRwvQRG+r/YbZpp2ge9l7RdXp+GHnt0KIbVJs+jKxG63P4IXDBIEtY0SwyMfGbbweMk xVuAC5cmcwJYIFHE+/D59wDpUkeiTTI+6Fi6FLU1XxvOVEpce4KdZR1+RQH4LAJHTlARkXXmLXwv hnzIc6ZJP90O/P6E7RMY01/0PyKKyqgpkg5W96ZyIpUYrrJy7RbZ15Mw3hiKDS3Yuw6AAA+eQH0Y 4svMlKoWAV1OBiHLIfvyINJ+LW7awBc23f7czEQqPHqOY8uXtnVydjmVXm4kL7PY/U3rlss1qjg1 xJ46AxpsO2diWAI775f0c2aCGh7kUsGP2U5b0XWhGMv55Xa/YNmZ9nEN+2CoDMGOJH918yuAVPaK W1ajrX2q6jD2vi49Mx//eQM2yb2SxcRsgBI6OobWnAR2XDFz96LHyfydFJVG63yTd+8HLPwibvuL Y9uceed4sX4Ja4IImwUjxiJJDEnZghtd4EwkBVwT2g5diZMccDgfc9WtlIMQlbT4t0R2WRN0vG6l PsuccKu47Tb0SkOwwD1LWVjsPYmIQJDa9S/aF/n0YXvqb9mg7VFAEeX0gfC+q3TaNY+7JKzhzLmT UjXdX2ML4pcM6ozqWqL0bkazXcdoe5R0pEX+Fxn/JLp5oVAP4WhyKAnDPvRhVt00U9fIdOkT9xc7 OQeZqosfpeYRXlKwpbcKsUy0AjLE+LuGSNcB2/BBTqVwM9SMWJdPSr4Ej/t7SBa60WPmXpduWm4V 3ps3TYLo0CjYPHRbcT4j01gxP5X685TypDbOPeQ+yPjWkpRAJXbzIQMQDdONSLnAqg0ImV+cGfxg rVZPm4gucqMFpxwTchBcnDy7Ho9zuiVDXu4/vKY7O+tDMK7MuV7RkE5Sfx8ro8mzSWU8aO/u4kgB 2vGHxKPLATPJq/Fqyu7BW7k1C56Hj27ZIq/+PgJTusaGqcU5Mw5zLCFwhCCTxcXS3OFrQ3byO5bU I4AEZgf2ai//2EeDuy6wpp4dYt+Qvk3pKfa+PE5t6mc2BDOEBeL6tLw+k+WWqKxSmF+y03FLcnry 6aDHRG/L2JtJEhMngeVd5iuby6uOTZS/anWBU2eGtc23rtBJ47KaieH23Nbk5S+XtUj2CtlYgzav 4SDVjKKt2vAbTf4p6VbVOH+8Qc9/6rlrEVkCzhTxTO/Yigu1qxempvJ5+zT2N3c2kVw9DuR8vrhM QUTyM/ljEROLjWu97T3T/te9tJV4/alacKLvB2eCo+95xxpb10m5PPkGg9Ps0i8vWep93pU9U/y6 CwebAGsLoKLb8qS3zmI4T9NkhIx6OAyWOs3C6VdKw1dEw14QJ/un6l+4YNynZY8BJ3yu7HNsspqD RGaNTLM92/2X/VxxTw4f+6EesZSqxhh+E9Gzv8dIU2HO7ngo5T7Ox3zeSzUV1VuyIsZx/KyUOakT LWbKpB7ij7DAbayThO2x/JaWoGv9LTxhmmn72OyovrKEalgjhra/2wp+p6A/qo/HEG2vcxhCZKCc hqIipoEksE/1856CrPBnRis1xTiajTA336U04wPs84wL+GbM6yZi1JgAO5bOjyJRBd2LSZrk7aAF vLk9t3WD50SkpCHBDHG1eWvsfcdvx3dDXYrnawqOKJQX0/my5dOuJK9mytC4lhAxINQDxHwepCNd k6q2Xxphz8njgIzHK66RDcIUb6yHb62xpYcwKrp8su2mO8Q7mtPQFDm7o6toFJ9o6d5Qfqgi+BXB C4Wr46sv6CppWff8s2SN40CbZzIurLBpRk7/Utr2Pb+EC4sl/1e50/xWEQPSAjbNdcnOsR93gKl5 rKAufw5DenxmohzbaYK7d9U480LYydK2qIHfG8X//ZTicNf29qSHPP0kT2ENns0Y+a2QSydxkXnH c9Jc8yoCufQPfRSbwZDmDRJwqojeZqMM62tyZwhgsy5uNlHUzT3Jmi/2JxkbV7ocWnXwLvlPDxd+ f0qF5hqXuJRQ0WttBpxB5CYPc/wrHECpqoS9IF5u9I4kkkstA6LtN8A2FdbJNaIZwi+YJl/B0S1w 2SWTDnAn27rfq+UBmwJ0kxr/dV18QTz367MVXa2IttiBFJUAvCw0TNRCmUh1V21pzjK3NzOBNBtT Gjr4y/ffhGM/hBmkbwa36t/JNd9T2baK05YojnRB2lGVHXFG4PqdcekSlIp9hdEm9/o8FPhHX/qd +LpxedejX3HMN84nukxnhD8d0NLVPs45DbogLxM3e+jHH3TeXfIKjLSTteLtiMjrpov7unLvOdfY bgCCr52EmrRcO+ZVZMIwny+B+4kGIWfhEdSkuvFVLRcF0JJ4ji6A6EJobJfX/8fQEMhsVCs5xc12 NUuIat5NO/zStnSXrmS7flbZHkh9tWuSpam252Ur5ePmUNhz+N9LgFj2Rs5vlDt3SF1TW8v6/SUP qDdla3dKhGaadDO+HYvB02Y0q7YUfB5RQSJpal7F2pvv6UnRXeLqjRvqho/potx1C5lneytiZ9UQ A8OS48SE/THuuezZqFtZD1V5aTAsYBnLCCgFHsLC9X0W7s2E9ftzphYD0t9dR5VKyTrKHmM6tbFJ l7Tp73TLs1I2NFLO8NnC3Hzc+pTxfccX+gTfy/Aei5U5pAZWA3Jx2fpTR9OzKScARQZ6MfONsr5h meEpt233c9CUuhDKX4X1uXFLp+AuXoG+wMdwpUMkRwaY/cSjZQIJYO2JMQmcjy9wHH7Ma+cIv5zC tZ6AB+/LVRBRjALvSEG9s0bu6Qys+XWldxbVMSuvYKdqeTsXOqWEpd4Wx3U1CJ93LSD+Ll0qcRsl lCyXgO5bVEJL6BDyO+axDsY17U2ypZdl3EyRqPbtaCy1ezTh2idJYkPDXoomYw2Mj07AyxYv9wy9 qCmw3TqUSLdU6vGVJm/aAfRBlY1VzJE7LlfmfPwcGbdc1jbN4fBcdmvgBJ+y2hmRC7LoKH2ti6XH OZ9xYuCaN9rorLtAgZdoCT3rYys9jmG4ldDmpPxLyCp9uywSxwdUaId6lUU52fsn8KqtG/0k1+EZ cv3QTNeNd9N8fuNjzOYqMiSKMQ7nn9TtBwyOY43Zq6YAHZ/Zzc1xRZmd5FjcB/UPdHzCKuBcPw9a ayaFPbvRnKZQNvdxb08FHWjA1mutjcSMUnS0V6yx9fE5qodcdiEr7p2qjwpyP0gtrEzYVW+DHkTv a/bkKa059U95zvEBFDgwHUPFU2HVytssW4ea+FWs+KU80n/+sumWjzNx8T9Apb8afRLcnrbAp+Y4 iPH8aSUllZiUiNb2L2zh2srahkOc/qW+wM63BRvk9iTfluq7QFAw1z/EgSU9tzFNcGyf7BSQkJIG eeQU9u0Qv0gNrGswGovzd6s2lc9eT3i4cIa8tmzhJw4m+Eb4pqa/4T2C+T2SVywJI412jQUHA5nm proToEzJs3ZMTA3opAWprypKyC2A6xrYrSv0SkOzVLdUQVABREtWQ5YqegybTatDD8/LNNRGovY5 l0zzIHFT6V0HyTuNI2ljtXqQ9qm+RjWzRdhW/wnzoKvXO/dvYzxWBcHW3RSiqbLD2/D3rksIlZP3 oe5+Pd1sm0EHHiCCvCORmEqOjrAkTk/ORL3oyU7ayjwlgglX943i0KVztoi0X+4B3SpT/Fp6Ya4w 6HXAKZ+AyPtBs+klwRROQym0dfFRLAgZKP8qBMitp5OoHQdHQANE0zH4QVQKyMeadTBxd2aDWCT8 7MHEWdh08+vI8QHeoMwYLtvhSdgwaVrl9JrfLho5fyjDyPVxdMeLRH9HSLXoZFu6gZ/zhIljEa6n sXOfeo/s5naH2bbrRHXtHqGDnLdl5ulg5g/XWz4bqOJ+iNR8yO9UnAuLadLCUZ4I/CSRxW83yLqx m3VWqT0+9BwiVSqaueImHvI49OSr2QvyEuGcjNOTzKeltdIFKFgAn8XTGG6PSIG6MhMzXexz14Kt CqOiq0OibYEcdIbJLaCcNT48cn68qcvOgZkPOlMVL4WyfbR+uAeQxCfXex0aPj30dqle4S6kCzXR Mm8EgL7WKXxWoHc1Dh9rsh0l7l9CDh54S8eix2yjXiemF1uMCNyzWmc5a0qHxNhxJL3SXDBkbd2H 2ObxVKkRjL5M/UPHsRqOm+wzFFGeEscqfL7nq677sDGVqHS43xOx7gTxPeZwEVs3dUlYu3HzZnmu aJ8m4z+MSxSjvenoksulLk5qLnutnUVTouYhSeIYQTukBxv1Kcn4wIsGjycG52OqxB3QdjC7jTIs COuWM0DlgO3jAUw/kzMxEr5fLXGTLYcyuKy29q9ta2Kzmd8hED9XSbzJrFV1rVcYDNf5D36svU9E zamKjPUhNeQgyj4twNgE2XKd5Lapt0rqebLkl8bENI4evMyviCqqEaaaIemXMB895ysXWgMz4AYY dnFLaTt76zq3hZUgSjvxYJRoM6YoZxA5AHz9cQ8sXxiSyOSzLN4CjCoAwxrAqyq7PzCP1XvoOBUY NW/Ae7nJ6xLUiItG11tOTms8T8JNocgkC/Uz0yYqFwNXo5JxDkUxUQMXuzDUIG0zI9pUVpeU6QeX LpEDZUVpz5Mvaw2TpiiGhW3+8Mdcvkx0rb5nDKatGtWsJMlsRr4joGEUbixLmrEqUy5CzZuaHoO5 xVssHSEpceTsaQ2XeveopuMoWsjc1m0OKVfm8Hf88bAhbGVloLgLo2bsGKJetTYe9U3Yb8KAUE6e fppquRLV/P1Rsd+VFHYeG0KBxl4yK96A489cwhlWmdyK8gaf9zSegNjcDbDwDzQNOuMV6gqH/BqY 6WPQ+GDCw6xOun7oSWZ2HAQS/6O9SOu0oFciRJJ9kz9CphofWHNy5IAa2xWdrci0/nxc68r5EyLN 5x6dBQuOFIxmPGBvrjPFtaBiF6JbtUi2SG2rPysXhS8lNA52XsBnUWRNpB5wBdwYkqAK+xyYLJOK VZOOq/l2dVZFwNkjiVJ8DtBrZfCUCAmub0p4toXt06tIiqw1vUEvX41BYgPa9lyzvrvZv4AbaaYP lynZgTWneKwsDhwrhUOSQTtoRT7hZfTaIC1L904CfcfL5QoF0zR6FKxiA9jCv6eCkf1doPenPGry 5Vs5KJnTQB7s0miQKhgPXFaHD0hrrKyoXLKubhOlVrKUabH0v4bTghZuGqSgfrvjEp6RyKXa5/Uj XXwxs3gSV1fiMqmJh+mavRdKA6wtn4CWXzj5PXQYgp2hUCJCnjhJ7hh2kvBMEYd8GOxf0RaBETUs jXLTkMM+yNjdYxVatiXAjbUzgyljMcZcuk2L84cg3E297uhqlE9kI5WCsBEbslp54yn5Tucm77Vf 16enVC7vQZS3K4LgD28MyxxzGM8K1lj325Z2BhV9UpEGHS1V5jUouYbn+6JwHAvg25HSAGANdtOk PJ2p/tTk/d7WNWL/qA1TvsYFX+leLq7C88stwZ7ecpEcUR8WAsdvu5iWgISqKo2ady+qnjYp56GX Pe/Gjh9aVCdriz03ahAQaoLi2TxPnGq8yB+kRZbnjl8FD7JyKOYMEHCMO93bN8rJx11C9Zl3usQ1 XoahTgMaH+mdN4CiaAyAvkSH4utXv1bU+FwtU34w5WFEoIbAjcSSQKZ8/p2QFums1UzXmHPvh0Qz Jy4Qz3hDxFP7Da20eRcDH11jLEtFAKvKH/6/sW7QZ93FyrlJq1RyftN/oFtJpMkFY83Pn3fG7dQU +wrwuI9f3fdBYHC2rJv6BXpl9SipYJUeY+DS2xl1ehku2ioq94q/p9FcU3Tg/ahdqh7Xks7BzMzG zn8t1udofXJKSYewtP4T7gOIAfmwa8ZyWnpCzmXEVQFdLI7cCOR8riU5a4Uou77YwroXZNpXD34V QCsBUPrCQC16sjp8YUptHDVbO5HVE4bgl4EeGCRFZHS4mDtIuqoJK8ucCH9EP7aUdzWRCQba9Xev GyAoqr3Yqr5pcHn6CTjzmbM00znPXeTpS6g4lkbpOjtx6Kv0KK34Yjs6qMJsvecyjAFjOa7DKp6D tSzV3QQXGWg96qY+3PXkAHU2cSwasAJGudSiq0mQ9kqyD5EeY5cStt5ad1jF60ktDlPLjrL7DenI izFOTzemO3HrqyFTmeas3kK2B16CEHfPhSa89VmVWlChX46XoL3Nz/LccSPgo4MtGjF5e6QPW/s3 ufC1CUOQY2tW2OiqxIjb7Gjf+6YnJz/ncFsEGiQzsXxZkGx5S62lMVYKmBwUmZXO5Uh6KJjqaUdS uBXMPljCYWIg/kleUGKgE4MIfUD+w0Ux8762MYx/r2E0GZ46H/X250NhFTzoSd0hmjPdOJfaC9nK R6LXbXNi8P8dPslPMXw7lKXqAWjtb0i3hyoTW4MzbubvfKChOvOPrKpMes5EgWBLLZ6LDLxz27Ac xtlNFSCpSj+UxefT72DPMwKOoaXk9EH3MJQjTQA28iVCqMKvso309XvqkAEnHJ0yjZ6nfx3NVZFk bdezO0i7DhVRKPPOZfxDbawqlTm772WhBPTbDg+L4RK7MRIpH0oztncaeyPus/5LIPrb+Rd75SC7 xn77XxHJ1JlX3EjskSjFCho1PIR+L97M4HB6EJbo/wcg37b+S6hM3rYGOxf0lgBuxbROXhrjbszv 0pyne7MfH3DKvAyuWnJdoQwshvn89XSWa9FgwN+gyuZn7eSo0kJUCpJRU8Gy7S01Sxw35ckeowus idfdSjNjOt2utr8UdzFiQwcGHRbjgCNKr7voOSGv3povMRjW0bTMC8EiNzbRaNPnEzAFCsCNI2Ub dnS/oYxD20k65fh7rRn1BIPc790onGAnO4knWcYJmjvnNzZi2rTCLuhqrFz2lQcoVKf/5CIOOQC/ L+S1lvYCfYricNlR1MUfMo2Am7PIXer1eu1Pbyl43w6Oz49Pwy0CXAWjzAv+mi/0Sj/mbXUaNCsS Hzl/Z4UgePz9dPDAUOD219yEgGAfE522oePYyICLWvh0ms+AAkNL8BG2mybC1b/jEexqHGPH5DaX yZOHabXFIksoXfjFyY0r4rU/AFCiZ9y+/g9mk9eEd8HwWAUDFWylNFArrGrkrHyx9udEKvgiVRVl IkTnd8HDm2QaimAcxtijs4uefzsUBp+dVthR1ssc53sg9TJxLO+t6tfwp2njpX5mis40Idi+q3eG RteJHHmWa04T7nNwIZATwe0mcwJ5LRVvf6H69YlsfKF8bQo6ZDfU5UI/SJbXAXKIeeawT0ZxFXoN CJi3jm8tmLVWXkhy8K9UM4S6mWDo1uq21k6wox9zY5QstfBDHBGGcdDEHoWuE4hOOuMFvomzF5bN PMzUx1SFx5/546o5t3/OfovziMuCyycCVEzA2oirRLRhGaia1L/z3pxBu993xgcVjF1EmNEZCGF5 z/lmOs9a75DumSQr3znADzMHSUP0x43rq/zd37ruOuOHSRea8jWbfsFqqyO2t9vfBHdqawCrtAwE I8oCexRY0bNyUoD5JY51YKsgn9aKBlZsbZGk4HmY7YhcW97K3suq6Csu1r11m+Rt7XTTnIKoxXBD 4ZR4MBw0//NFqqsKqLJOlUMts2SnAh0sQPmyc/97SQ7Dx+he+zOjebO1eW5WrinSbWwlG97D0eT2 qzC7EnkQ7PmwTxUxoQNVoslwnYzUpE2rneTJje6ekDBNSW07nv6nMlusbtNljruiZVtoZ8y0RkQo mWP4kuYY0d7zmM0eyKB+K/Bf3F/PhGhqmAyRYZ+9KiMzZR723VxPTCfZDJAOmZtzy4rpynGOSoR6 c7SAkzp3qYjEJSluTqViVym29tMhy9znbuVu4JOZ+HnaI6wwNKHK/Y4dV0Y5axiQ8gRQsKUVRX0i J1tkUnkUYg/VRp8BVMviYzFpM5444Dw/+OkxqHFnyv4/PVdps2dsLwH+O/iQPwjezl/lXFv8RQ+B B4eTxMcnL2rh38QIVnaZYp4R3p0jGELrH8wWUh0aH74pgp/38XAKRpvpfUT3vqEcIWCQVigCTczh OkYPySEt1Q1InJNCsNIk4czUH+je6xeMndZKHSrO5nOuO0KSPST6kr8t458HANxO4xbylOe47YJB ZUdm+AXeDZnpZydfGijKR6UoPthLnBsF29vU7z17pqtog3Q09KQVXMyFZL7fuC0LuFQJCcIKUDwI CLoDDrx6Kd0+KkDb25JM31/xtyyoqW7rghqpN77Q1dPBwjPjpgpsSyHhawHjyaIaXYtK9ybJWSNm ioDZtI4vTm8jVc6P1N38JkSg39mk9nYfHILE41PSI0yz3hQ84KJzqDVfCRlR9Zi9qJOrI4VGf4Hs pUJVKFFsGGD3a6JSDYOkqiNguSnzkDgQ6UQmGEeDM4i09d/w37TcJLxQ2LilReQW+1fWIB8KyiUW C98wzB587NvAtcIV2+5IObuMsApnh0DlQfc+5PorASAHPTl2cZ5HKG1Q+WRm9ey+WWHgM0wk+4fB FYsxt74UnHKPcr+VU8cuw0q7LMbbn2LckIiiBePQj2n46rq9GNmi36zrwRtp6f+6gHTmDdJZ7Hzv /L2pC+fp+XFyZ6qDTfB8hhUrFM1j8B1VOQfL2pAvRtEIrgpi+iS7hQOupc0B9iBG0pO1Vu3uGPk1 bPniDnhqTVuHyWWWBih4YxrT+TjvgHLN/KLCE/Tu29CH9lJblfN1XliX4h26dmjV77ZuHh2EHPHw x+eNCBNzCiNHaP+AgS7kGhbxaClLC7jqh+bMEzSzTtArC4qOoTg2AZiHxE7CENlbq5GKwdl7BnBh oqm2HhgpYjXA2dL0QV48i7Ch5ZhVNGRPUatvvKzEOtCnpzTv8rUFy76f8BhLQWmkKb9AkCNreoWU QX/dZ6ckb2b6uBumMSwQdlf++Xf2TMtzHuPv6OnlagEIwkiulK+zk/nviEKi00qqSYYTlVqbqLKd Va5h8WRAgGAATaqCqio5WMi3WXpi0DwomIVblLpcNJql7nAcD5sKHu+XdwGek2huygxkIkAZdlwR DfvcaT6QyZ4KkvJakzi+MnubRhgnd7kZLNIGGBMM2qqKh0Opsj76zPOlsWtErtHJ4SXPdLhz+CRj t40j/ydcwktNLEPdGeNV07cJF8u7WVCvoqDaAZIF/k26N2x3jzth9y8JVTXNInsAQOIIFZ/CiThp QjByTuaOvRZ9M1oSaFOX69yQR8Wjua9bZEZ5oBD1Jr32/FxVoNb3IVXW4FkOyZTFpfRHjalGUDVL JERS0J5+ZtzvYyA2ZtbcStku2C3FYZFmknCupDXq/70eA7pvuPaQi8BHcq2AZEceohah01zP4Y60 sNWNx+SREJhqwi3Pt3/hnIn3tViaYj9vbks9c+1K9a+SVzfoZxlgpl8YKxeif5Fjr2SdN+cAd141 JI9G7u7m0+YDex2LtCqoP8UX0+WksOR6bTbj4OysnaFGTHFoKDKWv2l5qMZ+NxFNVURwT5sEptC1 JQ2+jZ6xhbmBvubAnzvlnYkvKRxkpZbHLHcevLk8avjvgww6n2T+0JHZgjTGGuFvfbSUt1IuOHY3 4Ni4WCEgQ9ltJGL0qXVsROLNEp2YdJdArCQIHU2hfBbjwJmPRFXr6hshrkOeMlhnnuA4gxpWpYFp hC3/kI63dj+nlepd4CpXBB/e199hu5KF46zf+LamlXRzE5QsnQ5aWr+niqSzBlcgV6lBwNJKmyJj bZ9mOAi79DGWNc7HS9tdhetudJlQ7rSYorpQjKmpC56uYoqyMnFc9WffekCpu9FRTskjLTORFmFF hGJYEaH6gpPALrLWl56IFK18rv/xjgDiw7I04RihYCUz73PpeHNSny72n1Mw/2bpTGeDVBlmkgVF 8zek7SaJ/TYQIwDYWANYDFC8BbpK7urlHW2XrWrB3E2enG90QXQRmdj5SDrzqEqcwmAgvc+QuTlS /+Cc3fKS763fputPpru6bpaC1YF4B86/Iay1SmBhNrQrdOir5W8SlOCSg006Ht8UnOPoE4J7RYo1 mtVZfPBwBPgMjaPj2I0/ohIKnggad4agiQsmMerDtDbaLUwLnTLAUkOonqSk0q8BUdLbnSnVBSC6 cp/GrgXFcv/FGvAjpq2dADmby2BmV5EBbbTNFFZJ+tInuLag8yGUGvIIJQoXdQaxLU4HunqwDfgc +H7mmh8QU8ycWaEWHkTDRaFkScT3MLNgY7l/bIcKn6jrgpZ+uUMe1983s7zR5bV86iX73vyGcZ/A jEM5peMavBeLkIHjaD2IuQg6trjKmRal2cqT0Rjzpm6bpi26JpLu2nynurhGG7OpOT7gHl+S+7FV ATqgPw+Qg0mU0QiTf1IkZva+WDV5CRjoLn6z8B234WSZNDoejrzhLHQRrRYmXdyW+Zq7Kucpwe5o WFVm28kgRaTgjbYeaMbNMyGgKOQZM8DLmSusafOb95v8MQyxM3hLrG2y/ZEqeCQtxXRxfXeLnWqC vrlQc77tR9AQ0TL3udswykcXKHsojGerSoYuqgpF1bmOyDskaTk42czGht1i2Fd+bmXKhO+03zeh Gxv2ZmyqZC03ZYdCRr/S93YpndMXRdl7JIHat11yk6znzb9HxGXPI1mgh+EvHeQIZYdcqrWwLp79 z1/hi+ajTDRHFCOf1JXGZzIyvpPNr4JF8qx5HADFHnJJJAPqTenatPLA1dPA0RH5Ic23ofn8GV0D IHb10D48WWr6fOldQOTdcol/TdmDHLUf0lNymHm0IaxDBgWoNnrEieSwORqhNpKMXxErKxV0Xm/4 FWkY9RBJLrkotkRLwhziHyO9I9QqvvNTvxxJE5qnfIPEJLCloGJzgfjXVfrUiFV53vW+XbDyhPB2 TmYQz8bOfmWLbkI9oWw3PriW4Sc/4a0K/RjclPjLLgKFOMsSBZUbJWCHvDjhcOBUCKizP4Swj7e3 g512kgoJaYtdm7n6djJ/yP8oh+4DSwSNQBZPgkih7lxgmNTRcREPbPxT36fSYKi2gU1e4zWFWKaZ +vR6rg3sr+bnxtnvNF7Zvgk/xhV4Bb2Vupp83a0tY8h/zyLhUKlRhvJc9nLtN1em/lWqE5x5PEwf ROfHjX25hX94nMy/wiVRLSUYnR4Y+HoG/BKMKLqVcYQzM6BhF0MA+HlKck3U76hxQ3F2tYdQ39L3 w1ZUzTFdv++LcjH+XtSyTv8Vj227U+ChdhXwZjOOotM2Jtwk3OCbFNPqxzutdPptd/rWzd23ZUpm 2A+PjbKGICpsbAsUhv7fsJKgL37znD2t8Ze29rTt5YXg3Hls3a8F6tA9UwhgEC+aUoZI0PAL1w5q Ma5Zltx0vkeRrFsd4vn2gPSZEn8ud9B3TDlW4z0NTWv48xJrK2B8WQUkdXlB6QFJfr2YydAu37Qc +peesWOrsMS5spjb9SRYJg+OUXhWxAqjGxGJhcuNMPsB0S2zUXAzCxlApYiIDXagdP3vTyvpfEp4 nw8JpSRbZK9NOsuv1PjJ1V47VGkjVlcZ/AJ3y8j42Q7zCWbL+QZ1ywHNf8aFTA9R1qiuDUpDhh1k eDsy5tpCWsKvkYRtj/Ct2jRNCP+0f+ZehpWve4AS84wUCnw5R3pUbwDx0axBKj3aTzcH9S4EDaUp OzJWRcpJEPwQF8G2Fi3w8h3KfYp06JSQu4VS5N068h/Iv9GaGxh/iBn9bpjVD1mn3O8gdhCaNfsG 9FhIjSj6SIF0Kgf2o0XRqs9vPbM/DvJjOdRNfv1wsp7868PeHhQuiy/yNmG0JJVgyUS2ZWNYL3zu a8HOflSvMGMZDu0XbPAQlhlaI+9yz3gLy4sYBbmEdsO0xu5Zgq2cyl4KC00xq4rVdQSAXJZ2v/gx bD3cCMYJcTkHJoqE8ASzBwk0PA8H+NVb1oAlG+6F3oN1TKapO7l3I5Aon4EFmz5iBg3X4bRkD3do 8bffiiaRwtY2xaTM8Ol5xpScnK8POZpe6Jmx+Qv/0UiSFXq8pYPe/fuykEQh89BLJIhGivNJ6JRY VkPaY+hT2T4DBgw1zAgULs09bapoVOPwmhaiWkmFADCTU0ET2VDXJX2U2aUZs4fsVcuFhKDX1InZ 27pYRvcmXgY4A1gbeGArwMK2YIKLdi6Lzb2hO9eTb4CQlqnk9rgGVKlkMPOdBbveZ5Ysjj24EMj9 2o2scj4IAfbzWRBMcshlfGeTn9pBTzFgZTwPfkLB5ju0EfJCEJL9h7tYy0Q3UHVpSq8Xs45eLOS1 tKk+AhXKE/ed2bwTzRBwhNPT7vx7X02gMjFgtnhGbbvZlCF4s1E7mQF4R3krikgWl9qRCzVrJukM tWQkDaEIKuhYpcDrJvvIwfABrZuJA0gzmEReXSsCZ82AyAbWWxBRf75iUpjIp4/9ULzqbdv21O87 lrB9ZbJ+Wwm1s0Jti9Ipk6zlbpX2pOoKAr3N7nnBsizTghS9kKI0ZYmoyu4WIpT5srYFPECVOZoC qwBcIH4rfPqdToGi4ERxE5pz6L19Y7DRtAvzByAF3PNWrqH5Nh+mwN0+Ag2Pxjy5dpklQgvlpnML Swyo/45h9arfU5AnEiiviUsrpzXnilQZlgxyHzxfAAOCLPi/Y3YfH3Lh+z56cGBRL/S5tAcnZ+5x mjbZjZrcgH2z6JZUqfUaQo/AEv6EXufg6AGp8NNnBKChArjUoP4flpHEudzGg1QNWg2r6tj6if0p 8SNNTHco3/+nbhwDhk+sojMSjROFv0aRLYqvblrDpeggKwtoYokhqN2kQe0peLL53X0cP0eWshFB Yp04lYiANLqAwcqVOJnsqAE1AYmbV/ztRBU7/4KDbyz9DMJaVRayO6XxV32SRONzLuDAUFSxBGdE y4U0wZjiXaT8QKUfN6cGskYz7SLzrTnCnpgbeJuZn1LN7kx69qLv+BiZGTyU8ZQDbBK+cruW0H/u XM4tIEvJ23J0HYgupWSLiCv+Vm5m75FdSaBvr0PIN72cO7eWS5nvAEySak6u2njdb75ZZF8GlE/M CSObfqWH09EuwBN03QEbnx4vH6j9i3JkIkOUuhkLY7hPoAeIvbICQkkLE5UdV0/937rgoh9g0b4P GJySiAAZAqpjL7uraAF2ZrYOZCQMRBhS/XmsWAXY2qov4yc8xyLQXv2DuOOpM2Zw8gBM6DoWf6fj /zh7PAve1FEiLTC0CMsuiuPqJSHeLaWZ/OCM9TvZAyMuTvjcvSBM7bm1cyAL4CB60p6MriqlZsM/ 9xzeC7HoHxjZyvjDzHru1rH6OTY7inlrrdjzS//RZ/GeAxEGXANWmMkJzS+AtkOLYpFRBcSZSHDx 9/Z/Ka4I/EPU9tPd83kQejRfE338D4wo8y13XnSn9ea6xykBJye0/EO82uwt5tMP4ns7l1yJe9zq N27wS7JhgCchEkwxracefsDjOEvtLG4L2aCaPKwG0IiRkzemzoQdlIFNa8oI6YPwj5bb1Fynalso CGsVGwk8UC7Yn7rsD34UOTUHWE0wXQ/UkB6xdlF1qeRSgbkqFUfYIRehQ4WPoFjJDnOE9q/i7tJw ufdCi+k0RlfEdAm89Qnj1ZwFPWtKfuOrbioDgnTqHz2PqJxF63rFjB2YtZzCJVmAFtCGkfUT8RzI iw6vDqNIn4wBBCO5SYi+7S7VNRhrHraX/e2ns0Yj0PYbBEWJoas1lvwtfUwdX9nd+ZgOgLxDZFKE 0ILI/PB77pa0KPPfE0pYaWe9Hx1adUH43wY2AXnEH4FU81AJc0ZpTPOg3oQc0a+2kCTFsXi8pfuz KGNx2Yuev4YTgtkvmyYB8eKOQzhsXCzR7/bFWQNe/Bt2lHDRnaur28O/HYcVRZ8h+skfwg/PKExl sfJH+qEDbgZA5ISwOOcmehzkWO28JjtZkhKCmApIhVT4RyNmZNg7FXazrAdB7lUkgwsJl0abRrYy JIdI1JcFXsT+RVPCJwYHw1E63dZxFg9Mu/lx41BE+Z91jbOj4H9IyuZC04+leNL3cPSyK2iRmOKB yIAXS/VHq1eXn1aKs8sUCJDWvtXM4GKxTD8nMkRN+kuUhSuQYouSiCRPhOe/Hz9Hn3WbJT9k8ox1 ttBvxBn+w32krHoZNSDQjYfb/XgArrQEyB4irMjqJaW5/5MMEtaduQUkD0gP6L5mH8KKgh6sKap1 pB0WKd1dvB+5zBthuBhmr7QFY3OyYExrslkB4EeJ4pbnhmTdl0Z0lI2lzkNOF5VE225DAdkuET32 Zee9qqBIukIYLbo1z6HUSIBBN/EItwIUatVc5eelkEyimwCPIqz3SQThjbWkdU8Awu+WrM/j4HpA 4s5aQ+A2jTN4e7GYsKeC86WWaTBP910+SPG6jygi0jOTjm+BxCibRY61TA4iZt3Yin9KAIaLQVwW wAHVrnb5L5aMOwTeqelaTxUSoZEgjXrEqL+lkDRSb8wd++dQFbqniN91IazmnJ3mRCvHaARO4kat HVMVMUv/kOnV22wUkhDUtz1DMd1vJyCkh2jz/2hPDILLqvMdKJba9xoN7Cna4Vtd0TWyrEEQgho5 GN6mOMEKoWClo2kx8D5KDuLduzo9FWPeUVfzxv4KkFx3GBS3bGbH+PYUNj8HCc8rlXi4y/k2/Uww bpMbgGFBQ5ftbIG8G6kbcRCEoquXENfk87VfLpARPMuXLnHAP1weTFs8rWmGBwjQoWKhtqZkqAVd pA83YvIsmgZRc29KnQwn1UAdqRmccvIqSS5ygm3Ggqc1wwT3GJSuttQGmtfllhT/1Vq2iLRdJjwz Kwa2tqm8Mp/oCfsnZTa4DIzbmrc6UYctqTiI/hGNUmucD96asXyXXeCMWacRqAAjpeWXosRbyd0g INhd8qILrTcdqI3DgTmeaI2Ze+oCCWgVSrXPsbOxBaWF7Lt0tHqQ1btPKyPBxPtsZVTOhAD3VvZq wd1K45KvBdhh58XcoYb2PrxLrqtScyzRCpf4yqlPxQE0FSaDiXY65WuBR6fiV8kmHSjiR5MXxSXn /K6fwQwdi2VV2VuFEGunXz4DanI5V30OZBYbbhCswsQpD8Sf/8zHAAnLK+XwrYGI2sLzfO8Sp0sk zphCa0sSe33jRpqcTgKIGXDYwCluHWh2jnt9LpCTyt1S4/c0/c/8s6+uWe3aGCpP7Q+ASRAaE00U k9eiVZe4yd7UV7XHLLWvSISqWDICfSOQH6K2bSgpROP6OyajbtPZ4qebwwEDJm17PQx3dzR5LP4Z qeKMzE/khrCKMAaDFjU5Llx6SIRL4MaxXdoFpXPp0prAMO9OVlA9D6dn3zE69zHcMTDRTjLq9+ad UTzGmMri6v6OKJkQKAjMl8X6tmImVVkfcGydEcS6MI1fNOex0xmZs6MP3zPjCni9wS4dggQKLBO4 ePgeWGcZguaN0eaHl2bKA5hxq/jVu3AkWe8NKTGSW2FALRjM9nW7l1KrX99b1yWkPvgflcn5cxNY ZdvDULahAvc1qSL2lGgD9fdbt8v5DQNeLLn/6h4H9pmwmaQh6u6DKjb5e5P4voz8TGky0+9x2H0E xO9QGNKEZ0BOLmbbW0D11xgP8+QJEDqbMKB6iUsahHf0yrkBqjQryQFcOohEM7Lg2OEZclxImlMi NZFcTy0eL9PTVUPiJpamAFxv7boMG8s+lF2/TN5apqjyj3WAw1LVBLNX3Zkw4EbhFsyoEwT4cUG0 7X9xsiOEc68ELbxvlHq7Ae7zy++uEXYovV8v7Hr8wTDmI8Jv95wVznK3ENXilkQDdhM82bGrTPxa V07lN6Ut4cM8eoo453kWCz0+Qgv6cZubGd/mwlNAlY595YP2nfa14ZpITA0iOgbW172ePpQVn/R0 0riWM/L64i8Fag1H/cnvFTJsVYYNwl+9fM267vr2j0QeCyI8+A2abr2SqbK1kzvZH5P42obmsKGA kMzzBOC+ugq3pE0fr4pGrGSkwpMaSXx8KVW6jjchf3iCfLYjsJCXVy5Q2CO7wShdR+eiEgExwi4v ElkCVUtoURrXzwRm2qVu5XHZaVDGxIR4qMTk4/XVakyZO0CsgkrXMQ35BMzU9VuCKFwSPpOmY798 Yeoezmb3xonjUy99BmIWcGS43sGKzjwT8/b4DKXbTxFJDF8Y7GBjs5pVPPwatsXatGdIHyQxkUjt D4peINhDGzNxP4AUysifoTwy+VXhgfNMxNxvecVr2/r7BMswlq9OcvU+1viQNOEpJ661cHYBLNQg 1w3naBAkID5ARL4xZfpwxoM7H3RoSsj304kFU0CzExSkxUb90NpB0sB5P12hNJAzmJyjxIDh1O7X MaRkHpHU3XdJkh4M/wCbyyJ7+TncoE2T3APEFgFRnxUbZ2xsgePhM+kcDodp9bSHnfJv2iXCfHms FbSYoFpBn74vhPdSUiSIJLryw4zT399Lf7DH17gDnZ0xgzBW7uz0NauGJZ01JynLohgcOg8f1BAw sSBUEffCDDW3VSVEEui3vFvupElaijtvFsdxXOC//z//9pNuWOWFjpQjdBLKGZjOfR0RDtOx9SOJ Czzny0eTQs94IvyvzuzoUBITogRN4RwN214skDiwsR3bRg/IjqfJE+93u6i36WwI5NwRmuUFIilO 4baBybP4lwHV9ADXiQG4B9xU8+Jh/fvzV3A9Ggh5lGcNNSiaB8qxy8Re1SuKbZ7DmcbgUGxuntTM gGm/WpEjYfCW8PhwIRc5Vrz3ij2PA332OWOqpRLkfiDCAxW/XNJaoPrRJ0krMoQQoWWNtKhICbc4 zaTjkcHm9A9doPzx8pao4t+5sgCk7sR9F+Lm4W+MTJ/vn73vewh+cRyvnS7vOV4JVhovM7e+Cnv2 wXu7Uc7yXSIaeTqft41SLcgGsCktw70bEm3jJZRKzILApcuZ9n/8YJX5khqL5B2NhdpiMkbreRmj ggnFWKy0eCxoApEhH0gpz6mn48tpKtpZQL+H5uimeZVK/oWD6iu7qHFew1CWofd282MBw15DwzqK sgpoxijmvIITxMWCEqwSvcn1TH2ilTkOWZ0FQPSuTEKwGm0X/b91She5/iF/Ly5OHUs97/xbEZoq xKakmxN788FaD4vxMTjZNQco9UK8JHJGna+ihPmMnI/ys7vUDHVQE9f2YIv4SfwmpR8GzXzbE5LQ stbGA8SjqMqaL971+SVlb/zx/Sg2xeKlDZnXLzcS0aDocu7vM/aBbvYx/R/6v3PD0FqT+TrMPY0O JgUxBg3yMjKRmVnSCmh7MqLepuC+J/cgSGUxNA6m0HCu95/BDGE4O1IjvfWr5NZzI7JsNm1tPu8C sILd0zC9WPGqyFmZEO0px5/LKxnbUgcICnHa0ZfB31SgSOPcdXJFph1BkfX909SOK8KseOQBWYEH t/4zF6u/1DVLggvUT6kn9WzFI7lykodRWdPRz6mnGsCy/Zhcg2TDtifxjJ/ikDfZ1UqdSsNwYT72 XpuUNvkc586M9NNxbwzBAgK8bRG04NiAKrallb8mXGi6vtzkVLpQ3zU3M2Y9vCvWA/7tNhppxsmL lpwJx44TtlReUVeKS9RKwEjszSypvB//d+UFmim3soonejHmfh6Q+nIFZAJ+UYj3Yl75iamrrYeC HEbmr0ZfIZV/CWZ3dPQt/NZxgH2qbFN/57tT9mU4wJTyjlEymWlSX9S6uCJxsUKtsVcwA2qBw9UK 0vd7cLgzm8qRICa5snMoFRgy+1l6kpTNwB8LxOumocrXTgPuVb0DFxcMEifp2PErMcjtKSs3/z3H vG6Me0MXwiK5R7QKDhT7Td+733j9EKVEQUxDOlkmS8fzWAbAY3k94Yy1UpCAH+GfLNFCE1ebjHuO 7Vzfhg7chkIb7q9b7W7+I2z5mJmiwKuutNWLju/vupYM/1G/louMyDQWL4DVl4LNzOP+Dr2Cdgdj DY+SKQ2YHZvbZY0mXzRHs4Kx1QV95po3V1uUUYsoK2qx7D2KPn6i3Cie4eWJ+PRAuCU2m7dYg1KU nYi+o/BI8WYrKKWZRhlBdj7UvYqTS9WK/GVva63v4TsmN5gOJRLP5uZrkXFW5KHrlvzzZcrvpj1K 8O6VIsi/GElnILIpDj76B5UXC8Gl1PYLvLB3E7QYtFWzIS+DK9yuWk26AxUpXSnuS9Agdip7+2B7 4pIJTwsFLLSC9rMN5/xLoksgDzaIRU9qXl54/uCB78MwwP/HAEedz8MLAcvvv6rT5LBPPHdu4siw gspi2x5EHl4NqNLVlwr4eTLt0ylqO10w+01gU2CiqFxdGmD3BvEUePW4uGyjkbrobiPuTprydZIQ NQbY1XNaVqaP4betZTz7vSXSLJZQ2Y8MHZk8mAs1MRSErDKz7BgE+xVUutQIFCIurcbqDnwfqtWx RR3v0fNynAz6nNQ0kCB6oG9OvloajaOKbNZyFMMwjRJNCcwPUmLFU3/4D0ItPmcx/JtTGy7st+rq yitJUPQef2ptJrcTOew1xbUgoABW0R50xto5bUj3FMz4yrJ8sAsUjx2hbta9BxLFiECcliFKR4jD Pags8Bb+F5g2j+wWEt8WWyR4qZqcUxd2R9SFIYxj5CQ+BQi6QsaxI+Ib71W8SVrtJzXpGNS65AjZ vYtp0GzOWt4kU0xsV9q0IeLA0LuLMHcbDe/Cyl+vOCygF5sVFvV3D8kwS+qmDZ3Kkp5DjV8N/ghP +7rdmdbBtIkEkc8+szG5Shi/nKhjKIfcq/HYMQG+HQMz9fjnTwgYlRl4D4gmITaSI7G7v+6bT/Lv woSOHZZqergb7FeHlcnGRgNdBRMwczpewQQk0bhkP43+uwYivMexcOfelm3LTldsPOIDuJJdDr8H as20p9uzar1tBTo6ZWQla0ljnoUvBzaAfRXxUtCJV3gwbZ+k6SAWjjlfVPNmeXa2ire/PFqOP2Xx k/YivQOnYP/9IjwS6JJd6LoIl8yhfaAxJ8cKEhnxoYRPzhOSakFp2Le2MrKya61cnKvHJh5FSxPg oK+VNCX/sAnzre45hrtO7NphzSvndsvPinT5F6AQV9Y+Kt2QJhEar/z8DsB0L+RMqWceX9PnwQuR 336ECBPbbACn3Yu9VCINkY6rZ3E8ALdiLBQhVTtitOo8DmI++Z1KWfMdFciVDSdBu4KHIwmRU09v ljLecVcmHWGrhj7UCb8pr9dCtSZL1bPDYmRs1f1XFhtmOQAMH+7GtbQJmAA9zwcB62+ny7vJEali IcAdibYvbH/WZw72kGh9X/C6N8KuSpUgUkkgQ2lH3FxqqQN96WYdqPpVG083N6KIe4mi74CoGoGY VODDbBWk4uFVY9eLaCFstXYBCCqixjB6RwLR46zvP++jDFXLXAsgGBh2dFJRYDRAJXD1a05+dclB s7qDBK3dnTIRaZfr7/lKT44EBVXJ0Po7Y0ERGpUYd3NHz02+9v0Stk/CZmZtyToW/7GYfqcpEXt8 /p06/LhDZJMfcJe+dDqcvMa0NrN0OonqUPqkJFRQF+KJkT7s5gSY20tXc1svRA/lANsv4jEPuWyj RV2kRPgmEAuy2xaZbeshgv4/o1mA/QQKpL1Ql4TAW5Uhybxerflfd/raa367CNtwQuh31RlJ1R+u aZ762RqPHaNZCzWeO/ZB4ZU+ekbrGBAavF5MISfUHyQPQ/Zkmsq7YxBHezsYfBzOb0ZQRsPX/ViC CGx4OcqgdLorCw7Ra0vUZZdgdo1rcQ7xKSeH+EOtP9ueTgysE5o+qmpfif9gQqdDzWEARDq3ip1V vnYVFpOnl+tNTYJwYwtFaGfWeDM2kndi+JdBUSDntbHyupXJfVtKpDUQbbZzXPOiksg3w7e86c94 dYxULWiuGO6ixYTlnfWY0Fo3ECYZN1wlnd0zw1MnYF9ZjEDPjblpDp4k8fWxYR1y5337KRfwBeWm PxmQuXu7+7/JCB6YtSOpFGlcYAr9EZCft03DakOPB5YcCNxhqE89FkmtiVjB9uz7IVprcVis9BTB XsCNaem2GyA1zgQUsSnJemyasZY2zWBipC0hUrQupheoCZ/d/7ScgXdSZrccRR393ovqs8la7SqH h2iFoRDHD/nLPjBYJfCU/DbOO+NRJ0hUxXOd+RV6BOnu+FhGpKIifdecaz1sqaQbgzcXV76sxAXY xPNGmPO/SA2HKSM3mOvf8Cpqux6n+Bg8lcYfUvMaiDUePxZ6rFzEfo6hjRZGL787FB86mSg4B04P CorkPODYAGS+/9avsG2Jn7rkxHgZamTP5ZIYgS07NTVWNW8L0n5uwqEFGZIxuKpvRE8rk7HlMIhD GrmegbH3i4h22D0mM65jiR7CQwxbnBkRE6L/IhVv5yrejWgGY/HALn4CdbK7BU24cBbNyueSmxcD CgtHd/Th6wfxbVKZrqeo0XSlHAnt4G5zJnKMMnI+CHCYVRHgqSbGfICai0LKeOxOiDlpvcLvSl82 dINYwci4HRXPzJzRh2TpP8kE1JZwry5i6oNfkOhQlll6b4z9tyJEPlSdlvjBTNtv1o3rpngVIRoj lHrgs/kV5XSianlzX0+2Eg7uSuorLp99C0mJSiNjsT1ZDRxyD/RKEn+hYb0QHaWTPp393KWdc/gQ s/mwDTlhC5viCFiITY0DjTsaeX/LbmFu+DQOfTkfBeXaWDDq45n4UTPHwmJqS5T8OjmyBM5yCsFb MzNojHOFoeAfN+YGTKUWbklORgO0dT+6Ks5V3shty9j1bFGFihZ7IuQrTCv8OxaStqs5Masd4bI7 uLz8knhaZ9JW22wSCVLRIMsXEzi85xr9rNjXQWMoEUDQCL/AqRnzykZJVfyRENu+fKmWXhfwBraW wuYV6w1cSB89blbRt/sU5xQZCy204Nf9+1SmqYmDeavSoAp4bdJp4bLiPLvJv21hGS2vumcNgrPF 75pTMQcGKSNRxhvY+nK6y6KKmO9Wsu2erQDrPxiFRM1NVs6AqjHFNvVRvzbMVbRZEplu+4MLxkJB aSnfdf21qsomhqHFiWCZUEQI7aNr6lpgxRTpHbjL0/saQ0jldB6cbZuuYezhLlLTnPWoTdxH7jJt 6N3sKnAijuZBPxCzqvxTBlOwSp5Om85ZLx4ESLNR/khQ2Ws94m4+W71LKeSBfH9iKBdo3Cc053ad 2aHn2v9epsU7VF4R2uHNcKQ668t+6UsDvDL13mgD01XZN7aueEeTo4ofj3ajHl1sD+lDrrsGhp1y QXHLSiLLWcXp7phM/pbdqDwvSfqrtetCIqGRV1WjpxtD9Zugo6LHqf7TNH2BSz/8lr5hxDtKiVyZ eWfLGTUvIafpO420npOKItwCuJxeGUJ5pT908vw+t7D3tktbLkbzkQ498Ddr50e6QV3JU69fuxxC qkZ05NqHtk15tUgUjOBHVg/T6r64CsLq/boOs6OOfsUV57swvvz6lSp5KwhKDCCf0eZYM4hJULDt g0nktJuI7j/wyqzpbd8L/fwPo2PQJtgNFqjGiTa7twmWXa7LkuPVUC4JSMBm7CM4193EeHvymg+L 4ii5SlCzmR4MYV4QWbDLwSuQSH0BSNbKrza/33hq7Sn4WdIzon6RlRJCkkY6HM434pE83otAEMeJ d0AzUeEx7dGCn63D3YKyh6oG0lNreG6uhID8SMOP6IkVUgLp2HiikmijIYxF7cqO3lrxHSL+5ph+ hex+4I6PYCs4Fl4/wo04+ZQu1rguidhm9nnligeCXP6cxtU1TqghMsbGe9gK01jV/MLzxjxAB8i+ XoDYCFsaxGLQwUyBPVnmA06pB7gQTO24j/Gjtvs+ZTdFmdMCJQrIE3/Ns5cZy1z0cvtQhEp6jePg knDdpcvIHikcElF3eV0Y8+UFKlFFlaiqfxl/FROCxt0SznUJeejBF7gYt2XaSBlXzFWW8J7eqVbo u1ffXMGI70AE/zZY2AH0OwRAYqQNVZc78nfDG5DZ/k+X/EL+54EpqZH5T9JlJ59Y3P/BYYCtsqHO CtRLhqGlB3GXjInKSRWMBuBsMYelhZi4DfdALN4MDhvEM8znCXZTuYMvVx+U9r5Me50Ias584f/+ NhNP3EzvZraiu3UY5Grf1jhIY0eJw/QaOvbC6L/x4YTaZCsVMXJMlst48R24uNSkerDm+gTPQpfl aIizCMTlmSMvw4NafiEnEbTLTaC9JSaviHjNQTUmgKpV5sevjFYmRgOYFtAK2gDGmjsViiUnOJKu 8t6T1xjRXuo6ccmyfXvuxSl9/J2EHBfm57xxz0uZvTDB67UrhWNqa6UnCPncek4uSqY9Ccugizz1 K7hIf5/hiCD/HVVOPU/sJBQMLb/etrF4Kg1UNYI1MpiqY2D2CwPwu5Tzllb0uA1zL9MpbNRoYj84 ue/qnJt387S71Fzg3y3olJRdzX2Wy0sE5DD8hCR66qM8j3YgWcpp89eiEIpAjbbFl6Gxcdo97AHZ opkCF4KI8LWp5ZQbBdO6123mW4lIRQSWoNl3P47V2QCY9pDva4RkPHoprJbjJrInWvoTlPWDJhGm 3G4A8C361rHerORLRlPxQxpXPsxRsYABX61nRJ/XfLe5LP6anSW3uwaNIdZWFQ2RaiZ+iEMh9LOc lbYs/dMTOaCZJwbwsHler8S18c6v8bTlCPYBLdJWHYCGSf9ULd2df+Z98dDAgpM8VbQAZeYKLADT nWhauxqHg+bILDi6RugJr079MWS3E+FUKhcc6Fclj5eXKVshHelKt7Og5BfMp113sb5g6xonHfKR WAvGj1d49m6d+65fF+sDCfQM0Tme1CwzOroHOpXPvIsY4x9lCHbldK2rTws0xPshyJX92V2g93oJ +Q5EZNYqocp5hYHEumarb/5/Ux2GbxCzv0lUHfbA/LHZ9UwSDeaw0yKyMH1SaqQgfvd5wlbfHwaq s72aptF7eNPGKKqPNOMig4R5y2WiWhxtBiT+u9q4nahOZdh3vKZ+vCV/Ki/qyrDTzpf0nM3aX7DQ VFlB6gFklIRcVx9MvZoR6Sx4hv9rxd8GvB535su8OwyKsvyXq+iwWd0TF5SzaDvMrk3BlMt60rcd lBg4iOzo5htFIBfi31NnuBUgRVOFhaMT+TcyOgCpMpKJ/ZYu+GqheP0rxxMZmdAbbMWwk6c0wcUH ds0yr+1xXFiljaYb6MoiwDmaxVUwpCl7uno2h0d+93vkLxhvOQkmrwnXBsvM9SCP0ZrXR1wB7MZk lDTiWSjmNMTpwURjftxL5bbCcBmeahXa9D/ns4HiTyMddehFyOyhNz8oW32kfY0AgT3hZTbYRUsf hqhJ+VFQSS7h8Q8kumUr/JekThoRFc4Nv+N6n68dL/szuTT8JLMYRVO4Iev2ueTr9z6zz7xjuef3 qgIi17I5MZW8ROtS60cZlwQMSUuNqIcSYd3j91/JxE9G+qmLGxOro42u4WMmTgL/T8gGYCShlDRd 3dDA2dMci6kwo3O+qcbrp1CZR1kgGIiTawo0Co6C6UsmsoC2AXhcGcuExtfGJCCQxeEB1A3V102/ PRNEbvThJahMYuoudUVdSC5fy9/kPSC0fmh8CDp8vLa48KDKJIYNWVCQz5lZBLBrQPPEAHbvfb5w Otqqt3yYZ/+VsYY9Iib/xNe0ntcBHAUNYEzwEP254EdEqbkT6hU7SVlrfLdNtmEiQ1NDZtRfdwBP idvMZ3OP0LRHLHfGQrCij9T7XUJDY67YQ8Y0ueSsBa3RT0/vJXTnSmRplJv4fty+u2L6ZkTZu6ml zd5skKk2CCmf30Iy2OC6/WK6nNtjdXQGD6QzNBOEqs+hGgOiflSfKg5w9NYG9yYrH2rmjCZCdaq5 HYgrtR0I9aYfpBT/K1NoPADUCW5ZS9aiS+Y9t2jKjvw+e6nB9Uhts8bBgUcXh2ptIg4iedkJKU16 Zi/i+OzB1CdUCWoofxZyASLlJfn6XJtKcsn7BNG5to8ukQAASkHzyIeR3nsPnQS082CxSJcxfNa6 vL6isAwDdPNoDIep1vztaEJolEj8EhvM1Y/zd9MD1S2nN6kxnuVWxDfwUFRIUQ7IZR+CtHHEE5vU +gAlvHBMrb1MhFlTkr3jhgIyGDW6VGE8MgVeogKPVTgWUn4OjJFZJ0g2mMg4m37R8M5Yxh5iCIX2 kTuMhIb/BfE8vukxtK3XKEYaStYL/S7AyL3ByUMTysu+PMJX4z2COap/s9Sp++YhQnbZgryiaA+H KVGkb9lQAoIwC9tcmuvog2kmxCjGm2ggJ4Y1t0y/LofKMMk9sBKPotQsKvEoUdct6kEVBym2dAy7 2mYBxGe8bfksZ0Lu6vsRbZfnG4/XLyKavjhTls/S3QJ4smOF/hTUj+R0eEtBjJg4qhEzIDqG68kX UoGE/9lA5ONlPiDj8b953VncYx3C+QpIivxmVDR/u/hhWjHomLInfaG44DMgolnT+IkECdTx+PPm 2JywlwYUYzsIwMSZCP/Rh9zgptbcsFuQgJdn+dyJIDoSpxiPv4ATFag40ex55ZeDIkgU9+4z1TwG kfZGMQN4CRcrd6sln88QW4NtV3QDAOONjw3C+xAOzUfnf1qATsNRjP8qHinwitcRiEWXYpAqmco0 rZsjWn5oRy4CqKXQQ6dal2FNVjlmTdgzErsl1lgyneo3sWpsxs10MW8LyGnJoCaVIB83GdXrSKyJ +z03HuxDsNtDtpoIKjBgnqsPCB8PdevKzxWQNbB+MlkTD8p7dqGG/2/hUjRqtH35BOlM/KUAKfyl pmWAiS1Vpkj0VMQ4KVJfBpXzFAWFZ8/aRgFR6QD0UUCt97DdIiLK0EEYTQ8Hx200PthJiw8+Hlfq eD+OrOW2pMkRJxlh8eLQ+6nRZJ1dfG7MvRMPxj9Y8pzPhkAf+Sr84w8+jBauubaw3irC8ZeKe4FQ JvTAOz/JmZ3yNQ/RwYQsFknW5stue1M5qNDdaZo4wRla2Ze64NdbZE/dvM2HIi9tEPnHG7gMsAwm M4YAOaaqTpDtGVY+i61v7wXcbc4S2+5LTqpQ3VwGa2SzYw0Gut4JoGW9iN/lRTTljsM9od9URJHs OB3R4uYyl0S3oqiwkamfFeK33plJhMQze74Kz3uD7LX1H1HP8yp01jO6K6c5QAmwIejoAsCx+Em/ m7x+YYZ+3c8BPk4Bt26+sSroLXl6HXRN+zvHm5o1xEPEnvPbx2uqEBAVlYic6jV4a0N+1To9xbJG w4DpP2c7X6/KfemZsrYmB8AHuoqjBBnRYNkCbYlVPY8UtfpAhPy6a37i1Y/yl72RxJW+CdWacM74 uZCmq8UM2bz+eXxHV3XpZ1gS+Uoiwb4ie7skqeAiJz3+KNjP5abMTZelLAWPa5wGBCN1EB4jhPR5 TFRoS2dRqe2xBLa/f3EAKKcjRycyqrAaUQ0F8VtDkioPvmJvEbFZR/PRVhoOd57qUL4eu4DwEwne Ju5PSVyi9dVJO1pK0J30jfatqfut6AhjoOomFUZxphbZNMbTutso8BWvimzu8Dg/CKB5iZjkOzqO JE4e7bX7x0aVbAf0KeKfp+F9YNFJ1EvPk8Iq9q0MBut+8Ldl0UwHNXyrcAxlm9WpzQmYcg7O8su0 gShT0OqYRFgJ75P0LKsOLztmgmMVSAjIGoaFfdW7O4pGYBtVQO/nDvTSjFOAA0dFbLfTcx2zq7jI cj6n+JpgAofKAbJsx4Z5v+dwqy3yrD9z10qiixztJ9VfenTS5dw7wfOhpzCu/zbMdOWCZAXj3aJk yBUsrXarKDIBnE24GCfiEJ+Z/szdukJ1rcpERxj4Py+Qk6iPNyVGvm6XVnGkdcwnJzWlh7Q/SLC6 x/tqh1PSeOaQAu6yT/pihT9r+B5PZX3tKu2Lk8NQ2EMN5ubdLP4DX4GFAy9AJ+ObFA22pUFYasCZ MgkEXBt+svPvGBRFNCnq1HoUjOq1fuud2icW/PIFH/C1QC6cc7Z4e+86LGDHLGhQCGjypz4272t3 SwInK/2z4xSRUapZSdTtHcgEVzEwkCKdZxN/ztt+EeeXWduiUl+OjNQ1ejlvyxoT/f4RqbELD+Fc aNdLmjwIMyCnMKlmIWCLZ6gsFYKNPR6UDzISAEOqDP8nwo5TCx64Yl26o3SkXEW+3Ch5C0YHNQJD 1pbAIZAyGLsSGg9+ouJhGU6f4PuXqczgKHza64TkodfeyLas9K4cV85YOnBDuAONwcpvhWSXsi4I qk+eTYmy9+Oavs+hP3wLhCO6dhhknIKZ1TtahnZX/vcKzuyXcoTMHSn00lM/ueVEjaky52ciFTY5 htg+/UGF8piL4xuDRL1Hc4N/gEwjIh1t9Pb2fyHZpdCiDXLIb4KQx6gBDSsWb2vgjFaGmG5smVPY wKBdwOi053ESNXkpmjEV4szNbOAPXCpgEmQ486JYPhg6qFkzziatqD6W28E2Ez2W1n3GYXjbRiEU qsg0BcUJ2BHF3lK3YF/BX2g3eU2gGHSbr9B8fNu4/CZF3++CyVHxBP0ZUrdL8MTRJsQrzMaFrm9x u4qV5cpxH00Wm0cZN1YOlfkAFImVqaFc2LUYU3Q7AHZ0CprxOusFQKM2DCb9zRK3xNAajiKm0Nkd VjA8BtJ6GuZfeUFVdM7YW2EFx8+U52jNiclWKGTJ6slyiIiI5as5r4Oi8CUFCJZTKj2Ggbkot9xH dCB2IIBaD9F58GuGTXHBNiuhkbN1qrSlHPGaJRi9oD/7g5ezI1OxPygtazuk/s+5PSJ0WppT2bAu nbV2MsXNm+Ljz4OaXwogxFOOpe2yKaKKT/TRY+vd3CFAC+7rt9ProHY5osySqXk+qfEPkShD/d+q uyeEQl+n5kRrx6vSLuOObsSLMgdvtmD/U+pWO2e0LKAM7lw/7ynfPMR4mHIfvwdesE/afLWbE3hA QdizRTMX9zNKqi+kFPVG25o6+76g5O9q0hcFWY6lzD4cOG6PZ3BAk+TIf7Cs/1BJLZR2UhdauROb iQ1HGzlHnLwQ6p6M+k5Aluo29iO0X+OdOyzGlg8gYhSAh2q3RrZnFGW4mOl7z+qzpDeKAo5HcP2g hdXP8lvY1SiMWwpBiE5o6aRzI0NgztfZcTb4G6GZR1RqpKu5JVqskdCAG1//s2J0F/3XGkv4P4ph 2hJfJo3FnLk6gtvX79FM2cZUQMrDNJzPBWHg9rhQOoh/U9oUx+er73UDnRy+t+OxnFH1hADWmh6H CslTIPqmlXbYuq5wVDT+UaFfIO+taRtTfR6sUPdtI1Zr6UZLq8SpgpAoMc42XLCo5trUvWCKKupY 1tQte1hhzJFJ1E789IxVd/tidyMa5GtsGHfkSU43RyO+DAgWLpeiAq4zadBqsI/72/VhKQ4zFWWc l9lSKqPgRtBt7J2hy+heFlnv8Ls5iivXtS9PeI3obxHg+p6TgFx5GNtC0DfgN5AtSudtPQE43PYw pNlJgf3BYnkGRzK7nFrDyBszlGtoKabEvdFRyuUYCrI91TCqkOj7MnFRJLaBmcM0EmoHLKK6YC/x GlT/hWLcIOQtKjQxT6HrPWAGpqP2UHRdT+ws5uar2+gBxXFInk3vzkQoyVUmrb2iwoLgJ+afLhF5 xGAeED0/k67Ns1VTh09ODuFy4CdUwoYChDgPbgVDr7ELSWdJh1VXwreTD3Hm1n+WFQ96zJfWj1jM Qc/3JJBQidzszLBq++R0+gNBQzYrg8Q27Qm6X77b6QhG5OJf4T1yqln6T6FnU0ATugYixE7Av/LY hwGqhchFYScrkhpsiMWsHPNUNJhhu2dSZCIFgFNkPIQCDj3gLhQKcAcUJO2OM3QeaoketyJtagIF mAPJ/wHPteDNxCgt3IHlKLxTQYPtfyGMTdbV4J7KjF3tCX+YSlXXL+Qk6lubmsC8xnTRkHl6cAef v9Nh1JuIjBkl5fkh+ABQ5jLy+cyiGoRP9wIqQ/SY/c1xSVERirvixOfw7vdG2dDyJlvUHrcOPMec GbMVIXZGaZM+0RZGqL3ftHrhGVKKa59Wb8gfcfQYtotpfc6ZtYE0VrKZUV8w5RCKWDpSpW4vckWb otcJ6VW3gpULazRqUn62OxgimSTY/WDBMZuk3TTV4iyZapkSgHsCC2C3djWqWiL4NlnuLDQNUoq/ q14N0t/q2PEABhbQs/v2L5KYYzA7Ld39qg0GTF7l83u1yKpbxK+fPAXynMVCFuD9dA9C3fM9Ze4s k00/eVdcGHfAsXanxEMUch39U5D2dlQumluLPTcE7TAcI3sGixfCjUMDknptS0NK2nOzwWKaAqRA MIrAPzvhXQqT/z3+Xm8VIjWlfPdsfJyNyy/Si8/tpMb0MKIYISCSuGbnKrgc31SsTdIZtJfGxzz/ KDBuGyL5qs2Hy5rJTl9dHMoQgJ5ogatNmbzSFyEfiqcNgfk1LzW0f5LXVGwTRDd07fygEClHyYE7 pu/mWZrxddG9xcvm8x+Qjvo7e0bNUe7sqAafVv782kPx+YmEYHdqptwd7hbQbkLgoPwl8oBr+xNd w6DvzUfdfI5vDUpfxwZ0fXQQ5WF3Fb5b4T+LAt+VMwUZmFnGOpIgN8+fnAyJX/pXaeAcTO+9vg4T SMinNbIUgfFpuPALQQNDcuXZbk2KZ+Zi1T8/a1EPbxmSSDu3Vjd4Ib2QkcBPkFFpdEWOKrHtENF4 qD9S3w7SC8k2KerjRefkJ6rqaPribyc+CDIUwWMeFmPSneT2PgQF5woWPkO8cioeqhm8tGoJJ2FA MqXHZuTCQqAz8G3MLkjvFSMaO1G4zgoFmn1q5M3kDFj5pvJrQ5bA2MLyn0/Uh0DWvNZ2C/a4POJe zX/S5Z6ZrgQjWGReUG4+J1ZVuIoL/0MDQq+WmZk2fNgJKi2YoqECaG6C9MYXVq5Y75kKX6A2DbYa 3mAHvb3Pq8v5wOkN3ZT4+gUWpttBWwokE/9z4VxQNUA491r8HKk75xf2FNdAQaIjx9ZQQHbxfxDL iRIP8aFTtOewFwpduAfd6cFizKtb0CxSVk/fR0PhoSpau+K/DXPcpl6HaBI8cG5hJfQTsT2ixxUS rEqhHrx6tfUlnQDvykGXTidYv3+fGWuvxe3q7XWqp1/pl36j6OSdD0S9v8WKdSryTPOhuUlUGUGS cQ48aN54X8BsjXO4yYOxDLMiWO+wa3ZXViVG7nL7diEFX0FvB6OT/96/aeTWwVMtPxz38Vz6gv2v 3ljegVApRlnkqkHaFtXAMmNQweZaYqVGzQLssYMWlXr6Bn3OR3yDy9gFhqz8iayT+mhFtp281SKd MNFPtsKkvWjIDv93BIUCAHmocNm0ERYNnIpTxGMbRig2q1DSkkN79nzp7dq/e2OenmFNdX+VzJVr EI5QXsM+piJ8BDy4ZPdI5QswZE8mbLy3xPQ0kY5X12c802htnTblimb2y0brbiWI9NPCMoZSzhXC IIBGKMZnsJGGkYxW5hSB1Bffc5CBRAxysidjI7Zwqo1xa/dL676MoKgp9nQSOVHY6ASAchKD+16Q kkF+bYIgCn+TvyfpOxmZV4LXp/yv8AJ/04wz0XbxS0G2qkp7yEJOl92s8m9LDlhg6HoAcxtE+BEE znnfO7alpy/8aSim+YdEE9hnMOAhH9SaWURKWN0bRZ4NP8bwSj6ADK3TKYdcGZqg2b60zIETEtGE 0d1AILyplS2IdDNE1N80/oGvJh6I87ZY466wK93fxFDeSXheykeH8hVuTq7UThrhrxZasK6JJ1KS B6wrckbyzsgK8nqbKi8BoUsHDL5c5gLQEviOSpcgN8u9RKkY34I6jYT+LC5MvjKEv2CSg8b3nmPP +1QcCOKR8BBgjfca4k61Ie8TFzxktzyU+sewJRsk9fPyVsQmnG4jVNkQjdaz35WptDctgL344YJ9 zyDl2ym41foFSwPSTP7y/iFM+A0KmG8pZpF+AhMrLNTbvxMl5TdrhoufdNT1ueqeYTVldLDecTHD ni+lIKxGbXbSGynnQ85mdGq5lDOlsOW/wRsSw2PEZHMmXA/l1iV2Rw5nSkd8SSs1VmF3TCpqY3Uj 2nX7CRhPFdERhZo+W0tRezJmUEOsutq/Jyfb2ahVeOOnpj6Osrl01oeZqGNfqJoSjRxVbDFDJUgd La6+haJsCpgIMbjX9SPpyQIWHTUw00VqXkU5QzjLNutgrldcQemNt5dXJPz8OAdyQk7euTh9QnK/ wdWdFfOQNKDtBa555t0hOOTpQczcfqSpYaagul1Ls1wpgQxFJKPe7QrmGWvu+VbwVRzcueYL2FPM TTYJ4WAMuK5jAjaFJ0gMy7z2+fJxzECQD8UKUHd5q8lv+J3rVN2ReHSsAZ5T35H1nkk2LUKLV6+T oy97Sc1U2qZbRRg6JVbVY5gCKVL0qCeqzF1JrpoCZ10QUnw68KR31onfHdEtfpfefETrTZF39nou nAdfnrFcC5GxldK6ZBzltxTQl1IAQT2F02QZIV/8zXu7aEftW12e6Td+UfsPXwFh+iwa2b52TDNc rawz389txB1T+ry3IVyDmxF3lccbWJaq+JSU7P95+WYR5hHqLBrV2ci9f8FbRe1WgQW0KZLP6IOa e40EnMqnI6GTR6zVyDHnQI9661YTtu9tL4DkAKjWu3bzYVexURZn8RCMTK8tPVM6gZ9r2Os+TUb5 jEZ5Uon5/V8gjsj/v2IU+W9FK89pTgL91ZIKvGELJBj0eo4+d9q30ZKmg7t1WsZU3em1SvFXLNz9 1R5jdxz6ovx6qtQtV1Fbmv7doyKc200eVCJGT2M5+6Y00aI0Eqh5dohZK/Z/9ruMYqlR2hDv/KDo qzFavxB0HPM23/87NDiskuW17z3BJ+R7hk8d/sl1OdthN0l0E3SqAIfzbxi0sjzMRBG/5r9Sa/Zg lt2eD5tTmktSTR7z3Laxzi6QC/Cpc2OTpGs2vxzyVeS2ebOkf5cfShthYMBanCQZexchwYGL3276 d1F799mmsGIQPLzW0kw+ymxpTeYZcufW+e0EsNJE2DGVYUU6zPS+Vkyg+oIh6w1RMLswD4spcmrn 14p0FGbLgDoPF40NYg6qAQ3KM9LIRTLodC9swWyBigtI0zzUg0XvA3s9ou1l7aSOpCcUidAIi0ix lg0zkErHOv5oMX3Us5G1/jUYJKFx9h2j5zTx7bJFmmDQ2JEMMtd47rJvVTLRjxrl3BU/gXlQmh4v WwIgB8IsRSLn9oAU+CS4Nob8y0tpMgKfTriNOfaO2rwFpBw+C/tuZ5EtQNu3WZ6rfQbtE3pP5NZx 7NpDw2R+qngJefxfT6D+tYTtvUgbR7/vQwSv7stggwudwpM2VFq8yQGuW2PK1W+yHHhR2Mqhvfm2 wCNf3TNlPLMSVa6iu3O26iszVzomDdyoOAU21BWuu9vKZ1DapxPGKs50t9upYWiwvPPhE9OFFgrU j+gxbXsZv8Qcpz6KHTNM/9AGcm5ctYjjlcVknYIBsKz9izhsdGWYcnjjE55CfbStDqWGoAm5P1UT V1W7Qc4RAPzZvuE4kL0j6aoXvz6b6gxX0xe/v6gpabXzwyjg6F/bPqgpnFARR1y6KfSwgawV9/yU 2gqIeOl9oEOfrS6+9QaQ3dpL6AuWxoQts9bVAZFDQh3pKG1AdZvsRy5JWjY+DW27YpWPHOUApGGT mZF60yrhZyQOqbLrrNFs4C/rZIBO8fxo9+1B3snXwn/Ym+LqJeo7EWs9AtwZYC+l/0JTfXuigERq hm2wvytt2F+BLBMJgtSM1KMDHm3fcM+7bZ2lm2Np1vTYoaODyV6wnBRvg5A0p1jF5u6++aXF7xQ3 PJo2x+bDKfT7w1v1w6jmWoDhG1HSrYJ81AlCUHT/vOvgA2dyrQX5KnMpU8V1J7OC61i7x4zM8WX9 7Myeh9TeL1r97fZmbdsQd0DKGWHnmqXTWXE51UcHDptRzosEXRtwsOm+REZh5ZVODeiRiURb5HbM 00p5F1zEkXgZI4WibW+g8pgOSUeO+fhlFm/XPIL+YVlo1x9xeMmaQEKruuHhkO1bdC27tuUPGnCC /uhekLD0yPbl63wERArrWdmMN2HGwRvbWg53lfMKg9M3QBRNyJ+vA7DAy0+G7xX0Pwp9FfOZefgA W5PGutGklyT/jfhd69fLby1yGc75QGsTgh+tRV/ndAVFLuYvbcsG2XnhOrGQuXNCWzVLjQq/msgd LS3FJibeYj0nMvNHa09Yuyp8+l269+YHJNfShZMbRtDOcwkJ+0dzRGPKOAk8YL199t8qCzl8JyaM cc+LI6oUTvUAHHV0PMHIMRPNXJc8hdRLRI6R8TY3uW5LuUVftbiNxiAe8/H9a3IXRW5jd48HM3yk 78q2WDzvL80LBeU+9QB+xwUNxj6Cupvk2LUa6Bqp67UpIB+o9XiZLfDiQj9ph0quf4zf2oF5Pl/B 8TpUxjgyBIoK7DGTWyiRy4SgBuhpWXGy8zQZs4KYWRQn3HqxaBvg7mouqcJy7MVcSPuAYEH1ahu4 +LeC9VsEhpJMVdJVUUi75Nr3Pv5drV/AbLI4s3mAzbQAx/Xk6o8QJl82lsg189N1aFp8ONocqNSX LcTND9jSti7aCHozaxGZxK557n56il9WKXYkih/hxre/8DkIo0Qn0C1rbcefAsmZBaFkCYaHz1Ip Bv/6YpYi98qMBW69xMHg/Kjynbly4nTEEziE1mu5iWaIv/rBGhP1ykQZKNZzAwkufEiHJPg370xq nE6AqWGsSFiZkITTak/mrYoA9PVOhKAkxXEL4sYKEqr2gYTn8vEe+RbrhJSLOP/4tSkjP+3f8apM r3gjnivybkpcjC5ZUaL4fAMknAbLVLRXlFd7uEy//McZ0DKz/LJIndnOlkpBGUbMxIyRj4tPTfBH +6gShjzYm5pMVwjX/O0fM3ZQiSlCuhJZ7Zp4UdmqObce6D94csqCwei3dMos0sHviH4Y1jehp1WG 7mhEZffbdM2O5H3bWfeCWL4/HHFxvH9EU+hwXt09YDlvSQ9VuI71Ih7qSYnlTk952mJ5q+08pdtA U9k3NHd24dJyZ4nlErQOqDeGnEh6ER6lkjs42u1Aw8n0lzaXDfcq8mYxqYME9KqfpT8tluMFzL+i dzvQoXhzhgYKIbAB2JiL2Xq7Oilxc7bIqITXTBCgNqghPurBOVgEZFqh0rkEVVBHS9Rkkste2ITO herCQGAEDkAonoLMJmID8zOkdssXiIjl4ModmTmf5zNTcp/U7btOsiSfpXcQC9+0aA7yQibImGDS jTxMBIRQmdQZbOLvuNCY/vDac30nQNvfdcBLwoSjxBRhS9h0S6UKSysoOXalOVDjTRVxva+S0OmF pRtiok8/JIDZNmSG2DzvFdUYnG8eJUPYjfbl3I9+T3jBE+0vtqHIPNif/8RUyjN5Hxm6oOY1BV+x eLHK+h1wBj5Bd8mqpCToPS38Mq8DxCBVNhBaoE5gO/vNvy9Y4YAsns2/r7nw7VPmd8UNLtsj3zRV fVIE8ihkXQi+Ct9esn9pWObDA+irHUCPZJ6x+Knqw9pDdwyTzeIpSnFQmK2CUGWSwNiDcIWvryQM o/ueY1J+wKnXdaxUJxVcQIUgsXoEySg41o7TvV0UWsZ/uSGSL5g5a2XECZ5qeDgQayWYfPkdYB4O JVdNfAI5Bemz8wxgifMWhuOUiXxM2WPj8zW7B5c5I9e1T6IQff6AjcnOIRRofMWiKaXgrm1+H0n0 wAGtgfdqprxsiDrVzmDNDSgjFwPyWnFVkUBakjeUTsMIhPI3Xk0YWhwINEtcboPSgNyj6FNqSnKO QJLBAzou+mtDF2fcbW403XhWks2K//OQ59Hl6II0EbvEp0FMalIHi7Ty1s03ByN+YLpQU++kf+4F rMzYKDHK1ki4kDPXMMjeAWw2S/2TlEOuMnAEY7qZn+bKB/lFgKEzr4nDkPm84kv4O+Iovl6gAMdL e73NJ9/cdcWBIOFEjFe1Aeve//byVWc0UC2m0tGtsE6JKFnVRisEHA0buqfZ1ee+OHkuwwb/pQVV P8DYkNhL+yVkduJqAjdws4pBN2GHhZfbjfBVJMjPkwhrEAani1FUcuSIrEzJ6s+IweRfnMAqOpWG doFGT34jW2RMiV4bkK79IUsoTSfn/VWe6Q4PXfmo5XS7lf8S4RKbVe588b54Eq78QQSwZPqc/M1Z r3nIitm7Zj1NT9fSa18U4GmV92AiEi+8j2ltPUr5hL6QhWsZv5CEQRPYyi8vj44yH3FjUZk95fPr 9b59mgf52gNQF3BKFcYKkEeePq29CNm7NYKa9Y1KhNGsI7EOU0N5lnrPAC7cUPVMymfet2S+rmOM wPba+xdsordEFg8BgRlq+yKvBHNF4PqAI0dC19R2ab21bXiBljhrl9orNpbk8a0mXClgv2CdOu8d ZP2h7bPJIB4ltIZowbPMQj9ZfagNR4sMX8B0HM7PV+Sx8jrnQeskHWi+DplzT4Hn3CxrNaQsjKPJ KqCAskrqob0lZz+YSGbMwH3it4G8Vg5mjykExsicdWhTdrdvlnDY1J0QVnkHgprTUdAC4Z42TE8H T8tKm287Sdj5b+WMMXagbHCMw8AUTmFQvasfIoKYCkNKKFs2/Na725TWb2UJzrRR9oNfyXmfUbc+ 2aQzgHPMSakbwQfrWkzEWCjRmyVSGHt7W4LrvwCtApwXdym+vNenwrzevKevmLqAaTZ7T/Q+u8Ub hB7Q2OlfRC+76LIbRA9CiwRoP48jfd7Roe5e9buxv2E4/YQce9xTw4IgJ1QLp65nulBl7f2b8Ks9 d+BF8DiJ/9VKZAN+z1YNgbB8egZD+zfCNWG01QAzYcjy8XH3XtoQwWuTOUGexpFHv30y5DyfboUj XBXGr/BGcCIytKTmR61CFHLQWlNFCw4Qf/7EeqKEAPcMlA0moxSRPo5VgN5z44IoMPmjK3AOyjS9 bA9M6LEaitwa6/ZtsmvIuqa3yU7pDS5rNG+8Z/sBy61SGCAk92j3+Y/jUUUWApzHw88mktGaSjEq IgWvm/SmYeny1qc2F1+1nUGajIQ3eVO6j0E4N5zYzVkcKXPPbHxXf/n1BJ/55x1JI4fn1kFR/Vbw s4Tyzb1bLO7g0YVW1xdht9NOvBptnqVBJeUoqbdOSgJT+/mAzpzEfm/t8s7TpNhOS4ioiS7FJa9y 6I0op7uBP3rwzj8z+NCx07QDVF9FYdA0FumdhtroNUIOoRg5YufCWjsjkME3sR9hwehP48+zL1sm HPCNQVudgODsZ8ReHGM7QdLzR9EsM1v5gB+TWFbbZBgzFOeq5kpUqlzHBIFO6seV/35mRdV20+kz pWT4ctQueFtIyF/3r0vKCX6B3RwNLzTqsB+aciScCIQ0FJnAOVngSiupgTSAsCeEZKkUqkFOe7Cc 2kskJAlorr4tyHbwDmKcchCI0cDvkcfj/dk5N1m+N9gHxdbGnqNXn+GE4xUMwGkBRexV1qlyduAm 3oUNUZqLNL95G3+LFoWI5XVm4Cta5Ya45NnBqoCQ3aYAd1g2F1dRXmWTKMpcxd0+qOIfK9G9tfPg VT4fmrpIgQGjzgkmeGYULnYQjyFU4sraRmtHEwBmz3b+a/0UGlzVP0Yu60wXKQMleSE/tSYiyIlv YFiGq1M7OqCIpGe1zdPaxy+N+U8bQVkn88l+grDIylo8IqD6R/qIAOCf4CZPE2/L7vriEd8j6+0K T+/xtBTcvZuVlFS49opykLW1lDb7XJPe6K+rl+oRwoYVAV8v+0DDVSFzd1nxE9ItXBsWIW94kjqw Fl2cXIjr9ZzFsTr5+zZiI+/aykNCldq0B/wREmDllJSOhUbA45QwYG2wD7mT5BCaafqrhnmtHxWI tHv9lTL32A6Q1iqGGzQfamYRZPq6uXxDMoUzw5nmpcwcJseURDjg0KIcJofZlZD4hJ49NTWNaC9J Wi4dlBkcXdCWLahHkalKfvb+Tr8xUQ9RPnz34iP5uDkFsm3AfZ3n3BzEdVDRjqnqP2ymMJsTMs2h 5MwrpeLkEHXLoIcJdrERZgfeJHArP5lXSd9qibAfx8FosHylodDVHE67Z/JPxCyF0+04hLPhO/Rh Sl5pq1UqSFOnZHni2xOTEX8UUn6CwJK61sWt8LJf6Clfk1YSw9hN8ufkkDnVvKx9tJNo2vRZfKvD UgHh4oMRsl8o6239VPqDXwu8ucycJNShRH14NMro2EG22xgN7WPVoHz6qgknowzF0kpuRdPshaIL ltPUbRrdFB3AFvBOKuthnC80MTbuRn8lvf2xYKZI0m/+ypIe9TB/wuSWrsbPe8hNF6HJTzmyshgq 7rMUvfVblsJzQuxFJotq5Xos9XT2DYsXIGRXmZXRUIe/Chn5K/idnd11nJnCjBP1hYE0NVB537PA dDmMvMjj7zX7ktgPX0yD/nVOfQ/hwTeyfoH8yszot72+DfyFRT6/+uujV4QAV+RM0KkLvxh5mAKm TGwWzrh13/alB+8qvqQWU6CQIjGy/aovhXoWWT4IAzPtyhEBGwn4KefUIUtBS9CG86YvzD1ISgRs DUOgl7lPeQuOoK3i9BYIP1AZeJo4Rkv5vyWEln9JetkExe1xMoYBSMkYPbRUA7msSBNeXsfYVAMq 6NKyHqecR0Q8iDgzfWQiXz4SAh8Do0ASXqx3fGbwWzbNcaG9SeKACk07UZeu/QVhW3eEJNESKc8x x6G9Nlt0A4TQ/ZkZQJFcomA6orsU3hRCqhgXJKEXDAFrGtw6Scj18mSlAa7FwBbQmPjuwEyicT7l P++lS2A9Wx3KXUz1RmYn1/UaTXUIXr67bpHSOLPlxBbSUyrKiTMuZOLX6wYyEIcxH7iBkeuiFEjA ztid48hwC02l7TJarjbLlpa6cyNIURg6oXSSPbWU9m/ZL4o7lz2qNTrYRQKAM1ARy6Be/5x5wHMl y1h9asPTVRZzV5Baau5OzVKjTNkdtVpzr1hnsiJcq4Qi6YLAHWLiAplL95VExxnykJo+EVyAZuYg A2ol1oSzH9NeLnMp04mW7rqpi8fuI+G4h8LawRgdPkwMqIsZkjJPNxtV684Y0ME1AfA4ozZxA/75 q/a+ExX2oDrE3gSyd46DveO86EVIRuLwAQFnTwfcKfGNuIx9l/3Xm9Xgi9TY0NVT0HGGpva0byos iDiIwfdgkTNUODTd7Yg+ulZ6ITVmadL4mDIYAsQz9fuhvY9f0JJPSckT4t7ma6kuAqHgtoQ0g/yl nLDHjkeSaYcoOu3yaSSunoHeowmXqtJUKF4M3ECrMrtQbV3ZNIbhL1QslzTDhHx7BguvSCM2kMcV 0w622/BEhK2YT8uK2f7GeEpeFHQZd0MbhcQSJeIsm+XvtiCgOOQCTDpry8GwOcRYlqSUVrAFd7E6 L38y6lu+l9lUUOKwIvhsRHZ0WHryu+6pPWcsuMDKzXEhc+cpUcyhytax4qgnPu+tzFaSaGv5SITD +nShIg3FqX0XKHhedXrP7fPxF3Bz0s6P+Jb8pA00yFsF7a37Oza3ppLHxMPmRSr4/RkypTyLmkGI 9+4tfhxcdRBl7PrTDtXR7cRZlrQu7ZVd99xBFChdYiuWozyXWkCsNrKm7PnYsoLK73goBKYfdyij IS5Mfiq5ob0Iay0/NP81RnVQiFCo/hwaPyw3Kl930IIfQ35v8WOLVmNQ5JAblRyMVxn9O9YolVJ9 AvM29fTnWEzOiCgsSKiebdjs5PNP38QfGv1bIHAIB07wj3GdarEBhzFBr+oCXTkkn2gPZOiUNqKx hINniKfzaUbzjeShQDEvDm+c1b59N7AAXtNur2wWPYwukhtf74VjiPmYbGTXTEU5K2vHqvHTEKxi qJrbIMFDi8CqyLxxXd8+Z8BsnnECOkmK1bktLSA9QOKDlPbtycbpFr8WVC66gmwVxJk01SkkFWfW Jf3fgW7T+RsBnzIgrrjxu8Z71H/whUe2rAx5TTAt7b6GaqZE2BTm+U9Cv+VZjG4kiaxCg74tZIgC wpslgeW8Sy2RwYQKBQkyJ1VXTfxGW2higlTV/LWS2fcV1ZfUuWmWqPm2i+dxqLB40nOlqjSf9Wib jgXYlTtRkA0OYnbbIjTtvTKlbNARh1KVehC31irl3hHBjcFKlftXZRD7ooEX/EuGi1C5u2aaj6Z4 IS8zH7gSaed2n8AC/CkKCIc0qY+5eL6EMyZJg2uUEYNg/tBbvhdJekIvZCcQS743TR63RU0jvH/R 5xw0r32bQFXSk04nOCf5uqSDSB4PsKsFWvQ4RDQNVQjx0W39ttskvpaGtsOyemZhyjrbf/Fhubpc uAKY82wu48QJMiatXyWUNnBg+vG1o+N7ZRbSPYh4frwSdDTQ/f2y9tgKZbRjjyS9r4LGwuKppmvq Xa1JPEN6AbVTp/U4afmnbJUg3EcSCz+Y3Juqsy21Iag9FCgXUrsuPM5uZ3vinDQRQ2sEdLI7HIxl SXPjMKSEeN3nMEKqT7v6JELXvGEG00o73+0qQ0976D5RjcBKbxNGrYqQ9MESD1/f7cHedEY5OXyt PkPGyLmn5O5YguX895aGjqlX6PsiP+XjSiubQJqD7YQV+SW6fnOgRRP1IMnK7qxH+dvPGzGvEdj5 8tncAHDnYM8sWtxFtOVwI44CIGvoWyoNM6AiUzwnoSUx2DvW5UzTnjmtKZburR+7Aj/4dEvfX4Zs azHtlKIQZ8NlvcsyjiiVHRytgSVxKVmSwsDYRslVHQLIoPN75u1qURLt67OoJxGW0z4VStM4Lxne Xj2jqm/kkJtXjDw6Vefx+O4fpT67zoDRk/fFzNPuGs6TN0de43Q8wbWbprNRn0osnL8YFKIaCiIp ki9nWJkqKareGoL4mt+FAiPEc6m5srLC8II8ofQGrC4wMQaJmNaP/tgl0WgLtHp7zkF9/EW82sOf eX1nbP1+nJdkzDONzZt7CcR1VPGp6yDHoupN/sssZYDk2mkOP24HsNNrbVpZY6NDRlPN78BTn3ub HzLswRq7q4NJvh8dkXSyAfoEoDANJlftrmMpWGG4MT0WbnYf0Fspn/3zyOZx9He3n9aYTb8bznnN AdkB1urtzpRVbnVVlHGT/dOpAg38+UixnN/Fpr0JirTvWU/SOEUqZOFXIMIt3DD/YIxv2ReknRHm 0HB9Z3fQKjhYuSor8Kfc/eNgtw6na26+4SxU4iOdW0QBXAHTQC3MXwpkRtVSUZzCQ7mTfEorZ1Xe +NMiJiwMK+AF7qeWfxwy4i4JBh20O4rmo/UhQVvIX3yhSMxL37OlfIDlLNjS/VCy0nyIe5i8GrKM mDSU8gY1evZHrCxnpTc6ZxTCPuFeI9TDEEOgm3OaJobrRDixuCYKI19tMi6IZnDylbbTm4Gix70o Vn6lI4u6UDGlHdN9jfO7p4RUqiIJ47HNJmct3e4a8UigMUWBeUx5DSlAvaWPnHo7inTxKl/1DenK FcANj/ik6OZvip2IH80DR34AYM6eeiikWl/Vb2y1j40JJKXwblRDve/v3iU7KnBckJ4dlq8FfK5T F6Bvzuc1QRjfJLrILokTSlIK4OdFggAJru5+ETIBTb0cVxX4LCqNibf2Jyh98df6r7CBwC/9Nipf ELNypySSExm8Bjj5DBya8BzYMr6EABo6TnA0IHzotdl2VgeR06Qr7LSzv03cqm3oa4uZ8vlLlqjz mLdBNu7oXWrQsoOZNh9vjmVXKaU0sEjXcs4KKCCAMOwTyB5Q1Gs8RXs36CxeQvH1X3l1x1z4HWPD 1iJlWp25ib/bGvaw2d4WGyDqhEXIy4bOFeEHmjYWs2PcSdSNdGSj0meOCr/O+OASSj8xpw5Fb1zW 9nGU6szKNqIwWkM4iwPid2YU1V6wjXM0XOn9deCfXX6lqp42vb856ZXUeDWM2xv7bsihtmJPwM/7 1sfLL5S4K2+MxOwJwlYcFlbCIy9duEstaynevdAujWOiGPYzqpGPNBWkMq8W5FYatJ9UdTsweiMi 7SqzM63xszdmHqKb/6grsmfuXi3bRbaSPemGo9xjh58xKVC2s97lLZjnhF31uGE7Ls0M20TCzn1t bZiPECj9v7MHa+RUbwfcO2sdqKpGjF2YVnOxbbDqWwss1MfbdbmTi/SUlr00RDIMXMdJSPLbRmfC 7CStgH/p7awW+WSS1QfiOUT1DrgDPdZDOZNEHxKAfW1HfDhEs8/a/IFXvFggXpskh864FIdYKYV+ 3EN1fzFns25EpP2FS+DyCcPL7zbCIygClU9rUAYgySRzAE8JAsKMfBxwbaSo/uUam85lQNvs6RoT fdFB84XdrYlC0gCqCEYM5VCEW2FPAz92+ua4p7nrr2Yb8/X/W6uHyYLnyyXPz5F7VT60lh9MAco+ mgiFqzYXIizf5BHLOA5oFfgDexaK2aNIREdYRMnYKjkrHiIvpHjri43McHEpVhShMVMLVR/quYGl n4ss5/hkNIBkF67lyxyzZHQAHdZz1s3uXUidljsWJOEt7//Kw8Q7b5Um4svo6wLXZTB09PVOaeEW t5bhWl/9XvcnmAC6frDxXCF1GZ78/MedAqPhnWlmsKXO+OCjD/fvjt4EAGMwZit0Jpm2RbgPO8Gu ggBg7l7kCVYH+kmtUZYN6b0FZH/wAtrTiwHEUaFPs0l0OXF8j9mpe+SfM3N6klusbrpj72e4DXjG wVq0/Tw6HaFHL6aRondK23qZFouXect1gOj6dZME712z+Yn11N6utJzUYd+P0+wRokCHZ/AOVgO1 KgkdbvhY+6oxfuNBTsmeGUXWvsJRY7SeoWrXLKNBZh5gLlY2RVqVOozMguaFSWAByw7fDU/gSu7J 2Ax0FLO9tyOZfRlKuBcxM0PHzXnQSr/9SvTQp16FPceeu13bJKZ8WCk9ygJPxtpByq+CYfrn257d TCapQNbJVlItMTu5l2YTx/84oTSQtsS72vqdojLZdxQAh8UWPerNobyO818kVH1t9mpYVIHLH9fV Vmwqwg51yTxkts65fsqrH31ipkSH3wyiX514eOWjY7rJKXRybTsbe+nafSWgLMsMxRzdgp6kua/j PNQkm/evFqEgujPGpogneUL7Sby2fS/1H3ks6Ea5fM7SVRpgW1QSVYtQaLTe72e07X12mDve51Is My3s/yAYQAXS0Xsav5UPL+LRvNso0+LbN1gT82oVF47YYynlgMSUPr2eLJnGANNXexBJGeCbgVTR D04YKfakr7gCVpxUvwj5KgaUX5x9HsCwYGZWIxsXZy23Hrgejh/BCkiOyeWopM+q0cE1dRoVatm0 wAwc23JRMoB0yn7PsViv1GS/V0YikRJssaApqlTmLqBdVy+l8As4c73PcSnF4BChkcOVJVLQbbl4 kT6BrH6PpkoA3clLxBqjNpISLr1vt7d1LTlZ2qTNC2LR+CYbeJXSxF01RqiQ2bagu0noJlgNJiU3 yNmjdJPgRkQFi92cJee2MekKIMjwIm4lXCdjAkZRpLQPccvS2RbTnYCRhdS4HOfqwmqYsBf19hkn 3VAQ1nUlXi8gBNv26Ts1hH9HsvebV1g88B4Aa4ijJsTS6aE+bBfHgaGwaQDUvDwvs+Fu//2psH04 G7qfAfcjIVwrwvB8CXE9qLQ+0q7Qvssj6GrxJKGw1zFMFQ1BexKcvG6jN69Uhtmalu7Bf1RjOTNL SVuPJ/Zh/1QykLkuRwT53GmWuZt+AR/h9mh/01bf770ysFn4yqgFQtKs/AE/5kB9IBN22yhFGaqu wMOx6RZQXvb634nhcNVkIIF8Gq8fDZ08jw0fPH0UqOGT8HpdKmW/itW5NB5L3J49Ip9UI/7PK91B 7rW4K2vat8try7qqim8ZkN7LXfAHNB5z4NXrIfb4lncoLULQOWek7V6Se15GtwMO2JDEp93KREUL w11Wqs60fEcudllxNWSVTPwpp3q/urXzxUX8zFq4DAhGnJGn+CfRYzXEdKPsneQFZSAXXzA7Ct2I yFppqbCKFHkdT6B0jxcceLnijbLUAAxM3XzUvt7oWITCAqit1ZRT9+m9GLVYgGumg4fmuf4K/Fmo TGIk59ctBwSMk9GbqXHdcfwKb9G6yLV0r3RMywdoS0Ak56xW8UFe+5TBJYoqGmMqFL1RC6FvBIH0 S2n1d6btPumA0PrwL5b6kc4Uuu17RboBmYjZCVa3kXIYWkmZHFs78wdrC1f/QLqROKAJ+Hx9+uwn vW6TkpRxoGpyXxHR1kGgbaTuaVU41cYCPNi3WcdiJcdbXqZC38ie2eQlsrYJR38GP6w+nzDovqj6 ZRqfrc/lYNp3i4DmtAF0l9X+wB5jifc+qw3glAfduGdgxQAyVeZOFSi5nfscRN1cSfq9ba+KDCqo VRqy6I0rco8H9tKQcwnGqn4aCNP4vsyxKiq0D3nYnJlgJbg9ekWHBtQGJvXmKlKnstNyZiRxRRvA Sk/PdcTVLNup5H5hnABUVlOXFP9xS8nNNUnHRnpLsJXWg+dGXouY3wiukx0Oe9PH3TJWegKfFQu/ WN+jSFhVY3TIXiZI0pmnIdA3Pu+UBLuJyPj/yEu60N9gg57s8z+owaDTwuW130khpdWlHamiwH9u bxMXV8OupuyP7/Qv8d0pLq740fq6GzUUUtFwiFo3+cgmnEBwBs73l+7E7XqaO4+ZnfGysMwaxfch LQdpHtFarj/hZIMPalLb1/owY8oNCQ52ztif/y3odHbhE2HJwO+hCA9HV0PWQo63Ggw1jR1lwr4Q r7a3byd6jm9wncs4g7HDHD7EMrdM3o3vSru8flxJXVi0Lgud0uHqi2V0/Ihm1OUxUimeLZdtjcfL 21oz+/3tPI3TU+3PxajPz24vS7ABzN82xbOX2tJ/mkqm/rKizkGIc9YOFq5jvPl1ZByZSIKpeNwZ NEJREeAv0WC+5NrIXE7VAIXGHzBPn3eI9hgIaf5Eq3pSjH4bIlG9hC91ErpBBcN7Bk+bLvWQ5NSm sB19ElBULOxAoAuuyk+v4+kMY1QfeyK7KV8uVwCxEy3Xy/OJ202MPC3F2Vrm0hJngEW2G39KK1ms TBUMJMiLzPLkWKVbBguOvLoBy3mkqb2T3nJRkg+WxSeXGabb/bWzLCxwrI4BULNZE2zyTPiWO9WX 77vXD9E9IP0FYiI4oVEIMADIQXkryRW3+76eFBd1qdllaD7xPazHeQBqjRV6dj9pOe2RUTeqivZE ttwAVJXYloi1+X+qmwIPXhOfk9Vd1RPTiGgcT1XkLHffFLsBTpjP7zfZotqbqT2jyTwud1rOulmv ZVe1CztxjB9HrN41stDEUYvNxAPaUizBa5gyyytrdIefV1MyXsOOhbWHBLUpST4BMgEMUluF0uz7 wmmrBvIcTGUXD7E0rJiBiWtpeOp+PlLiz19RkiUgRazYe+/VLpIz9OCkHH33MYkWxbsfXKfyhz+m EhqrwFSWMVBY7VWm5XwL+G2c609L17ipIFkDl0IfjtosFLms9jx7s/AUZdRLzb/HwnhiWV1c40sN 6qNUddVuRtbka/wCh2J3Nm9BubYsPxI8/Nt+6iQ7jq2QZWOfZjGgjjTzpdtQLE5sBtyTDginlovn EjLCH2TG/FDirbvazOzLzi+lKKZ8+Ck3qQxu3gRhJdOQxa6RFE0FvsGomGeNLxcypmZf6a1ADpPA WuR+jgbHJQYIw/2ZG4RgGkBQbhoi+8819R+6lxWDIOai0eYqvTtCScsrQM5b54VbK1VWVsPzbSIE NVYmr0meMtXjvyyO45gVIYhNgOO0uT/99w4YhzdaEhIJA/vG+6FipnmgwhAdRqUi4oZI912CRYLE wUk0YZ2qkbyORW0qGp1U8TOBsRoqKdcohHPaVkxsJStbee11dsW7V1I1/yYAY3IuYK8Rzk/zhK7z v1uKLGKLAHy98TbFGkaZ51SgeHJZQHBcoCPhXUe2OM9mU+CkBFHtdn6BGTNtkrW0f3SXE/IAHZlA U4/LUIW/muXID2BLV1Dmd0uDPUi3ykKCqeAhqX2bB+Imj6eCuo/zBDns9i3hBC01mB5Okgk4O5zh R/edspVwoMjEYLSeK033kKp18FtOBmmeARV+b5wH3dryYyfsReK6VZqsGtDtfcnmBqiFrkPJJz0p VaB5G7Knd0od1KWJswgqJtY72YhM6SnOn583iE64gxqDKvPZe5GqjFEnRtvNxGhCK1t5gcjI1PtE Sm6G7eVfGhogwM+ihUj6itGI0ED65xXEL/ZMmlh+4jSBZOZanGq0TDGhPxqFidkOswu4iQczA+c5 kmpb7vChDivyGE3mtvES9x6uFAYZnyihDChhpG6tILn7znfAgGmXRag0Asbk/x7TuLZKV2ZEt2Cj mLE+9gdgVed/TAckX6L9ERpDt9YxRhVJTijv1e599ekMBo6lKBWUCv8RhUEC377ACrlfUW5DoKNz i2hECbOEa7Ryt6hsMm5qeJLhGaxVO9WtewFwiH7NY/j1gEUgFhGOSn2GtA48/2r1lPf68g7tQVsL wGw8YmqMB0TOzeLhE/rJft112JWzWpP4p9RONfes+sjWcxIota3g5htPa1+OHRq2w9CIZ21D4OLm SgF9s4orKt6StVzJBY+4i03xvCz3ws6PGNcT37PD5mLIQe0xCjYmB63ajH3AJcp6GGPVdKi3okKg 6yVcH4T6gTlxfmet1kGd3+nuSyxjtVbj/tlTRFze3W8uneVFWhuzpH18+benVxwuW26Wb/IUKJoQ VAk+GuQdqtzoi1VTGFseLN9A05K9lg6a9UqsOONSSxZaiFNBfaVAdmqwv/bZNyStJOau/hR88aKK kRjTxMFrXu8vwckVJw7IDV+3fMI/VtTsSubH2slOSJgHWUjpro1xQn4yguDPC3YR4r5DpY0vDVdL 4GfVmG+EUvlldaO8DusaRMPvwySBC4Gohrt9/PJhTfmuQfX20KQ/ODpURWO/0DDy6jcgeUV2VjKC s8UAvqN3j0nYDB3Ek8u7X0js46zn5hUqE7h9BMJkczbaKmzKu6WcHMQ1kdAhNElYHMwJUu61qbkB FeeRVfXeWIub9W39g6LV4xqn6BTT78kg4zu2sFGhhtvpDxkKhZE+6rEAQ/XKg9PpXHydZuquC92S WqE+cOmo+/XAv7ZZhk61CRy504Yx/JKO71TvKWS0othTxkN9B/BqG8MRYiQrtVqmz7qD8eMJttZH VnVW7m+POsFcFn5MbJekxHPthIwJJditUO/zRqomPCujKpJMz5jhtwQkGt0gaC76q5KzJ2R5WwKr 8IHJKr4N6K0TqS0gNf4RlPW2oFhiJRX9F00Xb6dFMCohdWy10rKutbks+t7CO9qXBhczWXOu1sc+ d9rPvsYQkcFyy41HSOzHCn5m7ZEgeYkHyegOGR7ENlBHoBmbTeGognnrvJtgIf7AfQ3JVlma5OXD InZJmxJZ1/W3exeZCCpoKgjc4rS4cW7+MCFoIBpLRqENIjrDZ1CmdwXgCMbRv2pFxTzBY4wtGPez gduWoWW211Z2TYiA9eVHs2GexoRfIMxpncmGCAhO4uGXMMnVNBH5rnHuqHFk0dLYyYOxaRYwUkPU 2gNOurTWwCA3FeUY/Pq6hqYJIjT92x5ccgv6MlCwVNUwWaFIP+OqOCeUlJX7vd51rr4kWBzlJRVn w6X9hUA09oHZ/0ZX1ni1D5Hh2pS7fyUlHc6zfYpqE9Ln3rxT97FjP6Ftt0NUM1BzILhCc0DoO1y4 14g8OkT2HGKuajTErUJBlR5+M9pmoZn3+S4iFuDLSFqZ9xxVKxnZez4Emlziprn5E34uL1cEXhum d6EgzresOxN6q1Dkw5njYB/9yPKyof5WcLO2SS+S83tCKDs4DihENfreXZ3bJRPTZK8Yh0R7O9nP A0S1l5+ErkU3NKasSdvBvyM3PLUnwaWU3CD/q5Gd1W5jh2jDvPPpdwzgky1znJ34eqOWUmqSkbSm 68ZcviPT11DCFELDtVmmRZFk9f3Krxi9ujUagrQlc8e+mqSI+6EuiRRI46xVlMmSCgRxjPnzuRmv GzL3g+qvFStrOKC0ezbqqBDyoMaDV/5aPVkbh7ZnAM8+LVc67LBjGbP58vlWhYrVMe3TLYvmAout zYYgu3S9hL7Rs1KQdCE7Kb7qAj6pgkeOwHwXTfmteyRWGXw2YrG6ozCsZPTN+8tlca8EcGlySZp6 xiPA5ExyHd7eso1TuaiRKN6hS0/ogzkjGIP4qruYpgXY9VSOnJHUX62RofIJ/ryT4FnOl83UbQyH 1ZdcrtDUWq7KIRVviokXRACseZV4jkgMf9CKHct0clZja3Keo4IPK4GL2a9P9EsKX/DbuHg3DwAA w8XFvSUcpR/ESbqNrDXR7NpAyCgvBLFH6z5gWy2oE0Lp5DCbE5rtwzf1jiUVTwsCChRB5E7d6cvo VhXLbZZec+o+I0QAks1eV32c28oJDNF0v52TTeYYQYotY2KftXf1EUxEIROebX/0LcCpDFqDQxqA NVPWBvqiLqch0kBkFPMgvQvutJPiGRkj4AKBn0YWZiDi/CLwmGwJ0e4AyR3wAP1IpJoT0TbB6agC btZegWPGK3Qo7tN0TIrliAHe5/gT+LxrDhHaDX7U1MMz53dSzajt8EG/jeOp7nh3Hbqt14+l71qS 09X27nLSjgPSc9U2YWgq8sDRcPsJ49epGpaeYYkFZ0JahtZJHmefAz5HEMwes2De1A1D0cglMFnm NNh3m/anQmOcDT/byqkLsuadlyWq4vCLpfFyPN9G3fgLt474zmT9U7W5r88AtEPiinKS+9AfamkI PuiGGMcFs/L0Y8I5+WD2+9xLDblT3vQbO0QCrMzph8W3krkjEoEmOm7vvvreaC+5NfnqFvDmK7PH vc4BwZJ+5NgbPV25dpRhM1ekY0zCnGZ6Hr9sXXMSXzxcyQakDTrZJ9P7c9Szmes0U0VVuL3L02/7 zuH3MhoR8xLmRENrPXvAyzXeoNzJpnY+45Hz0PmW7/+80qoquFPsCJq0atVztwy26BwlQ03mI0Kq tHINqxes3wkqVpbjAEp/VlppqDrDp3K6BzkW6vFv3cdkNFLESxTrRg1jwKmowxb/vvCJKzNVZ8SJ QnkHbhHT1g5bjKPgFarw/bsYD6bzgfvCSO2UIfKLHPFS0YneK2KCNwv+oK5r8V22RtD56tM7egzX 41GUxd4r69PzQMper5nt0NJG6lxBi5JWYmnVufjQ7pDK4OlcYrOKoSuVQFiPSisKYY/o6Wj7kE+W KFEYXdyYyJL/+8+t749zpVhboO4r5opKgSy6zSiNb5yjaFPtgthfJLwwEUMmyx2LGkxEkM3fcUJl d42cziuZgbx63brEVtc9SlvMdHk6FdsMipSPn6+ZJo7DXO3Lr7aGRJvnL4EVN/o6PTXLOw8ioROq mYvp68kfLK7wMmj2BoWSnkXLiw5svhCyy2gqd5eAgMashdK9jbVU0O9C/FvKiVbpVYCCyubn+PsP NKwB2Aek0t3/k7vJkELfxEdaFZV5WTHwTnuEFJRmBromjd09crJAM+9coxAtrgwrtjO03l1az1ES /fnYbDNyx7YwA2B+WRwKQBACcq4/YcMvazUcbe2Rw2kCgcvW2wlRfUoVi6FHtzE42gak7NdkWjTP m1/i78mlH7FzdCwNzYfGCQPBUTa9m91LF+PhGzDu8L+HTbs9o9r2Lq+HAZWkIZNybyj1HAR3nhjt 2lIYoeGUvGV2Ct/KaA7UbYQ5g/P6G8rJ1YwpQ7QX+Y+UJkOLtVVtveLUMoT6Dhhieh1hljze4NVy MIQ17ySv/ypnVZGXPPwcIoUeQ/vZMNP305TIXieSFjRh2T/Adu9OUn5iHeHmMvMMbXZnUmBVLC7/ JHLkcPphpmAvQQuVodfbkIXmj2kPnINSKpul1i3Lyf0Jeh0I71z+MldzuPjgDSXF5XPbN+SiPz1i gVAqKb23g1K+cqRdpNmldRmVzWKJHtqRqFkYeZHsWjmDxxLTCJXVDQ3y30867uJaPYadJQyvbvRG EDoZAksMkgQAA5GB0/rqq/4hnwb2jVX+6QYjwAYPM9/mrbYyRYQnJTFhLOyAfd6ls/2HTJ3UlRHo t/kNh8vrKLxOmnNBbM1UeNPCLgRSklm1lhtt3oKuhhoF74EEVaOLxMwqa5uaJXMdBv3squFdM7gC iV+XnA42zYk77uzgZtOLTNdgDA9JOpC1SCgmaT3sLa95+ZZArsBGYQ5w8VJA26HyzKd5iS4bOaQo dmImSNJ23IieONhFHKn/sW+Qf7Twms2WvCT2gM2KafM69eyURpZLL4n4ktQEs6hVWvniNILw9GhC lkobjCe4Yr7P3/TUoMN8L9n2W2AgeE7DXdzPZW8qV3FYfyuO0lTW+2b1opAoKwtxaVrci8Yrt6no VN7LW+/8NKhqGHFFDavcwQ5THJNOlwMGMzMkbGv9302zLYJ4sKwxazvHWHGGqALd3LygISSXuTlu WcmB0TBEUv/82g9BLOqXELROD+mouNya8lRxE5Utg79V0uIO5vOFuJo/eZs8jibLWL/COZpQ2Bif 6wB4HVGdvcLoRwFCIOk5RD1e5OTPZpbXhV/nJozGCeiYI+RVZNDQUJ64GmTZK3uFXpoykRp++/LV ZUu+GbfPVQl9mjpum0o8M3UnjRHg82JC6R+NMbi4Iz4KSNdbY7sD6qcbHUAhTsAlqXz74PsVL1LA mvbC+BBDG5g1zUzHPFSAh+dhJ6Fk4lrE9GrIw62Y166J88BRmGGKAsgusYcoIFOm1uwLyoSa6Hje Qkgw+NfL4jUPQi8qjWMNAkp6abT5P3W4S0Po35XNuG0hB9hBoYJqklBZOpS0Uer0n04Lb54bq1f7 GbOWqSwxqtI5BYosZK6CUTgirsYyRn6ktKLFnRRM92rAI4jDQuvhVTeD+37o0BX9mOcXeBsZZ1sI spSNtO43oI6FBB2fDoaLSrgXjxtNP7Lu7FeZBgtEYO72DsZG59TkBlnaxs1WjCp47aq1+gRDs9AT nGT31DI0cCvN8LEmBOEujB+dDuRfETn5ATpftfXesU5tHXe0SLsTMY+iErAfNi7TM/ixlP2eC3BO l92scX7QqcfIkupbzHtqf2T1vCt9igTfi6gVRFINfKEholAGzTTXXsMAC4rU+m/ANPownJaY/GjO Leurh+0riGFFjkTQSPKR9BbeSArgCuT7c7XPthXc9ctXFfeblolp6acQHygbmG9Z5f6rK9oz6H97 DOtxih3kQWLjU3QXdmexRdu9KGCnWQA19xEbLMtLadnJrZKPo28aep9v631zG7BKeysUCyTkQUJh YCv5AFwh7H/+MrkWOWlhLo5s+GMRHQ/W22HoLcF3PaH1rbNK13uXshYqi0HPeDjdJDKQcXDhx8rA KqDvSlLNNj3NZgP+8M94DL2iiO36DXaxMwRk7lc/+PVgOCfVJ8U6oKW+H6dZcYgRF7XAJOlrRy+D 77YNwuwBoe3ygjXcfENh18S1EUJGkrhWL7NUSAyzFQ2enFPIJ27wmlv634KTgUKHXE5RUyiUsTE9 LQ0rVyELYslH5/YCDkGbrVhptRAJm9ZBXhVXnFQKX/6zyiKMy6nB5xdGBlDiOTd7odO1VWjYB/yJ dDBBA0cDuoSL1S0boHT5MoYRqBDp2m8/YFzpGqyRb+l0qmAlOz2azkZM+/HlxFAFN0F9CoVwNOy5 PSp9kUyCURuWFcmvUMjwYKWdwujg4BlDazxRK3FmUk1L6N3bnezIpbYigfC9M/ZExTnw6y0MMqBQ ECGtEFvIc2HQ8lbXtId0UEA8KpGZ2y64ALCaTmc7jZeFF3Q3CRnBkgcS3aG7W0gcNcaK1Q10X9Np LfGb+USf6Q/ae5k/Sfzfff/QXA4f5G7THe3N+8RH+F/q0wmtfdCugo7FAEh1xRpuLjCyGNJ3Ukfm Z5ZwfVarZez0wtPp2l++A7F4wKM2E6ct2sUlIHYQvdfbQQLhtcEPh4t92UwgnjLe9nMuJgoDcY3k Gf0yjKuHFcdN3MZzigrSq3xG9B500wSJ3dtU5GVr7ElWbTPE21dgXqfYCG7WNRq6/7DdPEHf3fhN zCX47OF/Tfl2j1+Q8CMgFAKJNq0273ckWnfnQjf3rOiNm5ME2p6zcpYQ1yQ3rBFYpHAfs4jurn1U n1ErzgvO2tFuOqpgExotmEwuRRonLd89L16D8pnFsYcm+1pfGr0k2gQFFScG4J7aiVKKf54788/3 2Vju9vteS3M+G/XXmpqh9zio7fSprjVMBzxDDW46XSN+6nW3OYme244KSsvAgIF1e41h5MHt+RwG 8dWQUEJEVTPgSPqf1gm4igHkVIpAHfCYxMnoEQTlkHGpgi4t5YdmKR4wM3pdOtdGF6MEPbmw4M7j su2kQaYAJo0fCvhfOXGG/rEoIwqfFxY3osJG20ldetEA/EzkDrs+/V93wf3PNHRvSEGyRTeJA5Lo kwfoShSpyZMEPa9HX81/x0WqC39i0HfxHMuGr99MCvItOGnRjQtYcwaSvIMACnikMWh1c2Qne9pB hBS/Ycle/El98c8MobciwY18Te/JyEGre4k8BBt5M5UVKwAkyXFvVjkZKZM7k2gBW/2qBjXKpeNg LP6tEzY+V9VeDOb7czl16m3ncZmg2k9PxB5QYcLDiCM4m8cqeS2qmLlB8gXe6x4HRniM6T7+VgqE TqmX9W0cooLeWS9jSIT1Hs3aG3diWph0MhpfQgxbmZS4PWu/iiKNArBU3McUuKSay5z6smh8DIzu AkFXTqp+Y1utyFlWCQrlDl3jKj70RjNOGGGg7TBY43Yx/bIm74laHg1G2Ny9Ibv6p66HYao2U9th 2j7tMzdi67yUhPmuKBEz4YX0+X8dsARVc7T4GkOUGTxLucxNEoeC8tHZQGloPHv2mBYa+lcXVAgA x8sfdv2W8kFQOi7TWc+pLc1voJByByLLF63C6EUKwireTdIphjV+y9RWaMunwFaop70E2UNyxJ8C asujcc2FFnIK3BwsOYjvGWYXkKAXD0E0uwAC3iZqc3M8NIPfjrvvVNBInGFyAZaSNUK76/szlmj+ +qGBOKhZaQcMU5dtjhBN59uL2EWy7g+JoYWJr2x6JDHkGOzrfTRJyD6U0e+0zRo6ZJjGZ2O89viX 0L6p6W3DMyduy7E0FuaWePQLFzAyZjiXNfJaUIjyvuQrDr3c7FDdepnYf7bGGyqwVVH9ul41Uud8 qftCxrDQOv3651SpxZRimc/0RbiHhcYLlus3D3JD6I8+8IhyrrGqwKTaUnS7YoNfzvbExna7Q9Go lCziEWZqT/AlMEchlSwe8Jn7K5kuf1ziIkMBYa2iOJRrLwD8SDZ7W0hOlwzziQdH9sX7ZJKByaxi /Hzgu1dfPlsgplcIsYKWlx7fL5zWudqydz4i8J3fBbCvDr27qKJRphwF2vM6bvQ988VKdNVzVs2M wh1ebtki0WG9TAB8W+ZHsoHETbokTNGrxwXUYKdMYzAdGIe1ra5DWysT2rIko3hTobEx1S5yx9H2 ZbjW/SRsTeWggCHU7YYKsaanc8hCeOMar9XpV04tuh41Oj8KJJf+FVO9yYxSXbL39mTry6mlPwoA 3Sm+9svEfml3DVEoHpA8cQfbAR5i8jmxuOkTXZHWN5YYcnwthN7T0Wm+gUNpDNWlDbPDXM4FETSG XAzXuuqRmMtZ1BFX74kQ3ppyyLgJNm4WkO67AeSx5fjxo7p2kfRlvQCa3KZamFoaxfB0VAAuvlTb wQED2XYQtdWWQTl0F75e+vNgUVdlXaTWeLRREKLbuEAgcYbFLhydJkWwFb9l94pY2cgDP3M0aqY4 f1kMWH+j6wtnFTUQfOYSTiZgv7RD/ofkWwVQtEbUsJ4Pb4B92Qzc5wH2/QltlH5kdlkQc6iViNLC ImlKrzA+Hl7k2aiW0i5ESpLjZnUvDp6z6Z+2d1D8apgVfUETqjHcXSaNXFgm/Kz6qvZGRiHxdat0 NRZIZMUf3zhXPXSL7QstZrYMCT5ZdTIBrTWkbDvedsCQtls5bhx7VuoRf6+XKQT2Rt4XXBydUIq1 3Jwl6NHyz68L1sft8G8Zy64OAeCP9zDUk9P19Nuvtn/VmR0puK99v44MGDs4mKNUTzWTUIzZh1pq VPvCtMAcXSj0asBKiw0fGNCg9NRaJGN2fukt7I9ehqIMvQr7OMjkAnYSmSiAE4ZVQUcV3wU9vlQ3 A/5DahRX4ICgovOMYthF8KxEkedcvnLRyneTjYquB3HuFNti24EJb+2Y/yULOBf9QhFDPjXS6lA7 gxMzTHocTRocC6e3Twn2bHEuZWN2TAHn6A4igqhshAacq5BnLFN+V2CvdFJVXFvjLT+umv+vPvLM eGyEzEglnxQtUT/lSV6MfuoPrkYkd8ToKPA9GcNtR/gnYjM2OiztW1bKcLKsOPz7Y+YMdsHuTJHF 4Sb2GbMwCs0Ey8jujEa5lEM78dMlGEAvqT0zshHv21t7ZXoqeez6bboW0QbG+H1hJlnwvx24kX6j Tk2EaM4x+IIcqfr7MW9qdwn+fnoYWnReWtuphs2kA/YR1KuDrbdkV61gibw5F5sgtZsp/r5CQHKg Sl3FKo0FlupsRFSGyHBTSJl47kPrYLs6/ANE75Kn4soOcuaPmF9z6+hkXJ+aSMD4DPA0Keln6dKE gs/lA4/K5UsVwEfVB152fSHprI4ti7T7SUyZLfGJeuo6vwhaGVxEOWbfIaDYoAbnWFRj5zrzQM+R VBmHkG9OwPv8gLh3Aa1A3FnpuTzsrRwHNRkpjFSi4PkPI/x/3pxGYzN2nfCoCIZkxHmjT/03ALot lLHShPS5TuhvWRSNNzSx4Sc10ehshXkp7PYv9d32SBBbKooxU3gqWi9LkRjqWFmDrgIagInm9Pbk OUHxC32ZyfbhJEFvKJw1tWVA/gIwamBOmP/eEJsekkFbnrutbH7LqCcQH2+OyFYKNh8hukPth+te VEj+RVq6oGbW7XS3gNhZ8bMdhfiG1sUsHwcIUZ5GQB0PUcxeOU/+4bG4VRDsPIqG/r1vAmqLa+AP 96bu0Ka3v+f1L8QDoRdIir+FjI3pjJpm7KaMnhlmHt7WlYPF30gOpkagbS9U215lhUCmH3tn+TFw WrWo6h5Fuh5y01g4RqYlLr6rmp3HcPUbagaZzmoMTluyokQQz8SqzxaNuuHo+I6c4X5rhpl7YK3Z +WsC+65l2ToKZ9xtfLXu+bAxrHxxaf9lfB0TPIsHlWXbqQbsY9FD99mmCCWO+R6FzsQ+Gk8fVUCf ti7k2A5fX/EHrY5O3/HHOd24cYmu1gsZeCnSme2Bu8tbMiaQLE+lvHXRDDu6FbtG/ipFrZ22QlhF KdUFJ0aoFNOn0woqHH5lw4vvoMqv7npqifYZzN6gWUB5BK8BCftH5ywXiYy/GLeUa5YAiHBhNArE VAc/NZckW7kd6i5dVYKvhsyX+5ttU60TKP6onhCAB66PTkiAfQEk3vGP5oOkO1V9ScpAXgxAWk16 iEeI81Jkhd7lJhcYJP8kOgVUQHD/VGxDi2zl5RHZbFBSs9+9Vum98bVUArLbhH1ZK9HIQDUaZaNJ f2u8PT5/N1x4atF8PyV/OT95q6KCyBc5tE1RUOMvkteLDldfmtj65eDfi9svFs4nV5SnkcAMnkRq w5Q6ORrUOPxb0XZAukZNa0oTGi5uHmOJBZE7Imlsw8akrBAeaJmhq+1bJDGXEmBT6xtPYO7UNpZi d9v0QBas7EnwCCgB2YQaWpRAEmjn5SJzO5WnJZAPV5V/2cwLYugqDIVkUN8ZUMZxPTteUOjPwbib zmBhcwjf+a1N3RlcJM91z4iWJrMNuUOjET/WBr6caZgdKNqZebP9dqf8xMMh1aDEYib+nUAV9vlj Lk+UnVsrmCAGt95DHbfSMsKHsRNGizavGT6mgux41ZDLt+bcqdr4Vs/pJy9R+VBUfS8ys0dSR0z5 LHuDNfq7bnbmB6mHelxmfnDGQd2iIoJRwgzXeYdF6P5YsWzI3jevErk1ha6A8rWh6GfuakWutTOl YeheK8LsMB73BNJ9MLhnqNlqxJozElGe5+9FETuZWNYti9MxmVKyNrGDMHy64b5KfZGpOrMWK9T9 VIyrnGjR7BynqaUlaG99HxqNZlLk/w20sh4jpQgIkAesfti49sWG92CR7WU1Fb7HvXRxjJqLHXZe MNB/u+gGb861lmW3CWZ532BmU7Q1vdjJcZ+tRY9oOWRJWBKPCkYArngWdbH53jOz1UvHwEjMdfNw HFG7CD6cDOTyy2MTTwUGWXIQY8R+osVtYtFGhIICq/liqhEw90Xs8s9RXvoDCYxSLbO5WkHlzQUs nbApDTfYEqeUx+2pUpNHG7U/YXUnRCFIwSsB5904POrroMkIl84kDOM4ou7zplL3EPqDWmtEBrNi vD+zQYW7lxmoDxY577AtFvFhjnK8q99OELjfsGRg6BR0KJ7UrNZ9u+2b4JSSumY34g8Ow+OBh1kK vRDmqy3trpPzbCiTqzJ5ahdhUMKJvha9Eghqh4ifwF/0YYqH55F1LioLDiz6EonoDpfpbrZ8s18d 4sJvBtXiYAURuUsEFZiD5L2AxLDykHhNa2r9HpPdYhv8dKOSr8vs7xOHKT5Es6OKZF35p06al6U/ 2MoOfTa0nHAOT8jOmFnEG+ahNvJm+CpD7UNk8JDymyCc7+yRwvn39FklFvNVlialmpKOVYgFkXCR z0uA2glwWTmpoqh3KK6f62So9vsicS8caieeLD9cmwKFES13GIc+NHsSk6GA2C7EYg2xWfWcUp8F J3I5oq6n559g8C7tiFJc1v13XTVDPs2R4EsGFJXrHiz7zkcwxoUKxmtTf+nwkPzoi61n6qO2Vt7O 0Lpd6+lAtPf9vcqtPw8EAZMYHT/aUmIZfun8vDR42/r6v+LcPxlypQL4A0CQ506PARSceiLxIR88 rOEq4H8k4WCQhqPFhtMGfOlJGgdCkEZSJD0IPCThpf9ZY6ehSDBnSSZWFQPl1jyVUIFvS41pews1 BlWNOROQ2Jg5WnxTaD30UE4/mkNeQMHKsYr6zmcQLcu5YZNf9OA3+/XjO3Hys7QPukkGaQrU2t1y ZFMDTe2SBKlXdSKhv73Br/3rXFhi54E1agFwsO895m+iZJJKydOYRxIGQRWBf/wYN+RlLr7UasdT QfYXcgsWdJ0SWMrG9ejeGRrSODdmn9Q8Zg4j0GEiyWf/vOx0H7lBJccC7ldxXtDkJG0I48oGgAjE /kmpD0G1l7M0F80xEIR7KUo0jZteeamXfgVGM6iQGI6aA+OHYKUoTqYtOINwgjJRbASN2XsjwGTP Upee9FLkbm4+0I96kefmxfBCoMAyFLx/nFhTB3VtbqT+MUq6E7Rnb/bCB6twpYSxD2KhAjcOyPRs qW22GDR2LSj2LcU0BmvjeTGjeZjNZVi89gyuX/VV9PS4KITxqd9e1hyP8wArdMcFegOyj2VEGMRm kNEJwt5FiByVW5AStz0JoxZ/yIXVoZiqF9Puj1ZeY2ZD//CEUN1lvhts4tyb9G7kK3lRZjrHKsB6 LYBcmlYpuZ8Y5p++sD7LPBubn3Z9VE5/NIx4FpWstmEDBdPjO52FNvZfTmEa5/TrCEbRPrasDO8N ilnVMyvijW5HdFgqoJ/RdH1cJdfjhKq0R+LDx/9Ai/1rUa2zZCSJjPEWCvzqwwntpvNMfBNNCNOU V18mH2giRv80MBdH4XWTqhIrQhVZvDINToynaaguC45RJvgAAQCzjBTyUCsvbJuCQWeM7Aod1v44 NNipG9q8iczdkxufu90WX4HlFgsAB/N2Co7Rr/ofWpv73VX0i3x9nrSMl7C54UxhYJRDOXg855+K x+Qj/mZ0Ze9dDfCVj96cuy3EDTSrxBjpoElv1/ThhWWx/jnLi/8o+HDUftPYFrbor9C0ZvPwmZcv UXoj8L2fpdeXwMSmdyDmceD4xh6ybVYbu82iTUGTgy9YSt79aghUq3kXf38bPPFQ+pThH2moZtSP PcjGv8QRzVXIWpXqg8mqJmPMEpgyZL2WLjAOJS64r2coEkdvm325BIMgpAQgATXAy+BwDmoYK2Bb jIn+iTU5Egv/FHzyQM2YXPtykoiEIC/MvZ7QfOvWlJIH8TQ/yofVINiaQy3IjwdaW5SyQ6GxxO+B EC9vDvbvrcvsE9wxZnNKHKe4gRVRCa8DAR+oeNY70TlPrQSaTxi1ok2XN2lmO2D+3qlewv29CfwD u7cS6suv0TP3Bknc3GLxV8bhIITDMZC8mZbCzFBNUhsOGbTlIvauARgRvPkQt2De2IkvC4yAv3pT 8xahRyeeZwjMXZqoc+y5h52wEVNfuDlLEkc6lCddIzdU6BahOzlsaoPEvfGp9VCJkPtT4sQc9req HvoAMKyr4JGDToXV4q5hFbAAoMYO6kXa3YBaZy6VHQOFr8ennlP5kl/1IGaKbVip1nZfWpOxqV4S yTsNo5pTgJ/Au2ZF1zNbHs/xo35b9T68GMFI+hgyHhHZ5J3+gi9yX1fbaJ5R8FqeHUYPqPYxaIjO YURGt0I7pOKCiMEgoP9ipHTCLu4/gjY/ZeFFJ1Z8w6zJvxSayKuMKwzW/yCvntB/m/bJh7MgG4R7 t4dXsJEsyLiwVDtVXRWicmV2eDppg6woyFqtmWdIA4XC1/T+nra7ujk+W44ihJmx99uN+PV0b1vY +hi1/c6Yyw58i/Y/UW5nn3rG2sVzkzgPdjLXrzBA2XVRild91zhBDHdQQQuNsEmPM1WLpegCOnLS UdiTvy7riHwUg/c3h4N2C1VT3OfVg215hrPdKfgtEKXxZKYFG3bftw9IIZibv1xd22vtz53VjWQr u+w19HeP416YWsl6PTh7Pvm9jcfUZqk2lIdczjcUXrve2pOUVAzcVGxQ1lG1kJIG/o0JRVfzmHTK 9hV0MELGo5+7HA2dVP7O/vhRVRDLiMFeojRzCzcb2soKMSRQY3Fjz16diLBAhxjXBRwk9meN4NGH pNIMVzapbZgQPa7W65eTgVsnwWSqeVFkr/SOsTAXrhA1ONE5/4c2I0uOZsWqBSG+xxITT1dxWVPX HKZQmKLBDMrk/Eb1Sz5r78iD/8oyTcbMJf7WW/iwDC60YcgCTSj1D+E/KY1uVmcEVA94RR3ZzINg qP0weman9o7b4U3Ba6dCP8sya3+gNsGT1emUY7KhfzC5fgIusJsnkZlzg2+1aGBOTVuLsD9sKSzn EYKfoy5r/8cyCkGOEqgZY2XGlHWtJ2jk4nBWLBS/0jpClD2pva1MU+MWM826olUNpP8gpTG49H4R NoA3jQUhzODkN4hjfN+ziO2mUboNbGP8BQj5RHCs+3ychvQAPYTNscENuOn8nSAUsRqcLcXvH3yf h3wPsZKUavo7/qGK95PUnmtQ58WyVSjrTHrqrE8w3YDnR3q0Vy2IP8TxF+g482pOyjNBhZBj9LpI 9qkC948AsrsAsx0zpLPPzPc1gFuFcGruHq4DfnzHLoYVd3sA6iQxP2SMDqWckhX1TZ9BXmf4J9Sa lA4NiRgTKQrZ/XOMQflma0C4fwsNftvmkjW1vbmoATGzRwgD00xB5HinMrsfLM95pPDbUVdhX9jW dC+AgYBaNp5U6lXF4V3GkKnHvVu3SNReRYB2WGl9HCz5iO3WVOHbSowdUvUUzCORxFo3RdCcTfsl 3gezRha6dN36pESt97uDuCEGF+tE41/JPHDhIKMU62tDPV7IV0igkFC/IPW9dAsUylatswvoKrn8 Pk2PxlRggg8UzKR10S9aeM2lcPen5BVx0jyOTGesgE4ThRvN7SnnruN8LzTDSz1in5vMQI47scil P32yl7D0sBc30DlVmruXeFVsP/ckjIkM2U4dEyZ3QD7t36TBiDcyWDpTxdqYfiudBmmBHJUhXpB+ 1Qy22Dypx5nefB44OHZe6Y/38gPGjESp7NZ4MmJpvDuntKiZrCQGbZBhh+05Mc7O8ox6ccs/cahB NWbpZNkuJsL3GcLWdImZ4LAvadkWIncel7CYLkBO92jZHRX6VLQUmyQG0CljCYQt2i9iIa4TghHS G/OzuoJ7Fjhh+6nwHiBXeMtNak3Ce5hxLTB70GOM5Ml3HV99CPBZaYej8ni55Ykyv2ImiIgN5ks7 4S0i1l4yy84232aCuwgYsZHEvQNRMpxf49GH7i+9/fyNhWQDkP3GKPdF9PlVAgLdQeaIF55SKYNl 5jkd95g701EG0vJEqCd4zxL0AQMk1moImS03NdAQx/O7HdC79wjxrYAzgcnrgiivz5JDWQj8ojVU PycWksLZ5BGWnJYl5HdElo+AQ4lYB6pRVLusFNrGQlSsE64SwxPDGha+Yn1YoqtvNeMhgLTS+4t7 hz0KdI95jJ312YdY+akKLof597unWnQ9+YT0x2u8Vec12JQYCGHn9m2jDkjlrYaB14VLdFRTqgKW 8Anj5op8I0Nk3c6EbHSrEij2ad/rYNklbd/BwJG42IYZ+vIhWL/2/jpSMeijAI6IpQAh1+QDEilr xS94nqHEAyq6cCv0vqDqTR9Dc1QjZ87VEeoLsNMQZW6wxYZ2kEPN0uLdK4XoZETdYpSaOGDuRgs6 /+yMaMs0jSkq4GFzMF1gzrRNMdc9nl0yTCmXTSTG/kJRiE9Hdps8YxsW4xdnB0TiV49q3PWW+Pf+ TjZ/CbAVY6ctVNRiuSxoOD8TTxulSyG8egULLh/R7PKj/llemu4oGcpJqt7A/A5MLVsAl2Mlr97M UV3aash9mOfPGTx3hLpPzT3IVE00K3sxPoihCQYiMtIRKYs1SlFpWLdJRiz8kpNxnUf/SAD3pgDv F2NCbg4bTCaJVOmsZg0iPVlRvDZKuXdwnvnuMz/CkqEcUVJ4K2CmiXCZKUF50OxIMyPFK6SJoWRU nidRj6sYgoBTo4Aqkyd93NcoIyfFCnhLSglof9Cy+NSPHu50qba1IQgmiMI+EKikJWeOT5wcvmrY eYSIohfSkm5FARFbstxWjDq/SZd+Guet0AzzJPu/QaIlxHjV51OJo8OhnY71mWMT5rnbKvp+yRZB zE0yJGF32n0nUs5xLIeB94OY6qxVrDtKTw6/MIyJNoxWRUdv+DFSNy3E+58NjtUXmrUMFyE7Es1D vUb0wfiQg8BjVK3C178NOjYevqQCE55NM/0Kjy/+2So4ZRaPETLwdcY9ssmLcGLxIEVMUJDlMPVO idR/QP6PGeyKEk27wATq6d/L8Lao4BGUA4ySFUtOmV/wLeXp/Zz6jnReVZGT0XtpY2ioVaocKUEv IPBZx+l7ok4qnobdoQ/wMK16c0kgzf3rs8T81DublqP7AWhxssHAgoOYGzMmGw9z4aFve4IyYLEk dOH5ajfQLs71rHaYFMXDgWF9d0twKcEVci57rHLu/nCQq5+dISpFR/9ZFSRyXFhcerLQqlon/MpV 2m26B3qtn5Wa3SeL20k+yx4DNn81+SJdjQxGJE0icR3w7/fgXyOWoCdbjrDDevi0UwM19kpcdHLf nVeYVetw7Z/xg4U48vmBwta3qsQ0eMc6GNgNqjLmmwVhoH04pl9Zp1+1vRy/kxvO8jzHk+KKyqiT 6LCXh63BY9QIQI4XUz2T7LmpLEUhKlefVu1dTILa/quxDYf5+olpidcUkEyUEZhq6wwYE35QrybF Bp8q0oLpIQm0wGDXRqdZ/Vqt5Pngyt2ehZY4p1e4GGEqfAY946YqZX5rPLeUazUuUjkZgmvHt31j XGNzAUwFrjdtQLECUymF5nHApdpeJUuQ9OJzZksJGRYqw9Kx1uDdaARFxt5uCHF0i/ecb1fk0e4R sit8CvB93jCUgcbhAVBuV1BzMF6M4xCSbrBSK8VJgVgfXQznQYWzvHvXnNyP66DmGw/zZ2PL58HO rT6yqQvkAE5AGggMcJ3ngpEFyP0URCfs3WLhCSAL5IMh6szCa3mlI/JHrj9krFBnORhgG0zBSHyU 7ZxGQ9ywuIxCGogmwwV1seZqsY2ADzMPeo1ng8d0WaGE0xe4kUetF7tWhAovWt0W4qvu3o6UD6RS 1Mh3Y+4QpqCGxRQNnTMwQwAkn6NsF5PIwaNcbEgGspEwjpTC1Tf646l9C3ysFY3M85F0lyQ11GTT hueFF4kqcz3JVte89EjejEuStowuURYmryh5ljU8S5HcoLuuV2UxeI9pJ+lahUsZrw7BUNcrv7BA wr3uWtl5JI1esE0qSPXjNMV5ObMgq4Swz9i0+EXJdQmeMgtZh0XIuU2qwbBixLs59i3XKKbwAyKK cM5bNsULZOwbGXHlfv5WAAW8MrLUI+cyCBjnsjQqbAwrpUkr+LtWp+TFC3IvRRDI96++mbQVoVj4 vzyUupsKP5Vilszx+aln93Wi4pMkHyBjl/6nvLMp90xNjSGBmPHWGIjaMsVlXViwc3aW/9vJlFq6 KbgtaVJgRovA7pCM+qXwyjlXmJbQL9n42+Ai22m3jG7HBZroIBxlpW3dmuUCj/TpMtKSX8T5Gna9 Mal18osYmh1o/1dJ5yOJ1i/OS/hRgawF3xXKlRk9fq+T7iR2QMYfWDz+SQTOsAVzRutyGhMQzDZ/ vMFcy8vVBDUfzEL5XGXGXGgmWIXcZ8YSWR6TLQfhmiWiFEA2zEpMvQdnCHKEt+LE0U3sfPlXPheZ rHZZyttNVoBOmSaqxKMN85BE8hK4FSuG3+CEMIHQGWcaHPQ5I93vgv6lABsAM2PQQ6g3GFuqqnjX kk3FcJXncRlnX2WKsYUkUzpZoodxxhuO9x/UzMB/EKwSisThMR+h2PGbPRX5v5pkJaWrLM2+q8St se++UevgkgLKL5zCZmf74DxFzPMORnT52PW0L5TEmDJ6GOWiFIoWwWkUK7HVkCG3A9KpXkOhPX+E k4PB53qu0UzF7NSo1Try3hRzqJif+0NUHQQliQEhqXh9xaHtPcrfEF0G0DFuRO04Yh/n3nmLCxif rMNNFK+Cst8FkDKqPf/ZutKyMH9opUHcaK9THSEhN+tesHBcCNGy0aLLoykGpDspnGSrcaRL/QMR QRGXEZblY7DtjRBZJnDL7DenL257cs6nidJ+VkbWkA6kqZqG6KckERRHKTrUfoWH7BLTETKA+b0P MndncJySJSk/WLEcBLUFlCa7aWA738H4vx9q+OjBMkMHS/b1g+OpQ1LuzUicyO3Y3SCem4bRFMUw Z+PFxCWaT1ePySf9TTEOK7xjpQaRPCODz77Jc+9TkLgIFQcAS2WzwVR3Tg7gcupieVH7/xgwwcE6 r/88qUgQiFBi38AZXz1P6GmgoRaNBei+binoBBHvc50r4L7BGlvfyx5w6BCyr+bcPT7PVhC0dE4o zYiPTFHMu/k/UpI9Tgc3ZxqYhwFxIesJ1ChiLCmUAiemrOEhbE2FnOhLAPDXbNu/Zczqp3npt2Ac aPhshrhf7Sl0WZJxnVSKjkQ7i0IMJptG2fGPMH41levpxYTE2ZB0WID4PvPaCEnGiO2Jn4MkZyq3 QW7ZuZKj+rEvAemyq/UQAgWV1HkRJdeNiyn5DjOs3+rnxp7+0RxWhPCLdCaDoM+74QS6SxsEDjS+ xtsAv2J2jeVoPpZO8vORravt4sXBPWTikZ01tFiUEnktvKrXtPtAs1Ze4bsEY6JuH2EeDbZwew3q 5r3Y6sxpyqV4ZgDyJy/chNSM18S6c4l+as1GwtxJfp7Rb7ClZFb/7+RMTgYZP4pe2JEbHRvPZuY/ bGNbywccL3j8974f2jvtlWUaOmIK1EE1Nb8x2DCPulV+RWrV/OGKPl8NiNKsqQV573lHVB8qX8In t7eojO80rbrN9JneuCEzrjXNffy9rnrGrGw4Vnbelb6zMigpwuvEPTp2hYSXEXSubCOEv0KsmVjc C2lxhcuqTK/cW/MSYfBkPo3zMZuMxrAfBf4BlM4eIQQFRh8oFnyd8Yr6ylNcvTpiNCRR6WJVheNH 830riAYsfEOTDaUoVSZTJVq5DEvb3JNs4+eYfofsgSxKvNRrtm7bW9EWL0Cm7aJgB291RoBjv4pb uFqsceBkP4AcHGgiIJtq/Kx6JCbVnbSdGMD/pagonzW0iopOdj20nos4+ZSTyeUcEdgxvckfTAPx uHEXlN2glLZuP8Ygva4SaE5cW2qgk8YBym5lArHQcv6URQS1kK3KbWwWeGpXVfRNAehO8euVUACh ME0qUVc95gmGVKyj87cOw7/ec5jd6dJayVNQhUkxAmQD/iwaLXozKrMuwYQFYfZ2hcrnGVxGYPcd upaCL55zxPxITiEGzDWYF08zu8Mzen00TGk7kgqlbRq4iBOVZ1wdvLBNlG4Kki8G7Q3Sn46WkrdL lmEgrrFwO2wvqLpFdzhczub93+TZjEMDt9WoNUyZauDQ5LI8eLkq49ViQGmqIurw9s304WErxd/+ kOKCr8ZLKQTSh1J2N1RXZnZsP60X7ZxhI3CHgPhSNFFuPAPHPWlmlSAWX5QUqzQcsgeld1I2XoZw nwVYWY19Aancv9BgnzEpQdLt6N7rUduOXubX/g+o0iF3IFLiwFBU9tdyKiw7/7z+7VeW7BJ6k7do xs2EvAyApmosiu30MlchGjHMVJtccfdXazbhMyjtmZkv5ptzNk6kfNwkTwbOlTY4blzqjoqOZtad uKYPa7TVgs1F6M+LOr8hx2n/aaYHg1WXOjcqsEOy0bV7csMSNj4c4QzbySJr9rARW0V9OQ/7kQ08 J6HuW0ZKB2FqqnbRLSXek3Q5DNIWg4jFWS9+qPx4rnZKDXfFYqaETK9Mt6f9eA9Sd7RbFhLB1JOM f7/x7Imtj6rKDObw0c7U278PyYV4GawMQvb7u3jtyYMAgipvwkxaZ3sAvi6V+0T6Uf9lbk2nGz1J Ea+1pCaGFP9ma8SiVPrqGz9rVTZGHdhXTrmP++lDMW9kmrvhtFv0EKr/9wEHs/CNIN42XgMWZoAk tQOmypI5VB/qW8GpUtlgT8RoD6zd2M0Tc54r73js6/Gw2ksaGNxmHa6KvhnYR2QA3xdLt+TC1hm3 ryOgXWJxrw6C2SrYhy42jHbFYJtr0Als+ZKHEpuvwCt8tgYyv5n/YhKhrM3ZtPPFkKG+TRMyWuxP 3eCVJPBtMOOLbljJg7F6LvPWiPlXBBhez0ICRKNkR1Tc4TMupRGpOQ1qstHOdKts3+/GVX0sip8+ Aaf7nd36JFhfp1ar/TaDg+C5djvXWEQ2SuobWSuAC92WXIdTMhMNxO3EHlQRGNf5cjfR5JTM2jXK 0RBX2X3CFKfWwzN0pfH04YvRLxoZWotqYBgalHnHvoOeXp6ymkBavuNIDqwZUI13HWEdexTaaqo+ 2BvynFiytw+DpQZe6SO737wAK1i/KvxzNWqMkz0MG8xhc4IeKtsuLtvCr8J3bYxzzGeLGGFoHGFk DM3qJyLvi7cc1qm85hK35FnS23AQS75Btv9A20Srrrb+4E7dWNbiN3Xd0jjTLcj5B6bzvgfxJcZE RZfkWHfDhnEAgkpqucaloZLpd4aJvvLfPkKt7g9bcDAsgH8F3Sri23es8ALizsJGhm2zrUOYVq6/ IJR6cdbwNBiBqBOpHSQLm3P0kyJUWy5SKOr93vFMJbBADHiKZPEsLa+As4/9Bv3kmPp1/pXq9EMR ITTShH3t6lpN2A/EXFdxeh5bfBn632hw0DwQbTaCUMMbw95JVcpR7xzTWxcRY8kNr2XkkuhByTjr EOpRvrMoaOwk4U2rWsOtAdc86fXGLnmwb0QLipOq31fKHm3hLO4mxB5g+1Q1ewjg3aaVYQC4joEO cMAGkBH4KfU2fU85fu6BPWOQUI4anEcDxKmOgK/ULWtpr4wFjCHDnrDhwekbDbXmLMjP9ZM9TGnT 38yT2ExqSC5OrYAIR9nuaZJFZkXtE6aRp6n4yyGoWlnyuBItQVToCO6Ym2usUA1dcAdImRuvf4Xr H7k8oz/Kz9cr5Q0BsuumiUgdoFfKfFismvkWk2kuRP5JcwxtRi4fMp6S+jvmcp5+4NkFPUmU+eyI AWS3Ko+YdcRQaC2Z0lrNfT5ICNKITZ9IEvhJlA9NNEWV0inuM/B8KHzUDqWna+uIP3qo98Bbr17O BVYlnRoQKmzi0TOkMxAFPq8wsioPjW7buPGeIii6Z9c77i9XlzygV4n6AAnO7NJyuJHOO/jzewHp lfPduU7hiU2dDO905YMYbDrBsgwHZ2tZz2uxuiRJxeErZCqXrYoRyZw6s/sYW7uAK6mLHcOaguTt clM+8zxPaS491ZPBLHaEhoS9b1UQVrcH+iKfQ4X5Gdx2g4WLxvJeiirlA398rO4GxVWqCZt4gg7K PATaFQu9nm5HNCeQ9ACoB1FG3bxxZgRiU6J60f/3suFRCOb9V1LqZVnEQwuD7mOuJchL42RCudul 0W4mpU9fNKNu0ESArl7NZl0J9DmHojAlzNedqKvDhFUkzayNX3cVE5urKLmN4rm+EifjNvxdAOGi U0XQvgYQGbdtc7X320LjiQpRuZ0ZPmMynoD+KCdt2K3v8g5vwcqddToARSFtrRWFM0Jm3fpHLE2L N53D2btgooV0ug9rp6Pj7UTHakQki2SkzDka3blxKDWXu696FP+TN1ja49NW1LukbQgvwv2PeiTY vyXPn/Z8+6s61mG/7Lcv4FZCBPEpl7XRdPcKKRrywkBZ8Mvs3uNmUdOvmxlznEgrahCFFd1OdwXK rWqG4e7T5AjFTlVXqhwgyQlquyORGmY1JGFasqekzus02iCW8tT19dYgatoxujboOC6q+meDTE28 AQCR4FYAN76n/sDf1las3pbVAZvAdWp9J9zZihQC+2AYQU1ChSSELsaPCHk1IQxbx3OywZ0ZVyk8 n6t6E8jK6Nux/dH77RqJTpfVYc2QpYzw8oZNvjY0IXVSFOfeB0+4Vv6L77hbM3quvGZfbohS/xkL Dru9bycpsTYD3jZwlkHFzgAPIT0Hb+XyahcBgUmJ85KZUjN8JU4pjMe4Ut8U+1H6kP8iOzlfKGkJ 5kUVoSSFIbnUur8Zf4Z/bs9ecde5Ymg4bBJD03lTOEYrkwFIppunxLZpDia1gAWQa7/N0RhX4Jyq qcxPHkio/qD7DWNKmJjpZMb6OWmJxoId7Ifpn0yUkRroIxRLF8vZnh8fACuGK99CFix77kcOUp14 GbZSCYgoJ78MSaxWq32/5wYYB9nopUTMRiCP/usWbFYlJzrxg92nJ3TSwRdwJBwLA+hyBZTleZ+y qla/c5HvnIhtXDxmfY1vcz5FkrRBveyHsGUcOjfMS2sAmf5sd4MVvyjmhPdE2P1kb8GKenQz/mOd P71loj4ws4fQFYkiHZ5jfS09Z8RqckU/UOlaPvsAeylnsxEFJ70C9D5Rc58MzS9xJxYuIT9WpbdL BMUUCskAlsoxRmk3Yt8ebqh4M7ocdA9CR+jYSAPqAsvA4F+JRoo6Z6SlDHx/N5bxUIMGD6vIKyDL qwQ0rF6HIhsI3lRkQofkARQx2jgpROsPSB2acydVIBhIij1TvtWW43PVtmmO0Behg102zPeA7mZV hoknbMXlqQrdwIyk9G8F5o5zYYLBwRVCl/geWzhvKedejenlw6KWMyZyW1Bo/qVvtL2iH+HZDib+ G+JQ/FkoVUCmYffS0fw2pg9d9FEXos9dT2ZXHV3OTjufWwK/Yn8ajgETv7pN66hyXp9KMCHsMIqC oiCCpwNYZ666oPdbChvoSl7bjXv7gEK6pTpXO0dACqqHPcx6S+cWb4q8WS0uj6q8dyyKJMkw0uv4 TbX8/+kNgmbOkxrR+Wew0BR+pEXBCRZ0WTe8hh4SeAwFCO2nsc7gSmCXxaCcfYeYcBgPPE/w9o35 otmsdcnoKOTOKmK7ALEa4aZnWEJTsfpmKAbbw6ud7odDtq1yCld4soFHK7yq+0Lpzc6E4ZZ3aUcX oqy7bEDVIZOvPNeS+nWvD5yJfYv0UnBMaF+du7xeiiwxDNW4a3s76i+3/hcZCuttSWPE/tTQtbih BbTU2bAyiyES3yaJ2cyPXF3NS7EvaQCDpNoCS+FHyffuxn2/ZKg9efcTAfQSjZRPTxfiv8FATGcQ OohdU6ZwegENyFpArriHZVRu4iXsgdaDZGs5QleI/jPUyiVyp3LYuIRZ8YTTEbs3Ade15SJPDD8T 6CaUOWggUMDBh4nMnFzxW+WoxO+5ZyPk+G7umAoC5kMgHGawVDWvza204UFYX8R/L9kDxB3ffXOY gW7k04rkhNYYXkv3c19XdXq6yGKjr8spedovxJpVoDz1Q80TFdA2LvLloVUtAbi+s0K/7mTTuu1A lx9ayjQLy6FdOeYnk98TqayOUQT5VmSKGQr5JNSZ89VSRvBscxtKM0E8TCU4kYPHIbgiLE3uxZ7i 9WOg1k82COr8PG30xqT7JhtNnSvtZtObEKmwD3rjlWepw1KOT7aBYSRx37ftFCdmcpO5i/r0rvTq sDE+MpdOKL9N7pTI/HeoSHy82dDVfGR325TG7bTfaN5G+t1tInvzxqwBHejHqJm/6xCiMoku/xMX 1uTifTt7wXkLe5bQoUq2B7jLw1fobQGDyLmW1cguU99Iopeot2KM2eAUI/m5i77jDyCXlX2b+iyd ffl0835mJdUQyxgDZSuIk92+d3IgsZKZ8lpZbxdB74l+xpBv7ePmXsAfMyFa+Mdd5glv9GbCnCn3 xrv/26GOmFqotr98RpR9fHAq4T5QYayJYmouW06VyLUJbIJq8SXCKVjRQqi0glnOvEmT99iRU0eh phoRFaAO2fep9wRkvfM5usg5gDRlh9tQWrtES2qRtJ9dvpWkr8i1pBfBpsFaa/hYhfUOy07Cjvoi dDFW6na8jYYWr6DKi3dlqmEj1R8Fm7Vk/9L/dX+goOvsKmFa2B2agUITynjjIqKcv8S2Dk4sOnMn +g2L/TwIBj3SA4o8fKsAM28Zs+a/SKxyF7DpEwx8zX43Chia2YhOg5X3E/XEoikz1ZJ9d2ujcmf5 NPmApxIpG6NLOACERm3p5oR5auR/IwCf1RtKCzh1k5NkPr5s/eFGUgOgwBtOQhyzuKS/J7fACpW2 UHLG3lfzukhqttRWwCXJ2eiW3Dd0rITdOt7omWK8fbFUibI7Xfj3P9B7MQD1s1MqTJnoqXZKcJQM KASWrjtJhN4rg/b86Ninykhw1LWKtM0RrVwcuerlg8dphQOnCxs8Lif7hg5lHOhWwfa2kJvdnFUb Xl4FzzLr1i+p9SvZfPfMKxLlsNMIDxKNzJQUCg46w/NtQAM57Gxwwg6S9WSmcH4lgwao/HtyXT0D M4j9zQpiWjUsqv6SsIxHzBIjkHV7B6sQBWsU03ShIa+u+h3KBdqZjFNzAR0TxwZlJsz7iKjLSHFd WlYabHg0uXc5mMJH+2pFH0tzo6jnsAn7F0Qya6aKuk1hSOshGdpisGhN0UC4LscoclhmAaK5AJ9x /UJfC5NkcNJu43PTiTcf+B7oFqOfoz3Oj/iBPUjAguqv+uAdao99z1dOhpNtgbl0f4QVJHDiBV9E uEFcZ8H5wD6mLon5y7TDFdjz0i7uWJqtDrXFTH8pNFSAX9PbnPN/R9KSayPeFG4Gz4kqjrJ/EOYz K5C5UlkDt8xIXQSkRxvGtRqoL3HUMvkBinh6NxgPd+58krZvXh69lFmywr89QAZRMsH3NYanK9L4 FbqL6Vdok7qGtgM+N1LNB+F2PEvBaPqlxIESMZSM2EtKhP5IW8Gjc5CIk78frN27ukoO6k5BVrxU sjMEEHU4ZlxZ/f+f38T19I8iD6+GP4VxhEU4IKW/3zcKfvUzBb+DfmgrERNKL2blmXtyzrgqFws4 ELZaMY4BwXqK+Ql2Z9obvourYnqSwLxns5JaWAGTjkhQ7LyzOKlgREqsmTHtosI6GNRx3iPehUfQ DrxRecpOsJzZYLzc7vqKc6rA0KOwHUz7kgGOTdiNYXkrjr1iZNuohpsBPiEUA5XVF9bEZSLTZFQe cXPkbQjLOUyTJllVJgoq5dJtuFyaXy8zqz4SRDOwa5SYy0JIHdNW1nw3YLGxyXCVe+G4FYIFSCNe wPrWSX6BzaHsNfhId5OQ809r/2NEOaJfynU2fqLey3A4y2x9LTMKmKTHL+XOrqY0iSXVoZMOHOIf gZzkZ7T9Z5dwOTSvTqwr5nCfi50s60lfAwQkXA+/oKO/G9XGEgovhkpHbVU2hQ08jyda7fDN5n8U MGe6fqirvJfX33XxtlZbACriZMgK58V3IAMGFMMOjKFaLyQ+YBg6HRwq4rGng4eW36TIxShzjde8 rVFzE3rfM+o66RPIGt2HPLVw27Ka28y827ktVgniXpOdt921XUWiDCombEkQopeyBUeamHxmFkmp EfNQM7xD0PtknOzRdpW2B2sNUnS8Bqzh8ix9UwaCE/CZzQYLxf7fvYliclb1F9YjOc0kLJyZe7eH BOxwVT3tZ/4GI3NecNzqPQN+pGNEpHy6PxcXVFkrCPCMaFoYHNK/m3O8+uCYBlf1vIP1QYkIhSs5 ZcZMcxz0AXz+ua5i88Wjmo7h4B6kVdeW6omAOjb17KDhq9o648cXF3Yn3JC//Y9MFPT70Ws3nko5 AQ3ZDAyveFjAfE1p65+8Rz5BdziGVnTmzDV5n5U2RNpy2wm732gaFPimKyXh8eReVWh2D4j7UlsK WVVmZ7/dz3qG2jnVJPtOzX44k+ZY1enqexuHw8bYjBbd62iPB6AmkiyZD5FRAcU9gjIrVAThXPB2 blsD2Fd/3QS/tbuFpunYUwTSaBjBdcnV81t87MZkrPMjTBI5xDl9k8g0buChDG9lIIXFwLQ4KRM8 3cebAq5RO8QmevIYuefNVf8/4W53vjC8gtMaE6UoueT5GMkojFykLq/RC0PvLsPpx/WLRv5zNROs GzfpJfgBOcz5BHf8aD6HdLcnn0XIHSxjn/04K9t89/KeDIlaGqVtzwxJb65tfRRYY6rB8u8gPABX SyAI9uqPB2VY3KNiORZwa/k1IUP/eE1qizKvkRhxuHgmJGy2iB/K1aLD+arVLYjR7VXPCqiJ7fj7 LWWrWz0CvP77CJY+CwoWwkPg0IApP3Q13syl/FxsZkTvVjvIU6BQG8IrKXX/MnKe8ZyAZDUTd0Pc RpYoOTrM16cx8jBh4AgRCt9Ty6cO74Zcb9JIqUeDH26l07yxhjw9NJtmZKBwtkZA3/j6aHp2K0Ec Gt0pAMQk2QI9U01i1yBNSDe7mXlK4NHkgz1EPJyRurMNrb5Rp777bw7l6ws3PdQA2lLp/TQ/Dvcu sp6BacTBRHJpaXVQK0pFmyyvsK6QvXdkMRsxJcvIC3tKsJTkpoxdayJtI+9yQ3gM34uyo0mhcaur pweC7yj02EyAK2rog+jZXMZZNJW10bQKiyWB6h6Okq3fg6H/MAgJi9ejsRQ0GLCVAfrUzdlUsIEV Le2Wx9yegbSLJnGbUizG+3TtDs+m1H8nt48+DT+HExpf8ENEiZzjEqH3TpmK3MVKJhdTYjH4sI5b hBMUMiK8Ra41m9cqr7HOYcN7QmqLy+xRx0aiYQj4TVWEV1xXjn9Rl+LErCrYxn/A/W5mgShcFF/Z 2FKeMfgm5RCk3Rwd3yPeEC/pn0sc765TmVmgzLMpFB8y+0WjDX83zcJ2kH/3o24p0ZPjKRF84+Or bRaDDjUmUgGXcrx49NXQrbX6vkKgsmuhkYEvGLQYeAivO3WNnYebDpG4s9fMsPIL3QaGLy/LTZaH UEbQrZUIWSDak7zHWvYljt0OcjtrIZP74SCPxvGppCTKGCeHKi7Gkrw5WbLy3syt6HGz7v3oqG2w dUhKOTWnWhv94j4I74UXRYgGyze2eNzxPcqdmDZRwCycgrZTtV/Yz0p1oTT42bzdq0VRBjaTps75 W+LWWp/BYtWQkdpj5th1hh/IjYwDt1CBoFotVNoffXS9BCaclOWZD4pHU4PHUeM203lYT0gR4dtx e4f639KIN8UuUyFcXuNw4iCllnq/6fjBMXTh6IGVF/oobKvAl6VrewN0sqf9/0VTO8HfbWSolqqc G6uTxuBmr5EH5XO3wGmpPzAaoWx4UHsi1Q2ojuCUt8fgliJHaDx72WZxU58J8RDAJKlvsYHY3x4B 9dwkQPmoSOVgBVn/d1K+7Pxg9kh1unzy2cER91LDWxyQpntRxV77vugvJkbiGb5q2EvZAzoDdiu/ HIljo0bDuYR4N98kUiy0VVvwnQemS3JxXXjMaMotz8CehXAdmzUFiKN37xoOaXxeHBFa8nEUFxTB c6vCRPHvIfJAyMjXU5yA3bLnh1tKWrfuW1c5rO6JTiZxv/iakX83vWR/C0Cti82BPL6K7s+bdQCU Nl5qfTzwJjhC6M+RVCq42hgiLTls2pGSHsmTM2TnHBqshcwLOy3u0CcKOSSGOfkNzG3aD2qgwTTz GEF53r84y0MG0dLSb8cVhrzSw9pbP6aPFKmDdW1xvfcZWDw0xW8u9aIT6P8zk7R5ud5sLaqTFxR3 ON330uY5y+ya5Bc3D81Bt3GO21zDaYAlvToujdAh+QNCCIo0xBtude4CCgM9Re74eQw7cVxp8Izp JhQs/h0MchKa2UoZdrQmblj+lMht7B/wYqBOdvm3vxQ8vczulq4+lNfgRaQ+LqqZWdLNYcBTMalq L/jVBRCmdP9z/k+2IRT+l/qna1YMCafHsCrakoLalLXUiwwDMUgttW/dLB11SjeCPk+zqtKp5mPf D0nyzME8PPmEZASKCDsXfzQiqETUeM2KRSWxMTeHf/JUQR2Z3YZ70j5+ggsuZZcDt01unqsAGAiU wi/4selXKGcxBBTyqa1KgG/ajgxruKqVpl/24pqkpRPbhmK3QJiwQ9oiVn/DW9RXtFBuwFPqPpSO 9VxY280qYQ3SVOi6PtL2JW2z4n4tmqEHQowET34NhTIF3z4jdjeNhJ3HEr2sF4K6g5X2B+sIpJ1y o0mg0hEqEXTlSZJTE/J2mrorprUyAj7hC9vP9gihdLD5UXeuWJ4jzao8q3pIxs/iqCZUzb+/Guy3 iBnazhTgXckDjzEuCZn0aby9LVsxKXujUNoCZi/ZnxCfdqC2KyZnmNXplUtwWHkaIilHf4SexDhO ZVulGDtSW6EivgDRnXrmljD/+JNsDC0kQF6he5+MZbxiy+y+aS4NEGGZyaankcaoNityf9J92Fnm cwEgyAiJvXz6d9VC1af6d95aHIp6zRv5EhW3xRXhhUk6Uh9WZNhr8ugRpDttYwsteORFKif0NPDH JXpeckKZTeIRkKyoQIQnLn141Sin3FEHyyUu2v6WybV90VLW9qtu97PDM4Y1uqSwCuQY39LnnWzh EC4K+pwTIran8gWble9kDOm30eCWreoHD4r3XaaezbzqwimAf8s+dNyzy+NRSqOcNJLdFfh3+8o7 39PBYuG27en4WMwHWgZbmdKIk1lc+0ghuPDZjqoNBD2bpunagewWtXQJelfNZKy46DAZVO1le4AF ESLhxFCRjvy/FzFpEIAHl5a8lfIgfN26dUf9qo1k4se+xWQQjnCZIZC7XJTKz9JuyGoahPI7J7TS U3c1lIsfuNZTpADqLE+D/8R5T3K5kxangAdY0qh0jzyzjpLFrdMl5zSIrrgS7XoQeNm0hrxFIavY cbLN8rYfQ0JxQxoR4OzOpVZ5P2gdry/l7Ux1nMsZSrIRdcbCFEP5BN5R/yt55NogkoWd+pZImNa0 00rz2beKX7gVjCgL+Bi/i9N9AqcIXnd5R3I05gXZsm3bIU0cixxLb6xslmb6iX3wWgyMjr5xCHWd 61pa5YvyW+bT/aoLvnNlBW5zmi5mZ3lIP3SpDm0g9eK+B22wHfGWEXOKVF8DnAJXP4XV+6kwZxyH fff7bJHkTQ0/+O8uRvv2ZJr+3GH2GLNosH4htaRvwYtdLolBAIU5HKH2AxARazJzfIxwW4PITqOV KzciA+kkTXwl+wyEc1nZAEFV9Z25/ujn8OYiP5VrXsb4OCFx5MI6zvw4KvKZ9t2m6jG5OWvW2u+E 74eba0EEhgouohXQY1CVN8PmoMNAHnmiSLO0fKr5yn7U8a9JZuuZLdXwaQtPXXaNPoHOfU0rFvgC i9gBo1ANLrJVZj4U9VGCa/mNJOh05FN4I8hyY9mGRelBQFVe7O4vrJ1jWz5fRwOEkec1GutL2DDS WSVL/IOuiokiIBO0QdF6gD+lsq5eQY1ayGLE7v7QnKng/UdfkBXXMp/gauSO7P1s+PF+XQULpXoW zLfAp0vXiT6hpjqXT5bcgx6uM7hXtetJcPcUwUH2G+UfyRJ7i2NM1uID3CGOxvVbeLpkQwLpnPDr kaKDlKSGsAf2Z0RZyrxVYyirkg8DXxcDe1tvJek5Q9LcI6DrVilrso8BaJQkI7gWake4faFAmHU1 m4ZRJpmlyjXQ6Ag/60ueOKncun2eIWQ9bjFOmDkpuLRjeeEfUxwRS/Cy/SdUxQ7KCQa1+vRJDB+x EuYOTUH2ZCsyLjBEOt5X6Md1I3dLq2bfUeyYdLfJuqH929ZZO8pfawYNmtmHwTQqJyZNM/K15PNi piogM5GbhgrIwOIaqcC4qS1B22to8O3Tb/bjFxJneltgxVbXSladmcmV9cP+hHppnBkz297S4FuL n+CXi9ROEot7Te6psDa6xfEllCiA4bwS81cDk4TlxfwwE7BMSFgI7It3ASi31reMju2YaIupaFsY wyutlRz8p9rgvTtTzqlLWIgK68KPpf67fQtLg+vZ0oKcJVZZE5Aho1cZ1BukQOlcn4+K/0aQxTqc HrHYOeJGv5MR4iE3I63cNwebE+C8TjkuSU+3H0zqdOmAgVslumqTonmRXEH6/1+fsJtt3xeitvlh HzyzVb4tDPt2QnPASI5JD0Nvepj5mQUJQUFmSSXRm5VSGZoucm0KUXk6iPyGPRSIczVTwUqd7nrd rYCK8h9HH5xLRrip0YJkVpc7gaYOqyHp0C9MwzO1HQ1nnZbQh9XDDkcvjyv14bRU5vq7KRJDu9HT Hgf+5y3l3HtQIG8gCVuh2ZGJz4EmPvTHxZSwbmdCbWennF4apBYq3GMDwUQzpYvkAhNrdr2lJpYX 91u4KVsgpZDV/TJJ+1dk/QhAIIhE4ji+C++YzGXoIMwCudMo5bf6zZDU4MBGVFOQxWyCipxP1BUS HtfeM556GpSFjIWEgRNw7OTBMo7MjObqHr8iogwG6m397l4N62vb8TIfux3L+FGCYhy0qq536iTd PkDhH/L/U8C7KdygKE+JzazgoO1JLq7GLt98VRgY+b0Sm1l9zRxn9ph8E+HUaNzXxDKp+3JlI80l pEcnSfqJ7IdGqdshlyWWRcT5l16sTgADvU/kPA8ltuaM9AzXQf0paxyMUs9LIUgqobi8/9ySQiye 2andXhrSmIirXXK6DH9Y746s/kXfzn7QByAcKKxP3BRJCIcAE9mHsjTQXndH+fq3vROeoHIVzhGi 1aOszEV0yMGcvvo46wzj5NnGSaZh203rZ8o4KtlKsHFRwoeRmrJUY/10rOfKs7Z6p2rpGwXP/8MO j+AOzGV7I7c0kUHdmFdt3QWDRRM352z4WX5TlUw7yr+IriB3KO/EUvAcfyNbSWZHkikdj2RWfvyV eYKpXMjkLcmX3ek/+m/ypiMavvwJUzG/mugPnYeuaWotMJoJkKJnbrRQkLWcqBjjONOprDvllbXt 2fHnd5Afk5lxzAUz+9gkAeCaQO7djeyHTMmanOY4mPaPFMUAiWac5yPLOpTl9XYhXjPHzdT21MDH fGowJxQZEINej+bFC8R4Dy5ZneC5SF/H7SS49d0dQ6Ki1mBaH1v7ZQ79kXDCrLVPRK1FD7bqyu0q 674qeZDBa0h4hOzpE74wFF1RoleDML2GafImp6dvlrzhPnQL1S9Omgpxoapm1qDEJ2g876v88iIS piGk7A3s/hTEH0t0FfXDrYKdkAblSTUTl3ZWAtu94wYAy7YutYxk6IeT+368DarPMoOdDIP6qEbI zL+RPylSaKUyyvcQXuPt7LoipQiNnaF53Odmi9E7gWoNVCu8kx48IvWtLvTwAANGIDkXldF2Ry67 OuQocISJZPBw75PVoToMSYirVFJlpYjU3N5bsrJ3QuG3sow5WQx53n+LVImA3uq+gSuHKGMO3qOY ppX7M2HgjTvMynnrAtfZeLUSZ5nHY+J4oKSeoEHDMAqZvzN4yxpx4+ZFTXX5/GzzwOa792OV+4aF dd3DFLd2sHJioRyqQckI5YLYSxz2QmXM3RvMRjqB+PtvwIlN0EaUPpuWTKXRWdVUHw+tH5ZvIgxC Vm04lxpqObSnQbzCtUObUEjd9iSGxIvufX4uuhuU8E677RDtcPvEC4JAP42wZCwU3TzV92kfAMdr utIWMVSxaXwvQj6pMAbPdN44Iel0J14h7pbyYM9OfANIFzBz6Ge+Q7Fl5wjHRUUP60aNYtMfzTwY SL506IhA3qjHysA3x8dQU9DlYsTJBjMBTfiHgH2t+ltEHGYBuzWHLWncv3SM/cRstDr9NR2zansv 3VPaWVvzbg4E0T2CofCwzsu9MaFXfxKooX6tZzCt/4D/6CR4vGDZoLOlngd1e9HouQGp68OqvNwP Vh1Xa6QQr3jBxhURRcAM2Nzf29uZ1x0h/weGQyYYvFy/tfcxZ5F5MWahH+nruK6LWvFeUjhAE6Ni FAra3MD1kjey0CNL7Fju7OhZ7bxGmpT5Kj4VWS0XePVyhm2O0Q/uaC7cnBxZLg19ShL5FpEzb7Cq 4G+3CfIQMRzcGmVxCpl1wTKI+tPOfUI3YxGGaFg2vVkkVnyVdcVeUfN0uXAzuS7Zwy8OrKlwqzfL G6NhbYkjnnZr2/hxHQsXtLzszFz+cj49eFmCt4mdaDYSiDnxlu11gkzE6YGFZUhloBwxLR5kAk/m oYIZEeUmfnOxFQTQ4wEKvsa5wmT5CC/PpoeCD/ygXb4+u1/a3b/21wnpTZzwjJbaPCPEnY7hZDuR /tsAoWkSTtkUEppUaCaEtUaRd86EdqZKnhzoFawMNOfNSgm1V6WhK+Pu7b1WIB84dDtbuj78446N ENrsBT+cOFYzGBpmWyPvCfrmhoJ3MwLcu0hdY7FlY/KxTRzWOr2X0STI+p9+txh1G+3IqbzvzigY +lCZ8UsJMd88402Sm+jcaQCWM92soevt4wtPlSOHd2zCfdR87xPuQzwoCQ99XFGruQ1cWjJLQvkH Ym4EPcx+jdYqMyYiyN7um8OcjqDZIKaLe76XHZQdSzlfEWKctOwwX+pHLXzs5yG8TOJC534Dw8IU Usk4G1bZLexQBY+Xkt8rgFwkUn1utiDVqqjM4pty1hFdCMLtv6wQrPVBxT22ycrdXNZbR7hpitDG kZqVKsxJ+m3K+zvcej2SJYVIWWVLvq+PufTow3b+voMkH3AVjLxglzYOWa0YFa+ZW6P8FQibkA44 QK3XOAbqthvFZ9CMsaNoIZKYq1zCtqQW+uLxU8OaENe4yUtZaK/aXpFVJUWUiXvQA0CPLih5vaLt nWplLGBSrQndyoaHGW38Pa5RKVB1CSR64Fa2mSH2em1JN1OfFSyhHzjzXC1FBgznhBPxa9O659tN i9qFVIcpSHsREThPLC67Yf8UQxPKMNDNWfXLnG0UaaNLKag7gabY2JoWVg6UygtRACJnJtur9fvc mc0b5JCqzcdj9tZsqcAPSFZA5gGG2mzlPcCYQ81G2qKJoMjvlWcZKi1aL1Xp1JcbPr9INAuYR8WA TTnjseTfkr4xcyZqWYuykgFi+wU+WOs9yfZ2xsMGf8ea+rO1SyvZuvbAIOe54Bmf4KigTLUWHtik ocW4qRHU7KVrWHsgYMReSQ9EuZ3lc3lLrCRvDcGLwPtSwtXY6pnjP4Q44LaYSslEPyKMHj2GQMfo skNcvPuS1h5AYXzLq76YOhZ0oPrzdh81f/qJ7WFBdzYpVcotU6sP06G3bELqyB/jMIgpQtrjvHSn MwKcRK07Ll7Asqg47OAyL2kpZRYMBuxjh1Xrszh0G8oIQ8LX3oq6+ZRsJJJThUhBBHl+GL1ngfie gwLAhoXeq/UI9GiR64A8cgfwH3jnstCWf1xEhpBBSk2c71DbojVS2DHpAN10ievX/4uUbVZBmjYe awW3ylc9I6ghjzmVTk20EaB7FOR2+na/+q9e6eUofw8it9kAgBzy7NGRxdkFsg36Cl/x2w6BbC49 dYswrx0BR1IjQuI/dWQ5hBb1xn3JV6MOgzt6YfUPtQ7wX7KUIWPIk8VbI3hGH9iyN9yKT8xViJWU /OhHPJdJAJQr5+Mp9mTj24KEk/n1tTQngPKaFyZIrK8dg6Gryt2aoV5WclANH99R4qeh1B22gidr zsXB8xy6+FamioSas/Z90hLM2JXs3PfNtg59kHzCRELyjH72SuP8FX1n+3cztf6Dn5MtR/8ixo63 4SSUvrz8LJDQ34Ta+b1CcSfMINwxoIOzI403Vlujn9EjOh/8a1OOLrfh1p2qYZjpYreDFxDoMHqA OhNEA7UOs83zN5MG9jGEjImubPgVav6RFVfZB0FjqwGhBeTHd12inwmn3sQecOw8ro8kk33fpVoA bdR4yYoHNTsUw0WBPHVhtFXyqBxdwLtJiPzbqV1194QP5iWFL9A9fKk/Y2351VAyWe0PI3fshXDS AbsaQV4cfaAMRjAJz06FiXnaCq+CpZzyVRKhSIh3S1RLyfDq551b0KIRmXqmAkbwRtSE3d09LJlL mIAAHRrdNLybz7Pv4tKXBGWqr7fcyzqH4sO4Yx6CNFwSQpVcQps9Go81V4F0e66z285a59KYZeLz 2XO7ZB2AxLyWpwemHHLirm8JjeuKDAqriS59IvkSKuMYo9CDNxAOVkN9hYJZPUI8fQcV/81Js6ca SObx/h3rOqg84qlLFF0ogXmrRzL/W2mVEfjrSrvfsJnlAKXhDv35uVtEv0pYAZFS0KODkAf0S2WT ju3jS5npSgOow0t0yHQu9nin2ddDiCutt7UgoCa5l0TVlhPmzLGCkgIMMwsrBdhr7u+l80n/BJlS iOJ+6LuVrjw+73AWAyqxFXeE2GUHfCAgONyGPvDwg0kfQsmGfo1RJP4FlAjAxRN05F+xocmHfoKy QgqnSG6bLNZPjgo2B2+IgZVipHEHEKwX7nAvicWWeYDBGAgWUbBKpgfokLDa3MHupCvSm8j+3TiY 0xQFMFVyaaAumjl1HxWfzb851KCyRe3hIL04oy2jeisMaBbE8HuSZI5zge3vM9u7RmwR4wYK28jb evO/HspeLh0+WOFXDcgbDJks5Wot01lmGsVp3W1y04UqB1wc4jt7nt28wfZoFdqWX9h/lNChIeB+ Mq3lt+7SYoBxnVtOYWefTYsumKmjxvkv4Zq9KkoPCIqk0lW3+fhSzIuqfLNQXZnM7eKOtk6szyWk ZkDyxK6XMxWEeBd0qi5Zw6ugWvhRU8UX3zQtLouKnJslLU7gDJ0ymrd9NhgzGHwBBAslBBYuHkVA kAiBOEpUwvbOD4HDvgdXxB3RZdntNeOMa68s9DTGg6JRNkP8DNY/enozU2ee99QJAn+RFsYW5ZCA ZgBcpvpAXKsGYtGnWlHqANSNw9YwB79AvU4aFKULerbgNr6fI84AtvrP55aA8Ilnjfc3eW8fbJZt qU9KnmqPswRD+8VlzfrqXt/FyKYgmcFv68BF+EyPevhnDSiT8GhvqQAoLY01DmIcZANFaAeL4PU5 u3TfB2brhIrCHsvB5nqs8MzYbkxS2LqYr05vvXCs5Es4W/qRPAnK2Ayf9OAg20M1bZ6Ry+OmZq7u 6UjZ29F1r0tshBXRXrHERXkrpP0LNU6/FZ2Icro9BTt3kgrX40bu2zfspjMPypvFqMYCF/dScBje +IZcG62zP0fg77irwxcn6MYQbUmKQqngTqxuymtbE3+7BaGjLYm9J6050B69+AbVNktMBz0Xowy7 76NfjvzGVVavVPvS/pcvBHyXnCUKuqO/2zRJsifJE5b0TmFYuToaHUDpnfDRso78Rrg9kJ3KTIFW Uh4h5O2p2ZvVePtHUsJtya5ccIONts4QIZtNur7bjiRzK55m8GbG27yB9vkmKv0oeVeQgX74Z8GT SP268HUU1kVB9c+al9M3AEgpnbElSBOJ/IMusvQRNBD1bv03ZmDTuu0zpBV54qSl5wMVHqSu0kOs yVXHzze8gRqeVksUNN/IwjX0Q6LziyKrbo9Fg0ap6aCQgypNmMppUizUG5gD+B7uJVARkDN0s4NM enUorWR/f2LYrqm/blu1OSJ4oI3sjfvgQPVAk16lmmZ1eNcYZ6xgbCxJ3eTBe4OE447QtNlCZKFl +WXyk9DVeNDT1rGuQ9yoUgJi+arfmijQVdO7s79Uf7IgTqub2/kb7g1MgiXiLLF8S+N0a9Mc9cVR qVlPkjp/sBPuo7PdipvOPWhxsOq6qBrJRxT6uqsg6LnCQ1BmFx1NiU/2uvVUBJNtAr+Z3EfHl4To ICKi0ExxaRyzkgKf62X2c8qfnScriYSYLVUC7zfz413saeusztrLaY2XzviSG2acukaLWw38RM/D Rx2JgUvx/urn7H9TJZ4CoO6iumbYS8rQTrkNQP2KF5idUNmUU3CnKeydcfGCxXEyGdW92HnGRTp9 /J3EqYwzgTRyAqWI/bsXq/z0/oiE0ONfAAw28FfHOODB3vfKOS7EACKj1dzSsKOqTqakgcRk2lLf k6WXsb5YAX46OODkCaQdoSp/j2Wa/QCrdwf6OjClmamZMErsHCPaIM5H/aUCNVqeAXDRVWXSv7OY j2yMBFAlATEcTrNO5tl50B3OM/ZdOgHYjUl/JPFdwhycHapa9+7ThbhVGmy7tkS45FM6yX04QmAy 7f2vikntXg7wGOg0q85VurJJaACryM+D9rXP5tWBVQt9sPaEpJs0YvONgIfKVsbJDdtBt2UqTQqS SNumEDqgBZmsIuSALclTIVEtZE+p6WPcpECO3Qwr5IkJ3JS/hM3OZYQ/A6HztAKcKRIRKxicA0cK sYjwmAUObKAyX6/2UzAWxSmIUoa5ApG7oj2CgjPhXGsASawiNLNSM0wTZSKPE9hVVohVkGsKnoeG cYo1MCmbzdNURnerN876uy6/BVIy2BrZGdPvxs1RB/W7RQhtST7kbBkB7LJZQuGh0ms4Vg+oePnS nrOjnJIZq5/m4dA8njSYJujNjYAZPXDkQlRGpu7/LeSzpt7hj7B3adkqh5toErZ4jgj98F4eY3TG C2gQGIg4Xzex9JhiyxDw2akFIIGD8VNjzNSBIXl+bRUtb6yCrwNGDkbu4IoHYKQg8uUpCQwLLwYr nvMHtT5wvMuIoyYX0d/klgZHDldxTUOUDuioz7h/vTYUE5VkOVZgUSMhm40iyfCv26/+A5gaVjsW yWNF4XvjiywjhBtC1IegVhcQPJ/+09gsKnDmzXbOpgooXzy/RIRb0Fk+kCiRJlB9US2WuYaVI62W l4U/4ptX6F/lZogvlAhMlAwBEPd+HF5nAQViByk0BAXk9Q7+HgNqw47eDo1bde+NQTfCg9DBdQTJ Ch+nVte3KWBltoILnJFgnsXtOj8IVBw9W+Amq9OWM7bM6RyyXqBaSLLpMTz0D5/7Bra6uO8Td0HI O00QPT2SKgBzdNL6RQVRRFJbsNhV/SuMNL1kwDvRJwKFskjfXtwePYxhIAyMV03zyz4hR76nIdJ+ LFT6JD6lFLE4mfgcaEAuVB5/XJAe1DLVBhQyGroS9Y9ZVh1oRdmxNDCvpOCXIOxCh5wQcmERTX1H qY49J+Dv0uVkY+G0PgFg6RSUsMT5SoUY067OkJwXzcjdVKbuOjgc/nDUvktr75UzHEsv26KM4Snl 1oa04BGxvCK5hGoG4iujSpp3RnCIZsrZ6vnrTfGdK0xBc9loiz6jTqiRNR+KYY9zV1lL/WY+m4QJ /Rj1EuPgSr8qj052HqxXJQw/ZIfFL3sUAa/FCIDUKx6/b2uKOT+8pHW7MSpU9EoPvLo99GKN/ces Mrf1TjrAhGfyasIvqrIweZjSGkSL+Zkn/jrYgixRzGjQ/Lv/itt+0sZwAHUOzCk2y1OKPFZg7W/K xtHm5kfiZcsqV/zlKKsQywkjFQc/8w/E1yz6BgVf2ZFKD0hyYVqyMGisyVEDWao2h/yMxi+1B8Dm GvcdkbPi+jidQpsGa1MyvOCAd4iGGKosKquSWChzHyw21fMRu5T6uVofAV3PKUf2XVh8eDrcsOBq eT30f5+R6ZBOSAUHJdXJxIWQbWTxJQQtiGxD2PYgwapaY6DcKNombhBI2hbrbA+PkGSAvRukHn63 ElENMOx+02+fXRTDhgxhtktOajgRe4dYxdxak3aeSqdGUgup5D3ZscVxTcF8taB4K+ldIi2LIR0P EvADnXyQZ0yYjM83nbiahXHtBMmENu0qHSP3q02vx+692x7d9QDAqDUUqJ9TRuzmL6EP24nsi+RL B6TEGLY9SYA/qTj2AWSDerK42zCDkZmxfxpgSNxRisyZsSFUoghR3CRtxsuTNygiulbhL/Tmap/d p5t6yXRzA6VLEVQDGYYFaFzx5QPxAYp1Rl0MNuK1xRnNkstvQocafYMFeyCrWShzWftvGcMxvrqN GijdsA2/ShKhlBjYKGm4Sm/31oiGnKImxzYvvFPz0oR8UhXE7e9ZjyMEIFpwgn4qsCjLn/c9VtWo 6ULaNZPSMSRkRob89s0GWkwipAYLTTIOcm+9ICPDn0Uzd9Ls6S8Cq8hBSvbEDfoGCCkKCSwI465v AYgdBIgL/z1xn0rpaTCe30s1XAX9vCJvZXRsSrh6oZQJyNRe/NaLnQ3E7cpzjpL6+Rqtlx22DC50 m/Hh5elZIiXrEqFJKhRJI6tlJjjHmcdE6pzDGtAi2PW3bw0Ub7awSVYuaMCOpbLXoG3m1bhzbgjf HOsjddLMblhBFn36wl1DldQQJm68lPIr/pw3tnwltP/MZSB3WHNrBmE2/AitpOw+2KOvMSw/ybWb Ja+Qb2ZCXeCRQiDZk3UCXArO2jcsRhol+8STnvj93AQ6d/sLDsuwdi5fuMgOppGB2iKw1kR7U882 ypGfVUF7JOhcoXBVEpSCsrhXIhBc0nJoUTgHtUVnnwzPaCXD0Kbv0XLj2O0NeHwTauC3vN49AsVe ZRo36uOdDSs3L1nAvzcwcwlLc/fYrDnBv/2t3LeyJw7tq5rnzl38dHv2ftZM7OKXM+5gTjSLnvgZ uy54NtOjJ9eLHKN36zNhbnNEmHaLjVOVv9i3uyPW3FS2jCgFxoQJD7EN1PYKcZDH9BtJOlqTj4Me 8W5nq8kaQalIRLQZocQLfXEKHV+ruom/YuPD60Li4Ld29QVKyVyWf1vUw0TpCg3lEGyFMjRD4di1 MVERVeu4oSGZGAcrYQzZffq+deV7kf4kaOTTHmNquvbG7x32pSnhTjZqPl9yRKCBfFNLwNJ/7u4a XmBJPQ6Ob+k1FnBoHfMfOoejpk4aGKl/MoThBxcKqyF8583aFj1EoD91LG5PhUUmAbvbJ9qn1x3P GUtIn4tSeydjfyj5hzaCbXrL/H8arQh4U2t0eJCUPY2O/d1rubXcZi4a6JPVnLcUESxRh1xbSvAT 7paobIpAveEGRmXO6BwWx4n9Kt93d57UU4obF8RT9wgacsY8tSG2DL+JRssP5rg9BNZxsR904SUG y9zwzyknIlxpTiYdBmyxiUebM+ltSk6UnXDHTD46Rya9Uuqg5MGS/MEAkwPCNPpOxIhzE7oOfcDH 4sqWqI99Dh1/O1Bpi4+62qottYQACY7BGMSVCOeYCpk4IcL25zWjy0NJ+MW+7OA3eK/0CyDJ6R+N 4aw2J5iro+O8IeRMx4Rv+Dniu6nUBqZOjqd4i3SIH0ei8vyhTAjG5Wmj4xGJc8WIX5Mzo7jtZyZ9 qKuqYjGobaz7A+lg+Mhe+71cGc6+aE52Tju0HQDpwKaoW4yzVdPtpyYYfAmzr/VJ5EZTklIsvQxe 6ymvqwFaEoHnV0f7YFVi1gUXpTlsqQipkA+dC1pkmjYDLAyRPDmznfidLE0nitJBU13/7d28KWmI cB1JOxJgZgmDe5K6KVFQvQUaw7E6xUaZM/yTotVtsUBUEEWwcYcI+bPsx+4cHOU1oCxA/Og7cfEs pp/437JA5y1CRvIoN2I34a9bUR0tcBYLEJfEshCWJVjJVZK1mdXVzwqbumg4493fBP9rU12nsTnL 3p/Y0raR6Jzfbi/0khGXRhaYzfIWLc+QboozlMbR6zeax1NqttTdoNvA5hiUbhDBsy0J+nQcb3Ib DehZBo3YBw7nsPnOXE0SDD335a/SWLLotSMu98R4hpVOBxTMdzVEb9u9s4KYDuVWzk9sTrBR+YLi /jJ72tYEouMbOlfZA1kwF6cXluEFa0ja4PtDlIq/ckzex0hH2B2jCgHvohTnI/QYOEZRsbgjHRF2 TQdp7+UhAAkZXwXXGt5qniA8s6BEDllVzC5jk+XT3+zRW6AYF0DwPf6XwmTVlAi32oN5ri0IL1QH kUQX9/6/mjFhrhN8/vRr7D98qMbvGOSqMmumzFs7uIv5m3e5aQSVzFQ3nyCq4JJM0S5C8EFSEp3p ppfZVnFX7pyjM0Q+53ftZUQUStMSQK60B3IVVbFpfzowqphLA498gzAt4iIKlQXXWAyKJuEgZ5NE I7oMTK6fwMzIWNkYUTw1rVbXr6L+Rrz9Nr11AHPrOv+nOzIhs+Q+VtCg4oz1SVRY7CeKjHx8eQAs J5EGTIzdhR76GD/5ipRUlxyueiksXJ1lOq7HZRdrGbBhbvWLAAb5t1t5YHOLUwMJjfPRrW6YOdnP gvXfw2+C574aQgNhHw1PnLyeI6xwVM9B+l5QHE6p+y3/coIxmWhgAGUiS2VYV2Z5hkMr5napyxgJ jNs8XfxRQmM8h2v3q52v1WCkFiMrfbOMgb9s2PKFdmUVbqjE0gpVniruAaah6QvAldiRRxwu9dVr ytVL0LRqk3UvGk+YWVimWcrzqjf1TA+J9ByF0L5u2FVIECxpCC2cN0MxlC0YSB78A+UPYabU3/mZ 7M6Ccvf/GKpJf/AuWtuwoDtfA1UoK9OIB0lGwQYLP/0xm710nUFpppWZN3aimZE5d/FLtOAIp/9M TCsmMzJ3rxruLi/jzQPAnhNdnmlYZsIL1syZwkrkxjNFFxPi4zkuNsrUSMOdSF7MsLjNBuMdz/FX qvfePcOAlAHTyqdQCX5bpw2p6N5pJgVbk8dV0QfGFUuo4cYB9RBDz2wv3gf+p5vGgCVSm4eXJ7A3 pImHqBxFGY+ftN92bn0PhFJ42glLHklSZulOodiVDVU3lWZP4Aood3ZWRB+e6vVV95NXpgMj2Esr 93kym8mC8v7/gyo35HLVaHOiULDDvbEiWsMtBLJQ0cPe8eGZSWXyOg2s+YR+Ih1MonW2Au60s8Og ndWS8sjHWDtjdbszVXEX568i7CM+U2a0n3iv21gpCiQzQj4+o3D1cqhdeL5RlCAWXQ8adcHXra1i sWjmRNg9Wt1EnNyMwmm4lUiGdwqitxosUg45b6EQtN/d4s3Ny54NGbpRpp+QNT8lVyWbTqy5CrlB aclQWizfgk+b38WJ56iLrCAZd76VpN2mQIROWf5BQF7dZ2MUEWhrzxYyZVB5/9ACLzkQOefzZt4m sM6JaT9UREFjb1E+ymCCBq1IOba4v5QFDkIItXdlPUzSoRQvAc0rUSJi1DicuSjeKjJmGPiM617r WGm3R01eUbIoXW4sYxPpia69omIPEiWZgPEIUox8UlFf43vLiQxrkMXy0uLDURaxFMMGYJ1k5ZnF EUP5o7J22LU1c4GHoB8Rc4XUzXrWzj64mWc4T7NmCqZFWoolHx7QyVJTdjI1fYSaXGXnPG8zlF63 FFmTd0hwlL2BXOFVYtLlsr9vqslZV1BQtW1ryfIMYIQcGPPrfRCAFoq3g0vR4tnawL8Ya46GWMII a6oszU20dqUY8lzjzHaa6VYW2Cp/vaOlqruaC4JfB+2F6+z6xAn4yM1OHntqhSFQDFbTFhMlUbQ3 3uRBBvbEjEjWF6tgDO9fWwZBPYlDORxuDI5s+4R6JXku2hc8mKYeq/5g1NhkU4nKNT+TclPr/jbv ivFxooSlwAd18zgU6zsHuY3cmPIyabg0G8G32JyvryxRdUbk70htYF9vqOmP/UdC3fEWJXDDuodF W37u7IE4Atu3+HnArEKsajzNaBExMvBIw0atswV/INb5wFYnuDDbwyJXDk1OZCI/FZb03VAGdk+0 OTlY01zVJ5K00ZK75Jp+QnnDzgTj8kBY2IGuZcnLunyJkgMQQQBIYmr1ZUD4BGi0ghdn8qJzjGCH 0csGPySDNZVKC/gn3VhXwHos1dgoaQwRQIpmn9ctJQJYlhXwgMdBMaCbOu41avhLnSlKUbI0qEJv PfFBhQJpKzigKaWxeGu5sGNm6Xx4IoQouuVMPcWqHzOqdXf5cwX96wqcb4ZKEYuIMGUawKWPS3Ou OujSzkJvgSOQ6VoEfWUg/ZK3zsAQMcbe5Mxh8qgZ2qq+EXy82tzsebXQcNU5o2BtSlRznalOPjFJ G7HAwvEJAJyRfsvofSTrnfBu8sirxVjJc4EeU/zX5hS1miAUe0hu9dX2p2lmLrO6GmlkhBUuOXKX xzb89En/L7xL9Kz1R+RY3e7Z7cGkRiXRlrrc1vl4mS/wxl/QRPI9jhk8GUoj0cCJJ0KutgE3fMXp oPZm1ls06O2queyEOYWt8MEtVdEQyS1nelPpmrZGRMqH1Kia0iAOMxSMgWPXvVzKliITDRl2IlCR k5wT7IP1kP6LZJDRMa7LUGw3SO7bjp0BEpE764eBcydAj3rWoolamqDzK4+028sPxqq+mBUQSZOY P7tqaMXzWqc30Bxe2Uo91lGm7JXRSlb0jHxuxERGWih3kiWqfwMFjZ4rmzbETSm3+t3Bm1HY76mF 7o4sAN91/ZPzbJcROCa0WZwsxqwjW6YTllBRuK2wKvQ0BEvysKxPvGXqVE+6PPZlNUJbhgtv//4D +jrwcmyb75JV1OB+Y0bVQ+ubSNnO+lMRCM3PqGjLm33H98JLbMnWfZe21uOItc8JFI92enrJ4I5t Uj36mrE0ACzBgCD6TwdEOnBAOXaoHR4oPV0kNn2DUm30LSPCFAL7iVTGUCXcDy5PpS8RL3bXeaDm TfIK6XpVZk0ZC+MtSSyKJUO7sfTV6qo4ZgZRpfAxZbxq2IFQzbEyvwkDT2Vddcn8A/0RFPeLhYPD MNHsTHq61JWZeMdOU9rNiwrfLJKy92Kr3Ks5Y9W91Kb5kzSnL8O3xI64IBqOrbJ0UMudC98Ry3fV ui4wxoug9BTGmRog75hkvTB+5x2AFxILKEn1LmZag7dTGMfVSkYpULnK1oueYPrlVz5MicA452TW wYBpGER6VZXnNb1mT9mqNJgHgqVhXwk+IBxcAxknKdT9e+Z6xm3cZrsjMaGPY3tZ/cDtV77UnyxH R6NarlreTVqxayDACrZagAyqrc60fORaXuw3e4IUSk04N+SBEcv/54sfxURaTGZkOlrr6mQMcecg ssFMzyiNFpcl8IKjHoKvyKyKCUnok1n8LLXJ2gzP2Iv0ydcKExOkSx4MTbRtunXuS9F8wb6oMmyN D/jJWF/0VJRdnL4voKaOCUbG+GED8IS9qW2xzjK/6/kIpwAe/T/e9eUPqId9sB7RKK7XniMXHBHr J+lSnJOtdrTmyBkxAtnphWu+PWQCm1VlEq8JCCbyqTNZlqMV0kM09ffqOMr+zg8/Pac/co/YQCNB VRGAsTNlE2kMPjTVHQQsSXlb8cfpRJyV0cTaUHkz8DCQLId9xh/U5BWGmbJ909tUwhcm79a3yJyy 8bxdi4J9dkDaJXP+tMfWA22tT3u04/Qcoi8pj1J6g6OPrn9pJLWa2yoWaFhhPTviEQJ+2jQiToXV XqYAdqq0MnZB1kDc924AM1kkZdP/S/O2EjpHfMYFM+kwlR0INybd+W+ZECtJc4XInWaTvLvlD/n3 8KyA98dYhmsVXWRLp84sSHxh+BvfSkdySQxUje/RMst0Knto9mdAenUa209wHaO4A+5F/ul251wg TE9q89OZndbJBywsq5kk3awMOrj8PS+Bg7kEydC9UI5teDE5UdMRrdAFXTZ0msBFRkVgwrC6uymq QEnAPfFifObtrw4blVc8fZC/pDiNqoI5nBEpdsQ7WewY7AgTOssiHK0EjkszAQ43XB4d6oXwRGOT G6VOj5p5Fo1/W6imyrT7oofsMFg6dwfcDUYwxV2WibEPQ8Qm7fmLbr9ZdwGpbOA/dXaFq5h5a795 4bB2vmyeR1EzvPetO9//psbjMHpUMWESSIFxZhMQTq7wdT1zr8vTCvYr2Yd+AbUn4XW/ZyVH4Q0Q sBCTKD5vm0rIyDg8DG9fOZ9uwPdEdizO1AV9H3dAZ7sLMQlBSxDTwvkhudHSZIYEGzA84HPcRzXz o9QkrYexW1N7ZQyznppQ2RuYa3/qh3C+ZxGBN7sQIUlEzM5OWw1YYz9puBytfjAhs5egWpGvy2ZW PpiFLpK1z5nZqzxT3OA+9YdlI5uRO+tP8q/7kmDJ1s19K+TJVOrKQMtKAZkYr2rBDIDA5TgFUk3I eK22z1cSPZZgjPjxUuK9VeeY7bnDXGwBLzFK9qeKaDFIJsOxKhAtNdSjQ8JXoUFAYfCHEn+OX4+g kCQrC6c48UdtAFu4GFT7J11w4ONS3vXuCumsFHR4Akd0MloPw+2WAMsILT8uXwfTaQD5fTS2z78g hDgED9eDp9Sjr+ONcfvDZnBO8sqM8PapJCwdQo/kbsW+raq17X643g6FM6885gzEx4uN8krRhXYi OjPGs1Vn52EbPCjjeurBc0QHuaUcMFXOTTm2n+f8g1/PKnGBbndn5oU6d1vsacJgMBqOGkd2wgWU OgzLWk2AtWoENcDDWWPv/sO/qfIWXY/AeAVYhiSrwoo9rh4CblOcUuenAvFFnPqW7HOR3oCBqE0O udc5NYBS/Ca3KchLXnzs2P2yju0uwh806Ty2U091D6hf3fNNCC1lVjP562dHwnJmBuF1ay1xWHzS EY4E0BdDfmv8o5TV2abMcmMReaVPX25GoBXICcPMR474XNUd1SIRU0VyHP9ZfjHmMX15WBpx9qXu NMKyc2phyUIArH6CZ6ObGNqjQVWDUYmdvNP+oPeiqQR3pQVQo0HN/lpSFt8FHUEVFgCZslNJKsEg zlJ6t/BvmqE5b5Pu5+AHUM1eiKcVvIy73okXsxDy7dxzc95MCcYLdfgQObNTr3w75pybCR3wN6sV hNoneTYevoaN3szH0cuD3AMddEd6uj/p2Og4BOU8v42yzU5ul2sX8uN+7cInqlmeA5MrLU9xI6yq XPWFyIsEENEyMTJIbUYjc3gg0/okgkUXD6ctuw4VKnK9V/lZCEWZB1Hq+nWPM+7INHTYV5g5Tg9s aDQKcz1Kgrn/rtdxGEGFKFbWmomCSe8KuJxyzkwFGR1ClF7xIDD2YnGLWOb8/7yzRfcQGYmJ0dxt jcXoCYMu5Qkpv1rfs51JwIoLr+kNgdD2grXiJ88yjpb2JC9iDZhn8wrqvz+CZLFwBrSriQAB6lWU DZXknSx+0LFIkJKH4ZDHSDNvwxtLWoVRShMUVrol7jV2xKW557InwJdSepQDFcuNf6ztqIz3yWan iIsmhNp3W/N3zkNj/Zp2ykm9af+dty5JbPRv3x3lU/hrLuPUykFLObvDBNA3vFQ9MCSXoLTzvl8e Zewui7+GvpJcd8knWkyKoxDV8qlDUOHLiYC7yirHePdkwvKREghJ2BKbic1Dt58bDqz8ln9t86Wd rjDm5nxdLD6iMAy4fLx/qO01ip+TmHm62tynnoEHyw4a2xrmPB48PauyQ05EWDM7+T7qmiXSlB/9 bJk3DZVxYdiiHdSeTMLQJhrNPFbSS7TqdFSH/myDlHE1XppP7X1jTL5B0n1l1kETcYSa4ZIwP36n kEcHAOxL5qEjd3iG7tNUk0Tvhr4cmf+ZCSvpJwBP9ZLpTkAJRkBx079i15zqH+uPdb1gR8AmE25P L51QUDagrCu5T8Q2PMwcH7yTO4yQl7MvTH6jY7fc2C7BjMRj37veHW1kgcgwOiET2+JiZQnme6j2 bflREE3NheNc+AtdkptIHEWAb6/cnakqJZUFO8r1DpdkPQNZ5dx/Qf5Yks9Lts0DlALAlQCfP9F3 7sPziUfrWPUAO0S5biAPtkXBZ+xarD8BjsWxTz87aC5IFr3rU9Mpe1AH6XcOXG7kgc6DUa3wAzBA ObTWUtPdIbNu2yJD0GC0fvirdayccKvydJ0KmWafP9wWCFgmjRArbhwsD82BML5yn8XdD5mo8Q7D L4A3u7NvFGiIrfUuNybtEEH5vqgua2YJIXP9wNNCkckuMP0Z8n+1qHFXrSqV1+tHh/lyRHNOdoVx BXdeptrb4LjCpJzjJSFZoFTCBGozvzje7OFMFDevkSvbq1CXuoxAB9P3UKYEg04m7TF3pKtFUSmt X+gGupFgCryes2JzhzQ0GtKGFf1a+A+8wXeb6MarNitXNNEFK0OqUovBYn36fsv4/M3CJuwGl15W AjG5Ssocp41P/rd2AjhGDSppGhTSmS5DaD+lpdACg2B1XKJqrxRyn4gY+7WMdNbDpOye3YBv9fUM pYqJXamR34abJ/tA0fN2P/Nn1miYZ9BECLPLet7x6jirGgVNhc+lfwXz1nDSr3CoM8kg1mQX53Wq YxRTYpsCxGSs8wDZJvTIwhdKP7FaiyxOS53shpr/krZjzYVdTJUFxz8h8Jt9t7bf/1Ua1RFz0DEV oENXM2G6QcsS+4KiJzybKGmZ19y2V0kHj/3Jozsnop7yH3o0/9D3wZitekez5XpRreqhSHpATzSM 6UIivxBXXctSEy3g60Qss8Z7zgNO+gz9X0wNRO2pB6HaA72BGHl23ZuAVaw6/yCXHlD3Dfm3DHWn lbocuCGdSB37kdOFYBMn47GY9Av2GRjauTc6KAgF8uAadh/StqFc3VjCdDsYP/IREio5nNcZSxIM FlrGfNnfsAX5Xi28ln8CDHodRtic0lqbSWXP8U9Cs2+bxImPBMauXxchDUuYXOYS0yu0iMt98UP9 RNkGi9rJK4zosinn7XXDnVHxbptn0EotH7djJLC4QbHVFjlrzP4h55kUBDxTmm5LNWJ/EtyHriUS upBW+Zl6ylg5xzUUrQfaSzQGAiJMkJIkt2OouJrrNKyFT/6O6vI6/2R4bvZGvN2CU1I6bxhF1hUz ZrhRmq64jN9+U81seQQxmJOJSkR8JpLfw+ZS5IfmiSl8oUkNLwT3qttldYiLyJbO0P3BL8vs978a 5j+aUXIITDljiNXla19nLi4FKo5e2U8PQd1AxUqybLMo9uZwv4L/u6X79kzwu4Z8Mp3/hnQWXZ4l OC/sXM8fr4zArsjmwx/Vj5OGv0TCVQ6lR0I4ShUWxeTExWE/o5AMrus0BgkqPbKnpFw7ot55vwXt jgMiHC83nBwQISpbkKv7pGTlscBdKIbM5NgSgT+cv0XfBYvXWTUmWzPR1DL4JbjK9WHZ74au1RXJ tmWdriqtMUqops9xaL3PDSNRRrjGl+1ong1yINkx4SocRiaelIVnvBaDmJyumnwoEYb44G646ZHf VXQ4A+mHgwXqblugkgdhIt7sDBkYcS82nZJPpFBibY6uE+ditjt07Ftf/4iplNeCi+PccLXHRRYD Ksl+NvxtTbs6Vwg+4x7+Q+Pdmh2XHGkalW/9b9pGSsuUiC0xCvExKsIA0s+OFckI/0Cnm6e2/Cvv fwk2xAlAhZnneaYK6jU4NO9rukEEh99hJD61+agW6veS6tSgIggPfDYgLD3h0x/Vtungd9pf5k7v PXnC4RUWo1szP8rVDNnOFitxhZRul/yDJTe42qhVaFSqY4rFPHWxaeTjKhnwmtROTNAVqoqkR+da V6o9lGvjQTjzJgfjbPy6eAdrqQcKFo/PnZFwdojrjF4YTEKY3w1JxiXTAgNrxFw98gm8O3q2Oohs 75VdnATOkasg7giw2+ODrdIrBTpPyQUl+6bKkz/nGd41a1mP9PibOnGUs39Vgfc1KjxAJjVhHC/y 5lekCqme7HFqFbfCe6mIAr7PBUbD6ToB++VN4sn8C6LFIz41UMF15mL6s4F0Y6eMGSGH8kt6aOch tlU6rdajZg8lTjVdsAthB1GwYl8v7BQ0Uzu4WY70HaXPuedccGyBCTpcyNCqCRIBx5sTyOlQcO6T 8MApnyaLsc0gixXCz+UOuLz08kGw1VZnXuc3oDolfRRvmky7It2H2QtnvdbWlNdA2RKZVQIfofXg dYydz49A7nD+8G1XhuBiBH9PGx5NERxU1/4IU0HY+wEB4M6EG9RBOaX6zOXBOm9VaXcCZxtGeYGR 4FZ7oP2osy4AM/UDGI3EORc4sNwfiFrw3mPgYAlagSbGv7wdaeWbeQzplp+/FQerRc3wp1u+/T+m C0EbA/G4ijTLTq2cdHp5rrxW6O3WHLHqH4ijra2sIUxuHf/4HTsI04ev91RgRWZqM8QRKeWFKuaW A6enR3N4C7GW3mOeEONvXwzGuyqhWajFN1v6EeY72vEo00/VRRFyn2qHo6Fr1SkNip3oZ2zQPw1w QVaxeGJEL0ETWqmpzYQFACAn8msAndwOGu5blTQTjodLhwd2n9Y/TOQ57vUdIxqBw6BoAMssCGEa uJ0ERy55+zqRzYkb/8m0JL6tWTRJFki2nU1bINtWj+GWTbAlray4h+i7zJVugVKy2UJBqiNBzOKx VRI8jtPyObFytlb7dboRVofkzk9kOG8Swm7DjoZpnOGvwz/GYNHjGHbhWAG8x+i/UP8kaKY9boVy mZ+gr4K4LLqtCIMNe9HsW6TQF7ppBgWV2gQeDnlv5bvgz7ci1v0qnMQejvTqNmiKSb1ZxdDGv+Mv ltXGAmIDKfiPA0OmUiwegq78/EPF5XeHq9L8JV/gfJT0Zg9U/4iZCF+9jXuNszpp3ebajrj2aNgb UTrveQNiNNLfgY1NgaVcKD8YEr4Tu7bRo6ZNFOty3ZIOIv89mnQ+jo/5TNRP1i968G8OupMagtmR ct1Mzls1iICTet5TLXQQLygEdYyhVV49NhSkRXg39gxI9zOddzLaBthd1qIwUCM9OjzyBLKae19L VTNKBu6c4Wr11awawt4w5HxnX0WMDbYhCElxEGKA2mzYYVAV7gdNjn6HRoV0r44rSiMhpXDCEXwC skk9gvW830M9NmxDwsr0g/ARdZPkjrTigEk+F0vCqnt6ehgRcUzD3Kqmz4oZWChiKvx6Wf8ouBDW v6DZwT2g22p9OHrW9q/xIMQhA8HwJKzMhhjFECKC8v3uPv7ptrubFtA31W1C6zROF/WsX9HXLdls g6me5KAgQchwqYNSFkve7eE5hg+iZA/MLy0yVV7RSBd2+oSGgb0DVBLHUI9kq2LyWRmXHRqWtvF3 xNJxZY55TXPlBhaa7hbRT8bbtkpTmZmNepIGN942Z1N44Tj3bFfaNzRHSPkJGxJDkFzWgSrtS9oP jWXttzm7UMMb2/yG7PhbaKFDAKHGROXrKeYFlUrElVR3I5YsjwH9Q1tZhrlK71fbvJS2U3Tm5M2m nMa0B8HpX3cOwp6D0VMJoam9s20DcMiv3u8KX3lqhSYAVApTzp1m9RVBsmVNOwE+zDt0mRYFE6Vy YtUHL4BUOxu0oEsZkhb3JD6vSwrHq4GK57Yg8vBl9sBoBKyY6x/+/Z3jSe/OR+RijY9MVFHEjLjK MvtFVXUUAVtezt/9N5hGMdt667zc0DExaRKFv3EYZL2MfYXZPouSUN0Af3ilRS7c3Sqv3EGR6SKL 0NUtYQUy097M1m5/69ZTKdA+KTtjdxQT/OQhyfnzSiy3WFa/qslvDX0jG3x3/h/p0lHtbHebUUDv 2cRC+gvDp0URjk/j/bc83dLqAPYF8vuaCSl9jSUHbmWlJ/Tc18flqi+JjDAP9F8JhKatPnqcp+17 54KQQkOlQlrgCHFL1122Yf6BrwGTNeCiJsEjLBdvTFk0L+R9ZWyiZtTDm7cIHyD0C/1N+xh4ApmN B63S/bsTIyJDe25IddTNRWERqn6QU8UMu4OG1ZID5rkzmmcbj40kBx97v9sgzDBnd98lijwSN+RM 1kE+whtLtLM/NbN9q3N067Eirite0y2/2i6Vytk4kQI95deiuZflir+9/nvmulO0LdC7u9he6qlL wMot+P7rkURHW0b/mEfJaYjhH2qZmIF9o7irR5HU35hAKzpoixUOP3dMO9Yoxe1hsOZMcbOzz8Nq wTQv1W71x40XFukNBQ4kdkm1NIgX1TAMEhHA4XgnUZUS+thhKXILeALAU2loXeHQft6oBcqN/VYw E5QfWgjx7bCep2BHETKY6r6pjv3XlsKsv7QP+ewlKaULLGdsNMVZwoZNe5E31Zjog78I/0aedGe3 hOveR4NEZvPCuRAnYygmRL3LGedU+3g+GIm+Xc2kKdGaZRhApq8rhC5xbqBgCU1DJ5ghOMapq65A ID1DnYoWR1xqjrcyHg0TW92DGTGy8QOb6OkY4mdBR4HC11WTjfDIyUTZOve6OhnOJPPEblBW93Gd WqspaujgC5yOrwAS4HDrCfr6jver9l7INj3PfIwwcqReOBIAjCTBmEailotQm6X8mvjgJSdulGuI JQAXGZ87GcD9HmmX3r6APlBvtrp7bxIFgIIm0v06VruexRZqu64uvRbt/YS0wmPU0SgluJUxbnqy PkRRhJcP8UeigI2CDT0r2YU+pRhJUpW7qLnSy2/l7TvZiJmZCOFMgSu8LJDN/7RzojfbecWtit4K jjnYcy6q3G2zvuOiosjgJnoRHyccLSq2MAfUSG4g54n4oyyBTUBtvznaQzs4ypyfdc+kk0yCbX59 nKBw3so1Kp6WUkSwzcheAW8sROi4Gd9xyTz+glSm6Wv8GBUkGQUxu0UtBWXnnWBigAXhh+EE/RPm HTBQzuyfKodVJqaO2gGuGDOJipfz2OvvOnFEvXZYJxh/ZUJnjq+mRh3maamQQuEbkWXUZBcOxXIb lk1nPNsViZC0iUiu8Zzbkly0XSJzKW7PrxQhso7Qrd8+D2SdZimVQP0JHDvLUX63BTJaXLuPI+jl 7AXt0V5aE4CDZXHjlo/e9nXv47OdIQ0hyDj7E6Yy+rXAGHpcCfcS6j2UBtA+0tmdO0LSyCxl9NS9 OG05OQCebCqWwHoNU03i1HIcVgWz/eOuYHO2Ff6ILMm1cIozlLBpjVe697HblFXLpxz698AicLX6 zUESa8b/iTxutZB2PWy0olz8N0zGAXKrt3irMT4SSaBmJPQhlLBco031Gt9fLJNLWMAKPkwRxoM0 ckf37ivITC+ySf7MucYBqkh6QZOcuvB8KC6pQ8kTAXYSNbv/0p2FgVIrcN/nQPlnZpKGQ4Mh8vdq RicWnFXjbnt0JXG205AfzisWzwz7LTzoZXmXe3OGwwFB7x/Hef9deonDWoOF4ZzGrUN7OcjQeuZR QInT4GEX49dDXFWZw9Kmnl7s8vCiJRGxjEzSiiUA5d4jQuTmmRuZWAwmFdMpnWNDWR7Q4+xkidM0 GVnZDDTQ/clWCoWOnOQ/4wnjHuiE6EIE0bIK9u0wn/AtfHUCN49dA08qe/cH+rVQcT+m1jrJnigU H3Na7EEgepAyNFcm91q/sN5isaUxEHvZLpVdlLzBIK/sVtwuiY5InYMoLS3tOxh4S2PEbqQh0rCt 7j4IPl4yCgobnMOlAftIuQSX9ufGHNXdguESFs67da7qls4KJ1r/aR4tqucOMTdEL74pjttn/0zn +lJjLAzlrdv5p6eG6yicXoC+hA/w7TH1X3IlCTM58Eny1GAQihAcaO2LxTRjkvMH9mWEUGEXCeSF wvCXh+OVdPePU0d3fcYZ1/1Cp0BhSOe67H0Ho+czh91SPGkbehRHw+jH9afdehiWsYI+yWwEJIRq BNqTbh4hszwxqR2sF/KEeYtszm0/SZpwnMk8R1CZv0bOuxrlXHosXuKmDSFe0DkUbkXyfDR6CnuC prYYIMZgc2N8Vq6bKWrLlfs/dSGWiKrIocW3cu6irsjDPNpHJZ9AcnxNfU2hiHL7MkbXBfsn6p96 DMuZCVNg0j2xa7Al1kXGWq04UUuxIteWP/6UmpnXWd1xHcEFvvEk3fWNT4Opk+SgRWXhvDUv6SQh /Zeri7KRh3W8/L2Vs3c2UD13+a6agPjB934QYmF1bepFyYwKO5NhPK/rnzmF5l71IR6DbF1LDOjy lgD1wSVSM4lgi0yhBfRox2BYAflaNNfwM5ezlxXs0QJG2LpZnk2sz/VLWGkK8lsPABbg2gNmVZNF dqnPiNHwua+vqgw2nyLG4noyJOIqo+ztno0lVwlEJvmv9wIVQViNZQlN4KbGH03kz58QDZWbBvJt Pp2zdHD+R2/zirSdhcSA7unxkWdmfOgRohTF6erbZjkOkXXPpYFJMgXGNxG2ZucBNvvGkUJN8mB/ ZY+RFTA/B2RA0U4kXBzVdLn9017BRDTL+PrLHBz1NMQqtoJebs2P+1LzC64ZZzZDWj1odXXdeD3P SdLsRFLkn/6bHNnyXia7M0kKqC2XII1gWvnAb5lQszEIuv7r4EA1jGrYo/o5hXrVCEF+vQ1wRUaz XwZUo0ZI47fm5vUW4KylRwQDy0AXFpH+aupbKWvNOgFkTwvftfcRPPfI13kkWn132rIh34muBoPB lDuZBDgT2pmD8OJ6DgNNTK2GLIrvoJXljH287XXlN8YKtB+nyr5AqniaUoTiyE2pWsdEHEVTNZqU Wgp7J/1bqsH4BywvsociBNiCn+UyRyOIHuDtQe5WHtfTokvY0X1LYzVUvTpt2rMRPc8SIfHbRUof vpvvokZKocPcl3ufbUp2QOb5TOwlUCXyKhW98nC5cBpOXL+ZwmZv5vcIL1ZAGCDpg1cdp8frPxzF cKsSJATEYgC84Kszu+s7F14s/Oul2CyeJ4TDLzYHrcc16uee2UO+UwiqJjOwsKREfoG2aUDxlFBi fsA77f+fG711FDhVP+TuXF/ze5o+WnbzYU3l/xPwv3AsoPNo9O6U3Yc1d4ZjzsT38wFqIK/8Vtf0 gIxImwI4s9c/nrj9At5RgAC1aAuYieZmINXFGaGU/4+wwxpyVDMeOBLvdyoTK2/LpbLMVTpv/lhA aYWJEj7+ChEO+ZnOp9DoYZ01EcKe/5RMMmNKzvEcyJh1pkVafOiGNWcCM6Lp9T38zNuUJaq9LuUL SnFxS4udj4YmrPlge9VxJaWqXInOnBLQEXUhvplLrexqd4WJ2Dqm28ELZlQfuQXrViDBNLWGiQbH kgB6ppaCPW+hVSUNyo2cBLDuxnFrZwO6wRl1v7QvernFoibuQsCUBOYxMrWjhgVRmLjx1UXvTpDO +Vj7+1h/aj4D69asZ27ciQ/E7GiXdFoLevBngR+UzxzcfQK5pTKSQgtOD0BZXt1PSiqlbtGjEX/M aRUZAxOxwlrlMbW84le/LhZSJMPBufElaVY9boo4EaUdbItGB6Sac2Yhw8Jm812MGGTo57VGHRtJ MdOm3ALgwm/fU7qEZCmrvLWkJtmnc94s9UASYsMvazEOBoAaXZE3AJgKa4pIsDw4hCIb/oTn3yRK bseyl0d4K3obIdeE9xQtLVN90mWVl+Ci81YKPKHTfMwbqiLJv/EO5Pzkozfd+5nfOWZYUvEFwW4M 3WI0Pr2mmKN0Jlu33wMH+L481gzrDqDspVo8o+biMUVrolWQOmnEkqCOOyMT2O4+aoVWxv6go4Q0 Q2HKdQci9I0anNrdD6H2y8/X/3Rwxp+NOC3OGA3cArYi348BylBi6EB02XPoyi9FBwfZS6OB9XPZ LgZzthioA2MBibCiW32hCrkdndoapqEoM6OFV39hTWAG2H4+lmpprGxcdGD29yyt3OXoQzUwbdR6 TkjuBZTSQJvn3p+d4OzWfe5DLwa9cC/e3VZwC87OUHxwFcA9HSs22U5hNqxk43JEhesR/3kl6qSv Hxb7oltY8zcIQ2FVrKXS5Bk/bm1Q5ZCPx50vgTI9Fqpsgrf3ki0GRJZ5FblbAife6zRRv618P8ZV utvQ4iSVgdFpLC+kCe8he8mVABG5sKfMj5UUADGHpl7VBZGUSdrO1CcN5FjtqSXycitRi4du2G3+ 2fYX0guXIvqgrpq54E5M7qcjgciJUB/uSrZoHjzMINA5G/bzSvG6oohZ2Z1KpCtS+no0defJWI2h KaTNs2PYtPHpuOwyGfRUoDp57treiqjdEyOSHYQyvR8SM3T+RKJgiMTPFqF5IDdFODYQtG1kiE5+ kMaTdB+VTPwyxzhyDpfytWiT60B4Ig8gjNxb4jSvoYhnGIiNT2YuTZqM5m10FWO7lgqRsdAdZSMw Ykj8Aan+pvjoBVWclvnc1I9FhU0a35jrr2tLbvxOpLLtaOIA9w0Ts8RXZ1Rs9QfYdbjfpjcc1d2l WcmyTskR+ljXjNGhyB1vTX8Bve1xyVwg/WVMWyNts8SIEbwJ5KgGrJc/m1NIPjkHtaBEPa7StRBc 922WqzkgVNBWL90IejPAj8e/DibUOwof/Op24i8pZV7U+TJ+HalQxAliWAvDZMA030MNgOfz2/7m TbIpFBqzVl/PkGN6Y6D8EqS3r03haualq1McaPjU0purFX3Q3CkCGsM9vSaiBUntDTrAgkDwlQRG kaQTFnjou/mGiTfxSj/1Laic+uDqq8L9qo5vtUlfLCkm3jNZ7DlmTTtmRE4c18F+BocsLZ9TJWo5 9/XE74llCbNfKWgK/Q6MSXFFiQ4Mm7Kj6+o7VHK+CwjRblX1NlbHeE3Ld4N+BLv/8Gx0AP3uMTqd DBrb2YPwheNh/386oo6Hgw1PzYToqlUYIEL1Je73HomjeXr6h8wBpqh+ZFVHLgnYaJuK6UeBW+DV VLnOpnuwGv4vmqAeDIbzonukLiR9GIn7Z9ARD8KO67xoztR9X26C4eg2Fqf5YP+sNOHCms2FKR5e zryF1+EZldaovxYF3DJ3cMdEYYANYpUVrHW5FfVfJkJVDtmfG+cTGoCT21dgeUuoAn/jjmfvWMTf /H6z0ajxCqwgE+onAdWrxyaeWdbd6RcYBvyMNZBZA4EcNmqfVVL0lKCONyoO4/6G1KlabMgtaYZl /OyfRjSlqMxiZUimWDK4LXrNLnnLXdVCW9M+caxTU6HovOAxERbQ2O4MSLIOL3Y/pybYW30xCfG3 0WvDLOVldxE3iUhaWh4Mx+aLBCiMGftZeQ/uzFKBLo7DxN01XVFE96qmtoNEO61GdlslROoyKkGY qElMmHnaUGH42kb8CJ7/FR7lHLjF/qcKQwZgRWhhPVjFYsiZ50coWp5FbjhsRCLdermh+dqy8vk0 NkmIQcTayicYOL8cdxd5r9+Onwy7JyGKNJtxMsYxxYiFrabmSmc963XWCxxKbxXWkYapDmD0Qcd7 IpT98IlNjaCPof3E/12ZCn4nhM3cqRIAHJBz3n17Iz3kKoa3aXlJW0t4gxBAVRW3I7SvmhBpD+ng bzR47/Yc5dhAs8nDmOx87Z7WqBzxF0osNcMclA4rumTbNQx5jzykaCIiFEzMscxM08M+XEzgmj6W anZZidQiXTflgJ2m3fQ9pM+AkJFUpUJF53MrlIuk1Kudq0W6VBSZR+IC+40htLc669YwAprHCczv ue7YmzbHpfHbBWivdzsDzrsbU4puVkn/Rbm1Gp4u2ILf4hkw1iS8ehymXCa/qrhWqSN3XISjgeN9 V252rj0Cb/mR7ByOWKE/W7HOoI3LahUx35r3oON8xz5pX6VFecRJewQ5EAMzu0wBnUBTUgveynPp wL9MjDM5BuXnWl3J/vEob66P3FJv847CNmjJJqsmMuZqjoTwqDT0Enkqy/ghmGi/T3Ff/Nsanop8 U37M2xLL/qTYulvGFAISeNwL0ZO85j1bmBVTxYiL5TnOGgDV0sj/jlDuvDw1P7gsiRv+q2SGJiJh vNYPoTjOkL6TSZxja4qFoYoEnoS3Is/jGGxre2Myw8VPXi9PUd6t2GAWJmSgIWfuDO7B8u7G/OIP nQcZ62JP5X8E3I2KMNJcNGIvD3mFczXO7drx8UdoY+Nm7DGsUosWkE9q7PnBSaJgsIo+13WZBk1R 1x8j34fTR8WtcXE4iVqp4QTE7rS/XujLnCgczAAkCNirE2Qd1TQUotVCEFBEUaaiLnsIjJ5LBX9f MyLMTw7MuZAfGhwxkiYkH71V8QRN5/M8A64KczloxmFZi/NbLkQyQknWzg0jkolKoRT8skzfgmvs 0RQQzXQ6g1B4voV9/sCvEDPct9IyXo8S3bLm+/OOA1xg7a+eRW+veY7LoKaN1ATJWOu/PNw6jbll WVtwy4UT8Q9RdrPGN/g4m93cLO30g+mn6gNUoCDa/H6lDKuSmRYPinJA9YIlbRy6RpUbycbVlOh6 0IXy0/s9Dt91SUmhF/sEFfcxlL7ESw/+szKbSBYuPa613kQuFPAoTNe94teLpm2pXcOw2xCPlJGa M/WZp6Yv2LsE8iyoVnYc89elsH/gJIyxA+TONzB53gTunoGPW9BXXF6LynVqN4hYOeVW96Phq0hk /tHBQWxCbZf5J/P0Fo5A8o4536aDHjzIBR1ckFF/u9WlONn7KrIiR3w4Pmj2X6S+FP/1shxqEQod VrBos9BDqjotkqecAo5g+XwY63kNh4V8FJCXBL2yxTkVOn7de7Sh7DEA72LlgHN6Va/MUKEQ5eEU AzeIyfTrjybypu8JhiAzGU0+OPoXGCh1qBKazgY1a1q2NxEStvqvZY/GL4s6VeYgOnRJOzzpP7SV S9mmJDQq8af1I9qYvDiqdMu+dF7dTXsAXJBlVkcSziuBfHCB6yS1Tu/DxJjdNOJoPIjv2lOa7HD3 NaCpFWR4kIeW4pC+pXngwMUogJBaUREgkRgwttVahXxFQbQVffq/pU2W6fdmxTD353Tonv2hJyHw gnEyuPwSNdL0HpJnGfFcdVObqnhHOzqsrqsmWbU0KzszOc5qqgX9uJIlt9wmAdV3XsotFy7ywIx1 NLzreNTT4C6j/6UKEjIDkTinOzfiFCeTczcM6DMcsH5IW8KKYdQRsvoTsHDSBbIiOF6lwSTBdeVT u0JU4Ysqn/GDLlllwarjcLTfPHA9GeFBuQMhwn4cOtyA/h0KX04yszwhTfNNutfZjYg1L+hw04p3 MX+xfkuwGF4I6B1ebA/6hI6j03bzH/KtPn7vPWuXe+Ry2YWSBQJWxSqORWfQtHV7Gas4Lc7ydy5E Wbe8hf8+oOYCRdChV46iGEsRvgzaECl1OEQy+LQ1kHyEikN+y/hS3HjnyhSUgyR9jQXSYbV4ZpBm qX+vo2B7UlNgyehnbYAvleRcrTkPL4AXanOpkVrpqxBOzHixCSfBAi/Q4wWdpmL/RcsVR154dM4j tWWykiuI99NfmcAZRJUk02qlJ3BzmzB0ZcoydmO5nVBT5IhkC+yk9+jrRpujoYhun1grKiUPWgo5 pfwiaBmm0tHW+QL+4jCkXN007ksWQreEE0tRasmgxwgIp4qYkaLtqOVOncwivVTVPJBjtyVzNDHn RZt/0LmGPvhockErH46AqO/TnsjfkyYceLR/P6gsMpWyAs9AFOpJOWuvsCY4txV8KnIrVzNX0Dq+ OIx8etuZ9zl5v2lDvSDKE6TTAtu1uYwWTlFfxU6hQup2SrI6mqTvbxT9epielg+f80TUiWYW6fDz REOKCmmhamtaNZ5YVa0+YMRxsyMQwH071D6UQZ2gMlVlGSLLwrTkHmeAt7gTLogOQ/4RVPoxtw4T FYh6WGgdJmoKO2dkEcjCfRWtYiwfAHWUoPQsjgYfw46+fOpt2qX6exSyHDLvnmwhGUS2koZVRtfE pTuXwPLhXiTKC2d97wS69AtkstjTmu0XauLfNDuRxL28eBQNibMTudKPS1kTu+GAeMKQXmTJEDk8 REFHj/04OivfRX8APkvxWRejFXvf86TH7d3+UlwQew/q32gdxe8eaKUqkxYPqORPEwmQjtZ+aIey cVDMQsq/u9RNfxRwsuc5+A8ejqJwzVnIJezb9sV7J85JX6RyPxHCyPaZVA1v4sCcpT1UL1rA6WSA U9Jm9tzMrSbqs/+EYjtIb0FzBztuoWCXrHYR36ohEYlnsvhiuwjkCf4hwTsAqaTkemoal383Xzuu crkJbZV3JW8ZYCysaFURqvWlPzWWEkDQUxLrFmAUDW84Xu8FuXqVnorUa54Tz8ZrWVFNFKCMnFwV TrKZ/VuVVBBvzrBIR3Zg6wKtNLp8wtQmM56QWFjr8LCfnjJQ3k/lKw7vsRjGqmrbtjwsSoeIN8Wx ErGDCVWDOKjBhXnB3C0lOenKw+YUIE/VqsXYyBteEaRtzUHTjJQ5FUgyWxMvuIOuCH3jGEJWtM57 BrLuRu1+gtBTuSFgxLqCKb5EDoP1ZshvPJQ9XXm8r/dpmoD35KlzwmAQ1qENqIBSrvP+QCSQdmHu bPafCyOWrJCcvq5EmNpVE/P1QPzITfWch/EmwbAmVNp+w74A3JaJfqNjMykFFZHIhLj77W4ASXp1 k2LmgaRRbpW0YPBopD4FyTBoTPB21mFFInXqJ7FNrasl8XV1DPsyoN5Vvq+bPauBDuoH+yEEZ2ld PDnkCI4A6fgBT4DHLwK2nL/vdfrMHxkER3xGlXDN3z9TTvQMoMa1WQo23PoQkigEVYddllZa4ENl OXxUTJ358ENw1XxCfTz1R7q4V5qlU1NLLiHcV5qovU6MsnDmIG9AK8NdYeekFuHnaYGH8dkmQor1 r8O3dnajM4iYJ1Wu1Od3TFLBkdRKKLROxpQ7d5GjyM0csZ0hLzukcPr4VccKNtueLI0+m3KxAEYR fBpW1s+ZhbjIpAgrbknSqVECHO6YCImYEeyEwvctaMmkbFPntHoe2bL0/B5sIoLl3QIwjq3v3PWH mjsJRXoCunXV9dMEmQ5mzzFqUPHnQLqd0Tk+E1VaSawz+byA56Buwa3xGifVOTbYyBpDrm07P59y SFsGLKNApL72DtaH204Au0NPZndZrmh49ByXSJ1PIw0ZRuyt7ZdTjXif/HX5X7y+E8lmWkfoNhiw 3VxorvrCB1kkmgU7+9/M/YC0Fok3IofU7kNi5LGCqMNq5ux6w8oHxrjY4jev90eNNRN5aHxWntzp xaYUKDHi0z8/tXmtpMeTwQ5dEkG1JbJB6r1SHey7K3X/4nQkdJ73opo+1IUDYpW5qRYKiN3FEwsJ f6tsvy5BpG6977c77V+Edn9j9smwyMZzClMNQou+6zb1/4Hro8n54oE4kPlkJ2+IaQ7cLcuNntKD 8kqbxdvvUilx6TOptdu1h9WLcG+zUA/uz230ibuCmS82HxoXdrLB4Hn+3dnYzAdTMv0uhaNomIZo iaSgp4BMSnAKdLhKt0jcKvk5TWLnf5sffviNU3gclqENcMYG8dhJctvoy3eNfHgk9Ggw7sxrqWCI oSx0Kd3Xj81lnrn0/zGfE+zXrue3XckUvkWhinCAVLsugfk8dzOsA+uuCLjYVtdZHXQAMBmJVbV5 G1CTNlEfvNSLNRoUEYoDvyq/htg/XVmwhI/bKLKAApmdYrift9lp2mjDb8+uflPqWXNuqVh5YVZ3 cu+NIg07gYB6+lL3aEQ6CpwNQ053eavA7ZNpCCLn59mEe/513rV4qCUR2/z6N5t7HACk9gNXfKs+ AG3dP86tc040MloVtVPOzLGtoAlhCq8fBEH34lolZOQkY17q0ojdPlPGab3jep8wOPRhX/e3RYBb h+YL5pH2UckJeiQ0hju6A1PEP+AKCY4TcSvEWuos5qxYKHxaOIaNGo6SpmSm+xfNzhIy+I/tv1Ao apViWUApVrc9ut/M7Ce05AXngBEgSW66e3oA3CecSrk8R3MkYrLw+p3Rs08bZeSumXdvNrwiSCtw vv6XnAJCaFrtZK0EHHl78vLnsvTQgTQMWDwtz8qMpo62BpvYufuAJMuxYd5kuc+joV5c2rD5O7cd bCUJKEXEtsgSG/pYgIImyG/A7aTekuqpf9BslHuokCnM71heCD9Dj1sAkXAcCaYXYIFPblvqgJFY 2QW4Nlq2iUIHCRqYgK88YJv/FtWbDWN9Qs8thovVVGCbLg3o8IW3Kyz47U6xVqOEz4GT/6+TT5mp SJ4LZJCKGVn/jTika35/T3PHNMxIhfZJm44UoO0RO0+GgifsrNuw58Cn9bGlUgbT09k5XoFrB5Zg p10VCket3Dow8R1qjm0s9RTHatzKlZIspJd5wyKvdrdy0SCFdePcSOLruZJywA3FH72A9uP2ho6m gvzU+elFLG/fAermHuQfxMy9x7tkGcwPDaVEEnn66GVcVC9e7X1KNzTIp005mpd0jGeK5PJBujXV 3s7B1c/P5Z2DsII6wCvKQquv0bAS5bDcmnnAYNi8rNHyIfhLlKFyD7YBzeAykow03OC0pEvUixc6 CSeT3UMqvY72y1LScVM9znnF6bwgn9BornY8enRyqCLmPT5Pd2grzrRoK42L9w1kK2FakWeHGi+5 k96CdmEiClIYhIIRFvxdEkw0ZXf3E+BGnXorsSJcd0SXsRipEXNqynRMwXvz9D6ZL+2ODrWw8HRp s84vZDnAYBiJg2CNSKU0kIh7gmIhxTVzxT5a3U1eb/cywjqQDSwKbPYRzOMd9UM0DBKNsZMB0+AS YC837Ie2+XaT82dupEnC1q9LV0jz735s43rxZ4mnNugjt+A97y+6JllcrxB49AaYgDzKujAKIqz0 VscOyPYrqN2/FG0h+ZXatNIirwiKU17EtzE9HLIDbLW3q8AKF1kg4HfvAfxqjZVvDEqSb7ladLtv P+8BJRahUjUJQRk1fCUU4zTUXROUt/B0nltZCZpQpLVl6YCgQ8Ah6u19EkbKOFZc78uM62S8RKlF K17ziBHfcWh1HBacBDyPle8lB4Oe9EK8Er49Y13zUjHzyxIo3hTq1trN0qiTjpxOs/b+OJzOozCj 7YHZIThlFKfS1FQGFAWWWyMQ8N4OE8wk8KZETxkvPOKwRie8qH3poyusc4GlUv8JB3gDW31dwS/E mb09JC6v34As3bpGWylkc/OKIxd3MZeGQFRVveleMcJAr0oJL+Jx634qAsc6rMhX+ljE/DtsIlBY H3pi5cfVxdNGs0EzmQfau3HPUo452WGv4psZzeWuUZVzzh/rb3UCbcbye5nLnqquV5W78L7v3kv/ lYxTsN9Ki8oRuPigeOOkquCQ4NSRMzjYUxJfrsI+yLbP/faQ22+XRu5SHVOz+10VDs0A0n1TE1f3 koCzNroo8IRiIi7pvhdvX/dkBz6NdP/3GA4cm8xt0Nr5mfVKhB/RPzJqnUj9vjL3ZXYUvO0V1ybI 8CkrofU4Rx2dhXqKvi2AgrKSBGd4ORmyM7Hf2QW6ZdxipjaKXebmmHLQ38v10P7j1WH1LncFnV81 sRFpXhcMDHcR5gve/4qBiyx4yO1HFwBbOPOfT6gxs20zEbvbBK1Q+YNrHcdEC2ZtePjAhRIgh5UA j6AzH11nSgb8JcuWSWDFxFG8MCnntXzWlYAj2PeHIY/Kx7nWXPTP8msldv7i8oDJP2oC7ZrZBo7Q sT9TFO1neA8WuSj04KMew2YVHswnikehBwTaqtLXZkKGFWRVerOfFhweKTfalSMyStwW/BcsG2fu u8wjuVnJAjKQZmjZTOtOTW5nC4AmGxsdqEmMZKm9oXgC7dezrhdnQaA+AbXI5tDHAISiJgmwZ81P I7SFITYOgoziTqALA9CK89gff08DTIctIH8ffFs7Q5Uy9VZh0ZZhA45QOs9FdH7rp11QGOVQSKLt RVhEWrX7FiciFyFewOwZNT1ccFTBm6eT3Q+4IldIeIxDaE6mKquOSaWfAqmPFq01T+rpHIvlDHr7 U6dPb8ulpo2AmoQpDwFavq8NCpYS9c6mBJNPOWnFbXwE8lGJAR7YmzBmdgFDQg4NNfHhbetKAXrF LXIIEzlylgBeIfDudHm5JDv+RHchapGUU27QnQvR9rh6HwzJQPjtwRDpGPKNXLuaCIUyfzbLkI5+ BMttFdpIB891U69QxoEOZCEnvs2s3ZE7V/uzi3pQTPENxVtlxJYW9GYPhTaJYhkMT1CavlP8PVeK O7AXSAjllyDWjXajulGRxMCkZeJ41PH6fPiPJ1QiH1IybKXh1fVuRIHSMzhXxk9qyUddpnov5RO2 lwPSVqxa8YUofxYV4ewQ/PKJ67YP6T3LK9k48i/I9Na8AKt6PpRNjINbMokXpttKrwkZxgQSi4zT WTMh6uPPYsCG1NJGENIqdlV1EUubAMLkkvJG2txwhiaeerFl+BLQcyB+yjZagkMTGjX3g8Be5haG uKS5dTjUIksDTtGeeJiKi5M+FnmfEFlSCzNPh5FCnwacTcOOY/cSheIy3sfvgRsduzEHPSK7WEFz GUzaGdcv8WnHvoeKWlzB6W4svrAWXKSfdSv2GIPzBiY1Yn3o3+onnrw0sApX3ZM0OcmCWSVPoWgO xzWDYeQeRxm/8mlr9sJivUrrbMaOzrcRQL0JmUKAlJZOyzN8H6j9c0onX+Ledscdj4st0Caz/2ym Rv7srQXN3sFr7VbVIoAUGsJMyX/+jEzPw6me+i8IGJMObic95thdJb2z/G+VIhC9MUfGfQqZDhn2 U7ScG0Rd9rK265GCEL5TrFkGTgDlsFjvG4BfacT4tz81sJnvk3qI7oLauAEqJJJNFUwVA+v4hURQ ZexjBuLf/LbrVHriU4KcN2NPAmQc1dz3Du7NPqzBtE+zptQZmvLhNwY7aswgyG2wNGVtphZRek88 dcwJxicMRMW+mEjwqVfYL0R3lgKsfm9OSZLLAi9MPIcwY0UJDzveEu3zrA2d3GEbaOqUCSAnrmzF cguaTSlNwVuCBI4+NRn1NbiQuRfiT9YGEeNsCUUU46WjY8u4Sjx5iJEe4rLnp8+kJEOWO6WFWZeI osGVljjuxwbgiIuADNuHstY16eFR/RQalRdsnOy5DTGJbifakXH+ne+pXPJl6TwMtJlrigMIwl0M F4ItQVSgcNuPpfmjH4hcg8uvcFzXULAH41WbVy8Q8HGTCMzCFQYhPPliaiN/0j9xZ2qhezMqsQMI IX7tuDx5/i2W0lIYDvuqTgzkrhbHcHpQAkABTIVY24aP7RAT2EI2x/ZzaSb1gi5oIwHjpKpKrahr E8bP3u4oiqZlLuCIxNDLM7W6FXQp8iw+zh/i63bigxdTZamoE+4nmHtRKKj6FMXBWYmG+Jg3MqwZ Oey+klTm/7/OedhiGE8wGh9OyfOm+ndjgFhRUGQ9B39z7XMLXdxhGejmqtPR5qHEG92JBZOHeGqQ wzTdigHGMKWcHx2jSKKckLm2SKG/TaaQ1uFAL/7E7lJ0Etw1rVvIEANusOLpcSTvmL+rTNm2nW/B 5pC7A9E/PCTUUhHAp8ZCht4lyA8kn59kfJwZEVix05Qtw7RM3biRV7M3TJhtNhEUEfF4CmiRwyj+ TLdZbT+AA50zQF9agdi6HuUXXwX+3xw1yDImAHvBDCE0HwEkDPUMfJ7WIyJ6sL6eCTQPGNR1aBYL WZYN4Aelma8qOXYV8w4R7ilUJp03TvRxEew2gYm4C/JNdCHHIHiu82faN7YemLt5D+LA1lLWEuNU YYBWCDNyl8+brhEUhO40io6LTIlJsnHebpBMo58y1klGOGt5Xu0Elmqf5H6dvpB6gJV2Q7CCjYkC I/FlMvPSINxHIiH5KfWR9MFfZPzaInzqoTOW+dNJ8BTTLkN6/vwEpXwuBL9Poqas51Px/RndvSV9 RBvv+muACGFdK20+eF306+6yraaIwmwZLV3cKQXPQ/P+oGnYE3E2LcoZaVsq9ZXWUdd6JSwSiDyP nB+NJ5tD5wvORHZoenT+BZjFjQZBCEynGlU6ogDcbpzAivCqjiJiNyKKuvWf81XxYlEtIL6/m5vf 5mX1RUefqi0HBF5u3B6pq4SUHuvDHsZnJ5TsoOJNU3x/ChtYAw8KTYAtswhDQ65v11cNJJmLQlDb nVYtOpLBdeAgAtuzlgQZe0+0CKUl3h0dJg9bKT+HaGMbm8obVhKZvPr/fgbhAuH+lWH5oNP6v9sv PKVR4D31SIvK4yCoUYWoSIKTG1WvB8AEaiLJ9G7dKPwXbnbpMlK269mLtajUZPYWKUfaH90TE0Ah 71dpMsjtRpknAPVmAQIGoSiyeHB+ipuH3j8ZVJpDCOOdkDqE5AzhjkFf1VL/d+L3IAGZQzOGRxC9 s+2bWfkCrvTkKJneHjJohFyJo8gQkgZxezMMyzJU119SOabWwTG9rGngTn89H5ywe2P3rVixvz7b nDIL9eLsm67QM+Tc0fMWJks0zH2OJ7B7a3vSE3bjBh+lWGoVjP0deunmVT4fqZBJPRpGnPDo7NkL /XyCDXw/NXRd3wktAok2MmZ57I6t6g8EujMVEkCjv0SDYEqK3bJxK5loGYkVm7Pq24mYBDUs7hzT KSNov9Fo7YfHrTpAYmcc5bQEPiERXdP6OAqcDOjn5Fz+gRBhHHZBkX5K2I6uDXHI/8CFNTHLC9Zt 45EC0oxAtWL71+qy/Y53vB/6wE/8hsq2CcGskCZKynJT5HOap5fEdWq/tpX7G4yJ/yJDt19pEQmD GjFvL3gK3ubU5aRtD9KoTPIDGeNiTS+EWa0/CfXBBa/Zwr9W5oN0ZSqh+NNA09PJVJfJDHCvrIua 4NHFljGqTfKw4IHS48/4v9ilULP6peETCVEieKKtPNcx2yeEiAAPXJ+66CG2j9OQcTUKbHjE9zv3 onEyEpHqpMRjiigrztlUHXMkBdCCmFgJeRnJzLYvwqoPeyDpZwNriA7Iw/EiGBIc+x02xOMQaVIy MQEJh4LH+rKXjTqmk5E5E2WQ7VjuF7cZ0WtS/V3REsSCvrFZEQAZLbfkK8Sat9M37AZKqvsu1akT gLXWwdbnP28Pm+kH498GGhg/yelrhsEdYGVwh6ryzaHE9wm0mutFP/IuVPY1mdXJFl6amYhJKaHE vIFp0ckiaZ/z60MzE5dq3yCGI1nJ41Jgqrny8JCyATgCQVDLCJo0sCCfe+0ar/QDtEvBbMtLu+uE bvCZNgNom8uaWKzaRLfz2LkjNlgsdDgK2/uf/fjqO+M9kjEjV0BZGd0fsDF6F0RAosLqtOacSWZY 0kI4nayibrLI5RMyc+V7kf7iX9eo3/GRsvBF7XcCyC7OomiUQYayYYSCXrm3SkUMpMqkoRxkU76H drGdRNerJDg7WPnIEx0tZdJ4dSzIXnvcwB7utCAtdvr9HFg4uCFRMVcde1ry1zM8QkZzuJo6uSgH K+a0yg4e6hlI6bimQQLDnIRZwf14ZpUkvodhiuorjtdAn4r20s7CHxnWydNsVRpHOhn69iMAJHJ1 A2DWiJaSqsjzUGntC/uS8QNChd8GOKHMedOIAHkKC0O2VMd020Af6VtUNPTRYCgQSVHHwKAghtm+ FipzBg/LGWeOqn+v1DYnHXpDsAisePqD5JjlIE6wDKpGJkrkAiTUKiNtq7/Fyju7Y7n65zFVYwIJ ESkKC6BJBKXsZlzWXXJWSOrCtdcRTXfEmBHyjn0zLWu1V305Jb6U/R1LDsZ2P2K3Lfi2FanOpiZc uxNmSJw3E94t79dhIlutGVpqogZl7GVfQl6STOO7jcyxwDGUmqXYfUOSFCVtVdCw2dGPoc9nSACt GT/31gWB6q0oqhhHZ6bQxXkBexThuCX7uflfvJQ4hjdTOk8HJBhRF5oaOOncrZIqZc4pOcDUXmOa gbQDVaKtjsip6HyrRlSDdB+7E0+eR21XpZaStDDqeHdcMYIiIyy6+JvYctD1Nl7Sob3lQNQX6z6J kalYA6i+xelSVoWkdqWZwVfAQHpD9JcGZB0Eybohp+NTxC01StmuN2/G4VtIYyF96/fIjHZvEm3R XFJQ/9TR30EoMvteZoACI7ocEmPXNd1FFs8++zUzLVtmPiXxzWz1CVRQtn0PBBA1emwkiL1t0h0E qQpFjwtdthHgrxxahqg6xkC1G9SUnlRiGpSfAWILjx9jhKT59ICpmJY/luyFNh9xfdMTu2tpWRmC hyM1y1yKDyLJ1wW8we7lcd5mPj2pEWZk9stSL+CyFA1NbFCQA9dC0rWQAE1FgMa/chappA7VLu16 hoLvzoE+B+TbZ434LSRFP0eEEW1aTLALbwri82gZaCoGNRGOO8IfOK/Q8P1tVp8aSCy3MCLMdUhC WD96qa9VCEYdHg5FN0Ic3ZsIhvjFI3iAWwVlBCF+HKtKjaIyIpx/h+M22IJ+sN5BKi7XbRemm5A1 qigv+jeRnN2wEJUOsEEsLqPFO3gU+EBiRKfBcjQEYr6Vdd+ejA8b580+5TK2k+uNyajHeyGx04yv 6h3Sl+Wv5W1GHppBs7at+mf7TSZgq8N4sAVbeUVLNsMPzaeB2sFvsNFZ0vDqKa7I+DZw+S5M8dZJ 5bylMgWKZAcxBxNMmDwfVzA2yEA+38wGyJxczby3RJdzhUvSTluSic6CHQgA4BUbtxqQBeT7iAzh ei/Aqr7t/N4ZKgVa4LFxHJ0ClVql2/CetM8mIAnwJ/G2xychL6JHQNLLFnaMKnEUeL/E87I4E5N9 dhWCqIvJMc0/3g2yiQw/Sjnum5obqXJSyHwjqDRbXmMk7CaGOSMXKQq7rt+vbPbuhPbFP6G4ZJxb uLN/V2DMMVjnHeFw5tUcZ6Co6/IyL4sxOOpvMEw6zH02Btd29xad08jngK1ZOarBubzEEDzGfeAQ 1/aq84qac7yhTcmmHEGVdDf/bF7Npk/sa8gQUDwDKfbtb8UrRyf7syUdjg1GZouJ7sBtFNL26rc9 gDYssx4vUOWk4LhcYvGFoueQAyqO0It1k8jR6S9pm5OYwi336JSXzVxZQO3Ygs8MoP2DjsKLdwEO i4A72dXjPk8Jc8YoFqSu3Z9TLTAKpRDZJ71sriHegOIyMCITafyaPPIoQnpXCUXv+IZu5H2dWvtx NB/s8pyeNimU6nGEG4tOk6GCkFm0cKBvs7D13pMYVs1K1sBqEetZXmozrlZLtDl3Ke317R0P8/CS HvMk04UbKUDog1CSTgNdC+fEXG8JfWiY11jwUuMvkGnUX5omq0Zc7aubJk9R3Woq6GTfMooYAhD4 Qw0v7vp89QDX/ms8oMb7VWzvMUkJ8t60vxGs82O9B2HpSDW6xR/rjt/BxAFxe7TQVSMsC/i/4mMC CViM36H3ODeUY0xP5sWhjc8AxkPNjr6s3VF+SLLU7TzQitb+HZXm++pdrujVrpH9nSw+PDh8aB8H SShv/5/TGXWAtDY9UDsvfkUIBzpWNOxokFss8rDYtXlTDNyDIxknZofw2JCYgxc4KF+rpSlBIg0f zsUjCUXuaAp23ynfeHJegEtduxrFmGsk+XzjqSrfmdb7hekgVJnk64KOgP3T2u2KjFSJetkWxcj5 Yy8h6x+OWVnyrbSZgcOoTRNuKxy1tl0PaJ4FU9PZYPE8Nq4HneKkWHcJQX+nZ4UudqZvsiUJdApx 8o5UY4NRt3k9J2rjjczAX69O1EHAhdXada8ql+uUaqDA5TUudzKpcGtd50K1ucwR2E2sRflVr3HG CnqiRt3eZ27U4Iq6vV4Mcvd4fC59n0nxmplmkOOqBaOh1tA9WIu3scGjpKszVZiKDoeBm+PzNyT6 +yAzCeyqSST088g+RK1ba2Ll6mfM0IgcMKLGhihNgDWcK2ktZlMiecRNmHIWpdT3W1VawLY/vP5e PVqC/+zXsGfhpNmcZT2R2o6IzSA/CBxCGe3YkxpMQV73ePV3uxK1Sp/f+BwwCQ/c6vyMsmorBKjB 1emGnkQlivyIH/Q5gISIXh/XnpNdQ/gDPzw3cHn/X2Cl5MBDbMVmtT3C4QdpsRMZHjKFVIVMYpCg rfL4ofNI6uTHoNWDRV8ThMjKrCGUtiqDinR6zMDK/FBJ2Q2Vc6I7zCvRWxzl4+Jlg7vkHGqfaPrK KAELSMcsDfvx8EWjKv7ODPUgOvoRCLfHc1FcTZ27g9BIfwQRXTtcAAjn/ojBpus7ptidypkLqyaa 5wlCWX8YySyL2rtT8d+Wol0qnAR+egSVqA5310w9QC2svfA2GHoSv1eTbe6/EFFA1jtR9G8IZo6z 8LbaquGzurUElTxY4sJXi4UoDXTZJ6Hf92rpBG3Ftg1f9AuopSfuxJFWhgbo6O4+AWaZpK0Kc7P2 XFiiKHs0x5HzDwtpYkLZKZVc0guvrdyZk7ZzPJgMg7J1hGhsFOu2vv/N9UvoJF7DYFHNDTrahw5r RQxz8tsZHnJjiOlFr/wzzXNrJ2jysNXoGZgwc3AYWa6upOgLfwwtmRHV1x43vUmGuMvSJhcNOwxs 2KUjwNZ/Ozsa+Ad6yUaXSPNJQYVf2AO+I9/VLBXzXW7i42mWxsVwPfmnXHhXgz/aeCaNDWn8bmso zRA0gx988d+66D/gINnpuPXWhyg2aE/crHPBdDCxH0K8TBl4TmT37uP9Q8Q39DvpFNJqsbTWjJXY 1Cgk8UypCF6Bx8BZ02P6+9V6m01uxxO5A+nLKF9Vnsv1iuUqCFrVmvW3E2LR8r4wUiXxppisXNvm 3tq4cmE32o3rX2PfCJBknSODqnGAaxbmLyTjd5vQJPk6R67FwrjeSyJc76MZzboOpfmE2gSdVleD U33JqS2meGMtaf8O8ADr9hUZk/Ijwpj1Opc+vcIreAnq4ca0JrYYggPyUDe61AU16TnPkgJyh6AM fZk4OW2fQRwC7p0I+SpKZ1gY7ryg0R6H/MfkcmuxSBayj1kVvO5+F2BELuOqvO+jkfLiepeLR0qU wrV9Sj4Fxt6P7thr7ODlRMKteBrMi964TQmkoiew74ICF1BFHggBduJR5ItC85gqnVbNpigmGdy2 12AYya9IZQeAOHrK39uv/Zv/FfbNz26McoEt/W++Pca9UQmirBvTKvV/NFgiCPB2dp+roeqlZ7vb 4xNox/gA2yK4FW1icmHs+jIBoiAzDTst4E6zGovxjHLPJkoOYSMKVcG0nzQdTtTMLY81zGThfX+S RQHftaBU8QnFnEXhG+RDU9FBTTCJG10p7TK/SO+KU2np+M2ZTMc+yiPHFDvBBOPUKRi7ec/w6Low m+Qga0/4ucOAAoAv2gMopXA1e3F+jlskofX/fconH4+Sm1jnnKhtMKNdpQqEmsX+GQIOZAgrr7WZ O7dn4Ka9TPrcQPDp8uFqQK3OM4ppD2nzdNRIdYr3Y6b3t/OkGeAQLO4OOFBeI8gVfBEnFjYjiCzC vatGz30BLScLXbHl3lsaAoQFWh63acAx+md7hhCP8oA5jWMoEIx8/K0twKwaxz8E2N7A88z1Cfug 5HqX13BRvKOLWtpt+QDM23CaBGYID6GuYWsNZ+NKaX0I8gBzN2FtpxdAHlb68ggh5TIcmTZd8lki jZo9lkmfZX0Qx7O1j59HAgwSieyLNdVpS0AGsZsGPTtn5tr5K+J9//A4mf523wTuGiMlwS0sxV2W SUsggJtMxC4Vrn/bfuyWzW5KMXkotFpwk00p2eqcRkZRlwkpK1PGpM3i4PWPC+9RveH7ckZF0tOu DrirjmTG3cX13GvAriTI5OxHL2UY+oCiejyC103sB7yA6BuL579nv+hZ/NxRKSmzZB/WK9yQH5iT XSL90YFxFDi4HBQ2qDvVW3NeO7+7mwG1U15rcnZMM6XDb37AWt5zyF75EXGvGwZgXTkU5knZPfdb zslRj0pVpeVsL22/dfwceBD6scN3ZKHs3H9p8X4ranjm95jbT7MJHYILf5zLGmYjxQHBRagUX3eX 6Cz1DI66lxC1b30wCPSYJSw8vqTHFAH+9+cr4AWiENIFpY2JkE2JbLPIp6QYFMUYn2zOLucB1HPi i2pQJ2KUVSUt5mJ2lp4YLXdszynX3a4qqV6RJx++Qt9jpHG8ANntVX+uSCq9OGkFsW7Ee+yhd69R CmEmHZfnNlNMFU303sPUN5NNa4XbQBwrD5kjv4Myye4CpjkBY0ZrjgzbnN21qCdaT4tYWgLk+GBE vMrQhW6YJzspPyYSzRnqPRcmz29GnNyFVNL+2PvSjfB5D8J+2eGreOXoW0BByGCTaejgVkkpP9oE mj57SaUdBJ+wNLgA7Meouvwo+8BH4RecvAyUXKOhYCy2bbdnObYsGc5lEKV4VJAsEM9mJ+P5pod6 JLFQ20OsLyEJySWNt3wXDhvaGM1pzRP5L8Dr33IDWtVaepymUi7cakz+2/7aexYavVW1/V+kp10X 7WlfHnPDgBVzE2xrD4LaG1NmE0WRv+tQY2FqKC8hvxTplHhYqeLgadbglTAoMHjwE2FTzVphh4z1 IBi+63YVeP1AheYo1Hpny0x2erJd/BDtnEqsQnYIW//1pRgGHJB6HqfsT9AdIRrFL9iSPNVokvRY GmfT26KR3pMIEYGmr+T/Uw+s3D39he51A59fkbaGC33bo1kXfPlpi6NYs1rAEDm2lwJzmdroaxL8 od02RG618Jhi/SRGmtAiEMGniGpzw0u8uyEhZb5etOUm/Z1+BB1niCrD2/j52nWSrSNvAx9n8P4J M6VVQ/BXzOF6NaV1Vj4VSpJ7i2Pcph3F1flbdUY/ScSgKRQM0qzIK5XLsP9JQ2q5kAuO9UhphqUf 8sFDawLJgAf8sEb3eNGw0rWvcx2vmzqLhJWEAaYyKVRFMn52zKAPVQHX5UYdPuQhpdotB/iP8pFZ DJtOq0AF68DejnKl7bG53ln0+tC+8EwEdzYFQpKK+PfXLI0YfcJue1ifJqLiPEHKGg9ds8PyvPXm GtQSbhDQ5Jwow5kWHdojJ2Q1w6a2ugbicNKb+Mwd9/ljdT2d/a7xaiF4VThmiBOOCHRg4H8dPaWw Kz02ZkDVM+sxNyg6AC17i9WAChWSTnl/hTue4+GZMxEklfXvLh0eodjQnzbXgJOj2z6nV+IJmPiY CRZB9BKpTcbpPmLKBaxJx1JbLoHUXtujRJLKlvWFCvWL+XS6r71nTBOUMSzhy2IxHNaPsI8zwSVx +IhY6AVUfLMo/1WcCjw0uj3mlONnyRUyTIX4TSKJT+CqSH8K4gjdGiaYXAbczTAKnWN5lVTJBN3e jjrj1hUgTcYefQPcCuNaIvuxJXAOMXGHvefL2KG9hj6lyHgY0KxAcadkkAR6K7UoSOCxH70SAzZB xAeu4O1azcmZ9P8kBrNhYL/e5ThpRd9C1L2D9FYiOJif9nM0rhNu1F9DvV0u42xesoBrsuoOJ90m 4R/f1vjAqBcexBTBzAiFYwci9Uzx9QzsJSbjlrBoBIjU0CQwF7wouNovMnr4UmZckWYJ/BqilsO9 Jf2wRM4LGKEuNK16ywe64Qr5oyRL2a9zsMhr98ljoRn0eyqFgMpdQRHEy4wEnXK1bBZqpG+px9Dd YGSzm0kIR9ZZaca5LbbqwTEYKte66KGA9vCAX2rFxCvTYOO4CJxekC7AkykG5nNPkOEnYWdxZLeE 7ph/XqlxkFNOEwbOiXIpGsYSc8btNws1LbvuyUbOnNVGKfAtbP9es0k+gzPAbVsZ+F6eiCu6Xa3Y fxfh4uuL30UGk1Fit64MLzpAuLQt1ltynGAOl53pWdexeZVYWUKOsz/fvFYnE5rbsNX7alVr/1Ku +fYykM1Nkaas8Pgr7oRUqXtieahojwlaMz2q81Scj9kvCPwE/Dr3FYejzhvt6tzh2gSJRhT95/lB 3IHY5Rd0Gher5TSevuichHPNlu1uCqGCVGYNr6FnE0qMl2py4cyssJA+vEOAlxgbC3tUpEZsDNa1 /W5pZTNMzyvBElrQj2BoL+OzEX7qOMcN3M97JLCSkls/8N0en7e1DppYffUDzntnJXOELq1RtHYv GMuxoRiiWgMwrtHMxoJqdGuvklvnsdYWSX+c27L92RxzKz/REnz+Psg76yosV9itebxlFrPiGOgr R5v4swcztPhPGaGP3eQi0Ko9Fxwmhvb/cvxCP2ZOtSTBV1qNQPXSZNcwg/gZoVt26OW9q7YQvNEA 5ujyp98Xhr5yLhBnyy5hHBXbcZhh+8iwriPxorq81oxndRExBmepw7gHbtKX5s79Ovhya1P3dvbL SgB4cRrgtVVKJatcappdEtwvn4yCAogCc6gSqoxw2MZpwMT8d1D1RvXcEZ7xpCB+9dQHkqNhOPNz DYB/PxD4uUI6eO/8XF2rbAPZjrtfFVktJlDXfGSONA3hfuOiXqKEELeTKlbLel3LgAw87DKq/suf W0xGNluKwrTUgwXQfEYfZqGMSlgnce/6uLUeMHpD05zllRJvvG2tn6dtLYeF6hlLuw9zcAo4nfrp 9zTKlFtQwt86WfA2WgbH1lYCFn0ZgBO4bwnbICYp+TYXOLSs9MpEV08EHnxCQlIyUHyD7I/+wAWX 8ZQ3vYs377YnGRz1IwtQXT433ynIs5OiGg67pJT1oEENI97DKaGmAh8uCnyxg6/r2o2IxtI8hPr6 3e1wPdhM6IMN+PpKkp/QTplZfCiv3jX/1SvIUPVihY3UDB9Av9z3X3fZu9yZ4b3HDiqc2WMy7qCX aNeEC9VNkkbBuuwGMEZmUDtcJeWbFFydr/DJu4k4NXLUqS9/mFX2czmjdg/YX/tYBCPBD6D7wDdO 5CLw8ygV1+BuyiiuUT2QS5R2ltIexD9IafKbfVboAFclTOdwm2ERAvc30pUGO/FDFuZzcHy1oQpn 6HPTkFep6T4P0AjXQKJGI6UaSjxeSH8Oawzf5zsMenWIhDlPDEiPRqfliSWL3R8gms3lBp6cAGxa hUGwv2i9v4YpRSjEG+b+PWvw/WbtFjeOAD2p2aumVCiAzRoCxhoERfqiHm9RiGdTmSA0vE+23MTn fSQbm3mf7p90YXT4r6IszM1LZgrIC7h67kJYN5+A4281yYJ5WRCG6ENkGbtUa4DAVLP/rdgWlhlN LkaR6r1IQ1s+lDJr/dcWGNMQoGTqkMwKPQW0/Cv6XoFcvREiVaBtAvpFsvICQKYxrh4sFXOHbF6s GAMl+TCKrrxqqBOSf4Y6Ew3rdcccse/0h1NlZcGQA2XBr2YhlhCV7WNSIF+8jZMMQWDSMIZNnqOM L+I+Jr1sKnJzYhIDL63vAxOAblxjVA4YDGuXEA3BjJ5GruX0h86mvnS3bSVOfhT9tQaaHI+u/Zn/ 4P4EsDzl8d8+xgssOObzTno69UhYxYeoyq6xLC8/IAwo+7Qjbc9hAtXST1eMA3uWn8JJn5x1/cBQ FvqP1BCD7KB9pQSPCtA3pWgUSOboRlezkDXT/OcsDXHDmWGXyVAQ1NknpOXT9Ghb73Haj+pS57Xi 5bsdZL8cGzZKaVFSVcW8EGtJ1QRN7Rn2l8GC2mjff9J08pFCQki0eS8bCRIM2jCtFtIYCpUHbB7I Lv28xK6TADY0eLTnelXalUzfCMyRR5e9VLe3HFJx1iK0EwBGOS3IJ7sa6fIQmlevz9bbvgAsE/Li lsdzkkWmNW3vEE/8aVFlLTlk8tZ4fpxM+8InRmVUDTQJSKDgJ54G3Ll/GYqFwXIDapYs+BYf/xwv fqIwwUgatjyvVUdMylfipVLWzY9Ttb0G6p3Xt9hpyjd0iJzGUhXlNRd2OXCTjoGooxNew5wbi71q Yvl1CbxrIODpPFD+Z7fu+Q5OXvCEohzphmQFJfnIZq3HIGTaBhxIIkgRJk0SqMeMG342cabRIX49 OhUVl5gvJykpMNwzEsYJtFh+APaqQFEXJZXmbxpC2jkA6JWxIBuS2UYYSkDMt1LCLLV3sskoiVcn 9Vyk0VkqWIb0SYNPn8p+gTqOWPZK7aNYIbc4oscDcXF4N/zvA56K1IyHMTCFCKtoWkIf1grPKHQw 6p+4QiNcR2c3djEpMF1c3L7MG03F3xhHUNkfuraNLDV+RwclqUcJwJ/gWGaOHR0AWsehauXdbgsb pnCNem1xULpwz+LiYf9668V8DtoHg8lzRLOODeSTa0vWnzSSyPpFxJ8iD4F37rztM9vTr6aAgHwn eMK8knv9yCp1nPVGYyHRq558sIzcNoZvZyxmgvXzmCtAXRzldN5HT/dVtketnEyUZAjUqYdXD260 c0tf6HBiini9u2uvHhhu6fgtwbeKSgjAvnDXvIw4VZD4JAwF7XQ2bDPbIeL0YASLNOcSBkgMEyh4 aSA7un2AmWIQQeNm+xfsGY5mOaD9Qv5GWb7h161AlQ320L7Cd52QQIF+8F55vBoL9Jq5gqN34u3p 8uRMaqt4+oTwAFnWB56XlwmdiQsGsBMrYJxgWRtwraAttGbPL2kQ0hIEpepkNZPm936RphWiu1pC wope+ls6kaDeWtuQ9UPYS9Ytre0jM7tVnSC5VfwlG/WViRg4M6GyNmzuUodjwT2EbQF8WQWtKs+x 7ShdA4Iv7XeV0EBvLzFLezVI+CqA2NwLKLKAmLnM9r7jZklL96tSp01AjIYDPLAQem4iYJofrzhA ebZHaxqXvbThpdGrPFJ9tKyz2eG4MjPeTAJ6RvpDfkNjQIYEHAgKH5w5c2rcFaE78KRujiP7LL0H t182bR5qAkZHJ1faXociK5VWJzQNgEqR0LQbE4EOqfnyR9bv8IAbbV4nqhuWlE6yjhAB+fiWyoVq Zu2RbGFWvw6ihUDKJElpAf/nd0WwAn04A2TwN71XdJsDGcL9fzMm5/UfWzfvhI7SBDXSrfTYdmUc vtYtPUFF3lUx2NOdod0ddnbQm9NTgtZqGuvpR0F0F7ONHzBbikjFBK4PUTl2HNAUXo2eht0viP4d GA/jnchnBn8OeURcL3M1adNmEKY+OrGfLFdq3BNCSdOn1un7okBF3/yWpY771K/r80jF6YIEeYSG fq7WAgHzez3A3E2WRkqxMXRpgUq6+Uvu+eboNqlDu6KAMnCYO39AiwbpZLKWGrNB7QHH03+wQupq jmoIK/XL8QilOhzahD19Xl+5l0NaWiJIHk3Wsf7zwZ0vFa85srpfHASSgWq20I+1s3SBfqSpPM+b 2iLYa5p21zflVULPQc1kAJgyPNqTo0MDCdwbw7KGlv9kVXaJxE30SFPJZGG+KNg46qn7ziXRyrx+ F3nT6yoZz0CUAPiku6qnLwvDI+h5o4Fb1Qm00LV2Liae5X2R1CApJ6nUs8QprDqHC7vm2iO9mmmK jFHiOdKrHbBZgTWQV5LZU7IgiV10vNBuhceXwEali3E13wSHahqx4UZxPOQCn3YLe6lnQNCWO/Al w7RQ3N3DGPmhSbTSUXf4wMUcTWKHtaindXAFXspoYAHfZAd+6xDxMx4E4aSq7wE+BmzJ6kG24LqQ 6fYDQ/ZILy+NrWN40ZSWMGs9ZN3Pv6UyP463jtnSOFBNLgcapOXhxanwg8YdtIgj4AqTRV+QChE7 5RbUlcLiwWO+ogyijJCbASpju0PEwAarrLw4xqcKQRCrZGN12E5vTsZHlbXPq7mkH415sfdMVNwh qFBLkqH+N+lM/IqBvjVG+DTDIcvn06gKB+XAiiZjeiobkFin3rI5kRJ/Qidp/mH9a/Is7AMz1uV3 w4VNHo6Exxf7Kl5dtv8CuuCSD54rSepbfdXA1/R2yHnPNZyK+KRWVTEQoMDO1u4voOVKc/cIzd2E yTEc6xT57sggsNJyOzk8pf8kisaibd9JZ9+f7vgR4VyqZuyyMNleBW/4Rx8hs1Lia0pnODdCq+ZQ q797HMrDjQyw1Q6tZSpUuOzgoMVKAHwDWrnGYzWBmEwCKiWZ3I4KQ0aNz5guQotlxcGcOOQGWB3Y Ze5k9tX8UyjAfrgldbmfUlq6FGK9uZEEsn6SBZna1t/bRxRSNRc+JDe2kTwSctXI1iyO7ZLDIXp6 fIxKs9x8YOiztlXm3MxNnbA9vW9Y70kS5s/0Ide1VP2cfyejmUpVRAOhw77Y7FjugEt16ViaWebP JTjj9pigvkjvlAFIsB3FnXyNkaJ0unU939OFjnWy25StxckB5XMWBb4Qb/pCFXKupsT0NccGLZye l+n3PxEjA/ogqVanHbH9J9u8CrlffbwmK6/uzpJmteCGyQt5c3zMBSeGXESciksNUZlSKoYUXhYF kAuECaHxeQVRTvF97Xk2OjZ6/2XUl5QGhjzeuft/BYqXbjYbjOFCxisix59vbPuyFjR5KaHltnyo lI/nBJ78Sq0LH9LH3BHDhAatC/aUWpdqY1khjEVUMw2eQKo+GPjo5jR2WMi/Wayi3Iq9r5KjpoQq i4JzOGFmyS+VEaj5UZtIE2jcbKvWo4cre8GoHl1wrsdtE1SZ8XYTBRU254RPmK3pvI4wSKA3NJ4r ci0YM1nUV5p7KEyASu/sNPkxCRIRJucEd6fO0STutXtMI1MMeF/8vzTO02zWf/BxOROU+akUdPjw sZhF+fdIwOQLFR0fCQamvFBqY8Q2nfJyAzAZfLu9e6hA/ir5TlRuTU5Iy4gJiSPx5hzI2bJzKUf+ 3GKYrjEOl6p8FMvU8WiBoxPv0zvFvW7eryjZ3XQfAMnmh+wW5tKQ1cZFK0Mu5dno8zsjWxhtAG8h XyfrgM92/S6kuX/Y+9lGvigLVHrBWyzoAlniWU8TjkFGyttHLj/1fr/NfMtnWlMX1rvagVj5nQbf AxhuZoFlACVD2yB91r1PXO3Ufq0eLSY6b9A9uuaPjrsacM9N/MsYLmiBTtX0AbfOV/suIenH8dCK AUJJi49EixBe2A40FK9BLzVsMK4AnlJHEbGH5Q7rGq+0N1oh+zO7UT+i/KflM59U4U0Zw2tG/xeF pt/OujpZNk/joG8t717teKjBYUmTV8+JKfwM1KxJTe/guQjQk7hzPNoOMqHIXWD94m2kjqhbXb2w M9wT/ZU4KL4uHsw/6d1Yv5rj5qR6Vr1sk9Jh/1y7Re9609krFE/CcdA0pmQayE6ytJ9MPqBnlMAQ m/KVt/k8tUinDoHz2EyWGCMvWm+I5GJf3K/zG3ueGUFS1UsrDEV/ARGzxR+nyOVesbdo/bqEFSOZ 6gKjsl2Db+sWgRfyWYwBbNw+e0APwZ+jZVetfd56MfRsP1pJrtvWx7SWqAFunMyGkI7rovZut/sM Uh0RBMVfIEgkpuvUE+i2eU2J0jAh+hiOpAU2JI9w78EfMOV1Nn7rpW1qX55MHN1sE/yAI9iYtONI OlWlUJQuX40kgvNgVRSLUTMhwLoCHgG2CSAdo6277kkcHN/xAQYTR5gQOchcPEXLbidzwGHzXYrF 7xy684g7JJ6nLs+TVPmACko5Xg/Sdt9Pw5/jbNxMmxNJf/JvV1ZVumHdHhsexGJY7T3tj9wjIONx VsatPbQG+6i0oCJdCH6hovJM91Zz0t0qmQh2UjsJvaDM+/iMW6JRvUM2Zcs8eIQZYy6G7pR7EJ0X G+YuqIBosY0qJryZ8POPvEZ4dikKOPxv9/6odNoWRtj6gwfLLCB1vd/NbDiszN++kIFQZCa/BNtp b61Sa/jDMqnsZsyu67GHOo85R7tlTSzR/XUR/NhHAlIJV86upLmVIG++RIPFEr0Emwq7HaHyWfjB aXITUcToTnp2xsE0Na7hC1yAe6qZbGYSwdnoyEJhLOrRqPOzRo1HXdj/NOZJekg8G7uA0cg/EJz8 LuCFZh/ut502Jtq2Kib70KslIeVP1sw476xzI3P8Jd7TNlj7w0qh4MYvMUw1RROHZgZoPr+d21Q+ ES9bWnR+9t+77ODsysrVA4BpHGqXEIJmfaGRKeYnGLZRiTKAuEcV4RJ2wbINkAvFxM/Wc7tBw+YA /07o3PFtSOKQxOLiG2wosjYl579ygOQYOtHIC0jMsMwlN/2u+kF34puZeEpzqEQPCIQkWuab4QhB +/SCBZfOtrtgu/h7s0Ih30dl0jRFFdvRi6iLIxGU2m5CuV3v7+PtV7J2Dc8FhX9rdJFiX6ATCxNz rl21vIRNAqQXWsr4PV8Zyqow71Wez0EBSl43TqKU824Flab3zZCDLTp1E4xOdM8Fg9mtoVhXwzKN 5FhN5ltHXjVrc1AqCOLRqnjmSGEswWN9GA9l86tFgbU7b4F/WLs7UxE6sIAZBnEx6yIfgXBeZx8a QfzVt7HvvtGKm5ilts1FI9JMoyZNpfpoUXRSEcayNsrwhYNdCid7hRCiIJJmHjjXirwZTG35m0xx ioq4oUcFcjVD9W78poHGLqrZb6TSxL4t4ir//ee1Ywuz1CUU2oswBcHFjpGG9bnovs+t+9xdIvc0 ekfy34JBM+r0+h5El7eAwaJk6LvHtILRky/kGXa7+Q03i0lXdFTKyMQ5dwgWhuquibKme/xOvqgK RxH6WMRBV/4IACzt3OlPrlEhJbX/aRNlSA18NJl6yXJVhHbHn+0heSRcryI9ROapPuJwKonhJ+ie 7NmZJOE8DJEhez8totnwGXSpPkugUmcww5tLBRw+ibdHz6juynfsqItbgKqOJc4s8PJBmKTIHqmV bYUUXF2LEd9dj1w5DrtNT0e6LU0oVmCZvJTYqmVgxtADCn1EUosdEc3WX2gvZwnMR/mNBBmPHmRJ KXj/3p3AvEVi/vge2EcC9E3VO75umRY6VP7kZVoe6+ZHU9NhJ/SyCJhXaYZCDnQmvmbkf/Ss7DmJ 7bfZI+/vmZ+6siHf1RvbBRnXbEIsv7RiVS3VmQvQDPWUcYyc33ppqiUI4uq/9TxtUD5r8jdcLD24 h0XiO+H95IqWbaxSIXYb2Qmj+kEsQwpinFJ1NOnZELHKnY/OLh3sXVeVmmN0yceOaiJrBTXkwEdn 2CzjEAnZvNNFmREuIgs7YRHrIZaX59/SW+kh/ALIuoF1AO2fKMrTn3VuGy7kYUltKpq0D7HdFarh kHTQpC6uIXuPjFAwkXi43uJgg1iVa5HobyVpjK35Uj+7FAenbguN7bUgkzzTuAPlWPy7dCUd35v/ +y1knYmfGC9AFl5gA8CKNuJc8gKwjdj16VG0orUEVjEXWB6wnWGkkx5Rvtn8jvkYCuLcs5C+kn7r Tc5M8JzwnxjwL3RkTwyxTM8c5X+wsVHaatA/MiktHFThEksiuEUIi141Qri/Q6gLLNcwyGXccGwJ gFiMMGhrPhdLY7u12hkNpNjz2Y1yxToYtNqpkIxKHlOj3vtZa31jDbpocG2eaQFP4YY2hObJaRF+ dYYMu1H4NJJyBI3DGWwMtuqDenjIwlqI3uq5zHBfsPqCn1W8Mo1JDiQFoU0tvj+0IY4NqTvLFU0X K7AfGiPF09owSr3DZ3KI7ZcxSrj83Q2nMzDQWJqyHl1/p/UcGEYRgV86tziLqaMQ6c9bEnc76L1e BtPlrgNu/7dNJEO5auRR4n3zD38sR30rzMdfFPjQW2Jy/N9AabCclTyeSI1bzKlw4jugE57pY4ol U+wTMOOsz2HCRqf0N9z3p0iH5Q8giIegl4tXDfQRYFd6fLD6IjOOwtBKXasXqwFXAsnPFBHKzme8 yqSoUfwJ2UQ42hRqy1Zjo1pHD5eCGEUmW+fGGaBUSeYKD68kVw5O52aW4yV9IrjRAJixdCTImt89 9G9XDxhCtRboRBCSNwT7VK+Z34zep2hFzVLHn+FDSeXB+zpeIxPQRmUgVx5K2Ja3+UMcd5ABbdKQ x7yO4JZcRbyuN2udEWmT/cgl8YdLCYETF/uiV8cN9WCjSZdHllyLGN/csAhadbiaJGOMdsn4Ea7N jhZljiH5R5a+Xc3dlhTlIWzbzmpveGjTPtrDRs3LPEpYmBwBaGnpHiutcJrZU5tNNyqBUbahoZkn Sb3Nqs1nZI2hqETj69sGrU4bGrP2qZZeCWW12tatPz7tKX6RKFPYaDZe7IBj9cDOBe++lymyhl7Y R4EkOrM+lmIUTHogzGcZ5anNM5YeSgMpS1DA2TkNC9qQbZnQFbIZr61CWdQurAUni9MrBy40sh1B adp5nuebd8fw/i+RCPM4cof7MRvyHp7+grD+P6QNdCcwzkVHlp1eSi4UdTv0TnY4d0Et2RNgC31i Eu6jfuTcuJmaALIDdEbEEWnKZFVPcM9KtCLdi25P7sem+TwtmwMxxc3rxoUIqhF1IdPShymUwSQX A0roS4sPEH5vDyduE5zLVHmYBz7FTiek4uAmj55GdChQ6ddcxY5JTKSFDwcvDN1a4m3nyM9unySM NrO7lhJiaZCw8Ht0nkf0DRMf975x2wYJrixDCrVKCttGdm/+11zDJXVvNspROHLd6q+FCCqUJaQ+ +tmAlnwfS3weNrgadjy331es/VrMtvyJ51M4Nmpo7wsKqS3CgVNwMwiozrMzna8oJjd4v8IvQUqu Eg5nsoBDws5yWcS4ouySjaGJcK1BJQ5RIhV6FNiPkG27mLjg9BuU+efQKcE8TtEMpJ75tvRuh1QB IqOZngvYkk3hx/KXgVYFVU4942EFjSHk8lGhKYaST2RLWNDZ4sSV4/aXENqkPuAlTFoWEdvBJhFL B8LhBMir4uruLybwvIoRWJHP1AQuDslBzsWhtVy4IS4XAqwloaiy2HLjqICh/nxDgqHoEu4VymEI yNLBRfPNoMV5UOsJQXtoZRyMaKo3wNKx6bHzH/aCHjYxuppLzbB7tXiXrHRhhBmzUwEXSPoeXbeI qN0WF2MNGOy+C0/TfXVJaFlSG8KM0aImO2QDFCa4ytcdpP71CL0sPvkWZghPhTiFizcbkdpjpa6p mRvDoPkoV4cRwygSzxDy7QA14y94eusJHH/BonShsPHk13h2OIk9tGJHjDKQZ+CYGdiz+fC1L70x iQd6ddHFV12jACL26c8dnd0Fbw22wAFuIGoBqmhl0XJEuBs6DZHqxRz2blu+SApqJ+vWv1vAhCyo MuTw+SU08jUoptKNhsNPhP9LjORC+CEPkiGQNYueG8bWz3DGZj8y2dTRhkyaakl4H53YsGHm+pHr h4DFHv3yOA11birhCu55goodKnHydTvISPYOEB61YC2mn/tSGVHLadYMWWVl28VKTLf25wfSiCLu pOhcKjl1d8FUChsSo6znYvSEF0B2jnZ2Dpt4LSPnfPn+8g81xlK12JauF25NWs+SZP0d9+bG2RRT LMKEun2qQ5BZS2Mky6pdOYm9YAP8/792lrokvpqQhS4da4FAsteezUHnN9A47ek63uOP9Yf8mGFo 6Sy2dzBPYbj+MHDB1BFO7SgE747aqOGeVA/a7zSXMVAIfRc9a3x3XRNj5uUpPRb0QeyAwbicqGXc Bc9QkjFxKo2Qxq9kKz/RnneFl1xuVnLk/ChpNBLrp0dWP+rss2+SoyCrByevB1SG5m+AsQXi0EZ4 bR3J4v11rw6BtEt+kIbEwt458zK3a1WWrSWTUH44M4vSFTER9xbfk05NTULFiibaKhRouvfLpJ7c jJ2m1YUXCxHDfWqrH2GpKm57znR5ZEMX8m6fv98s8hhvw0A/WYGUO7zSXYz5T4qDxW9wK+1Hz1WC fKSMWUoilZyfe70zukRtfq5a4dug0JqWJFxLcVPn6K6vh52XFm6cbbXlqG34gdvGY/PFlPBumOUy 88YIfOegA6PzQX1NF4V6EqYZJZVFd9IKiHJglCj7d+kxIJsdGFmMNQgrMdrPcjuXN+8coqfs07iU h8L6MqLN8sG1yIfWANB5Fxc+x6f/f19wBjWX3BZ5VqLy0yWLzgBxyAJTsZDnWTYwThjbEdyYE6qm fh5Z9xng8IdeLlpOn/4y4wlveN5n9eXAXshktrcKai8wedBsHyyllpFHKtA+fX9kkGbpZWdxV1ib xX2nri2imjDpGmJyeQmMqPB2X0DxlQDrncF+lbJO3vrignvuRCyEacciJQV9I9hAqaT/wgrk4r2M gOlw5aZj63H8JIZXaNqo+rLUUXJ1lsCNp/F+6Me1rdqgKSW7Hj1OJJ+LvZLLeNI+//fqIERqFcjm FMQIM0gyFK/oiM3YN688IE+kNkWE1qwUg7pcDVD2au0ws1uZxJy3f0VN1Adlcv+uhhy91pcVnEtG 2ymtCJq01MQEL8Grl4eIAYDlibK79pSUw2joS69F8LqVGvJmWApauzzSuAlpoFytuY5o8EXsfDle ugH13rAUICDmH8O6kHCDUcp2r/k58yGBO/Hdt/hKvTz6mb/NR90lQkvPD+MdB7II4PwEaLaf1NP/ Xpr/wCfuhjrZTHsRI1pqZRJJt629J5MMoIm9WDykHa7c8RIeXf8vpBXA7tCWtlBlPdhkHDmFWhYe kykPZe3dZA6lEyejp6/JYyaojA7n5ofhRYE3BxR5VoMS+HTb9J38RTziRYn80kv9SGdhvXOuGTuJ txfSC9/sSHwqEofGG/zih9LujhsXqFBOQGgMH11iW1TAAtV1T8wbCUjsf7luxYzFHa78wF8lQYEt RTU3vTjjE0rLPJGQVcAyIqFn8/nxvI+qDM6fLTQuHwpDPL0l2O62qWJXsrNYbCfEFIHpn2D/fFM4 GmduTAkcpPz+dWvmWdoMFj2FFyiol/ORcoLyM/puArC7dXajJYcMXwVea2uUpQr3V+yFR9L67Vs0 naqcZrfWp2DZTA0bRCm/S+K/i5D1gusJX+Em6i/OK0DN2RodJN1IZ6yJGv4jbP5mLNJVBUcei6dF 4Z6rxouX50KzT4m15SsmOSa3w935ETdvMxUoYvcdTp+22Z5Xj+ljiz7wAJnRP7SOxnxEFcVKToOJ 5s6U0Hrb2UWzLQn05qbj2G1OJqj73N/iqdYojRLdCITRNO8wFGixgtlkpK63F0YCisKmKTJjOXcQ OfJMBU+NKVVsGYUibGAW0sfirAmOIaQn/76W0eJueR3dXb2mkuSUXyPUM9Cn4UhZYd3hWVSymzjd jB1lCug0vAzTILbeRkHTAM8ZtXuus7z2N29ZEXCCegkrvDnKwRE5CsLsSkQIddOJZ/GvzKiI1Jqw DhRliw3xvpMeg++Z/NDf4nNPdXU6GFLB/Xhu2zsmpVrcfJGWCzMoa74wLRYRHI7jyi4fv9Uy7+cR 0aiGW/3rO/wRCWbDVkVVVpt6OHGPhwRicR14f6nb4GwnGGn+hGl+nakwpKvIP4cRseAp1I7p6bCb w7KPkEBlSqxjAeaDumulkhUbviqJbDhOyzGDV8GcDYVQfEqPHg6VD2t19uZxOw+82sg9g2WMEOR6 o7qmzgLMvsXzbut+an1tWrkKv1JP3KMvQ5x8zEZo56SAE/8Kmw84SN0/NY1caNXfL01UbeZx5n5R ED29N9LQkpf+i4fGDYKib7FjRleeQppRLXMDpGOjzETPdM0HcvxoAvtfNkkJsvH81WIzmNugUXKn bHuMYPWmObLSwkeFDnve4n3Un6lpU8bpfD1uRiPhC9S/cdI/iTVCHyge7VZ2ht3+9aAX0DY/Jk94 bR/48+MWOLkzCWeOiG9EHKQpFVKBP4ItEZQ52yaKYxldOascktr1pqRXT//9JBH2sE86bXj0TeWH BAjr5sXHdewH4XZXp+GjyWwY3Ul3t+DHwPG4kqk6wY+r8f3qf4PVREzi3DHS9g4Fob1eZaazJ1lR Y0XpWe0VT61BdOJLYSSDQHfyoKx3//iTzLTXB0rZ5E08EYXpwRMY+c565UlaFKcWdZi0UrCwptND yMByY3ibVC5EUK/v9gVjsqqs9JjgODMmOqEN+jySjJJ9Mq+dlr4w1u8S6cdefmwIh+OExWMlejeQ XA2lAEY45OxzFUUqYgLAnwGZ8pyec3XTLtnfY0QJWwXVto/i6JnNEBDVSMeZW6ga+5YZEXm/FtkB 7j9/kkQ0eTXKSJTAa5LRbF1ftJFpZCCZqfElcNmmxRwKoHbJzS7teYbQzKNH1laxy/yNlkrbiSaA k9vI7X2VT7LnliVR7hkmZXZPfn6K+kZ9krGUwjsHj0yr5dz5xTRn+RjMcLdKEdZCDj6WGByk8ko2 y1q/peYLS/MkHUHiE6AkbDW/P5TsCvtLznX2XRhlyUzsvB3VFI0qgjazGj7m2OQpZxw6/3nlSRwS 95lgLDHdj/XeOuzakHIknPsWa3GyVIHFWnkTv4zZc5gNxxuUW0kB/iUIFSGWSWyihaZIJJt8PBLT ifYDplDMXAl8jyDH0eJTsoTy9yseixBvcGmw2HC+WAPLvmpQ0EALnTMvMM5lBFgigWZ5f2+TLfsx InAZ3XnvdejuCZB+Br4Yov0dUA78zDG0aPBwx/V0UXaCTb6mMbWGnw7FbVLMGj7xGIcimt/JBR/9 FhO975NQsPUY2ypJEMsJ7VTxBoNEzQHVfgOASK5dCLvrG1m8sOfKq1kDKaUg2wS448u+cXx3s+te FyehkKwb20kD0NemVxrIeL05j2DTzP2AgIfHg1qSUvWW3aycGCD+gb7kbw6SOZlGM1zaMdiuo+sJ IG0ditHEoXN17XbwuCLJMJup9LMq9+YO/d56t0iR5UhBK9KmQewr6ba0EgnZxPdmb340ioVyGpxr YE3mBCrve/OX/FPYq7C/NUrgjWbLf9649jd8OOZ5xR7PKsWZTh20gnaLFmQ/6l8AoO/ULUJId7ZM H8E/nXDO4A+gkSVQJcgL5rcun0TwtfSG9RM8/1Sz+mv6l8AYQPYUYja9P9NS83pXSU22YFvtvdL7 51j3umbLiipFcs85FL16BqXzNEbXUw6DGlLXOXsefgOoNkOKjTJZ0M9lw+OT5wP7f1aHPvYYBgtv B6EKLgaS6IOXlPrwh0Tkm+GQmBKZ7q8izHJEiFf1tuqPV5RudiXCHLBtQQDcoNFbeoaVxZJ96oUK bP7rDji/ZZv/34wMRRdE3CyGmvz1xT3GgiKDQrYlWlPkYQCiEY/lwtRbftcjT7z7XvmnWiFsBfZI ViUnvTQ1yUaUxHRJEBgjG94h2J56VMZi5GYlcv3cHdOz9E4dlmDW7QlstjihoVj3Up+S2ZBIsQKr ef8shkMKslm8Qy8gUq9X2+Trw+dTtY6uZ9I5qqmhtG65U+9lEYERWAz0xKyUy1tLLXnKRhBf4h2a HPt4OF2eZq44VoRDm8MOfCSUmo3sCjFAGhfc8NTq0xWn12/XDZjK60o50EwwH4jgcrOkaNaVh2ql tc3y+NGXhwDu1PWmhXWSCCpQXn38FN/pnVV3Uv613nKW3u/7P1Q4jm4dJbUN3+3HcvcXcf4Ekhys IJzBRt0dga2D+SG8VzRL72nle42W7GIfODuKzCtN2In1fdE+Jr9Vd7r7P+OzEY0l92qS7cZmxIIy MLfu/KwqJJL635ymrsHfNA7lX+TEaY7br41tyIrh7pwtdoBz2YSi7pH8q44uK/XvhNQ65gLITE2y YlT7NkJdyeX3O/Pw+anmaOytQCVPamPUKdue5T2L/Eb6vSTJYa8pYPOTtsyVGlKB81Y5Ys3xFsiU rw/R+1brVqMoTJFnhyGoD8vjxPnDIaJ8wh4F99NeCibrzHVzAvHC+Mz5b6UgGFlRWZok13End5Mk faf2+98tXTFsW20UfpF30iMI1AE+kTLjvdgg9QmXG2cS0og1ntEhUFcuvCIyf9fus3HGa8WNVikN Oj7JOOhn+xbpmjmfgMDVxKceaLx8O3/qKLOe4Zdw8VPXSnUxZbs5nXrPLdnEGSraZtTdEss9+e/F CHf8zqrNqvLrhez/gLefCTjINufaJYhCMDrQ6GEo3chhorWMS9N/qmFHIp4DzF/1s6lvyaLnIRj1 bZ5NbLMfeBGdTAMQJBumY5+LiWk1DrMsmU3nhE9QxPDuKElOxuPSosXrxtKUQOwWc7WF/knVQCLV 7elNz2BsFAHO7wetzKUoyggP0sadTHyHASfbkvngW0LMfcp66oRrnmJri9Cr6e5Tb0BNe/Sq4vUS 7eDN6vDR7QGQKHREohPDQA2KGGljhL+Xe/gGmnNzQyXY0Of3nHFKnbkjiGdUIyl9jz08juwyjPSH 2N8WB+t0uhGnZI0f06DyYW3QeLp0EGpOIea2k4i8tJbZU6PfmvG0+BujFVNxAWho32H32UBtMhgQ WHW8IJ+JB67CzRWwY23JL5Zf2e2w6bn2gb1t0L2XRVC5xymDO3DI5rZo4EyYEQ4s6roXB5Wg+UEO wA0KbpF8i60pgsoJZq0E7IkUN6cSYpocIe0vztTH5A2IONywwO+urk+T9BaHhGOwjC61doC5tF19 mWQidvGYkcMbkCSMviyAfsKGUy3oNGyDiV16xUBCziJZc60yxwgvdscbdwRCkIin0hTmza3G8RFr 1JDTsUI7JRsMYJU4eczv3eg+T/dzt5xnU5uqdxM1TEVLfCh4zmLJLXB8++W2WayRFtpupPDw24hy bja2CujCcbDHyJAJBrvwGvsGT8v5v1ebl6pzWvfFVMvYXCZAQCrbI3aYsp9WnG80zbrGJZzYUwA4 xaaCVtpz7+ZUs2Qgf44t0ii7nGjqPYMMSapY8g+Y78aLV9fnf8PCZ/H6FprRuQSGGy8d0NNZ0ONB wd68DB3R6v6AJVlBhwqUt80Jq0yNhvN5F3QbJlQMyMrq+AxS+YwW1gzL0hjsj2XHG2su/EbfFLWW WbmqTQK9Gngo+6soR4tT7Ap9CxpI4A9T1epeVZfggIT1T7l8UF3MyGwbLyD12OL9NSH69tVxP5da kkIgmktvlV41JaTV3wYRqWk29fuy/J5Egz72tBF5GOKpojVUh5u+z9DA1rMFYwl4mm8V1+qcawGj FuYqkJb0cM8Ph3stMUS1BZdOxqH4TeW3Q8Skt4+jw2RsHsht/JXNhrzqC3J4TqH0Wnl0hdE8FWz3 e+7EM3bNXtzI5qaiCdEhGl0sI0HaA1DiYWqVHeF5ga0PlT7oWlHEZhrsRLAoFlbOYz02GBT/rKzU flzzz8ObsQc61j7+OizONIrFvE3Ceu+jbBDHamhxweqMhjR1SgWmBs9mKtV3yKJ1WpwoZ6NELgT3 voT2mYVLtFCf6TeVtyZAqbLzQ01oPRnVUm1k5+Qrb+II4kuxqRtCbjoSAHbEURKg7QOCKtl7oi2u 1JPv8TtOnaS6ZHiyS+JkBapuJ5ieR7mRBZafNT1qDyDCC50kXlvg2WXm+RWbmEICY2xf0vIT6Xq+ XZESAaQd/dGHFgq9KlFeIg+mRUmFLuqy9WEh+G39e0WBu6Kjs4bQiRAe+UcUuNSWmsQiaHo7NsDM YrphTiF5YL5MiVBYftPmf3ZL1cVmU02tvclNgfRyJBkJma144E1GO6z9n7NveDwW2+G0wYQErWrk P5otzZ6CM1rxF3ybmjmv4/WcK1qhCPzgu9zu/LtYf3+GTIkbJ6v5lcM4K6P2JUW2dOAXB2gI2ONd 9SpIwat3kzaHKW++PTsMbOKAelnvsz7hi3+S21h+Al1HXA8gkiExvj+u14MMLYSkSult09GwiBG/ fwExXuN4lHXhkLu8lQIn4mGFjn+4WhVUJ45HFTv2mTjfn+iqsO/wZMyfU1wJarFYtUc0Yrq3ycqk HG2IEsGrmNolYaDs9BcFeLDAxPtaKWOw+1Ky5tRGP7h7ey2yh4LvUMZmtUDK4047PkZT/jkpYQpT FE0qt36coQ61ykW7veXuG3L8fJ7RxPkDHBc41sJjvkIjC4A/kSBrdQvO9BDnEQTM/h1RqIUn01pX WtQulGyUuPc0XqlW9MRes5tAgu4PNWjluopnjTIGsjHPy8ptFEk3C5Up28+/ylJUS234xlPngxEo vulW67+OjJOxyXq7hRm+b9GlPEBtUHsk9il/PeFwg/eUA/x+SDjpinzpDKBaNsFAPn0vg/fz0pxJ qTuzuZVX4jvy0M9a7MyNIcnA1l7t1l2RWzdFLZhbkW3z22/O6finQ0oI1wsiNg7kSHhF4dGGRCfX +cAfNcGmRUtojVX6EZ91hwRlpbA/EoHsnYxGCIkr8US8q2IyyrZRwtSilyBUmOLIWM/5+A3kaKhd hG08oPfFi6jf1e1lbldwRSOH8XTeUcAenKv/o3wUyWrQ34it+TR3n7YqFPE+ydBrvPQMCleknZSA Ls+PqEznxw4egFLMw/gr3WMXUuEmqnbipSBYeRT6sd0kN7fkiwTdM+pOn4H7OY7LNT4keFx99GKN 7n5wWmfocVYB5kMEvwJ9ngXALlZ+asg9mX1BJ2+cLu+AIA0FmX7SqZaXBaouwhaZQ9K+ldqv0Qbl joQXTTTmpjAwCNC616aLPSqnVqNIgrAJf7lIGa0PYnsC0jlaWHCl6108KMPK5gQidp2a2uAsSizE c5+S0QZSNTro68wO+VyEAJ2PeVPMUwrsZHiPl9PqtzkKwI+0/PgKckXlnLl20YxjG/ZI4yG6QJRB QXR3vMMxaiBXYBmiH/mfqb64GdfwTmL1w+Sq4webGUI/pbexnuAwEwOoKKYW7xNCk8xCw2X2LlMP BNWjwcXcw5gAnPmwmLN7uk3E4Xsloj5sbEXuEyVpOTfnOCTlhLNrC3iYSUoPg7BrkYZMqgBJv/iP +AoV3NlSgJ0nOHBdF1+QFMglZt8APNr4A53reRDmFAP126YLWFDLEVb70zXJIKaIciciK3y03SZ3 2VBivB6uzhcDfG1lKHE4DHuU0434zZmDd276SWWX+wV6piMKuGNwlmr7UwxHqfjvuU6wt85ABM9I cWM5U5ZtMb0UpbnB6YrrEZp9iiRibJk0kkoWCUmcJWPzAHkKlmcziCvtxxC2rKrgEn//nLPWp+MW SzcD+IqE4KasZ4W5Y9oADemiFN/mqnoxcjK570omUqXUO5l24z0FqOZktf+FdBN1M73j1PWn5uSf o9kjHzrnQT4ndDTXE2a62wFLXSv30wD3hTllz5aQySWues2iAWtYguroeBlS7ssnAs7WHzdhCs9s 4sLVUUA3MQyKEc2Tg7YJif5D1EmnDBMI1XH4agj5P01MAu9f9WauVeoqSUQ/Xf14N7UlbkcNafD0 yh4hnkZ3VJACZCzPYzUTsU8d3JGaVL2xyd1eeYgdqKxhF4vVXBdDe8AjNOKD/FoXZGRCPIXAeJZh WWiQ7RvJM3ceFTFmJAZo3CH9pCXENtEm/fiWz3zcck20yUeGC4OBaIS0j6d6KXSY63KRRcIsBENp G4Y1rwhUcVIW2NXKWxiGM+Q5RudYmFPb9jPwBCKMnnXhTFXX12pxn1ltwhR+U5Z2JG8rpKqHBLIi vYQQsUx8vY4Am/uGmXvt9fBWrDzJNLh79cNxX51ciuQKyazkDeIA+Nx0URBUIa3SRZ8TR29DQwNf vg4lFr3sQPCCDWEtHlQLFNeGSm+lttYiYSqf4qmJDHCS7qGfsag8qPMhoHNqLmnrt3K/uPyAvzxL 5gOH2zWkjK851VSWUYS02KwxROnls1V4OuliSJMOBz/IE9kloHLZ8fF3imGhTITMMc/xleI0rVeu Yz6HPwBaz8bE0Dd970aOQunPYSdGNtd8Xq3nwHHzB+1j232OYxDjuFyTgsnI0hDSI3GYGRnyVuGR EJxiGymWQZCJ92/RZfOU+d7GQE0SDw2WtHAvXlZy1HwvX5wn7Gv0tzY904WoJDF6fD4oJsQRAO9C w34r6n33Qqi/FIfxJM3F1yPtzB2itaQxNAKKUCiUj+xMvttKx5HqAnPo965pJHvMpWgfSFacupVw oqMXLoV51fylfA1igopQpxPVAnm+aSG0xLfQ95uGpt7ujLKUmDYN3zWKB/YZTo1miNZ+t8dNLRBv /ZTgSja6QeXk3oW2fHRfwaW/oba0GyT6RFWCh5dxUgZdx/wOE6/eUhY6hVCvZG6gf++lw545npNb p4ew1JEBCwxENc/5I4Djpqhb9gGSw7EFPUSWuaTDuNnrd69VkymqEpLKWV2QpC6oAbUkChlf06FD qcnjfGm0cIuEEAk7B8QoElAcQdKOJWbUe7a9RHEB/iX2hUn7Cm/k4GH1GBeGWBlS1dSfPEUpJp8U eNXdmAEj3Lj0TjgHWAbmMOeNdsqj/ffePWOPZMVVf4kTarqVDLhdqb3HVbIQE/J+kyX9VeNoaDTY oz8i6ydaS+TDPuj/I/Q2BvnrgE+wwB+q5PR3Vybe8lRWxrjOckTqTd2D7x/2i3ykX4JuBPOKPjtN 6JWiye2rZiyJ/N7pU2EtOh9KywGSB23FQKBd2KRaHdQILhxC6NdLBRgxSXUKqB2cKORl6p+IoN+h RJmrhuxERz8q/EXxyQ4MoHEHg0TR78W6GLe325L7Rk+Ks8CQ+Z91F7Je/9JVR9WbZc51/GJWqXAC v2emxioOV4vkb3G++dsKoZ/JzJyQqZQSkZAv/ehQV+a3j4ct01c1kCPKwcMKKOmmrH5cNnDnE++U dLyIeK/Vz3fSZ7wfSXFlpv8mIgPeVRo2R3zifHKpnJCaDAI59JqDHcZxzd2DBOE4u9OApvZZtnSU bVoa74Q48lC5B79rjSer+SlR4Egzo/gvt/y9SVvVM8IINVm7ACMJ7oetJFYiQROqG5gpe4SN2Ksx /WQThb4oyN7TeEHVVZlgRwe/+/NrOT69jFwFQhkCHvkZ6YFMWVn0be3MEsVOAG4XqTqC4L1FhYSZ 6InseaLQ+BHPFneAMete2bppt5enJqOe1/gYWhS4rc++9O6Bj/ScevJnvat6zhwy0/508e+3D3Qv 3fPb5e3iWkgvhZm/93N2aCco30mG81fp+wJwDOeXi0k+DSmFacsDUTmWmNexf792mMn4S2bdXE6b FBO6iRYh1nt4vo5+5Cta8psnbI/ZGOTpuGbRAkuhdXmfy05j0XPkQPuBu2aVtUkf0cTTHhbAOC1m 25MWUh25c86Qb7NFJ9attd0hZK6qi7rg9/vH3FnDnma9RA0B3UKWnT4rzgfzPgpzwhB0cWggnkY0 BeX3G7SV5nNrPTBBNain1osLGvg08x2oPEb+k9+MGeB51L9OXjsZfVIgokwfDBZun/jS0sdHSGpy J8en2hzREIge5naGUfjRYrsTf7F5VzyJpz5scqCkT1UzBn9ycO4g4b0Mh4Dk0+tXM4ovXnh7hMua Q76NOynn+NTf9FRuZ5HmjTCJPoPfBzCinzwNhSfwGPeKwGTRrliqNx01POjOem/9JQvM2p4Caape a2BPj+o0Jojg0+/ueFBqEd+1L8UdLZNUSm5tYFRClrxeoUswLN2EhzFBIve8/j61l/EMAG+4JWPm TlyTBWzOWzmKq3R+C5TLo3RaOL+74DUwv9GVb18bxWmSxDUchsd9z3rE0eIG21YD82W0WrS2ZGgA s3d18qHsWTei8/oK9spQ2pQVwAqKwZprrA2M2+O/V9kW5ZTCCFEilo0o6GkdVrQH9TS+AchuUg8o 70IvCm4iboJKDIyQL6Tzan9SGK/CL1RTq+TKztwRldDmmJv7MPumok2wKY8955O+L//qXehxsXUh iML8Cr6HsK3rc0PtlAFGuZ0NrcsJGOsxpG0zoBltV8XxNyAaldORlsZlYatAu2gUtyhrqgZVFNFg j5gnVPALzlD6t1ST7XXEomAT+lpujLJyK9SFgBBzKCdYL9lOFnU1WIi5vAbkJq6szaVIami8P6FT FTdThfJOKQLzqHLPLMHoZqZzrDKU0AfxbZ3aAcQ2ZOZWoel8yUIMzHvgDk5YZSfYGtAxcP5hPD3U JQvdNvo7p2no9lWnSDolSep9qfVuQ1a16+MLqk7GivAMjQ+NWemBD8kLKcqyXqqVy1G55L5yrifA DebEunDXFwTvdE4XuZFoo8S/49ytwZMIStKZzegPmhBssVm0hL1SWhd3W7y9lUBGHFe4zgcIMUC9 WlzZtSJI3Gur3sIgBG22TsaL4q7vRs3UYNomhcE4s84iSdhbx0jPfplxWSmWeOAMndhjYHCjhGrf IhwvCBe9eQfs7D78TpzL4+MF1bqaJd5fK2j5ExghDy5/BUA0yQdkzw2aJRRNjnJxEuP2pfBCRfLM lxTLNKCrqgvJXWzICOS6Pdty1rctA22jqnpYqR5zQqWFH/tG/QUFST1/qYYmd0XVTMqpaUJSpAzT zdy2F+FYi0AGdo19AsfLEvCgCqjDHERJlpeSybyp85NlnFPdhrp4Sb7UxqIw+DgyPMJ7mRrGdrIh afsDYXZ99reEFL60h6Fi9hIJ8lWfDH+IYqM2OuWSreNaTW8hjbpQWOn3kJxyaI46EnqoR0vyiO87 1sGkVdgF79EjdIP49x69WjxURvLQDB0zVw0WAUGbUGcHzD8FNC3i02N9ewYpGnbQyb3Zh1PkRq+3 huHPVpcZM/IlVuKVMbJrPC1NU0HRAWnJpOo6LmsNU/rRCf/FSbMFmKkN9raFPzLyvfMyhjvPD10p zIorqTmJcMnJF4TCG1BfdJsM5MUcDoC+JzFBmaPgXrayGe+mTtiDfo6csuHmsDcBXG5p69kIbFOW VP86eQ3P+Wfvx/LqVM/eu/3TvIUwAtNKFmwAFw2ab7NUt5lJet9xnmOU7dFn6F9hirAjfS7Uyg/J 1XtISbkM4E9vvgeFPIqszbiliXY4G4VL8PVGnofOd77ObYy06PnluOX4EU2mGV3SqK9Z6i1kxtks 7bEX7YCMHmR85ClCIcR/IadpNLECNknHVU9gabPmqcZNXKBNwHF5WdnCBj7KMqU8b37u79gQtWb6 vUG5+Rxo0INEL0EBg3vWeV0JYBuWs5/R66fYT7B7e868CP5mshzObZGS6E/4jkmqsZLRppRO/xEs HGUejgLsgg1v9PnckEVykSEV510p4plHzigbGVomAR/6P+vXQr1alQ9P5kc/xTs1wFABxsgAq8XB pjyNY8O3C6F1RIJy72mT+rbusYeiOLzWHUTwWwEyq7UzOZcIOgRKgfRGOFl4LgSDADouJgMu2Kzh i1b9gPnLicJu+M86YeNotVHBTPchwzJy8bUcreaWSn9J9Mj8qBrS2xkJkBZwmAVejvaKdKhxFd3c OU1pelQPXDq8yMPj3z/KbAF5Yd/5Pz+ZHezfNqvlbHRyil/dIfQnJSJgvfGTaRaO+ya3zFB36PjS ie0aAs08qr3i39r/l7uf0bJrXfHYIbjpjfwmJSHS7xQvdEYrI6ceMJbANdi4daPtP6laAB6a+Rdn hSz3Hge9GC57PlmvM054+uPaQQxVXhNo1+zSbC6r2jsoaMaU33PCBTx1x8q9o0YvUOgCTPDnbcHX r6kiUvRybkGloazs371Y9b7szDemsPXtTh7eVRncaNPn2gkL2njJdhvGXpnWejosadbB1jZ71Xks vUGwPOMmWpF/Z4FGFpzPaIjkc1Km3N0p4PZSj3Yelg7q8WOgGH57hikf3mami1Qw0hfRGNgf5L8x aCrzYTeNE98QN7NqQNpSk8R6qm4BY2uw0R8Laxl3V6rSPpU4FwCPqksEBjCENf8+wzuVMueBRlhB cYIcpA4t6tWNQkep7gtOd+Gmnecr7Nhl1hLk6xpmYs32k6xXkpjaG11mfrXm4NrP6F6ceyurPQUU +s2yeWmTmgV8/NkzDakssPMMTBhiSjE9sc+tKUMDxXBB2sjrJd024ulkaGWqKtA6s4tMD8EVsXNJ Ks2bnPkVCd1J1UosgMHizTHLOE/EujHxaV4ykLL9PejcqHDhX3eeyVEmUR6EBiwKs9HU4n24EV2k DLJY3zf1ro1w3IhyuBl2bUxWTjWJNrIbGMQz1ZCh5WMcyISlTSx6E080nVXBrzL1sy1/oy8FK/Bs HYigQJkyF0/Knwme/0ONSkLlyVfHIhmh0fy3tqCsqRURxp+4cTliyLwC6+FeeFECnqt2sON5MFYA hjaPnCclUQTx6dg3DmwnhQHd2O9iIxpfTD+hQgyD6Vr4eKKyeBOsEUNe11d0w4aHTUMGIVoLwFfu ncacxJyfJK0SHcpIijaQ36KjiHfGb01wScwl9gW/ZkIQtpLKgWYzE3UrPvHsGgaq5uKZfbiKDRnV tRA7pZZj70bSHsbRuwVL2nHOj67PA7bT59k4lvKcQrdSIHzjc8SaAxVs28hrhg92IN23LIs2sKmL Hs12SCW9sY4BS2Fl0EsTwvB6VPW+0ogKWlLjmpVZTsUTYGkbwqO4BMjo/u6WodxE7DtbQZT0reC7 yC1huOCUly20ljmViVDwuC/ylFXaXbA1zJDrHQVsP5efKz1ud9VFGgHqZw6AGjm3XMATkU14AY/S kRs8mzYR0Z7vR0oDIOXspZe7dIBV+SQ5hAR/hIMB2mGHC3uRYpJscph8UASa49BBjLv2JPdWd1y3 ZcQgnjZCYSqA7i3VmEKrGy9matuyCqFM5gUM8EdKY7z8ciPhhp1SFShT3F0cau8+Ig0NcNucLWJt VSFowO2bt02b/hSsVW3DPtwyRX7BRB6QiiReq8PO9lIFytT4EAcM+61ZX5VJ+gCnCb7Y4Edg57uU Cku9JXFSs1W5kUJcfPq1yL9BX2GTWfdr/dDJpCa5UB8R57mNJwWd/4Fn61A9w+sjbg5n5PoBBh5i EsJpu3FdMQ1aLsTXaESPtJiwhtGVL3clhobWWZBEPnglv7mBde/Kopx05G5NmYN6g+CJn98THvuL QfpI4sP7Mz/Dh0Wa3vDL3ToRdZoYZRrApVM55rhj/iWq9Jl9AQLuux7xcUWPmsONypbYfv3yZahJ ebpBnt4w+sq4H1bJXEws1SqrNP2KEwI+K36Ykt4enPDT1bh4vjuprRmO0bIsKj4Eb5/DJq1GJ67z 9/kMnFOZBli5qyx7I49d9kUMLKCqx+ZR6hIQfPfFIDp/Z46zTVShnySPifZNRfOCzBFzUPOZpOc1 k1s6AF8Wxk0oIfZ31LUzJ1xbp3ek3r3VxfG30prttU5tEbmfWC/d4xxVbWGhMZVabUW187DLKSWi HWHZJvKnY8YoH8iJdRP87tqaL5UiwdOscEoslsJKYiTh3qxXehW03LSsxVk7gHI+KPWFcpRJoYRm wzWT+DrxGLwJ5+UgRsASJTxYP5dFwuRk/3hsqH/Q3mF5WjR5uxXAm+5nS6qxaZJ8IYhNhiiR/YdA 7FzCOeqGBFwDzk1Db6kfcw5Q7dMopAtzY0vF3Redefasp7WAvbJ5oTT5BN2VwJBw+khSfiDmZL8v vAgHb3acMbmM0VWBZ3gVBQGVAdyEUuMhFkt0S0B3aHWFSO+yKQmAPK+u9Df/BZoGU6qGSxe/FIsi oxxY2FgbhRBt3Dq2KiX7y9Y7WD8FRJF/W/J9TSFqImV/qbBIhwa+LK98lf3btX1si6YKyStDuWpf W25mYYO3OHmfjSdaVTaI3wenNu0X5G/DTaP+ohaesrQA+/ozldBTJYAHmiGszxyUYLfQN3I0jIm6 SKFgMT2hoz2DBIFAnkgsiq10+HjpEGVm0nRnD2DkBHBMC96nwc8EPfTd9UQz1lKMHH46rh46WVlV Uh5s4ZCmmdr9nJ5crS6u0hdFuyXE6Rir7+IHw9fSqnBljR67pOfGfdVjkJF2yT63MxjlBNtp3/zP e6CpXGDEFJ3XTpFZjfbouXDGr4ALqdPPcbyWJw3zCHnUPUU6TNugcreNWrra8U5kfbVSpWnrEH7D CncAD4qZT001cmWKRR3PKq9KZ8jYaswOaM0lw5e7JXxM9tTxN4j3wXyiiLh8NBUQUyhNjj+2mdvI NuxhjWn1GpNopPHltk3wN9v8lAdFImKimFP+eoSzrIWD0EcXT60cI3HH90FqdxsCIk750CNDiyN6 liGRq0JZmbompnajEIXqW+5n19ezcYw9AWpmC5X1P7dNyiFnDd04bonUbR8UITHaDcz7repfYT/Z 9WW5hVz5gILmPqwLw15F3ju4J3+/HRn6TtswwFlgZDwrVwkwP5oOElRw1mniJ4S+NJFhLbOf9Een 0Hm0HfS5Nsx1dWF4zvhFS/ZIAX9qPN2QL5rBLd5cJWV39IYefXyCh7yp017pl749njAiPf8egdxk xiaSy3Jm4eka3Kaa5jRfoj7wlQtcxodlSrUXdKGdCVnMkJLgEHSreLOTfpb3IqbHRnRBPqni2dp3 o2CuIV2it9BinhZw8syedSXaulEnrkNKTCRwtHnApyxaDlYkkhDyiBKwatzko3zSES/UsQjWnn06 hWNmuRFUrfaYElKpjFBuZoTs5cYiaRvkm/Z5krQvqZoMdtzWH0Mn69bTiRyacET4sxtKQ5PhgV5V 69rK6gVRnB+wijOBCFfKEVJRJJLzTNAAwT6j6S66pt+fX6+R41P7aHmip74gL+p099C14EcpuRAg VTEY1Re1DgTm8fs3IDylR1kY9JZHMJ4xUWscmwd0IOQzVosIs+if6Ye5y6gHTcjgQXQr9SPfL6lB s8OkBJHqKaBnnSXZ9LOhj2osyN/+nqIn4UDUR0CIloF/LXfYBmMqlMtVeICB6Ysd6H8FEdr0Vh8i fyLrtVbDvZTY6nlqQwKAbHwchhbxRRU0gJRqaB66sOCcTy2xZTuYw7+U2M8ANK5aLE4eJfMyIACC pj3gD1KvJ2FVrah5xNsgf3Qz+xzrBOU+K9o7lzGdgMu0X11ex7MMXm+JsJxsxzVDuxzePgu0Ns86 EcMcO828GsnBpGcRVn3islCLpvB1cYADatnlVFfSQOBpWAsjFFdiE2o0nu8U6XrbWzHPJdUAqatr kY+HHrrL5/3eQNT/IFczeflfmlbABQn97QBFJCHZ6pqaQs3oZObXv8O1kwafRAs1j1zOO1akQo/c ZEnAsXt68N4W3lOrREwflWHeGSf+Fo+nKKl8DwHosJueS1rNY6MgqcWVr1rdwXjP26BlQzssOOme Zyg4TCKmpnu1Ci9z3+c9Atx7I/DQQAUegsjuiZc24m7/VmJMTsSkyNm82QJnIQ+eO/74U/HGa5Qk 60Q+JdMDiwAGmys/0220FpLPPcJ1WVieTS1+sQKimEhFJffFLpYXgwi9jEhej1Ci0Y9HcgspgmgI GvgBNCDK6ZzeJyvb8mv25rFUpOOw6m0X6vEb4PuqI+F00lSsGLDOoTzFrzNYUzGW6CFAEzrveuco OdfB8Hf7kSgGD1Ix3YIsgOchI+fTQEhlw64KDECGj6FYPzKjUloEh1/QumLGgS+EfIG/eXMQ2pxj cQR0IFKyg7va846zwampvm/1/EEVmTBIcZ9kUXerqLscSWYBX8JRl1HyyLfOQLsZqEVoSbc8v/YP RTIN3v7OtKqQV2fiWQ7H3vKgYlzPD1qtynDgE7l+7Hky7liLWC5DZfKyMEqOHqx/5hy3J2c7Ki5L 9bFsSZyx2z38jL8/wmP2eLxzpt/AaK/iMClj77eOWpTO2ZybheboPYaKWGTL1SqTgBnZFlE7O0d/ W0F1ZTuz4QURHIaV4yBR2O8+YCpF9PwKfMzVxHC+9mo/yecbD59KiMzKg2tPfw7hqNWYfbUHCqOd gQo9f9ROKUVA5W4qKLS+wnM1AHnCidUpDMSK/V9MYrWw+27kI0W6CToICMZjf8UpFrLlmSJI5FkW BloU1vv0CaYdo7snkZqnD2eqzO1mc/SzN/H5nG+SrwDrcNQwclrzzH5D6207raeyNddNmTPI9UVR Wl1E0KrKcGl+otuusABri1lM64YSTcEH+TSZyFmHTknNWMRbS2wD4mvXiSwTK+LhspozvlTAXa/d C1DyUsED0b25Bg8IyjxRzrFAWTrSXCh1eGs2cWdAuAZCohqu9NOcOH0cpRy/Oa+wgl7m/lY32SWz /YA40ZaVbyT3p7nTnP72yuEWpCKFuMdE4cgX7+5kRAn4am0ZyCDEIls/AeqvkDI9wLZcyqlTlc7d MHCHlSUKnlUd6jkLP9UNGhKJNzyhB73U7ZTWzj3gmvgMYvpcsmKrrJOfz6EmdfxP9CrbiP77Oppu m12D+31lMWxMaqwACDwLU1B06KXUhdP5SHAaYDgxvjb8Gjd6DsX/8h7dMa/6ZEA64z0EcYURtD9x 79IZfStT9nQu2oVcg7z7sDQiBrXaehav/PL3DOAsHJW6WgWdL789tjziffWsu7juxTp4hwvTslZE UU0Lk1KDuPTziLDTZcHXRv34QExaDEfz0TneC4G7RRm7aHp/fIhvaJubBUm/pOfPAWTh5pL4rcRN FoON7YcR+ryZ2RwL8iyGxqgG1XAPwRFPvEaILd5QxoE4ArdLHWLgGZI6GKT9qMKwLu3wITb2xiCS WIWCPop4wNigBM46M0IiWO7W98EUMzlxzFa+JqcXh1nNpF3cLkq6T5tv5tJO+sxcBrOQt6czR6kX PnjNkK8eDsStbcY3TiJXsse/a0Oo6EFnUayBHx8u1BEbOFPKp9kWQZIQHu4AmjpH8d3RMsG97vbu 2/pfKCB/dN1n+DmHKY0HUE0yJ5jankArj7wSblQT0A9UmH2sA+qsLaUOWEv7fWv4RvLcwfInoCO3 eRtEpRo5MsZYLckbrdIWJvlRZOShTeD0BPHhev6P3gVV/j0oei+CKOwTmRVd1eANJiuQ/5ZcpKSH 0CwEd4H4jTTpcRaDuaBt7jgqPNdb6fZ3XEK1RI5bshpVtVlNKHhJ61Dgk1TI3IRhRVRIJv32RMJ8 7NAuyqYTxBUYow3D7Mhm6SbaG/VqwPJtq7+wNeeW/o1jQ4RrUvwAVethwhvQcAP2uwpcDqIyR1bk 4AP4ghCT+02bRKBiny4Wb2kHXTDYwJ95LJfrqb54+Sek6VlCTtHotfYG4i67FpiEYo2K0dUksmU8 udxdvXJgqTLqPOS5Ho6ZQgY0+ngz28hkqMeE2ZRC6fvmfeuwCEL9l0sJKuNkQjbEo196gwBbEIlC qCZ3m1gvnl2hrc0Io2DLAn8j3d+r819LZG27eA5tl+CiCZIeFPfBeZiH7JsYJyK9shmXk0fd7JzU i45EqezdQRYZZ+mxLK8iuF8hFh8pGX0YNWVcyGCoZ05Ph2MDOASOf9NGnkyIaQlzA1dFW9HL9M0l fInuf+oG5X+D5WFnGcoJb3d7jAroRUqx6x2iW+LSbhryUVFNZyFrscCJw62jY2nUXj5YLPyAgOhI VXPaLCAZd5IoUBa+F+YCSq/B/97ZI5DYLPPyv2LEAYzcOTnb/e8xadJjXGXhjW7NJEkFswoEqawB Fz1J4XPo9IRpP19VR8KayJ16PTyF7px2CwsbLrLBjetdUofaesew2K5H26WhRvM14/+0NFGKSqGq wt6PW1xHRqYOAiF7tHCfyYajgPKBV3J8ra72y0ZkKsskuXnicUs/v1mrIBiyXdb9asGni7PPCfBt +8fA01pnVPIp/G8D+/dsZGo4M3I5QEl+oyk2Hpe2dK6HgM3gFy9t3/Z7SIwFbeqHa213JfnonKlB zJkYD+0QPIRSj6+CxlIGiImjAO2btuAD2PDjbOhY4zBJ4Mk09HbWIO8q4wTcgEB/nvqZieZETUJw VTPUVI4DS1QJrDCrJZ3zips4DjqvESIQrM6ziKJn/2x9fuklveyTfe3K3h1eN+1pL2prHl8TLYMy VQuOpgkByY0Bx8J+5k0QDTH6qaFsxkqwzo5Sn/uGD92QcTTFM6O8hAqnOz5wZffE0eI3FUdxYdqF yrAqRthAyCPv7HrX50IE34B1bc1OY4Tv6sTH94WdWux8zZe1chB2vdDcq+ER/c3ZSZIT1Mw7Jq0+ L/ypXvvW8byhYdnsG1BPYqWrqf/XnAJ4exHpQYEti2PZ5QTZ8/e+rWcKrRH3yVNqW5AjWw6SV4XE uVdf3o15YJmcNTlHOUpmrRjpaqfCk3D7Cz59LtYj7mIpHOqQMoYIl8/k5M+at7AfHtcwTfR1PMW/ NjWQd+FGLnxOk7qzN3OLe4fQcBHUo97eeEigdP3GHQYcWTeiYyA9zFGTV9bJ958s2bSucxReeaVE u4kAQmb6/7rdRD5Lj9GpHNFbJhy7hjA3quLbzcp2zBoNfUFT0Ilzu2ilNAVVxVD1tg0KCW3Fh/p5 ftF/FheuiP6CCqdXOraC0JRel1LqIiGqIfrIJF6B7E+3qGrd9cMv0GaHzaa1COr7oHU1hpPU2iqt aLU0GjPS3RON1/7BFuNQIh58GzxBBFQxZumlRXtU1zquvpF9ciWlBm3uDqoVce2QdxxzS+WEjGPI CyESTR8VBR3ggjr1M6EILU1c4Oxpdxm837NspteN3DUY+4QEACuBJn2wY3Td606tI/PoXA/B1/SU rcp8PTTDurpjnR5wH52DvEbwxMmZFxOyTRypz3V6I5tdDOoCEaRTfQPPU1jNMYxmXR61DorylqXH 44qcLb+TKjcLtIIhl8DLhnFP+cbb0wklqX0PhU+c/rVs/Xi8hX//KncDgwAaDNe2Q3EG1nYBkMWJ IygT2QYxCOBTgJgGqXnWg8BrPRmUPMwpkV6hZd1ZEUFiyBhn/CIq1KTBl7A419sKoC91vw5ID1O5 5P2Qyp2y4XU9Fv5CnVJaA7v6EG03MLJ2XIRbcrtUnhjVKlt7r8uF9THHtA7JjjOqIFEKIFUUa+y6 jAjUKOTW9RhyTmK2n0/t7pRKodEPJuitAXK9tQeDbLDlpPvTmo679SC+TZmBCuqPTuM0nqgOaTwK Knu/OcpucpbA5Q0gaOAZn+jJkQLL3V+wkc9UKfHfb9BtPAP324pCo91ykpo31xBqBlD2lg6W0FjK PGORwKQdYCLbmMoXgIevzaqfB7j2QA4zhUTY39nX8N4FR12wkKOj84yWBRLo7BMVrIQqLm94xbzW 5uDXFSiv3nx0wt9PKLPOZIklvzlv02Yta1VVsbvWzMpJEb7vJ/42lfXQSuivX+AIWEYr20OuFGrR MsnzTduUeL/bXjj5Xb5O6E2OfdSTKTOstnHjuOPHxU1eIOPOR0rgs9CLHqwtOuiXCyAjUhLhi0U6 fOjU7ryBxaS5g0t0iYZIwgBW4lrRWh6Q1svkTE9CJ5/ZHit/CNsW/P06C1vVbuyhEDnEjOJ0HH1X rL20Tziz4+Wcyl969QAP4Aao+tUah7EarAGgkZHy7d4X81KxGF5oOfj9P/VWH/Htj/oDecpS1SIi 6UCx5I9xiLIpR/AbvUiKm9DbR04U6SIxL//4bUbfTBXPxqqDNcuhbW+qpXI6ArdJ9+C2YdO2qQXe gZQii8bWaYEZ/9lR8nM1VmwtXT2idjL+Bgl0Yaw+YQ0Yu/lUNQ/2MhkkRIHleBuqkHIq00dx06hu ir9yigudb/SGydI5gnU3LWz9sJqgErt+dPUu3JE4lrGCjT3J7qe7IBLMY8zxya4pj/A8cS1Eb2CY vv6vWBdn7Eeytkxq/w6+mpzyH3UnLB7Pe5vnEoMt+tatH3LHZZdXqZnGMDT4tU8fSWCzjfEPDc9P 1M0o/uWpCkwzY3hB89s5hYQ0ho++0WKKIJPIl10ID647WNEW1Ecpx7jcp3GmFuuKHSFLqV0kcG4b yAEXWRZFAevXOayuc0GA/eL2mAgmZqe2TnKqd6h1HW5IBJ4P5hv1PyMDpUyRw3OyFmjP8Y5jO5wL 9jCd8+L2C0S37vTuVDYoQ/k74uYtT/p+8Chs0RRATdmpqUzZI8NSkn6CUPjH7LY1jx3u2e3OgJOB JMM41qcBYnWbc3lBwfsuTpvp7l/mGhIbIj/Oy3vpWbfoAfqYE0YrkSN1YJolQoQ86jqePVsgDsGj 4Q3zlR+xilqlXj60vjtaSMXFBKieQrd3WhkJdC10KpHx9zKVQ43QDTIXnqMNLDf6Sh6IjWHFwK5O Z5tB76VsjlL8Y0WBYJmSYLNSR9Oa4YMH8Dh3t1/aVYwZgmg1pdzbwd2JUK54XtCj1ei16xBJI1T7 0KGO0GCpYvu8ML5rXVwN4SYfX9eWxIVOEyqyhyETh6R0TVB543dfNX12KUCFKyFuVM8AHBZjWsIw DHyCT1Syk0ddof547qFw7IjaO9xUmFbkfe1V51Wr1FYETwrn12WpcWroPfbud8GhkfHwTUAoGt4W KaHUB5r4aBoVRG80rdEB2i+ZqEvrg7SuEJFrCnJrYTcKx4gTmRy+DlFgNfGqYObLPuISZiDLrcqM JvXX2TfC12lPEwR9fEH67W9Kfu07cQlzQ4PU/rZ0yowU5MG1TBXZDMa2T1BKJjjgrI7bMXiBlaWG qsbbnKcRI8b9rXbkGO6orHVY+9NqODY3W+JLcEQ3wQVURtFLU4LA8LgIjiRUAZi31ITUsAxyOuhI V0vQ7/8Kt4g7s12oP4qcHmvFVGAh3PdMtg2cqJA+2rKHXjRfFiR6l72TyFgjPnxLVrKSTkdYx+VF Su/dlRt1s7QogNJGttqSt02v9rtAIoKADgaY9uFUhjC5jBaJaIhUWsAIcoy7P1a5ZIezLzfqm9Lc 7retrLo9yDeilJs0poTREwXKg7lseb2eWxe/vZflZ7bcK8P/wiqXntyAPk5EdbS7PgUu+1/XAO9M EBSzTz0g8ql6PZperXKDit8v63hI7dAmP8v+kIDHgZgs4CMlC5Gq60UhWvwR6RdKv78uqeH0oCx2 oTHeh3m0KoYaGyVUYZydkdy0Yhn/IWh3Z2u7qnyB6UQr662AecSYxmlnE3k6sRsWPZjRBvKAm5my BcDrm2kOOMYgyaZwvroJqfEWN80ggsoLzBUD9lyyrf0R5BoxxKhyWgv69U09Hr/b7jylVRddnRFq Qmvlp7n8QWHMYJLVyp00Enq3S+U5bjX/Pm4mTvSwKzc4wvdSrubh+JW82OKf2bTXBIsjOvBO/PGE KMA3tiyaBd0oEdA6YgjVT8f/adXGtSdP/RiAb0+7UqsrqMAg2+sytF16kMK0N+KUtvQLeyqUFeIn bdR+rOjunBkHT1oEB8x7qOvr0761VpS4ccXxh2AOEs4bv6+jEAlRR0aAzRMNxaAXQ5rzsm1roW92 SI5nAgzxzwgllIHKxwEBPlLpS3EBHHRiWClHDP0iXTKt+HvL4nn6lXMhza7O+F/WhqQalI+fS67F YAwhfg+fAjNOz+p8f50OTIgtefmqqJkkumux6gcZG8YfXvlE4NfbTNsT+wO8bpWvCZFBPDXzoPf4 2hPK8gc4XwbN50cvS1K1XCDLnEyYkxvQbtOLD269fgEHEjmFfcWG1BFMbgEE8Ew+1L6RNRD/OOiA 9QSHZJPg/XUxtiS57LLAHHX26tMJWmTbyltGRzTKFsTlsjewflTel3yN2eEGVkONYRQrGTZ8QePU 7XdjB4OzLps0kvGsdyCwtOYuEw0j8AnVGfAOkZUSVEUVQxbgXPJkAlBl5Umeio9RHIhXm5SIuCHk PVpxe6J1PWseETXQTVP9nWDA1ttMz5RKpcdBczzZatnv5JhY8+shlf/lg79XrLAX9c4giAVDf9BW vpi6FG0ubTn175TY02qOzAVPGLy0EaQQW0M3q2+NDy/wZE+i3sOYnLWrw8jWnuRT7uHlwGjifcXB K7jRL7GKyeZ/t4BgVgt7QbULaABQgXQw7qz9LsBJNtblrqRtimvoWed71zuDLtBtaYyzWcuxWQ0J uneTL5XyiAjzb/PZTmb2T55u22KytxvfKubWt6AwpTqRn6R1U58HZX2Pu3BomhQVlC6cg+Sj8jrk 1tmw4Y+sPsmJcZNsSjCSD5NplVWA30U4mGuJYpQmH2fv++ZHH9+36u1RLQbOypukoxj8PqMPKI3G aAICdklqlqe7YYjiocfm/P23OvpWniW616eAKs6rA4hCi+o/qc9S/XFVU4C9e68/RG8Rc7iZPEDe GAD/pUQrbZ9+NXz5XM477v//MmmF0hf9r94X7qG+OeMSJnaT4TLMJ84YF523tvzmf6Iw1spIR3U2 mLCeOI6rPKqBnyqragaGyW31zdanL+zQZ24ODi5jmZmI4TXxT4FZD4PiIvYcKEQz4vHWT8U53ovI tB5UBGWFQS1zhEv30AC4EDUrJoxWTaODFMkIp1XNucieblXlX+WB519MaDfP45Ksw0P58qDOB0U8 7fKbdMwANfss8BmG77eDKTkp+vKJaTS0uqOXF8LkRPRN98H4DpgVb0Sttp08kuIHdeYtekoCeGA7 DLbtNNW07ZZcrblbFrUwws2yb/4jrR0fmquym0Az5oB+hojOufF1R27RZMKNl2ELuf5i9QZ7Owwt iEYR1f3+vn5BTsQ+4yQubUGn3B91PYZLQiZ8tXlNbutZJS8gdviKdvKvBSWjBPulFlWOWLMEv6IG i7e8gVlHaTawawFrummjsxGlA25UASOAyrL6Lnj7slOA7JXyw2qO7Wr/UjaNrZoQP+b8c2Cpiu9h SnBZcM/z7HmIXY+C7+EvEhW4gUqr/ahVBSAE3VeMqCSo2jgWj7iuszriD57xLQYxK2MoUkuPrqcU cLeNrJPweiB3+7N7kFHiB1PaD+KOt6nKC0684pSVVNRHQ3c6+5fjIW9rnMCuF7g9/FwITrEe3Ss/ 42yZPL9LC3hRFYS3U0lOlNXBOeGXGHqRY3s2gso80o7xY9qyxtqfgTa1NK6kK7EigcASS7anU7Pt n7EmRUOQKniQvH+61No1yGT236EkaJLjGvok1DNJzSQqXOr9pMSc47gTtmfkSoKaO2bQzkwjPCKA H+7gVrt0qceZAaaltWB56RD1c+a2VN8RosZ01tVa1VQ1uN3JKkSuBVrEdpbPfwrjx5o8XZhj9x5P wvVdlQNyG1ianqZzyFTPGAKMRyHVIXyrn8hWDODs0vHCeRkjcHAXHy8+vgE36u70fZKc08OoNbqi Ng8hthfYwDXGbRnIxbKO2bDaxrARQ0q6hhcNI+qlj08Vl4TJgPmUPlMSk7ZhgdtvYFhmaQmgnqc1 p/Z9dg9JliHvlhFIZjdGIT9l0JGW6yFgkYXKAEyFbN8AY9661rkFWNwpYCIe98sNYU0ejtd5YTHS liZOfqM7MuZ75tojgi22Y/+WrA1m2VD/m6tjzRQsEQAVsLuY3fnXN0VTi7i/CqTSqyeqIb1nBMH+ ThsPj6S50drS3T9be0nCzhHZ+CQhu8UxPhSPTxjEAPjXCpQ6tTGw2gXLsb3Wt0o9LG/MDK65OpYh dzx5rH2g78bqFmW10gog8LahsvASuLdulrTmsgeqeKm2rN0fvNpR1jAxrw1EDmSlKF4GxTQ3cWD1 Gu2LZIa2idcA+vMMIFyXe8cERsOCchFlKeeGjflWaXdttj9vByiBdsRnWHJ11fasF65gJa0GS6Ym ZVcSxR2elBd2hheKioacfkUSmlbIA6fBVs+c/0UttMhOh42Np+C+LAjviOWl92Byzdg2UzHzOrus 7w8NnoNqIAjnFqm/V4e3TWSMAr5PckM6qrZYeF/IeCsP25+3yXFGiuQvQfppEUuri7cg0h9t1LC3 nt17sCwNxvXcJLggXKH8shwUw3kkbKLoL7fOCg3GnkBwhIEkBjApYmYk8PaC6JVtJUmo9qXYD8PK ZD7vNv1FHUojMkhyDbAAMrxQoa3YEoKfRvF0pLnVYiMeLj/+zMNE8Uti47/YS/NSRgXTPzFGsDsL jwf+LPmj99Vi2bGIbDgP1/e81zavHiAY0jH3vz/EkfrZm4oLkFu9636r+E5ynHd00t5Yzy5V1xKa FLGWHdIle13f5a4XFxNRxlZw5H1Nc7AUf8zG4TNLYXmiQM/vfClc73AYq9eVlJ5+hGYvXWdy77xX IxhXQgldmTWYJd7JDeH7N8PbHwABayNCJVj6pRBl4csU/zOIB93Bb7IJdy1fzWUw5WzHu6IdInEz P+LHwajA6ky8NYWti1m6gxuhFEq/Dwwbh5pUsTzp/dtWVHkoxG+lZclFa5h4MrF29UYdQjR1lvF0 OgcgkYwPfhhTd6y6ES2sF5o27MJjwBEjkrLw+q3X/M5qO3Lln01QZd/LcQXqb3HoRO85eqiORWi+ MYQPj0P3v6RD81xlD6aEgVBqirtOXyDyd/KSHkxjEG/41hInm/KruyLGk1TKe86vbt4ukBHAZT4b s9sHFR8s5/MMcL8jvxVcFjQcp1CIrDtFsAqXm26ztTqxOHwnYA9JH38+KhUCAjfHz+4MAKAnIWo7 IVkrhCQjTy+JKM8zNjH9OV81r4nf8iXJRMlAfiU3z7MfXeKsZSjJVrzzNbdoedcYzE/NST3xUNiC UKU0LGLukx2ej9wIBmUY6dHoqeVaFsSJ8bH4Jt3Vd6djxQ2n9wlKJ3JXhVxLvJ+BdMKatse+vHQf EIXBCqZjlmbeuJ88wNDAw1W/3agYlY8eeDVeY4ojQlshEW+2NEib477AvPiI0/8ESI3YldU8fMxQ d4ydkomay+IrvCJpHy2EPuOSlYCR0HfsgEOIh41SMtPWTE4bU0+M6aHfJgdLB0uzUtLYoxxje/7e MejJpg3DEUWvlLhYMr1IZKGVfOun8V5TGvv/S03LGltHEiXj6vCDZmoekohuGu82u0RYSDHQRsng +qqv/tBdqLzinHzXq3YQQ70tbaEVr+MxHNsbmmuKQM9XaRl2A6fgfqf2uWH79QcEf6zyXUi518Do GnLRD/iqCPjNJQt9bcM7Sah3Tj8+nwyv7Fip1NoNq5o5Hv2rs7iEeZEwI8IylkRKYjoNLsFB1Nwp oZvsJZrTNbjBZojsGfTtjw7T5PIPhIKgT/HRSbOtJKvKZlFY+g5mE2GPL6ws8bVhZuhEHzS0yv51 lkj3D+VzWMCtEOrpGgHN15Azej7FXsE37cT3SR+jPkjqKjERBzpiOxWIj0h6xVV0h99Q7eoVOvx9 uSYKXghE51KOVn4pALfiTLApsxZwFC8gCbbpzqQtF7hrFj20lTR0Lo6ybD0LRndfjdJiAEsZnhh1 Cm9ysXKDBQfs0g7dw4Pu3Eztcqkap0JmBWqybxsklgodNKOnEpWfPJ0/5mTTHEA3OW9SMdT1Q0+B +uQBAzzMyTZu/HqYAktMreBEyye2sELBJ0h943/rNoWtTOhODvbLSnWRdpFS7vnrOa19FtPiEDUy BwUY1hTUT1Ru+n9hRy7nWiadRlC0sN6VDa14OCT/6TmvM3OkdvVJjH0QFSzr36ObB4r6sNprsowo sgQvgJLEsvxu6zNeX00yJv7PaqO1BQdB7Zipn0YoAtxGuIbx+150nyzize3FgtstzzvUhqG4ATo2 mQq8+dsO4FaQXVIhrSVm+OX9GKAp6tR7atvqYu/NIV9aFt9WDGpWwdbG1AvTb1fioDMsuKzS89uV xIZ0OlSbqgQLH1LeVWys7+vLUnUxuJy9spBhE0C3/a4gLgh+beYG8oZPZPqjtb89lS9su+vqvpSH 9bevyinPh6hj4QHOvH1gLDFIKQJtYicQqse6Esmhn1mAQxBQV4XB7A/WzgUjAoO6T2N2S4a9q8DC SN5yteqEeQXW/7r4DVeEniLOvgup4eE2ZgHB+1bTvid4Huzi5I3ZIjOlQGwThZMBCNw1CShAMFaw HpL6FYhvtuRu21gF87wCOmP2xw/ms75xr5Cta3ixeQHy5UMNVtqEBONEaDj29oZ/E4v1giDKsgUJ X4AAgKudoobdR257QKmebkoRyJivCpEwreCvodUjPiN3XrCXZ3Dv4Ts5m4E507J56GmnoHc5yyM+ wW5hP4jck+M5sr6UK43bgvODD+2AkH2tOt+OQvNdoP5AShMWS6kfy6lmI9BzEkwxTW2Be+XouVwf TwGaHAwTW8xK8lrJYTXwl5nr+5yTO0eI1xpLJk4vjs/Y0UchJuwWGFoumwP7+MwV2qU8zo1PKthh rAao+2NF1ZGw4cqa8uwC8D2sZaxj7/j311ljx4AiHe29AkOg2hApHI3xY0ebU/eIIvvaClOOZCoW kHhTV1EQS8yylBhvEA9vF01+nhMUDZnvKEHUl3pprWnooXpGUMDCMByIBIfkDNdAHWtD3vMFd4jw Du1vzsFsO2e3PjPYQxYtxAf10Sxbq6HRzYBXedSVff2nivk6VArvPhggV+rPPI9qRUF9TuwND263 DoSnRSE4IIVSf0A6x/hriewU18ggEmUaJ0KTyUmgi4t2diwLhCxwCGp54T+qcLuF2OU2xHmmovIo 9SP8juLUj08VhLN8HkYVc6GIhK3ZZgZjn960poCj7ZZMb6v/iz5v2vwW1/mdxUmYGDzm5yCghS/e XuBZvVQLAN8zdBHGKMDiuVaI/rtgg9RuO1eBv2yGmD9oOyVtjs1CMJWj71U+DFdnySpKPzPHwGeo SuhE1zux+R9es91hNeUHWedNbtYsHzVS2PvPrzAZ+EbhlUzsozNcJXdVmLlRiMofzfnqhnvtBFaM AyQpaHVxkVM3HT/9OenDHnP/bEKZZMaxsyk/Ky55doffmz/Fu7Dbo1Jqk8BqwtabY1hM8GPdvUIO +BJG+2LiY9gRMxFlPqOUlaO4TtG+aFLYdUVGmnjlhexF/x0+Aqvdd63+WTUcnAQEcl0b6eKLtiXJ l14S0jfN+Iqo1rG8Em1gu7RmvXhSlBmmyCCF6MAMrBTfoV8b8sMqtw2THc3htYyUsd1YdaBCNrLI /fMOcROg1r6EqBNoU10TkdMsBunuTP1xLALaME2age9cZZ9VRAOnMT/o9PiT0h0E7tdVNA6vH6a0 S3h8m0WAekcwC7z506B6+4lbquT+k4w8VaCkd6l8FvwB15ZWWIboCgtmBCxTY89xnfb0edGs083X GMkXtBkOzNwRSLeMNOrz5SUAE133xOTzr1GdZehPJeWHdBoqTHyo7zwUnvvVAUVq9POBXarWROKJ ckW5JNGnT0u+T8XD/rgOvrQJyaISEUfZ8o6/KvbHA8W8vsJMo3zw8LyurffnA/xZbxXbrza+4Rgk k6SGgm536pc4jjn8ktZf8IBKC7JpH+6Gk7t6EujNE4dR2eI4XLFtHCx+Afgd0r/qyhy7+N6hGCX5 d3GLDVl3s0c8iHWpjXeo06l8DDEzxx7+5qkDp6PQ+SuUXnQtBQilNc6x9TqcNGO4SAhV8Una6y6N UZlQRHMsOPDMfAw4/ygPs9y5CEGmB5FVMHnoFHFih70Y+e3MBX3Ttu8cjqEGJFqqQeSkWAZXPXX7 GDLi87obmARmarCsstcVPeygP9PP3Ao4ZIf3uzkEDT7J4OptjCgfqYxMaoeiA3LYKhGv3Od2HySM TUEysJXPNwDz5w41OxrlS836+nh9MUO4ZoaY6QyODeIIYFSNQ/kyNdF/HXY+HPlcYD4Y7xoL+TzS m9j5UZNT25/g4SkISVRp6kg4wzvp651AZJLfSznG+AObjtGOlP25d36ZU5KVJ2lOVdrx7Ms8J6P4 y/8HLEfbPQfutI9YRuWT62FUQbWObXHacxcS48I3yxt2xL9KlRRLl1yKouggeEqt39HZzkZWDuv9 QkYP7WwpthToV+wtAVcZTDM9xEvoiOGF3RrNfabhb7bW0XiRMUpisZ6Fgcf+9dgRfInjUadmVWir VvMFAdEb2D19gonwGWLRBQ9ofT1QfK8zVAWu8ILBQwayOPPjkg5vjEdV5Pnm9kd+AiEa6WhM1HQw reJBFyqYfha1Vl8VXBl2ciZoxARjtTVXfsjrTZAvixXbeaE7u1maGBMCw8iQAGsdW/Tsjoas1B4T ZrCNU7/bOTJMJE/1KedynpOs4y+XNVpdmxvQEAnlLMqt9wTIP2bwhtoe5dkMB0dReWPb9yzwVikx b5JAzOW2Vd40sSP3G3zZaO62sFI1kA5OUa+oq8xVQoFFqwiEx45Ow5HrxobqwOyT5hd/xCVqVQwp 1jMmZpaSONZECE9OWakZMoqrAFJhDBYCqASDtaUl9c9f0hVEtDe6SL05NzFXh+0E9jzKsSOkndss osaT1mbehK7cixDwE18EaGDh8ZvSOwDFr6X5kJ6PGbI4AzlINOvJwg85E1iZP7tvLHRVrk0g2Kox j7ylSas/3O7vsHjJ/biDwMDznIqdUVag53/IcASWitBYIhp1lZaj3MZ9tdDTAYYELy+Ol8S+Nvtv CcbuaRDOBVgrmdfdRClEbiP0o35KaFUdE34thyezddCVH21Q9KLbbUjgUsSGm0+rw38PidLdQVIL 1Kw9Uebd7cH2TSPMJ3gQYWI2CdChCeaWDFKCirE4d7CNbB7FwaVyrAS6TuGqIGk1sOyQ8AgxDM0c sJnJB1JDMjcPN+uPVtYzCZOFidrRCg3hC9OyC6/orTve4OakyWUQxaFF/5+/e5vIHhXbiQjIlQX9 jsRruiic6Njux1Ro34o56pcXQaKT98t4mIdbiAVWgodkSlnErckjNOeQC8gP9ezsMdG1AmX7Efi0 Wd7SKg8DKQEeTsBaYGHl9/Bf7HYhYGO114zkwHgW5vJZG+5yNo4nBDvO89yBWlNjF+XCMdYSj8Xp fJJyqc8ju6wNmkjf+gabeFgiXGy5rAjyZjZJChHwMqPn66A0U+AqiAka+HXxwKWQQk92VeB4dM+M 9BUdOf0biaA352Slt28NIoOpFUfIIUI5bB0N/kN4JFTJpHxjJNacmpN1+JQY46STojCQCV4vgqoQ pkz6nOz4TpJ8jDBtLZhufkI9UHbo/DRtAytjY2FDa/NQYORbKei434fYyOEldiQpOGpUm5baA/Kv kkwwmaqkwvZ4yDO3qPYxq1U+ceuenNIvA21PBySuHF/jVIMFx79B2zHLoo9eXbW5mxB6YmwZZo3x RtnSjlEtlwrhiXGkazb5WsLjxrDCqMFAPb9NawVf+xPG9DT9f/PjpVJ4bUysz9PwnG22Y3ODilZl +zkg8xSBFTmbRYM60eBQJZJtfdSt7jDg0uH6wQtdU2xWSTwcXwgjDIKPaYfPNYWhqyeyXNztDvka gqcvVIzePW2tK9pdpdkT7nhwcBbNB74OKQ99dQN9o6I1bBUS63QVXEZpV+q+q9Tb7ZsEHSFDpJLz D4H/ZRhxmGCwqqkQOduBzuZuLIw/MbdOrARygUx6+v05gibdxGPsj6arvryl8RpmwKSItXyn2kMd yCPMw5H9P9CQA2JXdCxg+WqNBESgqbutLJlhPqe8kMpO2CducvsVH7a1GEaoxKUHEU3bcfIcXlfp 3HJ1t6mpZEDOiq8rKIG5x17HGMAcyMqELIxAN2TCmueq48WW0wSuaYu5JG9dUKqKbUucE1RRfZiD +pBvz4jMbwa+n7M4WBPqH9A+zobAoLM7QbcBivXK8TIu4wwBaYDTe3ZWwgQViUu2LVxCVQ9eJ/BV AKJZ+ge0AYvbvfdNIsbhppBdv01eRwJaNzUkT+U74WJudH4M3CinEjsPN1CDeDUH6sjVogHdg9jA zCeScKLVTbdLvdlW0Nxk1av+myOn6dZq9WnZyvbDQHHoZqB6EomtxEXRa83LsyTeQPgZT+SCy4Gx tU65WHxHZXtbXDHSEvLXmlTTXNO3CWl0LN0Vtv43PM6fkwUwySlWTKQupev5EhLCfII0LMCqkHF/ rEY6g/VQSdVaZoakcI0/KuRStMOTiIEPeNoq754VRCcBwOVDC1toJ0LS7w8Bu2aiURge9TmKPSbb 51W5qBZzLcc6aFwtU5HIWpGEWqQAEgnd4o+kMH3WGEfGxRWEkc4WnYQporIrqv2JQR1uDjirOe27 opnpCkfM546JrRUpDT4Illgj6CLjxgITA2rlTTAaHM31A9kHHoivChhKEJw3GKCHHgdu2ij3VycM xXttXg9J1hrQi0Wi5Q421ju8W+eKB8VbCg/w5gPcL0X0aSRS1SZIMYnZ5ufZJVY5jNL/mXw2bigF VRLa5/i0pO6+5b7uKsL/1LcgW0x5+NZv+J/W65N0HIniNzqlxeQdJwdmx3bukTdLMDBuVsFMmeps dQp1hOVbqqGCUYZ1ebe3XY9EATv9zTJSsO3kyaHT8nl6CZM95ZJREEQfEKwN9EyoofR2Mt2Cum58 ADabvmDbsEwW4VZcyoberYpHF0BuQoBOldOlFxabYnV90+8f+6C0VTOund7YXry26EbHuj5xvxub /DyWn2vMRVpylivn74x7HpYFB9puAXCTWBPQULX7qHqAkZGwdIT0ghLS176BG7tWn7hbYLdWcRxJ 1mM9om+htIcxZWVe1B0EXCSCHmYHPfjDCffVcfagO+UQMCACqBYfbNiKKiM4giHAjiFM+SR4gIYR uyJGY4yV3HPbaX2K6D2/Z/pIdCieONGI1c+QZnDI8BCbR4+1lqL/24ntx7XvkI6ZOuURu0UKMNYS 4OnM6dYaPKr4RxEJH93eTmIfZXpuD9tWJUc/AucGrDD6sguVLFG7jj8ozWMB0qql+w3giFSWdHqC TUGXD4JW3x2fugThDFGggVk8SGcCIki1Q3Hd21BzYxi9J4s9DjNQeCnKNP3e/k5gzNLWHledNK2+ H2Ta0DGvepxAxRHvk7VPdKDKA7d/pqAWiOr0KWKxtoNumNDnNO5ZRpnbszizuafa2THt2+TqkLdb 8OfsjepnJaWxOMBgJK7KhHMWV7v2bno5Y8jG/9rmu55uUoHFBKGtE3SCEjr/GN9aRaG/fo8bwYaS gsPVfBJvFmFMMOLpQI1/+7S8RiNiDxIrRpFQzBkEstr3HIMcqs67ai/LniwjlTHajXzONopV5LmG AQQUgtXbERPvP2qof+nJ9Z+OFb/7TmUP2hyLggHVZss74Mx4iQYdVmYeTWARrI/wQYgiZJ+jatWS Pbt8/pZdeRs+MQ+WXfQ3MWsdE3NugaZGtNSs1kcVl/PnPIpqbYQKdq0wvsswhdKBT3/DwfzCBWoK 2objpPqbOD+8/YXCmkuoRL5RYtrjC0fFp4eeoe93q/OGCjMAtf7C38u7K9JcKsIQ0koNBx/2TVDk eL/WfvbvI6JjPKC+qRxn4viUfP3ZHge1F3sfqI6kyKlE9jXC6CQXZK0UPziqNebKVUqk6I5fdb/N 8gqGtp4TZkztg/grZz4uR23N2DyKiI26F2aZr2B0xqnbr2d3S9D/vR8eZb1Rz9UItDjjIKfFFKBK Vomv7qm4fPpEs7GTZ9SAMeVFjAplztEjExn+TDTASwXiSxxgUWnNfdnExvZHFbhV/TufBmgkFHBn +QlYZXQZezhk+dsOGTlmQEgOw/GdiCSz/rT9BdAewvdaH+2xl5Vq5fNn4jljjsAIM9YII8X6gWHf AwRbweWAHrrHwC6AyV0qQ0oS+rvwbK5tfCo0ufHenRPHrMukPOLWBAaVX8u2O2kBjteVBlL3pr7w Nd31fs38raQvTGUn7UYVr5ShLJ1dwxY2Gnj6/0k+klXFpDxWRlI4KFs3FvT2we+4nKXOCdfizxSx uh0ZhlKfeXRqUCeVSBpgSRKsvCMnN9c325b3vqKvC1CUTCge68CiRnJfjoryV+gdpvKHFJOmc+YP KpwixpfSpxL1ZBcG5KjzKNqGXhBgNWTNM3UBacHOreqwqCRpkeIUvnv5itRE/bD/xeUSNc+3wmJg bgZxu9GTsvwIO0qhHCiyUxcHoL6oq/P3vBq8l+DmyQOFcUJ9KtAtWtyZfHugZPGqfjHyIZwPvNsG TdXkmammssVNNCZa93MvzDhoOj0XsF4iwsLOKLLPBqX67aAU5r1JNfFZYw7ECTd19CEU/oNkkcG2 kxRlqRQvzd1kpi0v+e/OHY4+j9gqLEy2AxooqGxdkObnMqr5PfTvuV/HsiACYnRkEnwR44AetDdq JJz4IcwJMKKwvKMN1oYYCexTaxay1zX+RC1Uk/Nnr5awOqDu0yqzl4uwuCrDvF/FEVzb8n+IIEg5 p14zyaXDSzVmDF/R7FTlTby6ABPXZE9SWxn9vMGrYrDaDNPqPV+9A7CdrXgH7IEZhA18/Ovmp05J dHFhPbD4tj7BT1+/InDdIUE6urJpp/QGVv7HLYGqaTTjFGNdWDLoTRPuO1AKB/ogXYoQvcDYQNfk MTTDE0VLkp1nXe4+akW3rTEB2eqedquQXWWJ5v4vKsgyrzcN9kqFbPQ7P5JyDGo8T5HBdv9tr96/ fFANq0huaQc8I8p+cbtc3Vrt5Lih3rYjXvQiHcZjCE0q1obGcpQDI0jrgj/hkOpKFvrqExVbOq+P WegXULcsJJFStU7mKqZiCIK6aR6yuFYeth5wNsiFgC1DdLMsCInwDbI0N4PETh8YjsTyJUkS9MVz matlXBJs+5I1s/BZwq9Jr9q44M8Opn533paKNFyWMXUufEMfaGMmXgolqSsTUxdXUllcFIczaq7/ hcxGIXS9st223239ErZb9eXwVIiXKT6Tw4JSsJaXPyoueaq+njMoJrR9DESr+J5xwwJ3PWctKQww 0qoAHuocC089wFKWL2WutVhAuphTdvQDheqTaXjf5vEYeIW6obDzR7lfqV1PNBx4nNBVzKQuENL8 0UZO7L8bUYbWFL7shGGvl4qTEHqYF4qlk9qedEW/lXUlJ7KQfjrmREfLDWCan60vlf5iYpibXG8h qsYCmh0197PWJK2UsX4KSHEahelFRwbU34/Gr20+Rb4bf+pd/pjzIcB6ojpFWfnacM28P/AWygGe 6g3EotXj2/kf1wZ+h1ZsKBRLC2NLfUHOMjFaJGwF/v3j3fCQ5sxALyQjKpK9jXWbu2O8X8M6x84s vS9Ygy8xZZxMpRyaton5C0FWxH91RI+GXz0tZO05SMqbQ1onGSGgkrsOa13PwfaN3q4UXdFmIh2D YpNTvUewKZTzxG/QQsFrW82gGCmp0tyRlfbsUmtiyv77/XDR6TP0/JFS5EYKMcDKRqxuvt3I5dVD axB2EKZotcir+TqGWd9AqKS2jRWsrJlk/WWRGQACHJPy4pnL7wB3Jighqfy8TgO+1cyXKKJsGJt3 MDPxUZPdEA3CttwM5PJIoOWyaloKLn+FlSWtMUTAna6FIZUaOeBQ8+hGZSABmhjTAt1XpIi47PAp EE9lZ9QDae/KS2vIM+TM1yhQCuBMU0p+DB22fFggGFngWC9w4EXkhNAZ0zb+TW2IYFzBjna2yBYP dxxoZ2DMrSSUeqZqPDkdaFk8G+kuzcvoNzN1ys44yvahEzHm/RruyWgZxJlSkZ03kGr5ZZpmG3IL jp+7w9fL70BoxGCEg5n41533dgcUsseFKFGBA17gRL87iCUxxEu3ufZHm+JwYUcRTdAJMRWHXNUX uhtohqvD54yy1/vw91tbPU8jUKtn+t2yt9iOd1wCx01SAVd+c4Is93d4hkiYO4LMHq+a3S+mG2qy 3Gaj7TIXa6n6Wt72xVcDucdKkUiy2pAHeK4fVxyyCKEQF+funHs+moOElLT8c9+3L9328R1Q46m4 K4Mlg8YA5+B+YvSH4ViJRCxuERimQqzK7YWQFZ4N9lfBAxW4Op1sn4bEXGC7LqyISyvdaVIJGevp FfmGA7uPr0fzSAVHDFKVc2HEvX0c5R2rnft3jA86JXyOcb1aIPikdCQMl3m+DX2sy6zGEcKTl9Ql aQNyn3Hkq7okxkvyCQfD0IcuIS3yHnDuNB0XY4COWDV5i2bCL+cykjFAqCVcqUXDBMfLYLfRJwW3 uYVVGWd2TSJv+yVhF3kp1Hs94fHjRzoZ249iuecm0dVHohrpqiWnSx7GRWfmDsyzME25MAg/A6Md SSgliywoA7i3pSSyUcUb1r3OQVaF+a5WjfwuYv4fRcSdqLrqycZ+QzeZXWPuxyk/svSJ1KU8F5Yd khVLABjS+MwMmcmDBgAHrVY/ux8TA9ZZyZHhgsOML6OZ7fGzMhH8yyJJ5A4Gk2P54w5DMivZYmQR 1KLlcENOU7T4LvK6NubPD7vwEvQJ5zOBRlg5O+9jzFZxYNsvssWAS9ZLfe5CQb6KKa6nbX2UYdFw u2eN/9NeaGp+yXp8GyZUdkWO6tpEmx23t7uEww00xrSat+qaBp/yJ1sKBs2N6gtSwgwxY8NN24D1 xXKs32plrS/vJRZI33zTcoPe1mlcUA79vBdDM+qODyUbmOt0Gzvs6/3HBTvGgrUJ+xIMDpXUYtih CweZGyfJ1FgNMhqUOmYtiZ7Su5UfRofULdCaGM24HH0dkQkY5xwKueGs8lU/NJnKi5Xb62XnpNFh N85maO5tvkFc4yqQnBejWlh/pw3kmZiBrIY45MPFWp+X3uCuthabNS4bnq1rXois3/8sIAk3nD0M UGC2CL4rmbmRCoT+XdtXufogzQibQ1IvME0R+UPPQpQ5bm7YYcJHxbM3aBMTmxmDV5BxdWxsO7fx mDUhD8vlfytRGvXy6sxLABAght9h3DUfnzEer+t9cuvzedi2xXl+MRSbUjZb2rfHPds+MTgsTYBz /aQOekR7HWea+FDvWeZeXrnqoyb2hPbFTXvMWsxSTr3li4AzwiL+r2aC9fBfqdwNG2QCNGdLfjY0 PCr3nCXQjEjh/XVCpUyrEXt8K0upHwChOlGYU7uAsHK9weq4FH6CUlLh1M4MN4NdfSTC1+KqfBL2 WhEpl0XSJ3xmFyVPUopL0yNaQKpkBhMKZbcIDILoaSNUCKR59nYRPhezsb0sCGyrVX7VP4MqB3xp uJ7x6K5FpnMnqKqOW/3uXaoCXBT1sroYhGbLpMJGm3GPbpQZORbYI8/yiZQU1nQxkg/eMHjWYpkR eWv0JhFvM1FfvMJiya2zqrEYsPEz/x1ajTuhGZ2QOBF6ar4+4y0K7V4KhK63jMCb7+PxXoK+E7GL 4nz4rRe37G7WzKQs6KAnQoK6qWhVpz948UCl6ffNf0GiR99+N7v50pP+R59Wb/a8/Ngbq16bK1fK Mym0L2amfONmwyPAxN2+Z6KdHrwFoLXSrcoJMgNcW1DWzN/mKj2ad4J2k+/5fwU2ccPURxfe/Vtp yhtvivX7zzbpl1DQG8MhQAcqvJGGBC4u1zS5W2/pyEMXip5wlS/P7bkbkjFdEklkJtwpIA3HwZol egNELcsytKVJfzP1T57+6woO04kFQgdqxW3vDM7KonFgg4SxSeLpNRxrr2+N711r/IkLDeQ2fvFt xKRg97Xg6LQsNvvtes8Mnxwm/z8cyROl5mmPqo0OjXaBoh5Oz1TG5u4VN5Myc9k3TWr2Z/0tfu7y q4iTEcjJJBZ5oO1dTToBaUCzZUsS4MjLu/xprYHKe2MBzyU4Wl8QsXLHDT1m8W3SFqwIQ+xrdQkv rL1WJynV4D8nA9xxeviwAIshZ9ekaOoSRrQQefMCPP1znAjpDNg8pjFHBv6XCIR8p355BVXRHKle pQ6V8++PbUhtJZfSnaMme3S9XT12DUKQMsKzEAJkBYY4hEI2wlSMbjm49u9Yk68X61lsjm2egCf4 EXrsQ1LJ313QaOr1vOg8ckQrnf39GJa8VkTnAVuXn62jnG7Ke9HsWGZLI3aDlQyyaKfUmoyRZDtk AJSDv9/B773prGqVtInQGfknVbi2/26HGlT5ZPHUHKOxBs9dKWf5cJT7kQPCDFtRdk9PcPYk2JMC K8DK7Y5aNi/8Zwh7Vy7joA3FP9LCTQH8W68fUXj1nBsvG4J00G0Ni/eXdJrOwCRhCy5nGhzZD0K2 YLgyZXel+ncJJ5lJyKwNBoHO79iBWSXDf/hDstismHycp0M+g2lQMOxft9Mz9GjyxNBmbIrMBSwe DvLYYmb6zK/zqpCO18RVNBhUgO/DvFa271KwjH1ZXIOBJgADha5BrGgetChloIqotTqL2GUxTeLK /POY5Zj4BBN8m7LDgnyp/M9r+bENoCxypIImvdZ6lmlgSX6V4yHiMX/ztP7JaR+l5/KbYLFT5h/0 pcCNpmYeDL70fQu78gpFx3vb7h+L099bQDkLPMqZewEho72aODANPQfgfrE95nJO5rHOq1bDwz2T 3yEhZhpHI2/1FW9PPMGp3TDhiapDyo0U6PITCnUr1uPWI+rLZAx4DE20zSxFSlRjT0wLXTs4tVL7 /UWplrTcGCcvEF8QCRrbInx5ons89wv0Xkxylm/TLv1Qrf4cOI3x2gvh8tHcfUlYWcrFL1F4Mo45 5OG9pA/UMJ9kW8uvOFokffmT/qmnoEYjMhUQpvQ0h5K9Y7LETqo+htCD3dvvh+57WTILV/DW3bjU VdVgpJm7vchRbCGVO/WojbTAtOMwHZDtQeKMfUNuk2vHlJ3QQImfjaBEIMguYJ7splomnIErYeeW nWCS5THytL1gz+ekjyuECpIPX7FdlB88O3+FLl5KAAMEqeY6ls2nocjgPR87wHoPCaXuCrzDsG4b nGNd631scPRkPxclPEJIV04BFqDzUfSgBblS2j23c6UmKxoPVGsUoEEknbQcpr3/XYEI4vdUU0P2 KNGhGWVWAYb9gF0n5GKm2vdNnv/GObhNzyraSt+LSi11zJzU3Z3engIEppwuZ/PjRIXKd8YzHdiy IczJmjSzGmTXSCKxSI27s8m0ekhgQx36BK03QY8fPZ1Tk+o6lrJAZuXeSpKFfgqMGOGt1A8UToZB g9AuII3HrINXvYBHWs3e/A2z1Vosg7UEHguis9+4JNgzbd0HbXNa2VqJbSNyHMYa0qpkz8gauJ7S kUcRMxuJu3KKj2PqSN1JZzYT+uLCTxGK/prL+ig+Ypi7Mqoi/HB/qxvlLaSfRV+5cG0mtGAcMtuA aSpDgE8NnV1Vg45DLfoDWN0IduF+efeSAAO9GUcHN+RbX998hVXaZ1KZw6+sMicIgVkRlQXItYRu yMBui4oC24cQNKfYC0VGbOS5/TUHyUTHPFtom2FIs/qpd/Rqwhaef4/2A2RyIz6YGJpTrOZExawy DuXrGHHkpZASJcl0XAf0LvpRHxYPYsDaLSmOe6C1ZM2j4KLDADhgu3ngLoc0AQVZ7dbPLQKSw3dy 3QrsNaFhMcMgNnRnYdhgZglutfE5TYm6ejAPCgCB0BFyYrccEJlxYk3gMh8pY5yLCmIUqY1pWTsa LdIIK2yTJtbsk0gF783cXFj3Mi/OvdVkWXcnf0ygLibFncurnE5dA9Yk4wjiVr9sE/ZCE4YGIZP3 vEZFzHqw5W/exfzWBwdLP78Tsz1oBm2wRumgCmFw6z0TNK7rBOdU3vDQKEQeHClY3ZIhS8pksoOn YPhJbWSd1ytysstN1WFIP1iUo/zCm1r+u9eq7hP3T+8gtPhtrbHea/7omIKk6BcFxYGIvBRwkrGz 4sXWA2C/HMSpAEAXn82s6yrwtawQ5Eb2qb7seeZ30Pa8UuoZBR9NszCGpHYUap4YcQsFzeFrPIMS cHq+Cn8a7zknhb7qthf/qTZe4q7zUyuhzvhuB/A61BOIGZFCMh94dbWldQIutT5xXHnVsMVPk/6M CE5pr1eHCo/kFfAQIJdNt1/e8YGX3MgBFMb6aeBvs3qQ6BQqJHtJyBQdEO98A2Gwg/bg1Te5GGad 2LPtrJE7OuPgbKsDTc6UFKH6a26rNvEwjr/veQerIyTgybagIPLMgRAkdu3S0syQEQbWQPsQwr2I rh8bPq1AapeXOvYjz503RprnX30wufbgx/lenamRKirNW75QMx+nn5zRFp8WIsYYUf0nnKbNQPwX 8F1H9TVL3ZjBm9DT5MAQGHKwAPL7wU16D5i3oB/nQSK4qVr4eXVCUQ+0A9RVE1DdX5TXzWtKWpa1 9nDeBFWMf1od14idjMLSdUdiy5bLPFd7YDuH2JwZVv/HBRZD0s0HZn0uczEbsFdaRjUeEQANT2cZ MBbfvcm+CpeIULSPrmJp258BeoU3pHXBnrgIZE61z0E7Lq3UNrVzD/x5+uzwTdZQrBnVOqRjI13S rX+YoCh++yPuzOS716DBoyqmP5+6AAZc8i9AcFR3BfCEl6n9IZaApUbK47Pau3t1ptlJreTnA43Y h1ERpK+ev4etuTHTaH841asSLv0dNgXE21tUdHoIDuwCN+wFAM22EIK4ZXGvaYSPZIq7O+AD4u9Q iujqRP+9rs5gUCkkgYNo7W90TjLFSYoPp6+ewqMNoqypeKBrnNthNtCuDF/WY3lCiACjHYKoWBa2 2tu02A9o1tmQDJQE2fgWDAESzH/SKImmbIffhoQRtzX2A1R6rxv96lAnhtOUabSbkso0v1xULOUr ewdztk5b+T0FtJ6llX3bc+h62hJxKqmV9PcJbYHdX3smiMznMU8jkbsahLn4VqqlipBhaOIgSE6U k0v089AC7QZTOtMJqBb9kNChcWkm2nX30KSWCuHa/luAg2fzJmFawO/V6WldyjVSRU8yBYBB+y80 x+CILB0qOb/MavOmxd+N9ojyqCKrzf/7klFcVABX9dSxgo1FQf8qmK1l55dXWLWpySqvCkmOrms8 9akM0baSOIdX7OJyQIc55jc4oLrpkioOuqboxvKkeuA1zfLPfZMYE9MoaEPYIgnCftvGtwjGxwJE XuqrCErL3OvyUG/2MYs3neFKVMC2w/XFtKVnK/0En4MVuH9XcR7SIz88cMa8DPLKbKeUPbS7bxT8 WHH8eK2PuXi1QCp2Pz9fmLDA9g/uWxFL6xW8ORCdqewNF63SEknzuOuR+y9tj8fxb2ToCd8+MqlP o6nUVJtgzFqUf2p72BWgDfwS9MHMTuhasUXuiaxSix1r8yeJXocSgZqveA0h+LaINzY0MDs6+6R4 zkCs+ch800CJ8rWPeHzZ1ilHAjF/YKbjGJS8+I9gz6OJEw1ejfXmAh1VAoCFDv53tGyWAtlAzyQ8 0VBFSB6wVZqdiSOPmHoA4AgF7Pd0VfzQuOyxXNac544h1xKzRiIvYiicKmoVeUvnUgWcl3pe+lxZ B00RZH2bk4L11zlHctCyV5Yc41Fbv7I5cyfnjuvlszNllUQloZvfBTPDVxyTp82YTa5dM8PALl99 QdzjUMEdIdvxOLmGPIMu3pSGM/IN+bVMfttOl+iYRBkSO0dPPYClsqhEE4+7jEIloep6VC+3iGpx DkaHumfqDzAU8px/ApG0zZ0WNTdv8QvA1q+BiiLc0tolxspAnL3Ps2xKCRK0qiOAh6AIdiGsYDO4 oVszwU32EC6DB0FG+vmp5xLuy6/ig/NFkXSpGiElInahVYmfh70hhgwjPADkzibMNwZpjPFP3xdU DuvDVxUCaaeJ835lsh3wTeOxSxxDM2+DYmJk2lepTtAg5CFUkEXCX47C2BgEbhyI9d6fyPqmK9wb dlk1YDejp/ZVhS6tMJRFAgNXSNP3D0ZatslDVC2o9ZMW3B3Y4j9eK+cGd0iDQb6rGf39RC5eT+Qd UXQOhqzU3iR+EO/wKWF8bUPKAydgzq4u0BWb2v9b+Sb3gXJWgv31oUs0PRl9OLBlFfriWxRQ1Rif ZHACiUMXoyQiOqIIPlmNB4GCPrG1t03wubM0LSs9VLyK+JwsUyPmxd4Zrb0MYkbnWzIwmo7vTDbd riP95n/WnbQqW4EYHMDnp2DUMMtviunsp5s3uhI3HSuyplAtmFZYDwzwW9n3csNXbdKRqtSW1v8i Isz+W+Vf7NKUmr7lMkVQHYkwMMADhHSlT2Udnho2Cc8V8KCpy8Ebmx/FhyjSMlK5qFLjgjLnfhX4 ELucQdo9OtZQaYw/LYdUQn+a5wD+qkkIRi5pKFdgdMCw8vhflk2sHaQ5Ta4c78Dl3wl7oRjeRMWy oHaUO0CeW0nQvqZtleX+bcxlQyIxXuul0ttTymcunfhdKXhwDHA3geHij9Jh8h49UhX4j0NTmExv AEQX9oxONV0U8hrInT6FMTU0Gs6FMKbc4vF+4oob+stIy/ndgdgW6/5ywG86BLuucgdNdcc0En3m /5NPOQSoODZAfAxR/XJmtQ24nvV6PSm3Edn4fbF7QNGI8V7lLBwXtNyvz5EExS5s9TY0+pbRkZLf ROjcaHogISPjGDkPjZJUbr1OBb/BmcJIch2kTRY2IyP7t19CE+/N3KJDn5pTdqooIFZpa+HsJcON WapPRL/QaQt16vNvLmTjuvw79zXz0zHIkdYTP47Fu1XyV7bUhq6IoaAKKJQYj8srZfJvGv2SIj0h Yejk4nwDb+X2bZFjpoH0Wz0xY7ai3Nm/A5Nf0ViU2Z/6rI7Eb4sL+Jj62dmgqKX+nAvtVt0B5I8r Mu4Gd6Pz8gozqkbZwPZGb9iOM05Udxw9nrfwNtdrDuqPtt+Bmga8SYflXauJyF2wtubaYIx/VcAd N55DZu5ZfC/U3jSKM/DnT6yWF8tZPe4zeB1KUBb+PdMjyj1pnZj624BBlVKF6TJ1JIhqUas8IePG U/cGu7Red59mHPZ53Pkj8kBxC7qiIf+wJSnMNVanYUGvBDAK6clVDSCjI6Bnf5yMNzb6bbGUQ/7f aW1VTWSWSY4pduqzS6pMUv/djazCjcpcVud2YnZLNyDpDf880ieXNvggog02AXEUpN1hVtjMA2v/ mPm3g0XPLHKRR+ZVjYDKUp7iQYUB0X+IZfClSIXyzjrMrEgrXrKDfvsP60w+5QHpCQl1edR41I8l W5AFbw9n2J5B+61BQ7Hp2C42N/oYqkfcpreoktLX1msdvBw/Rnj0eLTSYMydrVcJXqvo3lvER7iL WQh0Tk6Fil5O0rCQ8vjrOi7W86bYaKnbrbxmikRFPJaR7sMz7vtgjZzWTmSJir7FqxvaqvcS0Jsf E3BrcEgBxevYPNmrZNYrnO+4dYaj32iMQE5e76kDeB3xn0+WG/wPgBE9PaKTU4zv7tU16Q6HI8RJ UrhlB0uYNVNEUwuhWesEyt2pLkWliwVFpbKjUR4ua8v88/Nk0eOndfYIJ4gJBhDCrzX9pR7hz71I d+MJnTUYYnZUTKLmbhDnJi8f9tNfiD2pFm7H9QdA5byfUXkZuD/Dk4TE4xA7ILRW/4faPygWlu3w 1sSfAfSINIxNlOSOMsilKld+6tATorU7bcoTYSWpkHjTX2pfZOLLXs9fCKzMAXXRrsClAGMskSbZ dhBG3ltlE/TskBnWU+O4v00TZr4+QQ1Vgt9hYqaUbowUm6694jbXbK0Qh2/FDUgZen2XDJButvht JUzD6LpsHjrqPeI8RUbX4YIYU+wWFcbGay2DTuGUSgKH98L83kqyC8jwve7TFWjJBhUPkNHHjDbD eX0a6E6SHBEFbw4sLVOZmvNSf1R7ojurbbQhxCNJaXJFq4q84PcKhMujO9EyrlDzBNPh+JPQGfJA Gw7qteuvVd+mBzOSBedvdnua8kjzIliEMnzjOsIIQ+melQrl+acDefPO4TnBLH67tGWndiZ+VxE+ k8Yd6NQvbfVGEDl/mjdBLWneoTW2okRrB6lDmooangBJM5JS51AQPjDcZYS4m7Svd9zZN7GJxJMw XRM4O15tMvdnODF/a84Pk13okypNrkKjrhVYPs1NBJqyPPaeTotjnBYU2YweoI2bU5mdfmGO/HC6 PWiTb3lEXxoymeBhkS/6F+cd/32/4ctB4ZC+PYEw9VsMVGvKg0g6s9NgoH6w9zIgF2ZtzCr7GvOQ zlCsvIXJJsEnuM3UwE4yDowGUUDDpEnDknfqzXmVOqIhXGpEp5NMh/ZI/LR44+5HHiLjVVXa8NtG 0ydNNdxytNML5olCafywtHaCTYEkMUK/8CyZFslUskHVB3lYFC/2lRT08NsT1KxbS22nfdampTx9 kGBF5iwgYASbmWaKYjVj4beb6BKcEQIk9FycYwymHFeAKFnhCZgSxAwCyiLd0Lm6Bj1ahRLFS7qw 6CBz/ovOx+9RRkj645ol78k3vmwBMMHJ2Hl2nfvJVQnh34fxVL7vPP8xwhx90aWLAE8E6do6Uxl8 Kagazc1pLktarGKzlTIN3QJQkCJPHRmc0KK/619r7jw2fsU6pfR9kYCXCmz+a3DYnTvPr7X1MoL7 WZlYa0NnccVSp/sbd+vnOtNmHFCIze/WtO9pgMFjRh0CjFY+Kf60dK5oPJPgfxt7gQ6Zr0StOqTw 3OiCoQ2cwfMMl4y6L/TJdV13owStD+FeH12MNbxlPLIosaqi+C+W+wolmxjZYSDgaqJQVsEil2Sc r2egsmH9LPLsNpLjbLZypj2OkwjEWRA5yTmcK6Y/Wrf3o2gGLoek5mNc5q3sCSysIwg8A2Zf5ll0 jph8Ugp14GxIujA4ulYAuYes3dMDUcO61a6Eo9fiBBe5HjfJuapOckaWH5vfDgE9AznS1Tlc68F+ CmZPlkxcLtqjDIBl76XQh8obu3E4AfQck2gg3hTFxAXkLalUswZ8czshpDrCX9NNgrpDv8pBvqwx rMe8PxzOdR0BH39iQRI4dQZgw13cYvdahdkWhPwFypIWeIf4Z7SeIMLMB5AIBLcAdySvr9TcY3+v BwL3GUrOnhnjNEiD5QFqJ1ArDbyzZmOlNo4jkxMegQrFyGLSzBPGsv5Jmrk0Cf2S1ZiXAt9sjW+Z t0/vPR6NHz8cBCKOelAz/1yqDfKd2e/ATLN4wjFZ1w/28G+ZgHpGQpq6V8Br6UsuX9LxJ1ibgNwu mCbSeeot/4klweitGnKyhgw6WYGxHfBmBVgihphAJk0/kYJi2mbtYaJgg2AsATboB9EnbxKiPODX qc8fDIJKP30wY6/l6d9jYxS77F0JCMGS4RlMy2n6dSSSv0eUNvrBop0hIiEvdlkV+boXHdkFZYlu hrRavXt8yVa+wm+erNdXzsGDijBhoTAsZPDjJIMAjCEveoRK9FsClSbVhs8rlhCyogYxkPTJ3X6Q YaXaeGmHn8QygfIrlbRohk49CiBUksSpYyrfVbNqep72aI1hqE1xMi34GQd6K414es/IlmAMKRL3 JwhLxe1wJzeg5tJ1brbtCoOMcnGpXJBhULsO0yuga8vDW1tnuCpzqPwU2LLyH0jqob4yrxMhdaBm ddhMV/ihWmzLjTrEgKg+DW7OAYOT6t79l8pUummo7Ywe58Qh6geey93qQvVw8j2LLUnE4xlk6YIo EPevaF7ZTe5p8v0envdJ9EifAGR17jECcuVxui3zSTi/pdBtgwOXHOZoS9Nu7R5GUIZxMx/gDqW4 6F5wj2rbhF+xXpKIqIeaxh7KNi8X2K2SFXzAqaFXtDj8oh2XsAgUbqwKO02OMu2v9/bPGCBD23bL PWghrivHsstpl2kLj07Z35hPhm7ZtjqZYEammNbMx8XLYmB8bPd1bRrNxW09f3U70ZbYYapXxze0 D4iqP1wUkw3JkTzalKXhdLPkGwYdsU70RmYzM9whLC+S+BAghIGrbmpURde2OyOOYPB4PZo3Yf/Q gKr0tVD9+sTasNCZh3ZG09BUyI3l1J/IxlhK+K9pktNzxvweDpOTSBcRn5u0pSktJbxlMdSgJxKN so5+Tm6U5Da5WK7whxG6K9jbNETib7nJDtG8s/SNUJoCEUnWVEK1pSdFHsXnmObykoOMmhJuYabj 8k8ab+RuD+Ojlz9NLCAZ1dhLcdTqwzORf5sPxlec64WlMRAhByhROOiyTgy6zWSHUoUf2Er/I0rn 9F8XnsM4aLc4kF8pR+RVuPVVVAVLmy+d1bMNi/AL2JLKRxGIZRoJtJaQFKGUIjw9VYS2CH6aFnUt jhpUmK7UOlkkl8Fx4HeA3F4sUt5hn77QYQhYvGcUJJMJtFFonyr/MjOUjXrqYaY0++tS/pzr4bPS 9OrU0iU/7CHucH/j6FcXd0NEd/QLG0VZaYeN/4zdwORaPbJVKTG0xe/kslrYYC/4QtnS5J3ukCpg d5HpGSVOX7+y4RQCIkKrMFEnoeloemYQFmF2AUx3BMv1nKSQNRSa3l9ahCdEjj8jh4FtlD/LGdja zQS3lOfyDO8dKlFOuEFhC2pdUwyHBWAa2V5f9RZxK5gk/Ou6tOf4h5bDyUAJdFjrd3mNiagD9uAr a6iXa0p4KKOB5RR71K3VIONDGIHi+6r1h1dzPSw8Sk7vOxLntaGW6U605/8Wr8573tNN/dGMhUwt m2nct+0wcpgnlt+bnoJ4CrRqH7w2F+WLIshhv5wwZIljvSfizWtBt08MQJFVVEa+NRtbtpO5LgIT gQfCi9JwSWiOgEFwXaRZxltxoAOkizQhYjP2mWRaq/ysRH/v7vfl+539hJdUeGKOf2Szije/oNbj DUiIOlFoHBQ+TqeOhk7exJH+mwHz/rPSI0YwGz61iaiyFCNafpE1JM50NxAKKjgOsXVs2wegfSWB fd2i/F6OW+QHXHd2lic8bI1Eb0heGvf+ujRD3U3T97PK4A1ejVqPryo4K0K7wjOU2YqaIIMVdN99 LRqv44sGxgmegbJMZ5kWbzYhum2cRS7mo1MZcqbzsvM67fubgqROL4JuSk7UTNCNlnDN13lJISWo grWW96bF06C6kdVH11EIDDEbo8JuGv81U6BS9LDwqx8nn5iqjdQDj8/LNNNUgNEjChWX3p4TIwXm o/wppHSxd2osOOMcCwggWdRrkopFRx46k4alpNtIkxblhQfdA0y+0KNxHumXamGX4qXinWkkKQoL z6WOLPomLgL+rcMnKvZYy96I4F1lHBKTVDSKbjCyXcGQ3dK6CRNsocWdF96WphEiF9qNNl08iixv LION+PXQVlsxvXsHl117Ar0RKZCX4vB5TOvbWOttnriMgnGu/yRDYnftRbTHW/+0iJY3rgcWtW4Y QfrXOY7zIHXvt65szzSsRBjteDiPOLIDvOlP7+MyY4hV3XvnxF+hdeqhVuqhKvHZ4hETZ8eN5wa7 t/i8jhLjXKFzeov+lw/jWSATRspKLikaNrI8Wr8grRALvcLHx3G3OgTm2JqF9+vEZN21ersWzhYu GH+/xzI1vGmGrBvcpuXr1+6pOSKpbTbzwxXDL0MYsxm/w0RoPzezHDX2E2v3tTLsVY/HJuZcc9Da Yx0UazkyZdDKN71WHbajD4olqKH3VcJyK9tcaqf8tUDhzpEAWyerN8gVJo2bzc5cGGDHkdn30or0 /iZ/hAu+86TSIbrfL5VaZNY9XIcFyI7q8C5W9H8kctovaBDEILNRA4DPGmhhYAwapBlnDfwNN2aP L8JFVYaWAJ7zDB5wwsOD4uEEjuFMp6HnJIG4NIe6GmX/S/BcfdrUQ1c6B4+HqWRUlMWaxqN1lByQ WAT/FaoMKWZkulyCOJ84gYba9n6zTTKgqLbksyjkWKMMRdpFpLfbOjHP4BRKe5C2NFV29B31gwo6 825Z4eHrRr9ekn5tgtYffJOC8mWIwtd2LBx2m5qQgONhePIJnuKtCLHT/PagKFGP34VWS0C19EPJ vypRTwnP5IdHrLNZA41ccDui0pddWHF9/z8ErQRgnACbgTmjdRZstwNj/OFatnh2iPOViX/11Fw2 OgraxWopyFGB6jcXOtsB8EM24arAlAlDL5SEanei/zpW9e7erQwnwNvfn/D38WgLrnQHpPsPtYgk lLVbSUykvm2Q10TAC8s3b4vhDNbv0eAYB1YjD8q1I4fcU79mFYxO5c9XciP1HoM8Ub0txQPr5plW ZGMFKalJxdgWrw9k+X2MUoJOMyca8KZ+sSTi2q97BsetZvOjcuAQhGNNL5p00s8nT6ww9ivSmGHk sGV9gsjM+wgweW51tMomrNwDXQqclBeDAdTuBCI//QT6ZYXeraDM28FRFBiA/F331SIrH8g+7bWy yUOGih3yN9IuM5UDnTd+MH4NnXWjcpL81+oarJqnU4E5uPmrZ4mOiWXORPn9SH6HCGy8j/HmtQOv nJCj8SLDqJWLpfkyk1eRf7SDXn3PqHMKp1qLdBqtbpSnFCtvq+vbsTID73XdVmWFr+hFNUNYq6xJ GMgSUCn2V9reFzBn+oc70KLOA6wHg+p2VYfSg2sjHyJhyO2++z5JoYyu8ZBPpqTqRhREl7Cn7sSk z/dxWtkddSSjXTYJCZeiIk5xbpFGzLd6p+2KRZmyuD2ei4EUlTFsJotOnFAWwD2LmJ1HXfcBLizL IUjmkxIZP2CLXU6zUaetQMw5jevNNgKkyYDvmlshOd6OkTPIAMgrdiXw+qzAk5KJQTpHUywHGk5a vx+1/I9NGTj9xmjQ3nr7se7L6OAuYTd4RdA4qd7x+EQ/oA6S6N42hpOnwgLhN9U6Bc2tv7RxflpW dIXt9mKObwoh2cY0yc/DeU4i01tbfK/pPo3OF261H9BUi0BjbcbKsl7l3iCoOpMDngYq5JNoWIrP ccIPUHsBY8mOkYPeSp0c24UpKF7dIIsfOvvZbjzPS+yA6r8gC2QTZyhQz3LPjBU86j/SmjH178ye i9cDpzjnNrkPNbrM8OD3xk8ExlmY56DgfNxGmasXcRKtxQQ5XmFFGN4h08s39bbnuyfiVhTA3c/2 Z070pySLPCtYfqJLw4KEMS9n1TppKUsuAKApKBtEUwTsV+uoue2PCznk4sc20mjV6NeUAS/0LRNU nJnJXyR4ofst9xXdFkk/ilpBn1Y/3z6aSrekVZHCtStTFolAz0VIa5FbgEMf9+ch/OQYE6kcs+f2 joWI6mkKoX9Q3XYfThCQDGPde5BtmMv9DILBLTobgwnweSGJM6OYZUdZRnGmkh4Img52XiZjqGZS qLx+sLYkhAK4Rcw+CE4ktMitNtkMRJadEBYhOI8TdPBsrw7oCfI3D9CoTGHAcnlsUbp2sOstkf6T mQodAiaijA8rmOqlYbpGdAILZBKgRETiWXNAHfPGb5/5+TOSEhUf0rUjOkaXtcfVQ53EIlYGrAdN 9MUw4qTiN96COO2CxY8+kV/PTZ928qeegP1DgwNLsO+SBy+WFH1YHHtg7EPi8iwQSwjHBHtCtXJH WJNDb1HJURLuKzI5lTFPqVB4coiuwbHI5D0IpHcLoFrgIiIbEm4futlLM45yAFNMyYvc8aADxn6t awMaFsF2XzLK9Tw4M0T1LwvEu0sDquSYqfkkQLe16Ep6MgA57ve2PM45y0LyvAFH5jG6WwmS6R6r x5yNzI8HdMLC3BZIcaxEVF0JYVUXrPH4cqo/wul2ovcH+vU6bAqsjhaSlOItMYpX1yhov3P2gKkR Gipz9I1MwhzdzhWqd4l+LCPDl+36ZKZrpsm3vf4QvEr2VuC7It06l+D+W3vGkvXOmL3PZb/twnPI HA8nG/lf1uLtc+9gpRMZjrROdHaS1jdTIca1wdLeliJgs8E3lBodCFUg4PQhVCpMln+WOhUc/Gqu u0Q8O7InhfcYwB8KYbebe1AGnfWTvfFJDMLycQPVljPwcPXJJY951E+u4gzHazfS3vIu9qn2Y8ma gjAmPUnudNcLJC3Pv8u0PAyUfdoh/RDJKYylh5j3O+Wg/wTd/Ew/WweJNK8hlUc/ZXM4PtYljXv2 URuJdExn1Kapn4a4nkPjR7/AeG0aNAxYhzENdqskIoYmtSWPdzRRNb8hlTz7r3xhN5ivVzq767ft lfc+4PBwma25tBtuUfNE24qcpcSPD0TLJg//9wfgYkztmf2kGX9uzJ5/+s7h6tbIH3JJa0EkEV4m SV2jwQqHJNJS1PgQTL2aUEFV8YeAU84267r8NM3EPNzhLf/JwlqVS7xqxfp53JJFeIr8ps8tHv4+ v4piPbX86xbM7so8ILG4x/1F+Du82OUxyXp+6kjc8EZOa2cIqYhYRuzV1yKYSunWJFBi6Sn3b0kH lMuQiHylqQ6rIRHY0Uk69tXtO+KsidXdRrFDfDnG73SwvfAxWy5H9tYurabz35tkUazBKstlc4FY EaRRpfzVRK709PSyEsduortScwNQGLQZcR2+YiMPPPN/4XiBQiMxMhGz/rgRhXrXunw55ROsrXwu cp/C8eoiZLh6rpd6bRLZcNCsPXZ6qvdLWNTAZbrBjJc30/Nw/pgD2WDbixRkpa6dJAv/LjF38Q/r mwKk0B39WuFIYaWy/W8Eoa/qi5Cu/pdrGjWxZw2MzDZWQ/BIN8B30D2fcjCPe5VOuBy7O7xTfnS0 Cax7mesigB6up8DY/roxcByM9KS3UjB3+wR6n/uYDB4bo6byxgVjjY1im0mwd1XT5y2Ct0HWAT3n E4zE6N2eN6lpjeLqRjtYNJSKSPdHFjlKWz9DyaFSHyfzEc+xv8cpeabLH+GdbAC1F6ZfeKU6r5Us OnsSTjrdUM0AhZzXdHwpCsr7nl00jXzYD3w+4n194bjMCXYCKUR2Ahudffx0btsvrkwSHXz1I/MR JfzBE5KKlMd0Z/UKpaZv/5acNP9q84TQfoCJSYhmZxLYSDjRlXsG5saGgdK5QC7dpddkD44o4/Sk kM1Yrob9d1LorKfdPsIqVr3thxvIj0IfJkbbsLDACY4IOXoqhAiRbs3X5gBlhnNnhO5N4KtN2IHG eRKN7g722b8t2dLhntuMASdd9lf/ApXu9gawfspFGWE+Jb6mgQGU6dhjYGqLTSJt5khEu9FK4mwF CWvNAXHueQXNaq9EZmx12G7JRpNmmpm1FzFh20RVjC16cfaOP+KjeEFrQ64s/NeXqvGhCrbOagIQ 6CfNjqf7eaG9amtP2WAyyVKafgX8zIDH/d6L2G/N3tNphEjD6EwGj7SrHSu8JBFMLHLFLoVnmKkt cejs1aR39OvjbEikkeOdJ/yWC0RU6O8OrXrn1LlC07T6i2bzT6dezuVYLpNIr3XQOzLSRRH9GryO bgictVYLMjcvqVfSnR7UbbkF+f5SHXx3NLpQEbmQj6Vj8SOsg85W+SuuqUCKCse93NzcTZVMTtxa DY90WDyESV5B6CnQDIO5tcW53NlfDYYK8CL+91qPrmiakQpomTPlVHFcOGsiDm8TnXrENF3d+uoh vTVI2ygfXYEs9y1hksTKjY3xkLkWMbqvlFR9xX6Ji17jAwV8SHu84u/EwaxKCIc+HmqW29lG/Tco Q6UYJ3uuU99oKpKFF6fWtk0fk89GaUgAvFai0V1r8sdpMOSTjkOfNdpi4TuEGl9irQwtoVDOdLoy yqgkkigtbHMxezUEYbzzfplWOoIby8v8OBTH4Fuq7HHSUWsw0kwfwIUAylAUH0D6PhiPNnHMdxqy uqGfmnbOtRD4ENtEXH9MnMxgUZrRqrWvYrutzjtCCDHlKBvn9m+WbbhLHplaGU2jKM4FF3RKYKu2 YY1Hric/E2tTvlXvTFLjh/F0UetndPvbhUVDURqDMjdb0maYNWUO4l2/8RckgFbb0R+IgiRGjLJI /AzOuaa14WjrUxNsKj2i/hpVx3pGCCBubFiVLBN8h6DbCu7TXNogr+lq9vFNSgQ8XqASWbtouezR m1u6aR36OjYbkmG5D33JMa7zxiiVstF3F9FT+HSFRuMafQVkP/kpjHr2sA+KxgI/N3Xur2BMyzia W4gHPbV1TIb0IQzUxgw3W1x93N0MdaXzGihhVnS2L2oEv66Vas8UB65kZY8bJjYH7v2CScxKoV0R X1qopf65o62nULid4JSZVcXBsuvAt1YwaaxXz47AAKKCUFfJ8rfhdUOFA14zCV2RBlzhqiHnAJvl ozLe/NhDS/M+Y/zB6Pb8eikFFdSeb4svAf/0VDbJBSV0ErXqKhFcbndAOYZ/dQbNipSDN4xdNzqn B7y9AyZ8Wcvb7oBZditR4bVFUSAOE4vkKlfZ4/nR1NjiUNeGAgrMCP8cCboZ1EUqHWTiH0Baymn+ aYW7W+Aql5fbc6eDfflztSZeISMlexve/KiQp43hIvsOELyOX7w3IwXLOnW8/is22EPLCPk+U/p8 LpbZzx5eawU2hxJBVO+knBshGJFcm1P8w9DuU76xKqqT3PF8bRA82/OurnTnm9t5KFcB7ZitZvnE uVREEErTwqT4ZUDafcyIRsTlE9aZ9/3co5GW9QuLGIAwf+smdBrqmuZ9E57aIUzXqw5Tp15BvTba t6mO+JDx76dB2LIYXgNvtHeB2cUKTt2zDDXlknG30rspeMPgHwxp0wuwD3WY1Fjh61R0Cw8hZUlV 3rLJP7N9ob0pW31+nvwVdrgt3D7GMXRJmTavXxrTgkNJ6dBw9BI4stUzc5oOf7jHZIn83pFbA31o ecCD8D10DnVW4uZD3b5yNIB+nHtdq5pr+pkLYGn4acTzsGYZnqosFh21aADMWxaihH6vCG/1yIey kNjORCTzz/om9aj+d4l52s1wyLwQExNYhaPM6FgC6qOCrVrebW/OKEmkl6lNVutnqIFkFVAbZl+I TtMMumra05AQ5RIi0ztioU6Lay5WJ21RphuOwgnl/s3dG9x5z7jIzGsBJGab2N6ZMRmpWxkpyNDI QS7BSnKswUpRTcFaLesqwgbKUmVVTJhoxt8FIZqAioeAMwgLHWslTBlr55sK4FQtufy+//6PNpPn PcY19ltk8l0OzbS+xww81ewOGgpBtk8Kan3kTgRQwp8kKqxElFOeV0JhdRjroTJnCtjxYtf6jlDO Gfw5sImgnCH/ne+Y2XxWg6lcIzmKIF7UGSfCyX3KIP9bFghFrn22MEhv58jkKor2j2Mn3A0ciz5/ dw4IUrjrKtcPwUkXT8LLEluRnPg74E4JUN0BvF1uhgrs99UydScZ2KRdxSzy3kJzBe7ImvNXu8+K CFhLf4pCmjuxQFQSymevKuYwZryJwyK2MybmrU1MsTGpx4nKe/aj9bePBzazoo9C92FYUTtdMcV6 /E+KDRPHL3LV9buA975NYM0ruwCo4hZBJH1REKzJ2CzkN6BUcR6zqP7qAY1wdhhE0zPzDXvUntQh Nl/4ZYJxTMhqQQKsE4Luc5naS3PUEqX2HREu04j3gGiqbK0zOI1FP7eC7+Yu88ZAZLfA5aDc14I/ peWNnIm945rZUvgjW1SlEPdesJH2H59sUXIlEzn/EwWx8brtjRef/YhZTtp/s0UDJIv+FNoPIMs+ wyP7247CBrggoKTOluP/VbDx3TRqtYR+HQeGarEg4D7DyBTwB6JsgvZaLKjmu15hMH6/5B3PUwpx vAaKksrc1vR7tmXJ7uGETvx6EykRCZl3BZ76jnrhaTFDTMyWZuBM84a3b/HH5ZAb1qzk+g3SXX99 WVqiPXI8Lm6ow0otLQMI2YFn4GoDKTm6cBQULam3gSiWpi/52DDW+YkWhXWtWPDLBrQbobKC8nCT FFwZM7tOAcHxTWtM88KVaG5TmS0ajHXQlGJpEFShIhx/hrwu+3uoH8iqkej7p65kQ5xc27MSmQza 5FYbCzyrIW560fktXCa2XbiDf3BXGWIBktyh9IXJ8YOCF6jJ8XJnYXr8hPTjRaw2EV9rBeevWxvT 2nZ86mRuEod/S/F/kyzZvzXP/i6zAGKwo9gO7Ytoog9AIQfMJhbe9/tiOqCEsWzFBf/QWOuue1pQ x4PYnigkU1W5wwX5a0iqU80mT4OORXeqn7K1u/Li9D8jzoFY7yTzhYWVkDDF4PyM/Pha6sn81aUN pODNAsi7r7WwkfmsZuEitpK9HfPqBTLNkD+E2h8QAHVOZ3Flo3pGXx7WxT0lXvNFSh4x1pT7b7TW RKTNTNKStYuI4srmFSg2RrFnnicDF3uDuO0eFQGq3Pg/++dPBbPtSSiNUr2nOGcWRiXyAROkrMBP SMIKxpZWci0UxEePIgXQ7clWQiwYKJpYK7rexzvAS+dJpgIL4LsL91YujnOXK3Fiy4HWPbdNCz7w BKvFmV3/w1BR0WTPRhWMe7d9sp0HEx7zDwSG73YPO2zn1d/UlxFsJhKkt/a7w1dzxgR+8Qqa39gJ UPeq+G4Mvk/eTamHKeTpSmqhF87QsdFb7anlxSFb/ArhcLpmVYdkeDVSYZkMjlwBE4KsfUz3AXPF Y70ZYN8cThBlLXfXN9+lTlscEdll0d+KBAf/dk3utJReJwn2XAjyIvLHNcwWuDsQWymrGXvZ79jo 4zba5+cdXzWXzkiGnBAIXFEHB0WbTMRyf2RZLQG4K8jnYOD3X8Xf5RkVJIKF30w8ywbdixx9sUkH l3ussjUHHHvBVCQNEI2c8WHiE2AqOmqVWSxdnX+mhVPEQ0YPBOTV1MLpZmvz8vdeq45ARNHoCdft dwWWnUCzvZFUkbpjAiAEU3yqCrHM/JGC17yiM9/0W8TdFEPnHNnyNHfg1fdtfMIsDzsTNO8ntgJl 3/MUalPk8wsGj77ivYjY7mryKTIF5UM8mCALFdFRjGuI6bTok9ncYFhhhC9NG5BK3abWo6dYrZZc dM6kU3N6Bqs55L7mJdXq16ITtgs0/Nf7Mo/YJkncR4d3JIjCsXxDj0J22TqYHGdNvG1FdB21XOzO nzR5aXzFLxt3aSCMNWnPfAY1mlBrCDPARZi/KZ0hc6wxqfEwbp6LMIyoN65KdiT/L4UT3xi/pJw7 b++eURstlOnRq/So8ZeWb41b+C5OzuYEOQ2/AWpfWaSmm9MhOv+Ts1ZeCvKiQuTFQEUdYdPeNlt3 Dn1swJbdCCK6tKNJl1PwcNMhDivi/9CUqKxP5lstQuZtHTlGOzVfojn6f4bEoXP/p0SGMlNWloY+ TXVZrK8Y8qPWtu6ssq5JzJsui58pRTBzgtS6rJxT17ekpOaPG3gdOiSeG8dUbev2jSvCh1fxWFhI KtlaZhDHe8JIuLILRf9cWK+SaCbjAX9nYAJsB521CT5bE9BFaSwHbQJSy389OEJqMANMZtvHjgJl ZRDpN8OTD9eU4s30eH0WB10skHxaxUOyL6mflZkyp4Jvb1nvbc8+V5aNS9nZZx0qNAT8gructFHj BhSB5d2m7kRij1Jgg9yy9N0abEQhP/ScKSAOA8xtWzNQitKabtqbjm6Yf/xJvdr5Wc/1lhuuZtxn 2NWPN4+1Ql1J6Fp19LGBfxcIgUGG4JE94/OA9ckHQoBFZHazWAmedjPF5+lCsKSB462mp69Ps6p6 Gb9GN5AhjFpLzxUK8owaiD8pLIZZNPx0V3B/qBQNCspFpDz+VoYVtgKZYu0JtPBfUBe/Is00oKhG Olu+3PJ8NxDfb8lxIb8z0hWNUKtoGHqfvKVO8+l7be3LbpQGY4+Lo2G2DLRVJtsrXSQfU+1UFNXE GlKFJa45UuRBq0z7mr6XAds53AOsFtTwTDEn7RDnLnMeBYgoDeF41GM19oY6YxlBVCly8+IUJx40 fZbALVLXsdgJlUm6ZFFjmt3oUSRlVmMbfOGH494jRnOCNlj3o6X8o8fPJQj97G0xq8kE5J1Uxs3C eY0YRFeU55xHy1dF8ElkFdMBOHayYCdU5x8o6keqWfmgo9ZBPpP0uIDGVV7rUVQLTXQwpgieMwXm xeOL+dXOgRioJPiBV2hHs+3L3oZ2lgKmqADW2Vsam7zXfzB9qHYViw76iFWHGEuItwL93Nvt4sBa RQAyKSzxff8iFypPNoMKyOhylF11g3mYWPoO5mI2BDtrXwPQ6pGCtKEEi2rlEpQmqs6qK4f68Je2 6jDuUygSJ3rDZF7SWargB7yqGWmc1eV9LVVLSatWBGNI1NI3obUM9vmbr7DZoI/PQVU+QLTG2Nlq 08IgIt2py2N5Rz5BdrNhKX9Slv1HDj9Olkve+KOCGTT9EXAsyqaSnj9+dxh/pD8c+uVMl5ffZIX2 tDZ7EFTxz1l3rC44pUUqY25ZfrsRdCvw6apSRIx7Vbq+XSdHIsEG0BEx/QFUVV/ixscLQMr4ed/b K1urRmZi74mFAtkOzM/sd2kbwp1yo8+usAFaU3SuaUj3dG7d8BEXwx+trnGh5QcVu0J4bmM2hDdC 0dSiXmLYWYp6v8+m53lxTR+IhGf91xp7Asc6lEGvLyW1Ml0HeypSwVADsxAgt8wyZ2Xil2QPHEv0 Y/Hb44HypKzySJRrrSYYgsdey4tfj48CooXl8D+zA9tRyzGdk8h2FY+JJUooIGCp8uvSr4VZuab+ km+PVFqahuajbe7hD5nhxfXvxQAgrzKs9XKWKmppxZ7hCL+bU59LgUaz7zLene8+nFcQXKZESpyV C8p0HJPfiwmeH893N9DywLfEgfF8BSVjOm8tiqaaHL80Umdn4p5h1omYR9j8iQsi09RaWX7kgbrI O9Pnw/pIIW3Rrjb7P/QqJV4+zkFkAhK9LH27utGQ/ml4rjxdAMhUo1q/ozfbN/Lo1TALNAmnLk/G rfGu3PZNqPTzJUlixG0nlhcrYzjfo2NtvhoS9g1ma6cgo7oT11LRRnr59utEDzCePb9rizHfqU6J s/KUFlnI0RW1sFaxHMKBzyOKJT8w99pbBVL+Q0rmMgMQobznRubrUQsKNOH3thk3NuTbUXsiJJqc 4bw4VVjZwn8EAw/5nZ/xXzJfWToJgrFqDanjdKKR9UwsS2DKMlHcLQSSa1qsW7Vaq8LL6dqDX4mt 2WNVsYa61cVAl5qg5/lftAv5z6P3XgxmqzOq7PHkgI1q2nEOKSIKx0lCMGrETI4ATP2jNl6EMNse oZe0IfHzJP0jrPIMgF/w0MkEZn7dw5A2kT+i93xWXYc3AemDv9GF+HAhDJWAe5sp0Fd+q0jM2koG S2r9wHvGejjG/Us9jBsSdMUZBaFM7Uzp1kp4eAhDlSmmxfZG5anZPXeRDBk7lwP6eUhjD16+ysct Te6VUlkWRqBEq84LyPCr79/ETGeyYkh9Pf4IOxWckg8/ijym6S33mF7N5umm08UotWcWiyHzIr3o tnzImwWhZ5ovSnZZVWW9y2VMT6Fto1aWJZRV748t+tMwz0c9Q8GTOJbgZ5dkCbQv7bXaJqp9/dse xVF1/x+Bkk/78fcj91/qBfMfu8PgA8CfTyAeCETuYMdgNXG9xJILoSditsmshxyywc6wJl53U5ei O/bMiHp6vjLvCEVIhlx/pUU9KuSHEI44pKXLd5AL8SXWEzrhuam425N2CMRan4b9fs+JqeCMJeh9 M2OrjEiGzmZrLerp3Fr1Q9si/GXG/b9DHaJyVzyHD4YQKGLUNIm6OYCL+LyX3RMWWPSqMlPGaz2Y D4I4QD+xwr7tv1phdBbbqpVGF7TmpiTfiJYEi+DBJQlnQsyN62K5LU5X6k/Y9O5f49b/hOBjnGUg Mj9/s/3qS0cnUY1anOFn2NAbI9rJVIw43tLpgFdAqpx4sx7sRN+uPexmr3J6Mj0hQcKgAooVMae8 b/sMfiFKdHjs3Faq1WDLRb0xFZRce5pCMfnvQAAz35YrzMzaQFvdKist9nvcypo78usL3Z0bGsvP IAqNOu87wRpNqKYUiVfOog+ZExhXhd7QeO1ysbqlrvc7H4twN07j/8pOC5fEJJFZP0L5D7saxJ/1 y4/kz4wYNzkbmANTIPoMHWWK1+GZqA0NZLl+wyXoWpOWnzTxv41NBta0xo7goNOjakaPNoo1tIDd p/lpqklVKLHubuAMKjzUShlVdbOepGelsuQz6KHCK1wgxHiDurvJno8yoI+7V5gVRAh4NRj+HqAe KMUlu3af8KMVTvciLf2pD5tjvHbFqbnO4lrCTqqjognfKCpszcHnXpz6QDiNN1soBqJzfvV4kPN+ WPw2SMM2Hd4pPJZxCUyJ1fORwQNTB0r8GKPx0W9Dn3qAdh1AFb0M9CmsnB7tNcbtKS9o+jnbX+HI ux4DjedDaHq6opJ95oJ0DJuMdZKiqX4GElE/xsVnzulmKu5uJeb0oKn897ChzpOgoKTopQr1XU7u nNiNalRp0bg1f+VyDhTo3SdxffubN+lXrZvLJPX5SA6bg+ZPkLigVAeHVTZGFoGQmSy3FbSG4iek sF6qjIusJf262e3XrBXVUVnLHEJXreBFggiwbOIYkWiOT348BWBbv7SaYKuLpmL7FejZUvSaWtLq 5IW3mgkN0R4EkFTW0JsL6EmmaTujFtnQ7ma2Zdp3zGcsf7rbhn2GavFmnyXX+5/kJuSw5EFVLh1/ eWRfq46YXr3YqMEXQArYi+GezodXFK0kXcMoBEjZ4xnEiGSe4ZU6a9S+oxCDHByP+sKRgQ7OScp+ vgFWdliuTO+EALZJd9QrJc3tEEbOZn3fj8H6c2pu/oz8jTwDJQW9rN5IsbgaAwHDNu6M4ImZP80g DPY/bMy2xUEdTk3PVo3vCBPFpw2JOOYZXFioWRy9VpjBFxsRzUAloZriSxmY9maTT8DKUT75J0/0 DAUnEomqgITe5J/UpIKOMxuHz4cy+B4lYx3giklCbcBv43SggVo6CzWUVBpdZ6BuzzGNLyvBClHp Pl96jGwHguKsD+RcwjUd+732EOo/x6t2Zoo3odt6V9mOP0wdtNu4EBoh1hvnQP1Tt0bkqeryWVyM /OwbxdjzV5o1DFRKvq4yZDSvvqfPHDxQYeVYlsyMssrdRTzJTNr+ZzPJwYmZEAfSwz9qnG+hiJ5m pjgvOuOZ+wYVT0k= `protect end_protected
apache-2.0
6ae99db711ed39a73135191b6e1827e3
0.9553
1.809969
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/soft_reset.vhd
15
13,928
------------------------------------------------------------------------------- -- $Id: soft_reset.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- --soft_reset.vhd v1.01a ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: soft_reset.vhd -- Version: v1_00_a -- Description: This VHDL design file is the Soft Reset Service -- ------------------------------------------------------------------------------- -- Structure: -- -- soft_reset.vhd -- -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- -- History: -- GAB Aug 2, 2006 v1.00a (initial release) -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; ------------------------------------------------------------------------------- entity soft_reset is generic ( C_SIPIF_DWIDTH : integer := 32; -- Width of the write data bus C_RESET_WIDTH : integer := 4 -- Width of triggered reset in Bus Clocks ); port ( -- Inputs From the IPIF Bus Bus2IP_Reset : in std_logic; Bus2IP_Clk : in std_logic; Bus2IP_WrCE : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SIPIF_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to (C_SIPIF_DWIDTH/8)-1); -- Final Device Reset Output Reset2IP_Reset : out std_logic; -- Status Reply Outputs to the Bus Reset2Bus_WrAck : out std_logic; Reset2Bus_Error : out std_logic; Reset2Bus_ToutSup : out std_logic ); end soft_reset ; ------------------------------------------------------------------------------- architecture implementation of soft_reset is ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Module Software Reset screen value for write data -- This requires a Hex 'A' to be written to ativate the S/W reset port constant RESET_MATCH : std_logic_vector(0 to 3) := "1010"; -- Required BE index to be active during Reset activation constant BE_MATCH : integer := 3; ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal sm_reset : std_logic; signal error_reply : std_logic; signal reset_wrack : std_logic; signal reset_error : std_logic; signal reset_trig : std_logic; signal wrack : std_logic; signal wrack_ff_chain : std_logic; signal flop_q_chain : std_logic_vector(0 to C_RESET_WIDTH); --signal bus2ip_wrce_d1 : std_logic; signal data_is_non_reset_match : std_logic; signal sw_rst_cond : std_logic; signal sw_rst_cond_d1 : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- Misc assignments Reset2Bus_WrAck <= reset_wrack; Reset2Bus_Error <= reset_error; Reset2Bus_ToutSup <= sm_reset; -- Suppress a data phase timeout when -- a commanded reset is active. reset_wrack <= (reset_error or wrack);-- and Bus2IP_WrCE; reset_error <= data_is_non_reset_match and Bus2IP_WrCE; Reset2IP_Reset <= Bus2IP_Reset or sm_reset; --------------------------------------------------------------------------------- ---- Register WRCE for use in creating a strobe pulse --------------------------------------------------------------------------------- --REG_WRCE : process(Bus2IP_Clk) -- begin -- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then -- if(Bus2IP_Reset = '1')then -- bus2ip_wrce_d1 <= '0'; -- else -- bus2ip_wrce_d1 <= Bus2IP_WrCE; -- end if; -- end if; -- end process REG_WRCE; -- ------------------------------------------------------------------------------- -- Start the S/W reset state machine as a result of an IPIF Bus write to -- the Reset port and the data on the DBus inputs matching the Reset -- match value. If the value on the data bus input does not match the -- designated reset key, an error acknowledge is generated. ------------------------------------------------------------------------------- --DETECT_SW_RESET : process (Bus2IP_Clk) -- begin -- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') then -- if (Bus2IP_Reset = '1') then -- error_reply <= '0'; -- reset_trig <= '0'; -- elsif (Bus2IP_WrCE = '1' -- and Bus2IP_BE(BE_MATCH) = '1' -- and Bus2IP_Data(28 to 31) = RESET_MATCH) then -- error_reply <= '0'; -- reset_trig <= Bus2IP_WrCE and not bus2ip_wrce_d1; -- elsif (Bus2IP_WrCE = '1') then -- error_reply <= '1'; -- reset_trig <= '0'; -- else -- error_reply <= '0'; -- reset_trig <= '0'; -- end if; -- end if; -- end process DETECT_SW_RESET; data_is_non_reset_match <= '0' when (Bus2IP_Data(C_SIPIF_DWIDTH-4 to C_SIPIF_DWIDTH-1) = RESET_MATCH and Bus2IP_BE(BE_MATCH) = '1') else '1'; -------------------------------------------------------------------------------- -- SW Reset -------------------------------------------------------------------------------- ---------------------------------------------------------------------------- sw_rst_cond <= Bus2IP_WrCE and not data_is_non_reset_match; -- RST_PULSE_PROC : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then if (Bus2IP_Reset = '1') Then sw_rst_cond_d1 <= '0'; reset_trig <= '0'; else sw_rst_cond_d1 <= sw_rst_cond; reset_trig <= sw_rst_cond and not sw_rst_cond_d1; end if; end if; End process; ------------------------------------------------------------------------------- -- RESET_FLOPS: -- This FORGEN implements the register chain used to create -- the parameterizable reset pulse width. ------------------------------------------------------------------------------- RESET_FLOPS : for index in 0 to C_RESET_WIDTH-1 generate flop_q_chain(0) <= '0'; RST_FLOPS : FDRSE port map( Q => flop_q_chain(index+1), -- : out std_logic; C => Bus2IP_Clk, -- : in std_logic; CE => '1', -- : in std_logic; D => flop_q_chain(index), -- : in std_logic; R => Bus2IP_Reset, -- : in std_logic; S => reset_trig -- : in std_logic ); end generate RESET_FLOPS; -- Use the last flop output for the commanded reset pulse sm_reset <= flop_q_chain(C_RESET_WIDTH); wrack_ff_chain <= flop_q_chain(C_RESET_WIDTH) and not(flop_q_chain(C_RESET_WIDTH-1)); -- Register the Write Acknowledge for the Reset write -- This is generated at the end of the reset pulse. This -- keeps the Slave busy until the commanded reset completes. FF_WRACK : FDRSE port map( Q => wrack, -- : out std_logic; C => Bus2IP_Clk, -- : in std_logic; CE => '1', -- : in std_logic; D => wrack_ff_chain, -- : in std_logic; R => Bus2IP_Reset, -- : in std_logic; S => '0' -- : in std_logic ); end implementation;
apache-2.0
e08a702e7043acac3c06cf2a95f1c107
0.401134
4.881879
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_fifo.vhd
1
30,607
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_fifo.vhd -- Version: initial -- Description: -- This file is a wrapper file for the Synchronous FIFO used by the -- AXI Master burst. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_fifo.vhd -- | -- |-- proc_common_v4_0.srl_fifo_f -- ------------------------------------------------------------------------------- -- Author: DET -- -- History: -- DET 1/19/2011 Initial -- ~~~~~~ -- - Adapted from AXI DataMover v2_00_a axi_datamover_fifo.vhd -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.srl_fifo_f; -- library axi_master_burst_v2_0; -- use axi_master_burst_v2_0.axi_master_burst_sfifo_autord; -- use axi_master_burst_v2_0.axi_master_burst_afifo_autord; ------------------------------------------------------------------------------- entity axi_master_burst_fifo is generic ( C_DWIDTH : integer := 32 ; -- Bit width of the FIFO C_DEPTH : integer := 4 ; -- Depth of the fifo in fifo width words C_IS_ASYNC : Integer range 0 to 1 := 0 ; -- 0 = Syncronous FIFO -- 1 = Asynchronous (2 clock) FIFO C_PRIM_TYPE : Integer range 0 to 2 := 2 ; -- 0 = Register -- 1 = Block Memory -- 2 = SRL C_FAMILY : String := "virtex7" -- The target FPGA device familiy ); port ( ----------------------------------------------------------------------------- -- Write Side Clock and Reset ----------------------------------------------------------------------------- fifo_wr_clk : In std_logic; -- main clock input fifo_wr_reset : In std_logic; -- main reset input ----------------------------------------------------------------------------- -- Write Side Interface (AXI Stream Like) ----------------------------------------------------------------------------- fifo_wr_tvalid : In std_logic; -- Write Side IF fifo_wr_tready : Out std_logic; -- Write Side IF fifo_wr_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- Write Side IF fifo_wr_full : Out std_logic; -- Write Side IF ----------------------------------------------------------------------------- -- Read Side Clock and reset ----------------------------------------------------------------------------- fifo_async_rd_reset : In std_logic; -- Read reset => only used if C_IS_ASYNC = 1 fifo_async_rd_clk : In std_logic; -- Read Clock => only used if C_IS_ASYNC = 1 ----------------------------------------------------------------------------- -- Read Side Interface (AXI Stream Like) ----------------------------------------------------------------------------- fifo_rd_tvalid : Out std_logic; -- Read Side IF fifo_rd_tready : In std_logic; -- Read Side IF fifo_rd_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- Read Side IF fifo_rd_empty : Out std_logic -- Read Side IF ); end entity axi_master_burst_fifo; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_master_burst_fifo is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- function Declarations -- Not used by AMB ------------------------------------------------------------------- -- Not used by AMB -- Function -- Not used by AMB -- -- Not used by AMB -- Function Name: funct_get_prim_type -- Not used by AMB -- -- Not used by AMB -- Function Description: -- Not used by AMB -- Sorts out the FIFO Primitive type selection based on fifo -- Not used by AMB -- depth and original primitive choice. -- Not used by AMB -- -- Not used by AMB ------------------------------------------------------------------- -- Not used by AMB function funct_get_prim_type (depth : integer; -- Not used by AMB input_prim_type : integer) return integer is -- Not used by AMB -- Not used by AMB Variable temp_prim_type : Integer := 0; -- Not used by AMB -- Not used by AMB begin -- Not used by AMB -- Not used by AMB If (depth > 64) Then -- Not used by AMB -- Not used by AMB temp_prim_type := 1; -- use BRAM -- Not used by AMB -- Not used by AMB Elsif (depth <= 64 and -- Not used by AMB input_prim_type = 0) Then -- Not used by AMB -- Not used by AMB temp_prim_type := 0; -- use regiaters -- Not used by AMB -- Not used by AMB else -- Not used by AMB -- Not used by AMB temp_prim_type := 1; -- use BRAM -- Not used by AMB -- Not used by AMB End if; -- Not used by AMB -- Not used by AMB -- Not used by AMB Return (temp_prim_type); -- Not used by AMB -- Not used by AMB end function funct_get_prim_type; -- Signal declarations Signal sig_init_reg : std_logic := '0'; Signal sig_init_reg2 : std_logic := '0'; Signal sig_init_done : std_logic := '0'; signal sig_inhibit_rdy_n : std_logic := '0'; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INIT_REG -- -- Process Description: -- Registers the reset signal input. -- ------------------------------------------------------------- IMP_INIT_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_init_reg <= '1'; sig_init_reg2 <= '1'; else sig_init_reg <= '0'; sig_init_reg2 <= sig_init_reg; end if; end if; end process IMP_INIT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INIT_DONE_REG -- -- Process Description: -- Create a 1 clock wide init done pulse. -- ------------------------------------------------------------- IMP_INIT_DONE_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1' or sig_init_done = '1') then sig_init_done <= '0'; Elsif (sig_init_reg = '1' and sig_init_reg2 = '1') Then sig_init_done <= '1'; else null; -- hold current state end if; end if; end process IMP_INIT_DONE_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RDY_INHIBIT_REG -- -- Process Description: -- Implements a ready inhibit flop. -- ------------------------------------------------------------- IMP_RDY_INHIBIT_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_inhibit_rdy_n <= '0'; Elsif (sig_init_done = '1') Then sig_inhibit_rdy_n <= '1'; else null; -- hold current state end if; end if; end process IMP_RDY_INHIBIT_REG; ------------------------------------------------------------ -- If Generate -- -- Label: USE_SINGLE_REG -- -- If Generate Description: -- Implements a 1 deep register FIFO (synchronous mode only) -- -- ------------------------------------------------------------ USE_SINGLE_REG : if (C_IS_ASYNC = 0 and C_DEPTH <= 1) generate -- Local Constants -- local signals signal sig_data_in : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_regfifo_dout_reg : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_regfifo_full_reg : std_logic := '0'; signal sig_regfifo_empty_reg : std_logic := '0'; signal sig_push_regfifo : std_logic := '0'; signal sig_pop_regfifo : std_logic := '0'; begin -- Internal signals -- Write signals fifo_wr_tready <= sig_regfifo_empty_reg; fifo_wr_full <= sig_regfifo_full_reg ; sig_push_regfifo <= fifo_wr_tvalid and sig_regfifo_empty_reg; sig_data_in <= fifo_wr_tdata ; -- Read signals fifo_rd_tdata <= sig_regfifo_dout_reg ; fifo_rd_tvalid <= sig_regfifo_full_reg ; fifo_rd_empty <= sig_regfifo_empty_reg; sig_pop_regfifo <= sig_regfifo_full_reg and fifo_rd_tready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_REG_FIFO -- -- Process Description: -- This process implements the data and full flag for the -- register fifo. -- ------------------------------------------------------------- IMP_REG_FIFO : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1' or sig_pop_regfifo = '1') then sig_regfifo_dout_reg <= (others => '0'); sig_regfifo_full_reg <= '0'; elsif (sig_push_regfifo = '1') then sig_regfifo_dout_reg <= sig_data_in; sig_regfifo_full_reg <= '1'; else null; -- don't change state end if; end if; end process IMP_REG_FIFO; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_REG_EMPTY_FLOP -- -- Process Description: -- This process implements the empty flag for the -- register fifo. -- ------------------------------------------------------------- IMP_REG_EMPTY_FLOP : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_regfifo_empty_reg <= '0'; -- since this is used for the ready (invertd) -- it can't be asserted during reset elsif (sig_pop_regfifo = '1' or sig_init_done = '1') then sig_regfifo_empty_reg <= '1'; elsif (sig_push_regfifo = '1') then sig_regfifo_empty_reg <= '0'; else null; -- don't change state end if; end if; end process IMP_REG_EMPTY_FLOP; end generate USE_SINGLE_REG; ------------------------------------------------------------ -- If Generate -- -- Label: USE_SRL_FIFO -- -- If Generate Description: -- Generates a fifo implementation usinf SRL based FIFOa -- -- ------------------------------------------------------------ USE_SRL_FIFO : if (C_IS_ASYNC = 0 and C_DEPTH <= 64 and C_DEPTH > 1 and C_PRIM_TYPE = 2 ) generate -- Local Constants Constant LOGIC_LOW : std_logic := '0'; Constant NEED_ALMOST_EMPTY : Integer := 0; Constant NEED_ALMOST_FULL : Integer := 0; -- local signals signal sig_wr_full : std_logic := '0'; signal sig_wr_fifo : std_logic := '0'; signal sig_wr_ready : std_logic := '0'; signal sig_rd_fifo : std_logic := '0'; signal sig_rd_empty : std_logic := '0'; signal sig_rd_valid : std_logic := '0'; signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); begin -- Write side signals fifo_wr_tready <= sig_wr_ready; fifo_wr_full <= sig_wr_full; sig_wr_ready <= not(sig_wr_full) and sig_inhibit_rdy_n; sig_wr_fifo <= fifo_wr_tvalid and sig_wr_ready; sig_fifo_wr_data <= fifo_wr_tdata; -- Read Side Signals fifo_rd_tvalid <= sig_rd_valid; sig_rd_valid <= not(sig_rd_empty); fifo_rd_tdata <= sig_fifo_rd_data ; fifo_rd_empty <= not(sig_rd_valid); sig_rd_fifo <= sig_rd_valid and fifo_rd_tready; ------------------------------------------------------------ -- Instance: I_SYNC_FIFO -- -- Description: -- Implement the synchronous FIFO using SRL FIFO elements -- ------------------------------------------------------------ I_SYNC_FIFO : entity proc_common_v4_0.srl_fifo_f generic map ( C_DWIDTH => C_DWIDTH , C_DEPTH => C_DEPTH , C_FAMILY => C_FAMILY ) port map ( Clk => fifo_wr_clk , Reset => fifo_wr_reset , FIFO_Write => sig_wr_fifo , Data_In => sig_fifo_wr_data , FIFO_Read => sig_rd_fifo , Data_Out => sig_fifo_rd_data , FIFO_Empty => sig_rd_empty , FIFO_Full => sig_wr_full , Addr => open ); end generate USE_SRL_FIFO; -- Not used by AMB ------------------------------------------------------------ -- Not used by AMB -- If Generate -- Not used by AMB -- -- Not used by AMB -- Label: USE_SYNC_FIFO -- Not used by AMB -- -- Not used by AMB -- If Generate Description: -- Not used by AMB -- Instantiates a synchronous FIFO design for use in the -- Not used by AMB -- synchronous operating mode. -- Not used by AMB -- -- Not used by AMB ------------------------------------------------------------ -- Not used by AMB USE_SYNC_FIFO : if (C_IS_ASYNC = 0 and -- Not used by AMB (C_DEPTH > 64 or -- Not used by AMB (C_DEPTH > 1 and C_PRIM_TYPE < 2 ))) generate -- Not used by AMB -- Not used by AMB -- Local Constants -- Not used by AMB Constant LOGIC_LOW : std_logic := '0'; -- Not used by AMB Constant NEED_ALMOST_EMPTY : Integer := 0; -- Not used by AMB Constant NEED_ALMOST_FULL : Integer := 0; -- Not used by AMB Constant DATA_CNT_WIDTH : Integer := clog2(C_DEPTH)+1; -- Not used by AMB Constant PRIM_TYPE : Integer := funct_get_prim_type(C_DEPTH, C_PRIM_TYPE); -- Not used by AMB -- Not used by AMB -- Not used by AMB -- local signals -- Not used by AMB signal sig_wr_full : std_logic := '0'; -- Not used by AMB signal sig_wr_fifo : std_logic := '0'; -- Not used by AMB signal sig_wr_ready : std_logic := '0'; -- Not used by AMB signal sig_rd_fifo : std_logic := '0'; -- Not used by AMB signal sig_rd_valid : std_logic := '0'; -- Not used by AMB signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); -- Not used by AMB signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); -- Not used by AMB -- Not used by AMB begin -- Not used by AMB -- Not used by AMB -- Write side signals -- Not used by AMB fifo_wr_tready <= sig_wr_ready; -- Not used by AMB -- Not used by AMB fifo_wr_full <= sig_wr_full; -- Not used by AMB -- Not used by AMB sig_wr_ready <= not(sig_wr_full) and -- Not used by AMB sig_inhibit_rdy_n; -- Not used by AMB -- Not used by AMB sig_wr_fifo <= fifo_wr_tvalid and -- Not used by AMB sig_wr_ready; -- Not used by AMB -- Not used by AMB sig_fifo_wr_data <= fifo_wr_tdata; -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Read Side Signals -- Not used by AMB fifo_rd_tvalid <= sig_rd_valid; -- Not used by AMB -- Not used by AMB fifo_rd_tdata <= sig_fifo_rd_data ; -- Not used by AMB -- Not used by AMB fifo_rd_empty <= not(sig_rd_valid); -- Not used by AMB -- Not used by AMB sig_rd_fifo <= sig_rd_valid and -- Not used by AMB fifo_rd_tready; -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB ------------------------------------------------------------ -- Not used by AMB -- Instance: I_SYNC_FIFO -- Not used by AMB -- -- Not used by AMB -- Description: -- Not used by AMB -- Implement the synchronous FIFO -- Not used by AMB -- -- Not used by AMB ------------------------------------------------------------ -- Not used by AMB I_SYNC_FIFO : entity axi_master_burst_v2_0.axi_master_burst_sfifo_autord -- Not used by AMB generic map ( -- Not used by AMB -- Not used by AMB C_DWIDTH => C_DWIDTH , -- Not used by AMB C_DEPTH => C_DEPTH , -- Not used by AMB C_DATA_CNT_WIDTH => DATA_CNT_WIDTH , -- Not used by AMB C_NEED_ALMOST_EMPTY => NEED_ALMOST_EMPTY , -- Not used by AMB C_NEED_ALMOST_FULL => NEED_ALMOST_FULL , -- Not used by AMB C_USE_BLKMEM => PRIM_TYPE , -- Not used by AMB C_FAMILY => C_FAMILY -- Not used by AMB -- Not used by AMB ) -- Not used by AMB port map ( -- Not used by AMB -- Not used by AMB -- Inputs -- Not used by AMB SFIFO_Sinit => fifo_wr_reset , -- Not used by AMB SFIFO_Clk => fifo_wr_clk , -- Not used by AMB SFIFO_Wr_en => sig_wr_fifo , -- Not used by AMB SFIFO_Din => fifo_wr_tdata , -- Not used by AMB SFIFO_Rd_en => sig_rd_fifo , -- Not used by AMB SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Not used by AMB -- Not used by AMB -- Outputs -- Not used by AMB SFIFO_DValid => sig_rd_valid , -- Not used by AMB SFIFO_Dout => sig_fifo_rd_data , -- Not used by AMB SFIFO_Full => sig_wr_full , -- Not used by AMB SFIFO_Empty => open , -- Not used by AMB SFIFO_Almost_full => open , -- Not used by AMB SFIFO_Almost_empty => open , -- Not used by AMB SFIFO_Rd_count => open , -- Not used by AMB SFIFO_Rd_count_minus1 => open , -- Not used by AMB SFIFO_Wr_count => open , -- Not used by AMB SFIFO_Rd_ack => open -- Not used by AMB -- Not used by AMB ); -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB end generate USE_SYNC_FIFO; -- Not used by AMB ------------------------------------------------------------ -- Not used by AMB -- If Generate -- Not used by AMB -- -- Not used by AMB -- Label: USE_ASYNC_FIFO -- Not used by AMB -- -- Not used by AMB -- If Generate Description: -- Not used by AMB -- Instantiates an asynchronous FIFO design for use in the -- Not used by AMB -- asynchronous operating mode. -- Not used by AMB -- -- Not used by AMB ------------------------------------------------------------ -- Not used by AMB USE_ASYNC_FIFO : if (C_IS_ASYNC = 1) generate -- Not used by AMB -- Not used by AMB -- Local Constants -- Not used by AMB Constant LOGIC_LOW : std_logic := '0'; -- Not used by AMB Constant CNT_WIDTH : Integer := clog2(C_DEPTH); -- Not used by AMB -- Not used by AMB -- Not used by AMB -- local signals -- Not used by AMB -- Not used by AMB signal sig_async_wr_full : std_logic := '0'; -- Not used by AMB signal sig_async_wr_fifo : std_logic := '0'; -- Not used by AMB signal sig_async_wr_ready : std_logic := '0'; -- Not used by AMB signal sig_async_rd_fifo : std_logic := '0'; -- Not used by AMB signal sig_async_rd_valid : std_logic := '0'; -- Not used by AMB signal sig_afifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0); -- Not used by AMB signal sig_afifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0); -- Not used by AMB signal sig_fifo_ainit : std_logic := '0'; -- Not used by AMB Signal sig_init_reg : std_logic := '0'; -- Not used by AMB -- Not used by AMB -- Not used by AMB begin -- Not used by AMB -- Not used by AMB sig_fifo_ainit <= fifo_async_rd_reset or fifo_wr_reset; -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Write side signals -- Not used by AMB fifo_wr_tready <= sig_async_wr_ready; -- Not used by AMB -- Not used by AMB fifo_wr_full <= sig_async_wr_full; -- Not used by AMB -- Not used by AMB sig_async_wr_ready <= not(sig_async_wr_full) and -- Not used by AMB sig_inhibit_rdy_n; -- Not used by AMB -- Not used by AMB sig_async_wr_fifo <= fifo_wr_tvalid and -- Not used by AMB sig_async_wr_ready; -- Not used by AMB -- Not used by AMB sig_afifo_wr_data <= fifo_wr_tdata; -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Read Side Signals -- Not used by AMB fifo_rd_tvalid <= sig_async_rd_valid; -- Not used by AMB -- Not used by AMB fifo_rd_tdata <= sig_afifo_rd_data ; -- Not used by AMB -- Not used by AMB fifo_rd_empty <= not(sig_async_rd_valid); -- Not used by AMB -- Not used by AMB sig_async_rd_fifo <= sig_async_rd_valid and -- Not used by AMB fifo_rd_tready; -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB ------------------------------------------------------------ -- Not used by AMB -- Instance: I_ASYNC_FIFO -- Not used by AMB -- -- Not used by AMB -- Description: -- Not used by AMB -- Implement the asynchronous FIFO -- Not used by AMB -- -- Not used by AMB ------------------------------------------------------------ -- Not used by AMB I_ASYNC_FIFO : entity axi_master_burst_v2_0.axi_master_burst_afifo_autord -- Not used by AMB generic map ( -- Not used by AMB -- Not used by AMB C_DWIDTH => C_DWIDTH , -- Not used by AMB C_DEPTH => C_DEPTH , -- Not used by AMB C_CNT_WIDTH => CNT_WIDTH , -- Not used by AMB C_USE_BLKMEM => C_PRIM_TYPE , -- Not used by AMB C_FAMILY => C_FAMILY -- Not used by AMB -- Not used by AMB ) -- Not used by AMB port map ( -- Not used by AMB -- Not used by AMB -- Inputs -- Not used by AMB AFIFO_Ainit => sig_fifo_ainit , -- Not used by AMB AFIFO_Wr_clk => fifo_wr_clk , -- Not used by AMB AFIFO_Wr_en => sig_async_wr_fifo , -- Not used by AMB AFIFO_Din => sig_afifo_wr_data , -- Not used by AMB AFIFO_Rd_clk => fifo_async_rd_clk , -- Not used by AMB AFIFO_Rd_en => sig_async_rd_fifo , -- Not used by AMB AFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Not used by AMB -- Not used by AMB -- Outputs -- Not used by AMB AFIFO_DValid => sig_async_rd_valid, -- Not used by AMB AFIFO_Dout => sig_afifo_rd_data , -- Not used by AMB AFIFO_Full => sig_async_wr_full , -- Not used by AMB AFIFO_Empty => open , -- Not used by AMB AFIFO_Almost_full => open , -- Not used by AMB AFIFO_Almost_empty => open , -- Not used by AMB AFIFO_Wr_count => open , -- Not used by AMB AFIFO_Rd_count => open , -- Not used by AMB AFIFO_Corr_Rd_count => open , -- Not used by AMB AFIFO_Corr_Rd_count_minus1 => open , -- Not used by AMB AFIFO_Rd_ack => open -- Not used by AMB -- Not used by AMB ); -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB -- Not used by AMB end generate USE_ASYNC_FIFO; -- Not used by AMB end imp;
apache-2.0
aa11e8965da4838d9a543ea45e70b782
0.450224
4.280101
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/pselect.vhd
1
9,476
------------------------------------------------------------------------------- -- $Id: pselect.vhd,v 1.1.2.2 2010/09/06 09:01:24 rolandp Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------- -- Filename: pselect.vhd -- -- Description: Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. For version with AValid at top of -- carry chain, see pselect_top.vhd. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pselect.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1.2.2 $ -- Date: $Date: 2010/09/06 09:01:24 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library unisim; use unisim.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; attribute INIT : string; ----------------------------------------------------------------------------- -- Constant Declarations ----------------------------------------------------------------------------- constant NUM_LUTS : integer := (C_AB+3)/4; -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; ----------------------------------------------------------------------------- -- Signal Declarations ----------------------------------------------------------------------------- --signal lut_out : std_logic_vector(0 to NUM_LUTS-1); signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); ------------------------------------------------------------------------------- -- Begin architecture section ------------------------------------------------------------------------------- begin -------------------------------------------------------------------------------- -- Check that the passed generics allow for correct implementation. -------------------------------------------------------------------------------- -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on -------------------------------------------------------------------------------- -- Build the decoder using the fast carry chain. -------------------------------------------------------------------------------- carry_chain(0) <= AValid; XST_WA: if NUM_LUTS > 0 generate -- workaround for XST; remove this -- enclosing generate when fixed GEN_DECODE: for i in 0 to NUM_LUTS-1 generate signal lut_in : std_logic_vector(3 downto 0); signal invert : std_logic_vector(3 downto 0); begin GEN_LUT_INPUTS: for j in 0 to 3 generate -- Generate to assign address bits to LUT4 inputs GEN_INPUT: if i < NUM_LUTS-1 or j <= ((C_AB-1) mod 4) generate lut_in(j) <= A(i*4+j); invert(j) <= not BAR(i*4+j); end generate; -- Generate to assign one to remaining LUT4, pad, inputs GEN_ZEROS: if not(i < NUM_LUTS-1 or j <= ((C_AB-1) mod 4)) generate lut_in(j) <= '1'; invert(j) <= '0'; end generate; end generate; --------------------------------------------------------------------------- -- RTL LUT instantiation --------------------------------------------------------------------------- lut_out(i) <= (lut_in(0) xor invert(0)) and (lut_in(1) xor invert(1)) and (lut_in(2) xor invert(2)) and (lut_in(3) xor invert(3)); MUXCY_I: MUXCY port map ( O => carry_chain(i+1), --[out] CI => carry_chain(i), --[in] DI => '0', --[in] S => lut_out(i) --[in] ); end generate GEN_DECODE; end generate XST_WA; CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output; -- if NUM_LUTS=0, then -- CS <= carry_chain(0) <= AValid end imp;
apache-2.0
92b905d02532fce8f4203a2dfd0846a2
0.46011
4.904762
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/decode_gti.vhd
1
353,125
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Umxiurtz72dNdBbGg2wunY1hjxL/sNPryI86B8Q1A1lxKJlaMli2PgitTDhcWLwJeabbq16Mq+em dJL5mhPDPA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JMYazDr6nhSPRWcjyvS1+nOyMgHT6c+N1TY85Vw4YU6uFc9ZPBv1rvHFLV7oKaTD0Yb79VGlomd7 Ghum4g08Pgzgf/EtcJLBQtrgoB1HwfFPB+CSQuvsaxhXExFzTlRhgSTXCPn0NzD1pjD+TbFBBjNv ktb3zYe2f0r47qzGsH8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qPJ6ZZzY91kKpBq6zhiRXvBp+tYftguhtynsKIwcYLHNd9z4cYKJ0Tz2m4cZR6Iq5aiF2yBshAZy 0CKi1lg0KN62oz9oM7bilZ2SsU8G5z6kRL1vOOfZGisNfIQqw+S+3LHZz2H3KOmCL525byb3xOby WoakZT8FqZ7Mo1E++hWvaC+600Eh5vV8uxejLx9K4BLJCCGiUZWRHMeQpA/vnlQZgCg5IPvVR0uB wmvvbFVkkZdxiebNbazh0vmcWGpDCQIvB5tZy+SwcNIiXOt/z8+6MvAfi6rxJtv8zPp2e7Hoq06x teDFcEcrKm0poZyFpp5lUs1G5+vllHrSLMs34A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hH5q20i7Yrqg4QjNWwsUtjjmr0FhMTKqsIEYm9Ys/rDNvREy39Q01ODOLVU8pcxvzxcLi7y/UyB0 zmb87HEvM+JDQc6eXK/PPgHEEwGZ7OBAIwgbGQnfXIGBKszkOQ/hp5w3FmkwDT1K+cib1miyaDJQ nkMmfYS9U6DPKtFmyuk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block avSzQIxgjvxU7wiaQPXharc83rL5KwSRSoQZz0vS2wNwSDHDuEPsBHh2HU1LtUjYvk8qPf2f/MRh YDNvRZkNk2lDwg1O2evypeBE0QlHqLHMgGwHsVRnBvlMdKGuMx9+yg0/GC4XoarhgltzFYU4N/xp q3zQeRa97pe4ukcwfMLaSjN/dlzAQumrbBP51s3mxcyG7XCNSm7FS0csq/pyyEHseA9elOA/0qlB /gzUX0uIylfqUlAvdRZpm8zXYrRL26dpxWJXzbb1nnaDe6nepUI57ATGZO43a+V3KlQE7AALSOIk qGkB5dyuKV+e0AhjgPtI4w2tPQ94LgA9CDIOeQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 259664) `protect data_block M4+4uuzUYLML1vCj2HSzg59Kwip/z8WOghldUjWO7NOvP3+zIV4JRbyRna+hma5xuAdMtisyuuqd Sbb4eK3soNCtZ3TfvYpdKwGLVmCvET7A2d+KtVwymyHB6yuE6sVH/TdEZH+oHOd72HkxWfOUh3zP iZ48glTBfFloe+XKrE+YijoCxz91nSWs8uF1NMHZgolN9lMZAQyYCI1JuBj6S3c8ZOOEeJl8cCqF +PA04QGkk142s6Cfz2VN7NQQ+B2vaUmy7Vs5ftsbggsc7rahJZnFoYQdqIFfLhxmAcQHj9dIly9N 8UczoKMrYgyghjsSwncayRdWf7xWp27O8WOjIGtjA8iHl9uTdM0FnjyjT4hojSigIKNXWBOUYuNa Wso6r6oec45iYmttbQJeZYUPokd7vFXwvry5VLUkiZt1oI93phs6MHkz1oJoTlJNvvru3un4LiOm DZcPMDmsX3Yhm9wnE/1yLz9x9Y6arMtb1BvOabEPI1XPgyIIjxX8p5X9svOXONDgtaY8xLnLr4uk m2/EA/K9XV+HNpganWpV7DH93Ly9sVnNu9Zc7NK8naNcjkBNVrdJxvsiZM+cicWMliEZIdYjQUes KAIpTe4Vcbv+tySsI28ghfbO0MK8zR3QBzINeKy4Dc9oyEa5cUKyLLGuTEQlW6Vj2rYDG3rl69g8 NAuTGF9dJytSBGyu4Evo5YvqV1kbJD/Kgs9OPzm3gTuyFymEnbD5oDunKm4eO1zALlgcgOseh2tg BTyl07Xg6w5HOx9GEH4PI3NdJCCu0Tpk6X6J6Q2kVD5B8cryiIMuT1G6sScnMUCJUG0NQTDgOd94 Jq51mObgJnLUBeDOpSeIL6PDkMDH4J9kD9jZsVVaWNK6T/Me1tqcLGGsxiLHb1hePBGCJnF05ri5 aCHJI928y5ByaCyCqjso0wmJtV+s62a2t3T2B/vtIiv50uR9DqulwLZUaSGn+hOkNKVCK+RmASyI a1hvy1yjSbyCct2vTM8QyWjb48D5Z/FZT2o3Rd5Ddm5JJJE8xEiNI0VNx/iIqahStojhn6I8+1sh Pes79s/QwfSbTsQ8ZliLGxRE/PZR0AUUHmFrsgD54SZNvlvYvzHlz/gnfEiME5LRMj0QoSC80HEj f/oxSurmfStP8XSm2w+6uD2x4DzmOQo3QASVs3v3C6EplaQnmmivBjApq6gNDpYJOGjMofaVKK+5 Exy5Zgo16IYZyf6e04ZkQDDYjot6EP8Ky41FZFpn0Xt6npqmKUOjLaMmg/trtQxNiiht5SPAREnp QdMqOlGkK1faCBtYPAtMCtd/jRsVtdmLus7djHRjkEV6G18SlDC13+p70Vm8BLXER6arzhGArAak hr10Ba1DBlbMD/itjjB9kXnz6Fu0tOO5d5CtZOs/SWETUbwKN4D0d44fjtMTwuj5VpaB37iRq/30 RE0anr0gSQhPKn4X3nv+VAHhaHVb2fE+u8pfQcuRmRvYz4AVtDx6PbJJL6eBhtYzvXCIshGpf6aM cu+jB3vq3q63L8Gw3tf8KJw+6ppUxyy4Dg7jduX/YORkMOAvA75VP4rDP9EA/2nxE2Bf+ciOtiNu 1dTstjF2qsE/SEIWF6NttgeQoOhRfsA6EZ1ip/D1bIQlL8N0O5tSuMf33T73DF+m+hGTRIU4i1bf XnfokW4WhKbZCqCgIAh5+TIQHEKdXdX9f7Fg6kveUpiHxk6h0uAHcZb81iCjoNdMfHNJ05Q4OR+e xKU4mZ1ySWTf6OILbH9h2F+VPATbzS0pqi4YBeo00lo54V9qFPpJj444vTT2ygMYFXhH7EMuL2tl OIIXY0xAC/5/NfX84zByksgM1E0cx0wudYtcvlj26wXM89u7PlZeLONIiKBk53BtyTQdISqOJr/a mliusAjY3G1ZSOBoP6UE4HVYOAmOZ/EQ9j+0VYw+oGEjw7f6zkuy5B5gim5IP+H7IVF+r5MNC6IC g2T+8mMClZB95VhNEF5H0RvM5JWxhGtf0cuVaeZqvvM6iqBKNrNsrMFG+0nGKhMFrGfzEofQdI3J MVYrDh320G4IwHEAx6a56NP2YkEZGph55gD8xncqdeycHQmYwmIDOt6dgZYGMN3ZOGfESjrTdpLU W55qSsAGQn5tO34LK6/3L91j3B1Jkdb0ViYCmeQzL8C8pPsgmy+Awh4aT8sUR/312SZnK7c8LZq6 MKv8z7vpiMP5iKlGuuOjRe+ilNSBVg9grsbjXSCoGHUcjcUtKYcVDHnv2D2S5vFmkXcy5axdNvbA HBAtzcXgQxsE+3F1aLU3vjUoVDcKF3AXSwnlVFTdewsG3ybsjhEQxWzICwj8oIsP00LasGkEvivX 5Hoa8nDOQvcJmesKbcjCEvTdXyTCMHcTxYUVI8jFgmqLbd9jU+1ZDAbuNODTwL7oqbg6uRskXfKt tvwb9OxxY3D3BlaSjrC7DNchzqicIy7iTIjQH6oZhkymjWSv8LywTUxs1FRQUQLI9Ke73QJWqgj/ mCeXQ/L7oQpRfDX0by5depSjw/8sclHDb6ZDmzb1unGF7cX5vbsfAcCYXmDQoj8jcHP1BjBRaBGg IbB5Fd076evB6Suah2ihSv14kEQo7bMfAWakfmBoK5wZnEAIfv+psrtSW8isW3XqS8hTlCtu/pm9 xT2MGXq70u4qKZ+0cGoIBTIoFKbfAPP+qs+MWFM8LAhaXqS3SStcLIozM0GFRIT6oBNQSYAmh83A cVF1+fTuQAi/HuYg71GTqp6mDckDj6taWdv8LuSI13SGl51++kL1AWDEoZHa+KAnCt69vxCh11wV AnXpmUTSbSOcHadIRkU7O7TDHdIJynmbxIEecdsO4rNW29Qe6WPhniz1UEcK0pq8sKsnPtLx7GW2 rAnytMGNMTxmxra6wHby55pHbOPkR3s61iINM2203ptI44yvk4kEKNWfs+7UHJbNtJxrhfllqXSL Yfn2BxQaEvNOQdegksINqkLcWCCvKTsDc3JAj9zS0isKiED6JbO+Pipc61ZKRBtELs26lVkVnkCF O3OrGhx75aWqdFfRNUOBH3CpoL4P+bv1Da3NNUixWI7O9kA9+93uALyHyTgyQAb0jaZ56mtnj1wg hYXdSviBfrth9xvY/mHNzVZIBUUjv75hxUYB8TxMzpG8ximve3epvPqGKyuFbRQ1Qwb1bIl2Jlog eafGp2/UzX5l3XbQuFCsWClJiGnIXQC7zSrjThHKKxIFt5IvaIQmvtVl+OYRvHEtEeEjt562cfjK aQzeMH51pxqNsBUsxY+GNtjP6FbaXKRNmdnIfHCyYQMXej1wmEm3/d3RnloJ67Gk/0QFrwjCJHyh gb7Gu0PQPSCXLyq4S5xMyD03h1bNIndnsn1mZ865k4b7Cs3Xf08DIGa9nqsbREW+XA1Cj6SuvGE5 pz0xpm1BEcQwIzHJQCFd0nWQdtsl4C7uSPekAPAbtJ3FqoG7pjgVRxwa7Nf8I40NYnPiVkzxawgW KKU04hvnncBg2QcvrqVC/FLhEq6t9mJDTpBnClRR8YK5C8zf5apDHRUO7PBZ6jST/6GiW5T1FvQp k7km72OBa5B0FcOYPNo1qD+ocp8zx4s5xfO8vD5QBU7qn9Ymx1SmOw3LNiypC0b6rQJJi88/kDnU mTovI7NaAnNkzBSJOHfDqKZqkaA/iVg/PzcVYitNIEypieNOZnl1aMuS/6tmGg9D4jf7YD/CeHcT amLBfhUkH7/siWvsOPEdO0c4mCvOZ9FZHK7wrFKIWmmJtEI/DRgF3LieWVcte3YfTMaPVsvazr+H 3PYnhJYrWgfy1DSXp3H87i1olYIg0vsaP8nqhossOCVEjxhAsb18uQaZpoXsFDxK2TKOx4MPKLxv +5jCM9zhMQeMirDcOTXGvc/5J/e8z87TY8/CFkwTRMhmLIIp3AehYVYBIZJ1Y3rFNDgOYuiJu6W1 HWO0CCaIJs7INe5QLdnrvwzG+Yo5e/+FuRMKGL18jB+JzsLtcOiiq0EBvRq/EX1gVN81WiWFOAPm i0CyR8SKD3jDmdUUWZwkMRWXKA5rCDckLWRFbVqaYkh7wYqO8prTxgusqS0JLHIeNmDmnW8IvZ0C kojj7/Dyd7HBGU+DmpKMCnVwPjcLsZj8oDFtP12ScSekA7G2BJlK7BGi4kN39eimYGjknTXrtT68 N7qn8kS6cgfM+ugPafHDaEAoswM+Tx5W/XY4BEdb8/ZcGM73n6LadbjBBl9PcBab2tvKh++xmwFk 4f6koCAOOj/EgjU3oO2abH1QgSftNlyFgRYtXS0WI+RPgU/hW5VKlFsgxtBdvBf9XipXVumlbKnm wQ/9vfiUTFtEZC3LR72f7gF340tGYTc+T8PHChg+gDcK59wtL6G0AR8GqnC+BffMQ6mfYuKNfWD/ BNU8lvxCK7my4L7mGEvBD1n+YVav29jpoV+RE1BEO1dZ6F/hdhlRmbIaRmI02ZgoR6bKO+1MxSiG 3ZBElSNQlfmWQzeYqRCtbBQShFdxm1f+uTR48bnKuXhMOBv55RiKdwgBuRMHyK9d8yojihU5HzcL wGkgrzQfOM3JNAuAoyLUgnqPAnDLQMx1wyykyUIXwzb0hdqujDyKgNyIARKmNMpHVFL+aULB2EC0 nLXjmJxhOSJ8jhXt+4FD5v7K5ed4892EvXPcsm7RnZWWdjaRuFOUd6YSbCIc1dnsm//wgPy4igQN RAVOeo11NGJ+RJwnY4ygIge/lW3SHTnS/iajbk7LA4+nra/5ZkP/enGS5xRKeXcl+Gu/ifpb7xZz lZt4OzE5BMzpCPS8ridMNxWgcjFvsCwvWgEXu+nI3faGAhDAiwi2MlLerFJ1exaYUnCP9qK2LFgA aS/YPW9v9jkIlGCO33rn+tQYvKl49QEBnDxJldBO9VcPBObwh+HDuIM/BUpovNaop2puWmVW6lxQ EBYmfaQBP2QJeh1IZGd4v7vqdk12qgejeoeiwhxTodCLs6VROcyYG4sKH8xePXgF/t1mszNW0ayr oPuXyEJxA7aw4vf+Qa/K2e1/CwuqZkmOidpOjuLflNc2uxhLnG34+1tXqQI2LBOd7hc+nLefZ0ME EmgphyIYdgv2zYrtU7jNCq/Scw3TllXy+RK+toKUOj9Kk2XsSKymxV2fQXK4ILZdD228pFMvlsXF 2agtjKPJrCFW6P8fTsS68HAW8P6vp4FrzzOsNLl1lgIU0OyaZbG48+BI7mhtZ61dj3qpJqPlA6F0 Y4nHN6HuxFqguUIkV7X/Aco4yNTBWD9E8o0DcnpwaP0ycXTQQoZuRNG8RxE/t5hXOmy8DezLAS+l RnI7cnrg0v9NmRh/QZevHImdXmtvo1G9A+B1Atq6nvHIq4ANJ6kGspx1iN1ejEKDPGtYW9GGFNi+ 3YWs3kazWuWsb6mrIlgjTrLg4MlhPsHazSRXB90561f8qWTdZw+974rP+9b6Qk7R3Z/FJ8jK2NYu X9P/4U2jxoJ2NJVvKhOzX4eacjpZBzjfEegpgnfG4tRGwI78m0pF8R/fio7i9P0/w0mnDYLFDqr1 /ZaM/fm0doF128UFq76r28fe6rR4GfYD5Zj1ajbUz0DNkY12G6P4zhiiLIYB3dVxyordLEKBH3G6 9WBH1PMSrasYyqQLpY/hwqPSiT1iy9ETjWVKurUgcMTEeXEsNwxQCBzh/POhBmKnpAz8m2zbfn+s LYpvDkzf0IbTqco1bb1dshyU1KMaWINgK0Ek0P/HRYgDjGKNNF9K4EHN0zvGnB4SLa5v3nkTNgD7 JtJ+NpA/FFf2Wj1xKh4m9tG9KiIh9qLVpGDLZD/Q7mjcQjZ6THl3zhk8YwzZd4O9cr5AdGZgpsu8 Gys/qSrv3aFymqPcrceFcTP7BnXkihHx06WvNfIfYvJFpNOMuFpeOfIAxyl8E7hskFqUZ6VZG5fP 284QFXMLC/Prir7Z/XTe1Z+h3QOaVYb31K4dwlDTi0AK63dEKzK3823zs+1p6djrVZxh4Yo2dzE4 8x6SugoNMNcNe5u6aFT+p/zpHYogZ6ywfxiYSLUAfmeATkCt65/2aLKmbHGRDcNDYImO6BtSRlrI 6KLQTM9WrUX+mzOhxFiP8UolxwB35QPrKk/MWCRDs6maIu/uzUNcZI3Ts05rftFBSW+PEH2dW2SQ K1GjfNGJGDI9l9yPu1l6CJrRFdCLhnfWYKRYgdA7+VL6WefrNv0pdrruZa+f/KCdycfWdud8S7u5 UO0oeB57Vsh4G9fZwxXf36bSxScjnFE6WyRB0zLsRECK9/EwXNEeXzi8prEY8W5xMGVV/KiPLKMp VHCDO/CcgKzOIA/AyiPoUCCjOHTKf2imE2a2hJWeLrSBoXVtXIeHjsPtAWNvipxZA9mtkhJMNi4X Pm6T54NthM6jtp5A5raplnEVsdpllyr5NP9THhc+4u6H75zlZBDK+7Q6xHCZaSI2bbtgdBDgDcwY JQHXIOeqWeOIMG8fPE/vSjV09a1HzMy2I9rZCN89xr7PJ3fMsorqwgF44qyQ3IpbhYV6KL7YDxMC mlON6kfJnwA/Y0od5i4W9cL1t2M2WAeQug9r4vOEmBdWaoQSIcdH/0kagkr75tmaoyDlLfJHcGPi VOo7niEwTQG3jin5eNCGSgDlcptVEdMKtT5mMPToP9pbgIR6NXd4uOWKVxp0mrp09TgmNWFkX8Ir 7N1g7eSQy0C/w32JXNcwneqsV5h86eoouFvt3VhPVDCvVWFPImYmWxDvLDCRwpuN3B9pvaOQF64u V+jWsG7TayyXWqvgDaYUjBSopB94PCkuzL6DgswE7JOekDjt6BZkSoJyyV61CTQSWhcKfLHQ1ucT 5y06eGx0ZxF4GS55+bapkuAD6/HynfzAhAAcvKZdgD5rN4//eYEfsYsp6sIlQdgaNxS6LmYLayTg eiaKHfE6nV+aJJLNYqetl3q67Jdo0bpflsBvU3mO/OkJy4h5+aXmbIRlj6gr3g073Y6eiDLYYwm8 3n7z2oZP3BBvP+PErBmFWvD2TMdb/WeeFKmw9bKx8m+88LnNEQupE4j5Td4K+kQuBgWAi7CGokBU Xd6GqUcIm0I945659h9eJWb+EUSaWJq/wQB/+yi9/Xkar2Ft6fOtz5P/nnux9k/eynUAS4bBWlsw gqmjvpgfGcS8Ar1CnD+e3VO1m4oeZr9JDhrOk3ZV7y+6w27knRtjy9qxxzGXvluI9E26oxHMQ9wJ E7NEByjZFvHcpf9NIV7hqQfXYSHCs3a9Jf4/KZc7yjDGZ8u3hUhng5ZhU1K8u0x2D2HQgffzKBLV PacbfZtI5YHcbNe3Tz8iUIjimh3zGbaFTSXn80e1/MJ+0rm7xKdUzkm7Ry2n0cGuWVKtCSsx3Fs6 oJv5QyFnAJkoJaiDUST5rOWEMGcUesR4LXegOspnHBi4eztOarL/IVhBDS6zphtGlaW+ElgISq51 DLFLoFjsuSyzyNgyzMMRgxyynD9fMzsVX52bmK/xF8Tf7nholymuaodp1UXnzuehCrcfIya7IXmk +i1Q/6iwtqMebGBqkIXC6Rl2z5CaAG+UpKorZfiuAK815kvieFqlfYKfoDhgdILwondhWV4bmgvR NIlvAWbvMZuE/dmFOgK8exwnJe+lLU8gRZKChWttDTMGiOwphMZveKxgmxGno/ttnN5x3GSiHt7k MmdHHwztw91h6U5gltonhCTfP15do8dRz+MvgB64Ka27YVabJRBPby2VCPaxAtnXxUhMFr2zwlKH KIR9OazarOsddlJcSibmfl0BvSt3mP1wfpeufhLfMNUoD2kbq3L5H1RyG5oAz1DyXto+RSFupygD CAWPGpe9jqzMe89/aja5d+jarvWALHbLOBZheToRWEBwsiUBZG3KlW8Qy3SvMi3DMD6KbhmCbF8b 9icvh91mZsrcl82R3UZ8B93HLXtCRNRH+8hLSJr84g0MnxSxzsOHYOhuQUIXIZG+l0uDqZRIa2Qv y8OZxtzYYgKIiYUPs3N7zm805gntYmooBBCkAxcLqk7A1uyszJ6pKUHxFuC3cG4tP3p9us2yJVvL JoBcPBs7ZH0p4sAyFJlm7zhxhyO5TDuHyelBqVSa8lyOUNwhsty536MB/6pseUf36LzT41xENuPL 2Ps4ZlSz/koNp0YZjT0IQ4rYG3+ug5wlcjIYVwnUHokY/SktliyUgqR8HxmfwIdgdiV8L6qrS2m8 RFb9kqkX8wHqvSyjfQS0W+DTvDss9XO5NNBtbOnavKnlIXeBy5xvFqhCMT9M7U0WTEAc8rtfgIcG JI9mI3O6OXZUNmIDQCqYgrJKtl7MXVZDPMIBsvqUkluPGc9OPlJlTqGfKHRD/Y+cSd7mfCYo7Igh i0UHwsolXH+fCXgq+pruTEkp51ocGIh2w4sLW6WaIzGjJrUUnEz5aubMxM3Ecvxm2jl12mb5OHWw mee33wT8tiZtsR1QjqafT6/qlDmmBhuGqDU8DxTPs/fxvbVAz6aaUt0mVQ6tKrV9Yu0qLllSS5ks 2D423g25r9YQCRI8OmpD1ou/zsor+CQryIBbUXUZR9efVTmuVVDzMZNjse0hdSVt5jPmgaMy2LKV 8KXjoxC5cqI7WyK2hPfEgL4mp6c6ZHZWViAQvTOxlG1ZuUkP6OW7zUJ2AjLl/mEmTr1FnfAODrEf PUPX9JUtE8iGxz103tCRvHATWfeyWNJ/yNolAfBwZukkOtGE6ijX1utZn2phua/upIaHXR/+UsFt zGhLJrYymhVFL4qBhBszsRC2P+bnRDJeLp7oB4UV0gFLPC3c/zt3iCto7c21rXD4DuUB+4x31kAm NLurlvln2JhrwQ3icjh3qqVBo7WimOoM0TXWUAByyIbauGa0jOucSrrJPTVBbSTVQP9iq+4xJeMn JUEKjNUbtoUeAb4NYrRhuIZoloJGbKb5yjuujUeISmsrj7Yb70vX8qp/j5JZewe7+M+GgMJ7Ol+1 MolMMc1o0P7vh7S3yh9m+yFsZogrxiPRhk7WRFlZXAKfvrnKyjZv/1sep2vxf8jmDFfSQ7ux5tiA K7Uk3m8PY/oTknZ39/uX77nRwrDWMT3c2DFW3kGDtlwyX8/t1hgbJQy405KnYcp6TekVQ5ONdsH0 CxEmRtSKOLghWNdMCHpffOapaF2hnRkOOv2vWnyor2+JpNjSpejOeNrCGyiD8FEp/g91lLZgJnoO MCcKLDzctklora7VKGvtyQOP2l5qLxlQtt/pgFM3YojFPJFowpS0KuGBFtzxjdj57V6Grvi71Si7 gvDrcDAoQMLo0t8yNbdafzlVdYodRMJrnFJzgtZZdvUL7SfopxGivdoEo+rvSo+bJA5+GvkyKQS+ 67ZC063oLwM3SaUXHYZOj6AopMND7DPbR591bnEyRRFkxP6OmpWqDVxtaE/j1aSSwf0G7hAQbsJl G/XNQJRqOR1j3ME9TT9EUL0FaEXEhIYGxHM+Udte+0AEWo/mjrjUKV1ORzroTkUbVXsPBY2lFPkr /8ycdwhAsvCPtVspcl3R1q905Zkws6yv3SmU0FVONXmwV2hmMYtdPKqcdUQzyBbLPnFzwFJzxyC1 /JkMZujsrX1M8WZFzyCwYn0QX4y0qpFKzaCGrmFvkfoz68NIMDqd4kxrpbOebUCBLCkQwfJRmmKb zqCyJKXAU/FjFwY9tLFepNae1SagNNK6epVFh63xfbhRIBUeXUZp069lfl8RrcSNpI17DiVA06Vi /zqokXR5j1jZl/sUZYZ1RnFbvvUDglnYeO7zFExyYVSTCJV1qJyCMhI1qeK3YvIjboLCkFPi6e0p PkPHEphkDRHoihQ/JrhJZsZ9yofTfqkdwiGz4sVoWdcr9R1SVCHZHccXSauYALjKjNkxc2evqnyU B52qCbfPcIbqNNQf1CDANjdpZ+6ipoBglIy8tQbOkndrn+EKiT9a0AJr7sj0S0uFAHlT3Pw29YS9 /qg455rtp/ILlFcA/J8PSkVuKG6XwyjbVnJlYJcs0yyZWm7Owr2glrD/PDm7+2Ft5LENTAKR8+Lf 9ssYywN0NcnhHT8GUANFaPF7fxLdH1AuzEW0Vp4zYIIEDIsTwt0nqT5rrN0gpJaKyS5LKL36530n KtwqL3USmgvtC+hTinwR8s+bOYnMyWOznaXVyQERMptnqNR2LCT3uEuhkZmqbBkeazUslCDBtBpU AsOJ/jaq0m9gX+1IVe8Tp4/saY37KJucjEbbBOyj/2J2h4JrUsPCkvt37LeiheE47j5CcIJSgA6X DktoMsua45w33+3K3jxsz9t59lpGq2DBclCAkiHv+0fFxYc6/nQhSceW+DiYshYns4RcQz2isIGb +2ShuOxaIobxzXc8M6Q0haRQpSeVg09NzI9v66gZaMqCcT+AY5aJiabh0mrzssJkCynyyG0/Ha+E wVBy2q+dy4ktdx+2MWcqWo2oxhbe5pLIaz9PgA4qHwh18FUZtEvS1ICXqosERynZiPc4RFBnssxL hkTGHaIPMtmNi3dlM4XOL/Jz/JQkNiXNEukd9obHXawkXodoMp1KL9PwWl65oDQ+vOqqXCak3GGL YBcNaebSh6j8KzYE/hM728D0OX1pGZdqOSI+10nj93ppVEBefhBOuLOjfdMjbLPXcoZKeEoCOh2D mu13TwixXCMgMMTmkRK9e7av7EBUHPjNg92hKic6qdtPp9AI8x4XnLuvMBn4nCqkhD6RkOOAEaMV u61Y8G1Zy2OF0HMREyh9sDAlHEdiLGZcrm2IEtk+jdJ2K+rmikemI0BMqEbHKaK1x9J0pWr+Ui6t Sez4vvGH/bMIM8osJOd/SlvG0591kr9cOKitV6GOVetroiS01rgIm33ZjV6Iy/nhrhX9bPG9PdZ+ W02T8HcCyiUG/+nIcxOSWXNPXNFsJSJbS3JZ1KrO+p+eiyknPaThq3xI4vgV7wtHynR2tFRtnHku EKua0v6PM1t+J6TEZDuseG+k/lkfLXy9ZhM/Lfb27dPMSkSMjjUcP+t7Cv0SRhMEwnBnHfw9N2Z4 dPZAP4PNE2lmwwG78Yx2Zzkbs5AYS8Ux69pX9Vn+OC8Jue/0X/6pDkPcAMZ5E7KymNT259qO925/ dQ2W3Rdl0uYYjfZ+9llrqi2qXBayF0DBSZVo/DJgl9agzjFwoRO5WtS5esyoF0hLeqSChUHDN0cP MwFbNfTpTBNPhqG85eBIb7W6ZemYkA0eeLyKSNdi1d/ANOQo6QdjQWpXYaN7eFgJmLLOVDOjegvt AAxaxMh0CMoj5uQ+JnAAkTzioDtjWfq5lwqk2YRKRK1P31JcXgLwT7wT2t65DiEKJ5Ema/DzSwpg S2Azq5kcd5pLEQVoqWi4A2W3pKty3lOgkrxz3PhxNZGQ+YCVibXQTvnylMKjxCPWw7u23EXy+tPv wsFQZMu3OL1he/YlpsYQR0CI+K/+7Q7Sophcgki/TJLSMULER401aT2vm7eh3+qG9AZ6ZqqvUcrB dSC/ezQCSvNy/PS77axxIsT8hHccCs2VVUNgFji0mdVwS9H1Kj/GAndS96MB60OzNnRyzhAERHcg 6cmgD7BIbkwiiWpNb595bYLO/BUb5PNx5IBUNo8raqP9hqCh4bUT3kZoojRlGFpkLGXSOvHeWQA0 +62cje/AFYpXvN8Vzp0lh5ClvMjSNR7F5MGATSCbS+TNcizrOnS4k/FUymKueZu/50ukMi2caDz+ 1GcTbHP4yLm8B1hUJKxXVuYs9EsNj31OUVD0uO+4eEhMy3dZRwpfXcOycVMaXcc59S0dAnpr7j8e QHQta30KC+BGF92Qa6toJbUr7X0Rx1auQipVQ7On1Tm+32wfiRP5LckuSv9gORkhW5udUyXn2kMK rpAnEvwIgJG86yEI/9lGbXT21afj/sUYGbG3POvANW9cwbYvDYSzKbTtumn38CfaKheyvEUpLAoX 0dN02XL3hcQ0fetm5L2NnsxqFVBiLF6+RUVBQJnFhDbZSx2PFRyZXRvO7OYLGb09SjluIIIW7fEn pjurvotK5ezFl+YxhUlCCMixZ6UnjymPV3ULxWU4Z+1xP+QcWhS7XLjwhEZ8/NMAtWY0O1F9s72L bc3THbLtyJbvNThXjpHXdQZOwXIdboclt6rfoS5nMbL4u81JMaT1xcVO1EdY/1wMYP/LM5Gkpoug 8e3LJewn3chN/WU/brBhW2/DzDeshJPHwwA31kSEv8BQjZWSrF76LtMc1zSuIVWtiA+WckOszSQy AuMLtWY2NEMPIqPAAKC11pEsOR3dR2Faoe+cT03eASS+qsg8NWG/UzIxmCjVG6P6M7OaEWoQPd5M 4gLOExcM6L6bvvE5LjHJ/1ZnmTyWspWN7PRQzQVvPqa7Bh0Wa8jRzuXxEuDOXzhEZssm2MZcgVxy N4aND4aPqg70+FfGrRsgdasGYVtCZPSqQmS9LG5rs4ZZ25VuDPP6cPuPDIR6xUSwz1zQsKYl60aK BLRaCXFzQRC2CELV9cONsk7YL8TG8S3p9qVSWUapB6HhOPrvywgv1OPocjK7csBwckftrVz3KFda hDoTy75V+7k1UvWjJkElS5mdN21Zxa4Wg7oNrss1hYII9vh9Jb/jIwCAL5CeYXm1B0SgN9Lb4vpp mg1sWKELYm5LBvin53KnHkUnWqKIlymGpxfsHjeOGjg1p/idQOJDGYWpP5A/qbneQiIOafvMhbbF v7UoSO7KrblaLI0Y7ESZXq0IIEuSxbRkhPaXGXncZeZkSrAuj59oVcHOJ1z4tfrDXKOImfraklQE 3JF9WBvLKxPNmVRBytguOSFxfRsYYnEnh+v/vI44jtC7wBq0rGH3PdrhXe+mdQkx7W825jwGFiUA ctu4uItrD7jLbN0dZzFby1BmTvjzC0uFtgMkdSinEWqTUT8+svhRxdunnUFIXfUl05mPoTp0uxHB KwePYdAwzG1AagZdeVtmw1yBh3WXITXWF3gPfKM1X8G93ZCyc+hI/xB9UY6f6L4vuYsPmtO1oo35 LJXZkOa+C8tzSr2zHOzzL+Jk4rxRM4UZmt9CMxzu+ioUd8quJtb/V3WcrW0VGleJrDEypq33YZsd I9RsPA+kdtok7h9vXaLrH7YGqcSmqJLL7KVFC+q+NoZzWVMmeZGUOa2rpEc8LByAJTzSmVpAe2oe 1DryBJ6o9d8PTIQnV/6fBoO2oXrFiSYwlzZdGBJb5mjP4mAA357OM3DieIF0YpbatUk2OfW6xOFr VEeFkqPdT3x3lx/2s8Ly452iUpvvGLcqXowVmWy+sdZq1iuPs2PuKqDWmHR7was29QByJUEhbEVj FNnJc0QmaVAFueTZhFFXf/GzKqy4CT3Hl0/QmzQ3L56pJlvr+fQcHNM7rgSrvuD928bbCuuP4uau 5SR0PBQQgjsGKws68WTIBAZHyXeRfUt9LJ5KW+5O6iX7DV032MyIcPKQbA+QtFgUrXsdZr3Sx9vU fXb6XwtXTWEcLvO/WBhuwP6VS8MTgbnP8e2xGrQz9R85ORJm67W6hXUspEaHJr+qRyv+EkFDTUH9 YjJWUlxPkJ+co6CsoCUfkZIsmzoNfMEMhouZcirEWe5BWhTS4AMrf4UCKg2maAVEjIUpYc8dtQSK 5ubAGCewOILKh9gJHt25SyIv4Zvi9a8X3CwqRHAPZwHc3vHvreTVBORg7QWVMzQ5PAVD+wTqsBxD Tl8hLNsNZM0zjNN8kZj9VPu3BziItgLhVRE9Kg/6t65TnxSJ/FJ2kKFNBWxWa+PE2jsY6lY0xMVj 3jgqsiIM9mGq+/GsRgOcPYY+lI4Lfvelm/2ITgNB+wEuZ/SlZOFUbkMVo3LumMoWwKx1OYzt2LO4 hpOUoE+UiSNvlC+na5RvApBLBm4B6WSLq98TocQNB92ctBzLWWCgqpRgFdxOgS/zOHS6tOc22Mkz 5mUc9XRPYTDpeA3Fo7/XsHtKexTFjZaat1Pkj1wmuOTTjyXWJGLNpNZlYBhJxDcV1acHeFRCfVgK ky1YcZ+3koGlaHlcVXiuz2fbK2P9TFd9tc0pWgkVo6a7LghRCDwoYHyBRA7EUm7k3znPvL6uuxlo TRoil2OR0rI9eyQvus7zjm0tziJpEV1faxNFKHYUCJrJ09JpRho+s3J6SKvqsChdFvADWf+oOpgd 0GXhEcTAoEidxiO46/AVZEmmQl4Wo/16OAYn42XGk6yNAz45GBm4SZsccO0OmllEaLN6iLx9aHWz V3WFCktHrsZzP6wp/ZkQDFWHRfX4gCg9RsdwgiGaeFrDbu8nCCK0Dm4ZBjVBwthQRUXDX/EVbleC YysV/W0xfyK6guxBkchH0QfDgh5UdnaAxTVz1tL3IO2Yt93ydRGg1zNO9Ztf1DKdym56bCzWywfV rqdmzi/y9lh+xpE4rhMB5ojYu8JfowqGkkz43a0nzqeFsoR0XrqglqL1i/2x22CBvM5nMo69Ivld HtduUYq66X9xV7LYf7YwgwGsJR0jhZecaXGNNk7ljzzuyqfb32/5g7go8T0uj2Nrd2uMylzJixl5 TZbuZsQeDPZg7x07Tw5uc7WYee3eSBttRMY1zB/cWwqeNOcKh5dKjYWBatTatmmVN+4iYNy/ztFA QH+dUF/VPfeSetiYuMjMMNz1PC0HcZj1R8hEJqLn7MZmu+BTxu0h4bMAGDT3w8KuIZusyzjPa6nZ i6+aMvOtO1OGMBLfu/V1C5C7j8T8sj230DPEFnDyVYqIimCKYlA/OftvBukbFzNaOB5edGG31iyy CLjQphhENZ/3xCv1gilaLD0W6E1gFjBQNqIUkxov1C7J5byGIiK45OC9dMx4w4BvHgYlVGRj24LQ 1tzS9pDCy1OfuEQYC88+XprOjGrIaERcZ1Q1H7ICnPfISPOWOJz8qAggGzWAEFq2/pN84G+yfs9r Q+oHTs3dm1p7RqQeI5B6xPbhvc6w7FQqja/lwTHU5c8DD73G9eq1kBjztiFs6D519he/PqAZ4iJT 5g+pZbquZNFSlvoCoXUnHj/xwnsBF6tdNHhgaaNSXr6XsxB73nrsOacGz80SyZfieaFAsPUGYnVq GUZhskk2HVpD2gxNAhYMzqMUYIgIiwAvnYiB7PAyK8drqmq6scSV7Q2aqUT4rDv23YwDYPJ+v4Fn LrSU3Aqf9irkAXadDvdpy1HD5X82BvYv3srHyw/m8V5KM2KgfBLcmEyC5AGXp08/OVd5n4E9LY7A U/DNG5ax5qYXbb4QLW+0QUoYIsaWADa2kBaexocTHkvV3XrjlxuzeF4W4zvMaiTnflxnaOTfw1aw w+HXJkOgCuYhNjQVwN+WeJ57lIbzH3bec07A5llhMa/0UYCseaXOFIUdxLclPlCK/WCsRXrTLNl8 b0g1ZAHwtpS73LZnXzUWEN4bIy62MPsqeRzBFpYlGNiSAGK1x+s81sgpNFhKOfGHOyDviZbpM3xQ wM0nnYV4JZ/BYZ4apVE2BNnv68JZakKFJNVXm50I19zP8ModMnghup0jluVenF8Ibv2U4+DPJEi/ XNZq2tqC2sR8TSOfQfx0iO9tU96fttftMa6Q6ec29Eh/aRuXxT/d3zmAAhHSAFo6POJa4SH7duqZ tMT/Rqu2znEh5Fk4VjJcC/Ky9x6W+HYj2j2+riljv8ggyjICVdPKWWC/fNKCSqXj1kHi8kOFhTat sN6H/pEDNd2mCO7P383k1DF9MUlKd3y5wEv1BTAh8uIfuuiDibniWGBvk9CYyhEUmHw1laPnA/V1 RI3rpdbz79CXVUII5y07jA9QGKELb0nsJVASKG9jMFI8+/IBUMGQ3cgUTaBbBtHtPubB+SV2b8wF WcRFEyIWLvGiaHOhPpqiOpBik0ouu8xkm5yljydPFEedQZGmFx9sUWF1Z4pHq9fM75cZAMHnymdU DWi5RCGhL/Rk9uH7Irtu34Su5I4l8P7098Umu0bEG4CFXUs4+/SBV1z/mwytpjjZ5HpohKpz1Ylv Lfg1Q6UuP+02RoIUlx4fED5fjRuxMYW7aBzTgPPr0Um7SJ0iLTGribAC59u8sYFrv9ahj3JKLFlB hzVvUKyeNn1cIvJrmZuU6BtMuG3lkweSrEvKhzkkXjii7S20ldGaQD27tHcaKY2IhdA+xtLFQB1a LoRn0H8/WoZFT0ZIDoBAhal7RBO3rDF9bLsZlWIUR37yXDIMirRvJ5Omfdrhpo0PnfQ6XwozbKk9 zlFW0UIo8AGpomXvH8csBRqGyOUFWDF98B5WnwOF51CcwSp6y9h0HCwGEcYZmX4Hd/uby2JSImCl LCM5q1KykDx5SUv5qgJDvePIjsMEAhwPa9O33rJxuG8Zcrfx/s+JfMs/hKsSqsJu1TMev9+ehzGl tw2zZQXOrE3zfn/phrCqMrT5wETXhUHxkR7/8XQFodi1J6HnP1J3WrKtl3G5Q7jIEhcpsK0V9QwC DFynKnkmEUgzdoX9/DoNqiEaNhwRyuriY7SgA0UXpdEU5iCoJCxzp1K+aEIjgQtnacOUSmKDb5+e W2yJetYkCNTBMkQz8vqgJAhFu/Tgbudl+LS6yHrNfCOGg1ius9MzljcXvYJri2HXhgHwOl6Qyt+C Vy/eAD1QpJVDd8rPYtd4NFBLVPYUcrYoSSZRv6uGvmzvqgpnMWwLk+yQriiFS5wUMLUSUias5ceM P5auxRDDkBM+qb342UBh6UdQ0Bu7NH++KrTpaN60yYewsI2fHZZWjpFiTfBFmpn4pGDN8IXh+b3p 3QBmkjXtLMBxypxS9t8YoztNVobn63qHzdXf7qZ3gl8KjSH/sBAp2gK+lJ6I7n4n6ZqxtshlPSNe 5I1y/Vi57X0vJtJbWL5u+CUpPOpwQ8iH0HcC3E4Txh8QNc8YeYRsQJvx4WINQlwyFwZxofB36PIl TGT1fVpUYUG2tnaD+7bjW+HSy5jMT+tqF/Y5cUpPZWotf0ryc5EfjutKiDG8ngYnt2aLg48ak/Hc BM7TgNW5GPN28PMtUohXjQfhvCtOaLZBcko+hVq47YoegvhHBzGBrluOqD7jgvuCrFqMJ0syqVvo x2mbfRcDI9eKp05v3rZU6yEVXMSLNuKsRrPY684t9rW4L2qgsXSbOEAJxNxi0qgKwYvX2gqfJheP PxgldUDYd4bMLtiyOcA9BFVPwQNwuY3+f/XBKxJzQP2G0LiRJxH7aDcphaFcm4QV+DZkVmRLIE3V PXcQJzjVNuQ2vWOAjCJ/WYI58Mj7eDV7B7WwzFcYbL2WVIgxj0wmBZuFqJ1JkjpChoEwugSCqp5x JEYPX1DHJTyOCXaHs+xkkJOztgH/vdSBYD4hJa99EHjPkosCWSF837RPzaQy0Mevj2ofPvt7jD/v lNH/YFJoDo6v5m3g3hlS3TjXSH77xypixO98mr6wO/JsHoyUiYp8bscWZ0sJSWREnj/Ask6CCIFz czReTZr3WhoMGs07w9r8xVuVrWeeiZJnYVU+Js1Q5M4plJgqSRKvFjr5cNHgHU5Sm75jP0as/HEu rGrRLYA2UpWZaDJB9uT8MSybXdTQr0qhW+gesZvLn0oVDT3FxcvP2ENfMxZYaBVyDM7+IdbpBMzc TemDJhuOOija5KHAExzlSx7Wh1OkDOfKZ7evqb6vlZMC9D7XdfymDEe7Ym990bLCtiZxNDcJ1FZ0 zTHGxOQizRU61xTQdhFOXiMF85BxncVYNEa64a1uFHmZz4tkdVB4D9iFKBRhTeu7QY6LAZW1peoS TAsIwjcZVC4mkGdxBgITcINSymPCb/Ieff4i2PhGzUCu5CacOAbHnbdUbQTp4SKD1kE6c4vzgH4y Pfzfnv93aluDkspeIWupb9v6E3/bw7vog1JHzNsZbh/Xk1DJnZcKxHiEr/2inYjwORb/ig4Tv78Y 7D5LT7YQ4UdVaJMJ3gnMQ2UNyDPY5cosZX5ZGEf95o/s0essVpV1cV+0sl/sZlmhRU5b4UpTapez BFT9p5IYoolVXm7EtVzz3E9tmkMmchjJ6UbwkEvo9bh3svrbAVOgBK/cdX6JdRbfODLohueizKT3 ZJFSj11i81bThts4UVVZVVPRN2PmXCuVQTmqQs8xdumrpCGUvXhNRM/ioOM2Zum3ieAhc9sE+bKV A4nlAYYry1LmkvGtm1LIbwbHTSdTjb0IzJfQ7WfHVtJ0GIky4NeDzRTkHQEpNVb7MWDxKfIiNmG7 9EamvMoCwowjBD9do0qwNlk/p4WHzlKL4vkyeUUB1Wl+r6oggrZN3tnSEtaGpB/D+/lhWlxnRTne O9e0ZRDVQxD0Q/WIjYmEv4TRtNPzDVJmWLuaLBBQndF7J9qD3LltMgBFnAGkgC3PGE4CgsJf/5vH jvX7Oc7a7aV2Vu6fab42KuV1YNnk0tJlFEXhuqvJodKiIQDQoBFzZjqNDH92V8nrzVRjVk5XDD7B jB+otCZ+we9xBy8PeryG4mK/WHHaRUvvyW8wTlHNnFqzo5+uYLP0vqG1PxFcx38seYaRDgCzUyRF NtppmerQHJrtC5Ktj0s9vFxfyT/uhgTup+Isq8qjQW2PWCGGLp4fj4Nx89u2eCKdenytuWIr7q8b rnaW21TRoNp4PbOYxAo+7G3N5xsh3TFhlUHYnz9nfEcZo9jvIVz8nSIFKoR2OpobgADkniJynb+E 24Y/MyLUL0cAFpl2BP+LVbhMbQt6CZSNSnxvZqoNfR23MfcJ1S366RLuJcO5u30PdXhOL9WwMny7 GjoA2ftJXn4Zvs5rV4J79OWA/qwb2g47ATE/w3C0XUKL7iFBc5dBdEIb5eWlln4c3180z+mpWPid UL66MbAZInoM9VU5wrcek6V1CHTXMan9B+PXkQrnoGnOmpBCG0/dtsNESsRo/1Ka0Y6yrDRtdS4b jlF6852GirRbDFiVPBJXZxVHNWH4Rcf3n5GTZGEtOrSzJT63MfBfyylV2MmA4rVzLm267B/Wywty rfHOCafaXnMaRM8EWL3mf/2hHgzsWWb+RD6h0JCujamVBCDypd2jngBDpkCvWRMl1vc83MYeSX67 V2zYvuhA96Pbzq00zr4Zs6n/zo3ejJo1desGMIZzTCKY0JGiJfRN7zOymCPycTs89UMK+gFsmgWK 0+CRFmiG1YEXwcTznMTUiRwtDbKbbw+IeiWPw3f7iXlCbUBAjglgoO3GF3CYdbtP3oSpkciDsjSF LYS5PAllKxiV1x7SFI4oORQPSOGt6iQQasLXssz5P+ayo21pnI8r3GT0W+OzVhSUlmhVD7G1BDmi 6Q+uj4VM7pvAAzAcQk271AM6LF1h7nEWppeU/iDsTIVvaw7xya/yLMgJAJXEJ9tH7ivZmhiIphk1 H2cEY49IbNdBgpTFZocurgJlY9GBQ+rWN+raeqPdxt+Z5/VhVCnJCgiLAwIDLgHO7/ZEgKpaYtTE CYB+L71/3vjNG6ttMzN21XfS734y9dj+FvsFEIK4d57fOZNhW1p84VNTPjVIsdSi9h5DYaBls+9B +ecyKHmeB49yRDuihY69kOksDkdYBDDqMlitMaDOIBjQHiv7VibPdEuBUd5y1LrNQsgZ36gD6FzD Uu/2Cst/VYvUGlCsccOFFe+4xXbAZAUGA9T+V4vILzZ2apYOZiIatgGvK8GgMdu9WLW3WgU9wF8f IVeZEStR9PycZAzLfbLt+638i76HMtOtec1CcNODuhXOAxHxhDY0/V3ZOK0ZZ/8VQasjjn/wqbA8 1efRkoPpb//R7SSSMH/9+kB+XvSXZW5C5MGItjSgpnYqjXlGTqOb3jEB02cnNnrylii5Ess0bD02 1Aaai/deNZ/aX9laQCB4Ql7Nwasra713d4OMv3HRKCrFNytPomC5ObuiMCAJPhmEVGW2sZoocybs uee30T51p8tsCZRialQ6uFKjSoFZIPrNJeSzoP8XWPWKvZnHm/1tT83eTY/dKwpJIogGUgNsQ/qj NiKypOPbgTKSqiuvOjMjv1v0GHkOnPmv6gAOhuZ+Sv9jPtYfzt2pXRohI4hXS8zYclABG4zh+3D4 MnNlyB7pMTq7d1960i/6JvCG/iu7GNGK8P8XkIs/WalTPBXpttcHyfcnGNSXcHOBEL0DTrNdOQIH uWqjME+lZw2F+iReFCWqwAAlsG48FXYE9ZMOPrI6mel9fuG6xQ/VJb0wsMIer90SAG7v0Rme2Yh1 otPweg89fgM2GgE+m85Mt0NgR6+WW/v3XWNJCV42tvfZrvJMEfltJ0YSOI6t5wEkXIFieazJ//xY rdxuZ9kdvmlDEMRtnKoOgSDuIWeyTMfFIqEKpORyQGlX1FwRuIq3pHJEH/ah0EZPPyCr7mXLhg8Z N7hHxfN3jG3vv9/8TSFBLMgLJqOxiHybDnOSwLVE3uZYpJA/ZPF8yUlyfNYPZP7l4amqxyp0xbxF aSFsNQnGcD1ynlDbbKf2DgKu++4UU7Wr5eCushV5PnBgoMFIuiXsBE9B4Xc4V9CcO2Q95pED6P4Q 5DDi3PdFuBNQb+FBMXfr8Jkj+rmzmZuZ/2Qc9rl2Q6/Y/9Q1jUnf6h/38pLtIdBo9NIafBScrTVT 5ZYQ4VDTb1IEBRfotBYkgJd6CeOpdZQBPm3K8gktAlVKXMHvSKwwyEtK84BxQGfaAuDeLX+huEjj iKMirXuIHmPVkhxEdnET4bkl/73MBpTGW/4oJvXHLJ1OtiTjb7PZKBdq8XLJch1l188B5C576qu9 J5F8cpgkwoj/sSXBtvHuaxgkPzmCjzN5zYRSfEzQcxJkdGEDxuRpDWZvwux8ysUaO6LuNh2rqzJV l/COR5NmWKmtAudcZZioJnk7D6boO7ZMONRMTd5yG0HZKUQSmoRfDBs0x03Luhx94uEm4xPkM7OC sYfiohFU+o4NMoeAxv1eJIVXX2nmmCqjwXITOh9QPQsk+nL++ng8kdSwcb3x1cAIYpYvhFxY2c9H q5YIMc1hCrOtrU1y3HbwvKVSvOSqaYmCoXErO1pocA7hWiitPApSHU0kkvFuQxSu1X6vVvbWTkYn iJvGKPHT/uwgqV6ODNjx8Q6xpoonaGyUAqxH7udS2TW+qyJZvfWeZCChaN3lrpH4NAHM+w9Q51pH +j2z7J6Lp89tZ4SY2qA65+FtWfC9YHFx1C4qdQKK1yMmwiJAjMJJu2ZZL34wDnp58qVYd2LbBHYf 0+XVAvok8GC3kAuW2zsYbu0PD5607BlQV9A7DojViaOf0I4BDj6LHxNiC0kFDeQGaEgwoRefALk+ Zo/dv4+1HODpCJTliJEJIqAQRHFiEKNSddFtC6GapmMeR7JVXPBvMJXUSN7i5/2WHk2UAGQqflaz FdIOv61yNQgXRzR2dEJ3RpuycbhkmLvRh9PoEFM2+Hikrjv1YY+DrnBQe0VmOFJ5w3yoNzb9Z26x TJEc3T6sES3cAI3GE2h/3iNwq59btVPIy1AGoFwgM7Ru7wHYB2m+7gg6iDcnjNJ11fIwoja5My4v zHEFicOTBT2mkVW2fsw4pHm7KoYMy0HUxDoEzQ5dDDTOXulKjZ+Ho9dejlwVAXMXvIPbQl+WQJUM +oXhbmMBQ4RU+E2Ti3GSu93dmboonlXl+siJdsP11GhbVgIY5qKNxQzHgVtL0boqXnJ4NuAkDaAE QfuZagDHc278E2WSwl38iSTExWYoB8qNSkAnmQztsAg1HlIh0PWL9QogxRyl3ORU60mfMM8YKtP0 lebGD7UQlGnFvp3AJBLAyVnePwORX35frQV6ZBpZB1qo1/toQy0rC8iPVcIsba4aBcnn1RTDltHS SUGIqpDnFgQnid8sIPRUbqcfH+Yl/LK/E3EwIFk71MDIEM6ETksNm0xq9VCeMeJzpU7D3iFgGN1k EMhMBYAAZODnmvXEmISUwgAI0Z0/Opne8hp6mFSJGtLVZmQR8Tqg4pvPgqvLYieRYY4IjEr4sbG+ agLghqmJe2cwPHQXpdZoiCdDfaOisGqrOMO91XCDMAjho6GEnJ13M7M8DJHL0Y656ZsK/d+YbDEF yz2e+q1ItkS2dRzfBkdCwmws0MlkdVATsyd0nKw5JbwjOmFt2H5snjljp/or6DRWBi2wcqMXdr+V DgEY+WZ1MLOJgGeeR16d7DeYr298IEoOddDO70ZDCw8fpwrDO3m7O6vyN8MLQHJwqMXxbZQzMiYy Y0Pm7ZYqrxM/ajUvIRji27luxFjLzhAPqcjTkdzJ9mGOCFipy9kIQsaG26mq2AGRtgdMy5CWve1P nCNPB+1byKZtFx1lDw5O3aezpYz2yOUwY3KNDj4hv78ofnGGHmvf5WA+O6xB2+slG7YOJMOaGoiR BTl+VE5Nn4KGzI0VrJHdaaN1YyymajdqfMDPiuuAHVNzJwt2r/CE3GztzRDD2AG9d779GvkaoWHV 6yjNwV7LhLM3Ou2sNXDDQuObnwIVNLBmhYBHcECreqoJu1h0fRd0TII/OdcsoT3lKf18pBeKRDVr f9D0h1Nzh/zxSobk7bYx13vFULEEtL0pMeiQzxW+/aeDHbNaAkuB+YKrY1Y2AMjjmzLgEE322YzH tiprlB6sGLaL4kfrTY5Ki6/cdXTQZUe/gXvfY/fYqKRwNjGLNJOoDi3pusVBMVwuPu6OCqntDqza OXZ8tVJg69/5vwhG3ye3kzGD/x7sEDT51miWT91qr6IduoUeO31MVVYrPr/Hme9cJVV45IAa7+bz /3CIzLI7hJIc+m3g5jj0fk0UdWFhoJ/GSJlWPEPTcg0UpvDqDXn/ZMd5JrBliW/YtKvcKAMVDbxl RqEgzw88/SMUvGdN+Fdck/gNOdRtUjMBHZyDxguybuedZjxkwn13L/R/TA3QoQTxDARzzjd1eNBP YmXWAITxoJfXzJLFzklPcmBin2JkEdfcCEtZqdkNG+63ILCXee+AssP1E22ewBacGLvT2Q2RYTwl VsE9AzCo4E52NyYIdmiyAElBiYQG8PlUhTxe+drAwPaTf4mZx9WawYhCUoyDS1erEYKFneY5KGDi bKXols3wO9octrm+XrztSNSu5R66ofF3TKsKfHE90g2rSobV/jB+uvT25l0caF6cPNZv42csLFLY QJv6mgc0elk7RhAmO5IjKhXMJCGghnnom4Qm9eaMWnNk7nslVGsPWBpQqJ9kW4sGtsaGvYjy0QYV ICe2Bk/gXTVlRZhjs9TjltsiOih/RemY78sj8mxDz4A4TgeIpa2eFwGgYLDxOOTaoB1KmPg3Y0el iuQpT96LaB3J8xhUYQkMZT2unSU/KdOzCNJYwQY1tLZfy5ZTAq7wlKkge5Pr6V3lUi56/vAfvOnE f+XzSbOsEzOthuF59tDNPyf3xgmRW3onZjUQihDLhBVIBw8AzLBUqgiBfEreQzzmgt14N/YzShvZ IzzKvt0H/0e+2De4lnrq5r6ibCQLjYsDuUEeLq/IIRQCiDjEfHr+Fh84ma8vjH51qxyD4FE8y6rq F4WhOmPh9dmnmt0bSQQ1Q6GatD0uN6i47/x1DQd7vrkS2wNBhia46JbA3vDWGsV796ToZKlMP6aI ue/psx7duag/5jtbPUA8SYMCCB2UiL1PXrszcdtvH5hNYWizJ5VEn1JNs/kgf+uuxL/avucgwKgh NpAUO3rYs/XN2a7KJiyqkVK8QhfCF0B9YHLypJJF04SxilMuudVMgSa3FeSuru3hnLFvu0DvXmKW TK6Hma8PJUBFlYLiEdHpu4bCUBgT6nWoyhfDH+HnsEVI7VcaSUVhFWtcUqugOkExxgLW6Oy4cTev D4UxTpGtjX6hKUO+tACpcT7M6E17+VyjU84QFTFe10tjyrv3ncCsTDEqiICkTXC9fHXxGcu8/GfS 2RnDQDLbC6QvVSJgX5oLkHRMrKfdtI4QntPI9QxBm4q57M4dGKikOvFPvuySxQxSIKZnOgVojnmh i6w+mw790aI8it1F6MgLBNZvTIoFO8asN7rUq3JL00JNYIhecfoFe5GuWAzhiwU+iK3ymEkXw2SJ kfvqycACa8dBVh4IJ0smicrqwsg709JG8HXRZkHziVBUx5lc0nTiQ6m+fXwuFU6BATZ6k7yPFmQt SxseVTxf7MQlF2f3miayGksZQGT1VNqHGRorVHajAyoEgVtNYjjy5KoDSFd391rrVMyznKkNYpqY vI2LhYsolBOaTFnQUblfLZ0jalPwu5G2v7YssIjHNgGnhsB4kaQ5JfOJfJq/eY6Tj46xLOyJmcx/ QcLPrc962boCwLSsVkzK8mjZfJahY+P0whX+zO4gIevKXisMXhFVEOgtJnmOTEZsdty0hFRjMQmb K1l07PWdSF+UlKx2+2aaTGxqnncv7giu5mXKJM49QMcbZsWdRvWGWrdbGONe2U6LIKZUS7XixVbP mkbDEb7EyqHKUNAwL+xG1LEFWtru1ifWyyiZw5qC3KSP877eNFTXIZo7R7LIahTPpqA/Ne2S4K9Z DanrpSzE5pMs6mDVlahLTAGWl1FzzB6ljs8cktBK++2Rlnh8nQn9Rj7eWNGzzb6wugzYXccUZ4CS 4iCHV/G1IKaKr7o8ZlJU6W4pLnH0Xpnox90v7uoeuDQ2iZ0373ZWeo+VBWJl51MuuWLuwTP1nU77 oi1Hc79Vt4c28pv7wQTczFahbTqQR9jULFh0se3yTDa4goi9QkWJb6RYGlUjX4BCO8uCDoRYt9yj 0AsikspNYXh19KiKdt+dK4r9n4SRw8WBFRHwDsarjIeqDLE/6uuXkZJluI/D0McjUDg5fMkbekKf vTzFQAtbshsarOMDHD+Q9H0WjqxDRxm5JbHlqXoNRn0/UtOC9nXdNkxMu7OhfFyCGNr3brgDvqAY NMIRXcYF/+Bi8OnSnz1w/S1jpzxgT9brcavkmz0a0+w06rzt6fDDDmrqdMjj7jYm5+hE5kWHi+H6 4vempQWORPQs/skTVUHqgZ2HqrJwAsvpNy/MxVlxtEbQk38IqtUz8k0lLrd9hEKFUxnSN1MhDm/T VCv0TZtFw0VpvzlwFORpvFXGBxML3JQmUP4Wo5USQL8nAC22Xj+FXEilw4WnfgJjCkzb8cT6iX+W NZsjUxpwbpqsB6FULZHXHXuxNJhk4fUV1itG4nlSADKGaoisMmgGAG87k30Se3kpxnT7ounTkAIO Jfh9iS948or6KRalLYp4BlvcI3mYiRg5fMdR436y7SWAc4dDcGBLU0Y2UScPhpN7v9txtbcWjQht mk6XPHtQ2tZl7/HigDa6ogNyYH0jfbMrAzVEJ7HEPXBn6SymjndcjtQutlRf+tYJCVTMsGP9nThx 6drR0gLKGXpSKLbv1loBHjv4WiMoKw1hM3a/k+4kVilZKZZ1K7EDrSp/fvzb37UdVgz5pOAKENhL 2jC3zTd+TtbMaQ9hDWmEnm79lmTWRUwyMfovJcNIKnXXYA+q4Ir6kmGu8oU1Ih1yC84Ctu/1BW49 HiJcxL5TufOc1b7gkXaRvJiUrch3SWfllcdBMqgr45Pn7I1umT/QtpFaaxNPf9qxfEHwlJB4hE4P FZjmmvmrCJI+WrxbhQwMKNbXMgSqS3rgvg/AENYWvqdyYoOnREKLESFhA4rKhkO6MJVUnm0sagHd 3vK3LgeRbxlrBbZaHuVqnoMZxnEBi8P+MA0Ae4/aXkQm3BdDQ5bIrxAkB72yiXSTDuF6d6daNCgU yTFkbpPdc6FpDIVQwxP/CCxeW+ctAMnpjcvBh3EdXCEVvH9yLHrcoFxKrahyhNx305hj6HsQrCbp RtXRdJq2xOVokquQu4Gj987G8Y1HhFmMOB5QVtnSYLcVf4yDmgTD3QhLK+N/kqcKSTmr5YQ5g9zL WgumthA8msnYdwq5c2KprCax5ZddlzFioN5Ood1pLnLOm8ZyOHqb6nxbVjZHVX16SLPOHu08+Akp v5nmJNZOumWJ/u301FAS4F5HVVgdNFt0Few1AnGiAnFncZ3csCR6Tb2BCIFg2PlnQ5fUtMfn7FYf wms6SqsRy7l6vHLl/9EsdyHk9rQQNLxfn+P2Z/HqkCqgRppI96AAi4PlZbTB04863Q+0x8XEoSty 0jIdmQ8jJe0MV1/VdNDqEsJdCD5IjV7wuWEtCaSXijncBK426FIdFOxymMAuenn6DKurkab/1VP5 yQPWnwMQGbd4sVj44dhwo3Ia1sKLw1EYsWmCcqSrBB7gTGMK7p3q8eF1wpgE7L/JK9GCusg3Mm0A C3fPcU4XGOP2iQfztEfi9PXR69tR7KcmrFeFyjtbKrfdsmsew0zBydhUFZdqV8PIVBCfkpz8Dg/S G9afCtETEKQZiDIEczGdaAXBu0l1Pydozhwmep2RYED862s5zASW4GvyGDBa+q2T/TkL5OqTZl44 naZWLOdTwSnp0hUCAc4YihdVgRZeZiSmEW86N9psIbj+Kys3NfGsrg/53xvbAi7GUaBuZijZ07lZ 4wRmMRXpkOcnZQBtqgSNgZSKOM76ucSNiAZy0r0ZyCWnfGCjInT0N+eyKquviu8XtQInXCwg/9w7 gkrfZ7gdoAJHtXvXuPZSCvj+fMjZ1Vxv+tcGOwUYw8kWpgifOjTwwAgroUaTonY1v+Vu26NJtRY+ 2xT+ZCGmrUgPx6qyBvVyadqxp3Oxom7M/LfLLaXvxMmuEvuLKi/MmVmDXm5qWc17PLr2otiNYJjS JY6178oFU7XNP1bVxjSmdvGKuUm8sRVGyK1kKqcUxg8+TTeAs+98uLzsKgJF9MrrBbFp9Bj9gZrl xf147PokAMJjoFZUBgaO96OtzlIdX0+VBsPuxHJ5fASTR7m6w41vmt9Nbb1F9gJG4IC8aoIT1C2o 8gYOszoPPRMjaMw6QUAIe0r2vs+r53Fyw1hnrEdHCt+Fh/Ie6CDZpwRgodK2A7BvuJqYJQxOlCcY SNIg7OCgB4nU9GDn6XwZ4SewzQnR9/tfaqX6LpJ56PQ9EpxpcZzGmbYaPZ8v0m3d57NhfmxQehTi lv/Ob/f+J+I0p35Nsv42gS4F1FQhZ743hCdoM8fDoMDoqVMqe51u51406aR2IecZLAkh0g/0qFjP MjfVoOCd0LNGNCntejq3cxfMP4rOPkRlHP1LscIgX/RDGYANNuAD9WGMuLfblpkkuan82VpRr4da tMEeLTW0/GeXvfZstMvci6D6vk6mfrmbdPSXdATUBcNQ+Y3RIPynJef+2Y58+IhZHYGfVs6KzduI l+KzB9b9pzNOgygj2qVJOPSwoF+nwFUNOrCyDk38Tg6uy9gvXGHgHnsjsU/1y+HtUF+uqlGQ23kn ZDlIW+8ZJNf4QOJLSOdsD9UHFQr3ulVzqI73IElypWXGGUsnfEWWnjJV7ArPMM6oU3jaVBM8PoVo VqHsENHgCU9msmLPOS9mr6pqBlh2GfKslhAzHPKgaNRLcE2m7u7qbDCeHBars4Hb9PuNqqN5aWfB vBywRxxCnstZpzrfLgOVFZp9Z0pvI7klKpuVzgR5FpaDWHx1wfSwbuct7yNQjz6EPLjP6GWuUOMs b7W9ER+mOmDKva95d2aH4oH+LDYW2o74H+SVYjWkywESrQRJmfQOGnNurxeOj1Cog0E+Ymm/ToPv KjaZeWzez0yuAU7ulpb/CWjNDFrqxZ1gGqS3UPFwkQYYKcXSdTjLt/f/JIhR581ks9X8s371jD+o NUYodZzPD5fBF8M81b50IihCAQAf8tfsJ57ttrtAgQ7jIjsUA2HuTvgPOKrghjGOEeWh2tQxZU8x Dbwe8+2umKL7UnXy9UZEpEga0/ovA1wfpzRzE/WXO6Gcf+f471o3BgWNjwEpfLpltwSUm5dOrHoz PwJWr8a70YN0wesMT6+5/0wfaiBzIyEZULoQniSxhG4tfGLhsL/yeotVfLVEYyxmq9MnVWZ1VHO4 2RQaV6U4m1YEBizkvvyIYAbq3Q83rdEPGC9adXYCkCl+lv6PnWbPFyqVOF+7IuPt+LRHj0UKr/NC CdsC6Z8lzh6rbr0MaOu1RAdnCvH0/3XHgw53pJhneyJhZej58HhSCXLmmfGNQu9nxQsZ5CM2tEVY ilWgyurLJi4DeOA1bqFFZFWgFsbQLAZ0uGrLJS7rcBib+4O46BRu9ToHz4tDzRMek7q3GzU4pR+P IX9yYSmiFo0rUctfMpvPD57PmOp7QLkjI42Pm+5aIjwJct++YyVhFC/a2FfS4wxUQ0gGNtPsBfg7 KDhmkVWhtVHckghZkdh3bjJouX2jQHvpTiE/t1cezsU3X+ckwk9z3JyO3LsEwTYAYDbmPgs/9Z8/ 99A7rebnpeYU4PWqCDQVPjL8XerfEZfCbjo23GwFtmiwt7YwUh3iBWQDe+XcN/LircJkeAVyaKnl aLgY8aujXzSgGlMGBseKAsMT1AT0o/iaaS8Rv+YGwooT6E5FQ+2PZE3N9vw0zeIliu+//cKtgdNO UA08Yh0TuUyxwZOuAPW3DzXcNoUr2RvzPq63sUlwlUtf5wZZqXLIDGl2juLV6zg468pR8UWzWkG1 8KdI+RaNRWB8GmHlPRdJ39lUrXyKAwhPt/1i8O3ubInRFY4SncmVk+DPU3Z0OWKv4HTdWPME41pa B5NNmZocfK9V5ajB8TrJnNKVPwjSVdYRChMlot+29kOfFa+um5Nlp92S1wKxWCwlNbQdActTLqDs xvgbvQbT6ZWKttnPEgJ8JupSW40TGvp1Jfmn2NA4l2L8YNVAM0VXkmIkDHPLDTZPI6yduuOyxWjH XyxoBgrYJv/4zgDsst+713IcyYGtcpQv5CJEx3+IK62S9NSE20isdY6Wdfg2QW+BvWI5s1sh0fRC vjRwyeYiiRj0/iNwC8vcU6sDFHesUkPAGa+toI/aaY3jkn0g8TGubQ9Wn/B6zxHidy9VPnUX+nhu dZLJxfzJoPhgDVQMyfHYPW4lSSyLAkzy5UfQDAnpxcVD0HME+tsryKsoyCUW96OOnl6rFDpvHG2A LB46KVFXlR86Ptq5vYNinCh3yaxjbzzxI+JSNEFZaAw1WCto8yWl+kUps0m8KCbRRUhnQwsmgWMc ygMfzMCy6DmgXxrnbtZVoi+yDWEDDxZ70OVQ3m8zTwnBTgUSxpr+Rl2oai4928FTAt6so+LoGDiS /UpNDuPMljmxL8r5G6F7qJnQSVUGwyL/MwnFVs1Lpsuhpz+d2VU9OJ/I/cfRKoBvqf/MzMp+YO6m OdMGf7ptpPJKOoKZZJuqNGyoIED2X7nGGjMjHM+AooGLnRNzPKYmg2Ta6OrGPz8KaRvHfWb1Rmii wOfoh1Ey6CYCcktd9Sv8xgr2UaRrmuGS9wF1IgfLVQu01KVbfCeNUNHzx+qE8pJxKom2vVC8d7Kh JzadoF/gpcY4gnvhyBh+QBBaqtt+1U8JqUxqh/v20GfQ0s5VMR5lEkZ+c5HyGBwjifxyI3VEcoBW pV4PTpP1ZB8ggqp8dJcWW5/7nQBiOiBm9+Hx/GalWYpg0fblfiv5tA1znTMhuWYVyOkbsmn8RLwC nlDbrV3sl0sWGbKZnwiXt9HNU+opg6AsRXp4k89hVDu2PFza1sgK2Yvfm/hqQoS86g/NYnO8WHZe F1h+fFxyw608AiX76LgcfLhJFQml0dL0Fk0Yfh0gqFzsZb4ZTpPN2ubPmsF3uSQGF0xP+Mts8TA6 XF6iDtGYZN8oBJhD5mIzC6wzrnGGPejKRQtQLMOxinzmkcKtVymdWTJ70pXGu5LbHCBo0alZKUNR YQwhU5fXdbnfyeIGvL3+LQ/NoePY1zCA31Y3C7wWCszQG1+6KAg41PSKvo5bNsLEIFHLdostlwg2 445Nz0r+cU2OZYbyNP8WshN4nvq1BGgOaAdYD+OpPsiwpUiswcFuRBaUtCm17ffSZe+TVWTGBZnz x+2eA8O68k8BZlD8dNITCMrry+JadDgiACuTCoz3dA3D2Et3TOwQjf3ojIvQJTUCCG0gbOjhlqWK n6wvcLBAW7Fnwll55eY9s3zudNf6BU39qKC99AxEqUJmeFI2wxY60URIlgkMs7Be1y4JmAyxvSzo ZM7xSU8L+qxdh+cK/9PCTsgXnz/mfOGMJ1BYsCtNQyypuKNM1FRSf2o9Hdc8o1OysKeXLwu9Monk TSyHc60m6pM1ExPX/Mnd69cDo0YgebZL6mytprSWH/ypqdHlLr5US33BFOj3wF4lWk3zmwpxbCTZ +svUZJTG5sM1jRHisevQ8qSbNcm/fR0m6sqRnHHRznSS9iPGjDjxXeuhowmy/7oMkTRslrqSmztU VmhbSw8Apa7+ztcr+S3mgqLIqsth8DU5beD5/7SUTPCPA4rSdFaPdgYqDHYZIhE/wlfcQTXCqYo7 xL7rqVUEILjTu3PP2NJp+Px+Nl3MdaLrM/+h8sdLQEWb/d1gVuYcv4PIYJ3i7JAFhviN0l6kFoEq hLev1CDQPlvn+KdZt6vxdNrxptRP6nAfu0dyS2lS04XJV0xcdpSn9MaC2adVrlYtm07DHI5dCmXY 5HfNQf1iuZNxPFEY3bBh8hFULTyIcOR/g5rnLDRtcv1eXdWwqpUgFiXcE7kPIGJBkmLqcsxyhyRJ YSxWba7nUsoVHRyK7yJP/GjYwSyMJXIUvT443IC58PSH6qToH6GoJ5cCQrt9f7+CrkFCoD2iXCWT MDWWoNPjNMhbAtQIzt4q4ba+o30gjP8sQ04Lw4tn+6dbAK9Oj5Vx33yXgepIYh0bJuB9Luqjsw0I K800S0beBBsa97fVMe0sSi3kU9jH6yhwPmfBIR0q3Md1Vsa6FL9C+3mGtUy7AJKqsrsI+mbejjPG c+cfedvVbqjlK3545Xsu3vNRiVrEP6Gn+w+I36Kf2lp0sWZ2TBHpOpNDVgr6GEMe105mDpj40hHv 31R8bwNndSEYNghRsCzk8zaoCTqD9lvk6xB4EyMMPeFC+ONPHx7HDFgFwfkixpqUwclJcUjUaWcd 0+C5ZTzsoDUSRV12KSZtdnN98haytCcrcbWaxdCzSxkimCFk0gKr6e/q3M6ZyqDBgFuBBVzefsp6 vPBOTA/itc3VXX97/r4vkjc9ms/ghTts4cP+2iKB6KcvaQLeDot4uKDl26NPU90/w3MSODosIUHj ffkcUmCkmMt3ksypMQQV3x2QjnpT+HfGml5c2azJfY41YL0aoE47NK6//Z5OJzXSgHgA2vMN6yNT TEvUxpAvsE3O5U3oyjVe3nlUfh/V4waLb5l/dusc/a2qBsH/iACyXpcmR86dC2ZrlcCZEfJyUHyp um8Du5uobelf3ZbwFZer7B72jkPGrVrET1KQCGkGZS4VXF9aXL7B9eU59Dtqpfm695VCPMTZY99q CMeLXBF0lV0ycKdq5xR0d7270IIOkYCKLG/qfi3faRUeXmcSD10uekxnDfJzjzCQxipDP6641SNe PtqVHkHCQm52IB+F7RKVanM/kBpWbFRZnurmB/BzixwHKx8oq7ednsaygtAn1KKbEehSmkY3MOJP NF7cJHRT+3Tv3WGiTXosAn+iVjuhQfwrf1VnHFNVliPUNYXzCIxxMRFP7sqbLRvs8olgpyvidtW5 L+4cKrDXM3nd3/Js9f9Kh2w/gG4ORmMPHTWj7zQqlEcoKzTrNtNNw4KOwq2giT33z77+n+Ww/WOI 0Yu1Ny052Sp6ozZFZzsIBOZ3TWVDhlPtinDTdizSvmv3teMWE541UT7csTRYSb8AI78Plcpobjhw BiCvr69HwZBMA9d0UnYlrdd9S4E6UjjzduCBXkRqHCoABxuu2YtIjlBdTKKeeVNyr4rO3YC7vDR6 CcOhcpoC/9cFvN/0gUltChrMoa68qOk/61lHum0jpXBS9JboqANVfuop2fwyjiu7h1lFrHY7xi4Z EQZPJhe2VKGK8QjSiRwdTwLgpvaKlhpKtliyMu4mmsxGLpx+Wm8Gl/NYkos14CDhyvyZeFFo7SGw oyd31MOI8pDhOYLb6Hsjp3X+ivIX7XaXk3mZrSnSCi0d8o4SOinqOakx1gnBzLADn/dNQJDwRPMZ yTu87BraYij1utsWbs6J+YuhhLuLoyI5E6QwfyefmElFE3SvnuDfFyaxXmLebVy+v8wIvCzlYO2i LjU7TIk5VWdVtYaTBjXHQM7Gbx+HujwpW2nR3Fx0/eZoxH9OkiUV6EVhGWiMERtCX8YeWRCmEgfa wrm9bcq8ISRwa2sh1w+Wuz0VVAYyHmQvgqgKdpyqoQSryYgiD7BTMnfa6Zw5RZz+LxlkCgIzkzQv e5bMUEr46H1Uw6Vwq7YPloIU47F5LdwZh8CLL3DCbOLaFYg5HLhkz1cvRiNet8ml6Mw5Zt9HIaCm 2YrgOiTcdFebH0oyfkVcP5usQAsNAyiKyolUTB5jNwwN/vRHvbwmDIHiXvxtwOpy9gQj3BiXbuuB D/ejra9DzyPAHEGy9cVpKfpBVK/8NXWLzbSRTLLox92NNUxG5tObYWMVAkuB8BaczbMIXclYcKnN b461PLAERO2BQN4aVMD4wbDjLrGaNhY3WJDfvYGmNXvNdQ9mhy0mzRqkjXS7YpRZrSMj4hQz8XFT OceODXe4I/bZvDllMDOdU9lyG0AU2MfaH76bLy3eimlu4kI6DuISDJ2ZDd/x8bBhi+55V8Ln18jX ZbKhebCtzng5ggC3rm2mJdQbRH9uH3i5hvWyWKDHVXnNz0VydCsrCMg5GkfsVJJpE7ZzAVu/XPGt B7ZP/GfRu0bhyzWaTZmEbhqoK1WT7Y5CeLUuK5qZfCjJft4+PvVsDs9MPUPF71IzrvP298syURQr yFxNfRPGc6tLi3nbmcK0YbbSxArshl7OglRPsFPXVP7XZZd2y6XAOycSDf0rs15PDzo6XdO643l1 UNx3+OUiYN3GCHfDfmhgaJ2Z+ykn8f1g9tml9Xz7JJ6BUWS3s1keGLg4FLlePCPasUA49muI6rLV 25wESGS4ScZ8E5en8Hm6fnOYIZ3Wn17al4TKYLp+5KXo0XCFHXDMe2ar3M8T/FCeCgTROP6ow+jn GA3CZgmhtw04/sS6OEe2Qbta2LVV2NeUkqs1Hk6RdQ8FrWFGM56bZt78CzJg5eE8kBrRYsQuHa6I wx8qNcPh/QKiX9Y085XI56W1zLXQc2yV+8GnF9kuDdskw4aev3uV7ATcgryLrZoQqw9//n+Jcn7N acAQa3V76IowkJOgjUbJ09sWhJqG1BnscfE8M82JokFLSc3q0FL8w33sJYsZGk9B3ThBb0iX66Hp dbtuybn+5WNybmmFM0sp99FhszqenphM2cWNqwbHpbc37wvjRv9IY5MXJr0NXGk268jsAeuW/tKU zRRXk4Gv6HUyg9dMQTL2Ufq8bZ/EHzVbpeA4T22AHPr3L3sZmPj73CmoY8itGi1WF80ElZB8UqmY NMZdKJ9MtJP2ZxCAD5xtwWE2r3os1lW9iTHhYBWYsGX0lGLc7v/IxdGmr/4eILcxeSpuyk0WoogM P1yFIY5USXfczrux92TlKA6yNS620/XfneKjet4UtyVJfiDEIA+hUXHPv3qfnR3CO88K0Pvc16VV Wh+2jKW+Ynl/FxdPOvmu868q/b7PrRXszK/gxmt4BQ6J8UuzG5SvvZNXT8Ap6ijMj0FG/41Qwy+l /BUcxThidPcg1l0ho0zHcpUuXEsMCjSe/AV8Xp3Hfusfzsvj9e91CI+R9gT2sLEvPVa2gJFK90pR tWAOoSdbBrOfxLnSb38UuOktDvoWdp9i/T6PdzY0N79PPAm9zHsxQW4m/iuS43ITZ1duUBe2zwck c+sKsV8Jo4tZExBRl0vjRNU4TACsdHkikPYo3niEGWLc8ZtfSkc7QjRc64jdNFsR/tfFPXWEXwBo sQAyxWB9AAIrWiXMazk6eNQnI8cxOiSMfXqIws1+nU1EcR2fSLgUjw+6n/gPLyGfxVhVcEBahZCk n51mW5Chd3Tg79AQRSUfR/eSrt5iPPvhXNJEnScO/s/6h1pGkBvJ7e1oTSyOPdxOzhMlB8uXKkL9 t893pQrJg415UhmUsuElt8SgyGiQcTsH7sdoewcNYs3aVhR628Jby9wWCGJi1GyFO+V3Qtul0ORr 60j1IyV2n4JzjIMKDjOo3jj8eyt0H9FU2LjS64XDB377XiOuAYQv9uvWE5+5K8tiTUhTFmCF8M3K nvmU4RYfVn2rzHID6c8V5bu6NIA/qx5EWkL8P2Pz7noGMf4g2fkAdFluROpvBIUmrUGmV2n5U7ab ueznNWLUZ+qCY6CJQlDyBtxXULixtlPP+nW/wCppJ8VUoSErR6do6ZJt/Sxvr0RF25UQ+dPT0Rkf ar7bArlXtgCOSr1dmitNOMFu8bbV5B/XNesdqh9ENAbvmKQDVkWYuXTp1mufIUJIurOPzSnXh8CH nz9J6pJklm6/EPX6Fk2su3BZ+zLckWzVV1c+vlbh1brM5DU+24EtwhaU4aJkBNV03DTQ1b1vxco8 XWZu4OLfR5KqPFJ+H5M4gOViBLA7tHBKOGpf7PwFsw753bKGcKhVfEE/uD8MdDlQTMBaFY7GDHUl xdgbNmgPye32YqOTfSG1NsCOu5lyo25jPRvKB7EuefMSCiwfhNKj55pIPs3Raqr2X/LPi2FnLYsI o4flmhUlWGvCgO8Xuq7gnKky9sPyEKuMJPgtJX7HZdlgnIquFxRNtNuXKXkOFOvINQ4Fv/+2vFi7 RuhVQk5kiJmwo4HluQmFzqG3mhfoenGt+WPgJ31Jhof3g0jnqCW8T47o7m3sE23tRbsOIuROn9Z8 rdiUalkfiMWVXKO5bJe8QYU1cF5EdQm1NqiYUvUeoRGXoKfyJ2NzzR7gxNHPY5kG2zgv6W7nENlz Zi9xEHBEkvA7J6mSiaGeu295MFM9jKeJr3MAqFWCM7BhBiikpBhpHTXVOXPXe7FfqEcnFRFXjFj6 r3YRgREb4cjA+0qGaOjnOSE1JYOZ9aqswHjmt9qzcOUZDtyhoO3CSGdv/MeJY1zgUF/4Fx8vWw0B VXGiTuiDwFxC9NKGYupxyh7HL1UTNBCvsKkAay6Bh+MNqQh2lFVgHbJQ0fi/fjvdpbaqk12pYzec Q/5+6GLyZCP6k9GUO+JxndhUSKBnme2ymMc5479Rx4zjwE6kahkd7YD4O/r0H0VrinANqBbRkhGh oR88n0M596lT6alYLRs7cHx24NsbeR06+taQPx0aLAj3vvj7DqxD0qn8V/QwD4wQ71bepxhkqD9G 9wrDXk0hLPadsutog5dsmnkT9Y8QAkjOEJWauxNkWbGF/1RTG3Mm86CXaLmn015nqErnYT7AKtRu SC7PAHt5M6UD+xOjclbvlmRr2ONaPeAZqJdDFMWsLD+VKW+sydZhl8+flU3sSrfvMBZuWEU5wtzF 9Tt7uodU912LgOM9ciXN/cwQPSfhPJnQ1R5zBD+CugtUU19zIK/6RCmWl7CB3qujeBum5ITWAUxY 7JFLXayzCJQMw0a6/lYsVKd5GfFWcff7V1pu+NUfSCecnElvVmWnyVnAU6C9RDJ2rD/tcGdR707f qfp/XT9HeK86OsExt20q4Bqz0JxTdt0MJl1CxWakQ3dBJMhBSp4PFra+zEkcCZ215+Y3WISk5gDc DPP5a8ljvVuwrhxpr/8eTuMdrIyGu9cChe2bc0hEOU6QRH9+4oDPL1q97k8vlKxNOGXVBYrbiRbI XjVItywzqrMzVkS3dzqFOgJnOrL2FR+J8NVLJGpsCle8Pis6n26YotzS/8B27/APzi62tb/j3BeT rEMj8quxmInbZD2gqkb1ZmmMzHkGR710TQfgGBr0c/NTTjIJufgo+mbYBiNUPq/lsNIEl3nDpC4d xYrK8XH1jsj+vH4MP2xs4UmwaBLZxu5Elx8LXNg3hnuKzFnHny2lgEjhIbVl/NAGHGdsG0V3+5ij U5nIE7G6nUyByyNo/40OQYNpoUvwu5eBvIV+Cve7pnhMN7z3Jy0BaVvXsXyDU8zgwR92v7amKebx aLvOYvDDEZP7NnHosWsN1q2QZfB2c/tow6zoUlbHbejsnn+5MOKpvyQlBV93320+iEhj5j6PPjLl zMfu7pa+yheRJzOrb0wV1ALuj0F8Lvu9Va6JMwzKngrAnLa815k8Ze2ZCZW+BxUh18nYxufOzwvH 9Hr9iRNUJ4jTp8fXODta1xXOWSY9+un1t9YV6sE/1RhgB+lY9FUP00yle8VSd0qjHBdKKgue7FxK HCral2+o1cnmm7OO/Rn92j5U0fPnd2wVQRsjy1YHOoK3VayJTQlEbR9heg+HK9b31/0rxDeSKb1g qJDhtoDauFrZB86MvDXZbVmYMIW1GL8+1dMroOm+uMUzqKaZ9TWy7ej/BqPHDn7/YBfhKI3I7oEE LNHnJU8Zl4R5W4l4YRmckdNSevC+bDTdKZfGbQ2nt2AkI+sOk1TcSzPNtU0JWhQsZ9gNMi7FVHer o7GKEm7BQft7gbuoRqZ1QFHMhbpqsVeXrXU9nKKC5N3Wb9DhuJHQSIaPETXWvrkPqnQwaDiioyN7 49JozVKb8lQoohfhqDXVZ6kqpOcejYUoAhd1zp9x8v3+UamHuFTMq/EMhtDLl1Hfn+xEgfkWrGeU V/leupMzKun8t6HmAgWEvLkNtXBHmTVbIXwgUIOsOdmfMNnxx8rGUr7vQNIyBMr1YAvydAvMVAtN mOd0AUCB7UO34w2PIRW9E1xPFWs2zQL4RGN/IMDe9tfSS+E4lcI4bQOhXABJPJ+9bZHoaBaotSrE 7EDcHYR6I9/K6OHfRZ+LEhUW/5GDqp4rnR1XLiNkPY/3tJ/ubaknHurzrfYvZiKsCVuYp31y2pV9 NnFMAKrDAf+6YUysopTpr+vtnTKtzla1vPu4FknaSwwC5ltkUc9+ZjV05Pi5jb0mGFoK0IngECPu Ef+vOiQV1jcl4SOIcThyyaGAeu68kRpK4uOLaiB268WMYPeDXOWDs/DEsc7eqLo/8ChmqIdYGiQT uMX96KMDWyiXyhbKedgbmssmigl3NXbi4Y8oCR+olPiRNRJrz/Xr2YF5r/egQgYA2Eq8/m4eKNRA /cdhlq2G3Xx6kAexjKti1d0nkVvBZiJXwBCsoAbnbcGUjYcZs8dZnsVD+aJVSeAzfOj72K0eJvNU N7DlpxcgbHDh2B4SxLME03iT3X3TgMm+dZEdLWedxpYK+5UvUz2xHhT8gzr+5yryhRZcRC8NvxZ0 /vLO1nkn5BCL43UqWtOlXSKvK214SnxrqQsh4PARRS5w25FcY83tmLnGvrr8eHewQpsYcm5CyW85 V+TJ5+Jl20p2K8vG2CVSl5hLjYqLc9Cst2DwfCh3UvLbNguAwLzAmqZZ9UndweukvXkNuIqNdQKB RJlu5VoVOS6bMOOBjn2gvrfRTeQLPeTL6B8Hp1QHLXZ4zEuLQt+X4XciRwvNnESklUa9YCb5JT2Q wRyDcj4W7EubN2RjJNilLTrXJBA0XcFqqKcf08M/nc7cUxUhxxEtZETMkOofmYi+yXE5zLn65fjK AOm0g/1YowjnioBYEXzdeiEYBtV5icU4aDHQB0aYh1ISmqoBsiNfXoL3JpqBwxhUwzT4CQLrS7/d VGC2ctI3rfCi7zIrN8ijdbcXKaCe8c+l8fMIB+x8mNY1k5bLI4PitFykc5Eoks26HWG2ELhWyoR0 3VH03Pjm2DIq2WDiuSN2XO9qvvaSIg8yja0Mb65Da+6gyAkDGZNz2qRGrjgNoFi6kVuv8fdvoPHr gdTWv+Ohv0SIIzxB3t87gfa9AaMOLOZI6r6vSqvmk6VWcZMBMjUClLP1VxfdbT6Tg8muPPtFNsKe mP7tNRtZG/gL8mOMaxdu/lq8gK61ip7eAl/OM1NktYnPmslw1q1jdwN/MBvdP84T9aHjr/SOrqvv shsn72RegT2MqbsZTz5AFrbGTOWroiABPHRB2jXkmfPzo0HtvFFiN2c1L0GDvJPktoUToBmjhkcS YvVQzpuqaEDrYl0klXoYW6T3XqVZh2WvZMyfg2ZvN3rsfUHtL6kJknl4TVef8k6+jUetsmfJkYV3 Ox6EwKmOhKCCiSDsvvMxGzb9FWOZLCqUdVYJUEvReAIf5YXUG4yx1Gt9M/O240zpF4htyuvtmxo1 Uf2lbDzm1m3+KoB6d1Y6+viZlT2u8iNJzXOQRdar9WYMIuIgeVWOCftYiSG/++MXz2tTozUQcRwv FCK2BAH0pvzS6pNUVBfL0W6vp3O9o22d6hOLUm45G4wX4SEN+1Gl43nQhuL59iAO9yxd0gvgyc7Z A5Gx9EbUzUMSG/H4STbnj6T3HzzadRWgwhzsGWxR17NmI0RMLcEvPkDiE3o0w06KP8UtJOXNZNy3 UM88N8m9kaLWdIGdLz00NZfRNVC/sfz0tdGEOBiC+RJQxS9oGBRp5xJOwIKp8seaVPbJOuTqUKm2 41xkGQ47xeUOoXfIzadshshIxSXmbfFlP2YB/SdJ4MDeViatGpi+0UFbdvg8Rnn2PrvpPmsP5Dar NccHaUymtId9peRYooRC9oNsRUPEFhrWQ6e7GNwz4X5sbDpr+NT5YHdEjNO+r0/XcknraoKUqdtu ALkg9uelYBB3yZTblcArPx2dBhNhxGt4zrvMpBx64M1PUXLh+VbMbr3tS/cd1rGJVIXcOEaHUry1 8t6Ad9l+IEPuPzOcK0P3nnuZISpgcPMESDxaCuLCY1Z8YNdYb/PI8qNZlh+C1q7Y92wYc+weHDqk N3LUurS/bRt4V6ZzJc5USYqhg7ua66Gr4ZmRT1u0zbPUeHTl0DKd4FFl0Vqg7ZR8C7uubZUvdluB Bt7/Tod7fXunNcULf7OqcZ8vclXJf2e/y3K6uCukT4Mm8o7jJWAjyd3Y+S7i5HXdkS5Yl8hvwKem yAveChRy6J1Cg2j4HY0+/2PlqC3t6AcCS9dEClERDV976hv51Rtn7ZaCWyePjxqkyNCCyvJXDxj0 RbsmoAF6alEZSp+t9G511gdrCN/D1RPDO45MBsYjiVXeQamV2xGrDmTZIXRqb9MRaU7UgHyeTOPV vn5BAoxefxrhVIOGIDIz93E9qQRdp8BpOrPzwvnuuv1+OTzIYBtrkeDB3ZfBJpbIfZFpctNm5TX5 kMj/cYJ8s4E3SKv6cqA0Adeb09/HwscQxuTr55PblMl74V9Ku5YB4QRtkx0t3BdWwi6Y3Qc8t66P ei7Ey9YSR2sN8xsNb0toMuP/npBQVDFuuYECSpyhaQaKfVbtdGc3NLjXix/Abh0cAM3l64WlHy1i ieONVrcfLDa3Q2i30ItwpQatXS6E9T70nyjSMVs/Vsm+EkMiuajSV0yQX3tw3wxkqMdXWhhFrn5Z lOJ5c/VMSHWwWiaSdDSmxEnKVBeMzIM0aT0Fq5MookmoPoNhYsgkmYQxIRCGrzWiOmj8XCri0jM9 xIAVd51EjgWu9UCgzwEEMNr84iNbZ6ljCoYD053WWhuQGHt9RIE/YgmYLZRERbSRPzj9oRB7GYtW b91AOLUHS9vPEOq9lOTRIjXP/0E5XWk5fFsqJEtAm4+Ub2ve96u76ZVYpclhc6uu9O+AnaR0EQtH ZQjK8UVHSy19qJP9YhdCCK98ikSK5Ui7xSbkV1X0rxEV07mkzc1dsSuaiGuX5/nMlTUK5WUhKDR6 L4j0oMtlT4tiCLDXVv6SbplOAkqkIJy+C4z07oJhHCLpjJpTIcQSLgY6sdgpTkOv4kpUhMFWdPor rhI5c3U+SWyQkOEapuD4sRhFE7b4TghUV5bLXjVcP5OORExvUtfDTJu0384EEMz3tFF/gq0s8Lgd YJ+gVb4m9JpYaBXtKW2sGBtkgRSHem9T1hw//AaArfSsd6+G8/akgY9+wzIxRP1fOv82QPUXa0za Ig15jRCA3bbK9FEr0lUh5cUbOOK160oGXrqGuBsIAEdv7+4sgnB9CaDDYOsDLRFYz4bzyWKuihzD eaHtUBVoPACYWbk0hRTWRAlh4NEdu7X//ornfk2Hmv6pmBHTDVabqFi6qpYFcDwgXxaDRc6lnWe7 rrvMu9Yd1kSt7/yGhvLRg/QfF1WRL0YJky8IUOOUIZo4vmmFQM+zi9ovKB8W+warn50X2FcDZtat s+0/Ms1rI+4byaPjxhsAx8opIixpoQuPMwnEKB3LmidkauNaZZosxu8K5elxThrW7Aux/7j2pkL2 jSVDP3rmC97/9/udI7x7WFcQGnRMMd4tGQrpGk+rEXLW3U0unk7GJ0YnwlnpuSd4HpdkBbjtYXzw S7axBn10ZeS+jYwlCZfhA6N5h8aV4tFbnZZclt82OnSh8qoAgw+TJ3r+aSCaTqGbImVi8ukdlqs/ jeC1QMo0srdXdjBVKTgQBJS+S9CL1Tg5bgAl8VnD1nCm2adMmdBqC7LgwZEeLTI4PMx6bE5DXz0T D46gUWdzqS2U214gSZmYBlwoleam4xTXzj4sDTlF2AMk7jNug+bzPneoHiw+aWNPz9hS442o501R nCg6xnWK7DCMnmHT5EJYYg08KNjxXdMYKDyIJICbxkjr//tOlxvRpJn507OxuIOHR2h40q93xpvK 5WECsQWfXNXEkY+PffxY18HTHglEqc3sFIaTB8MKnz7i8DPnSgufHEKdjX/VK9r9p08nVeLSNssU j9wW8MNaJsvze5MFGNW98uRC90BY77s8Yds2SdPNZtISBGnE7KWjv4s2CEo8OxvlY6XX1Mf0d5/o DM/qdd9hkK/9bSNhu78rdCrGTi0MvJdmS7UVcqQlFNfNVBTsRIof+FMYczTvVEsqRVYuDMgP2Uty IbB6c+ArOt3zRSq+mnqNt3Dlkp6OJIqhzDAoNgK6K7Jm/O9bZHLO4lvRxXrSD7b24p08Q39vCJtj 4my8J7Igxmpdi/bv9hnr36Z+StTm2UCiuUwmrXzEGAQokAyXb08Rr64y5Mufu0Uw35/el3WXQ539 +r5Fcl8UlkI9ROhbqaj7ePHT5HmewJ4YMjGbr6S/rsvQ0qFnA8WZTObdZFfMbi4AIKMsQbYQLLQD ITBw4g452CSi7FEpjvynT5fkmGBjAUjmGKgE+a3+AVh2XEWLPk8THsj/tB1PO8UvVsGuJAROT64q c5qsiJaO/pJAo6EI+To+5dBUPG88t8FrlDzop7V1V4O0m0/kajszMFc8cZ+DoljHL7sz+AP32Mn+ foWMOpNJYCz2tUsIBxJ5bGSm1ueZPLrxfbmFARTw2tQPCKjUA/eJ3yNqbcECsqDwpe1Z0vWctm15 VekQIacRymGClLejEpWpXa3/faOnJo8AO/f5VdaR4JdFKp5BOauYdDMhgwcx2BtVuMWQflB/WpEo 3DmLhKUsdvNywf8CwwlmH9iU+t6o24N0irSk63FkIsmnkMmotlcbO2auh8hMGPdotCSNl/Jzpk2w bX8mW/7fcXYoqGlw3S1YTJcrV78RJC3sS7WSt+haikBsFIVXnZaALQckwwOCUt6eTNZGg83v676z 2eA2iYQcYmfPn6bFuUgrdfP8/DVEC2oWTHFYG5/WdcD+QHg98iF0CUhrCIqYdubBIrtcbzybp8E5 sV7FlJwfz7ZIKweYrzjX/2QQODapdIcFiEbiojNqChhBFx0bfziRmYpW9V0A0NshIkZSXCWrjyb+ Ce6CV8FoayTnvFQkmV0PeKKD6yYWXzt6WPS1aajuvjnoG4yGup0Jf6vXWDgCViw8IlOpQG0Z1895 XGfPbRsQvfxlWPfm7/UdNIDpVmLyY7G3zmioNyNp7ySxI1u86M+EJ2Jeycj/0okCwn8dqrdfWTtS W/YWKtXoKkPcQxaawRqrh7MPCsmq+BsE/euyGbEi4MTIqCP1/12uMBHz7w5svambPY8EbBHrv3Fg 2vpSGUHiSpvOExaAqEtMTWaUxrK188B4gbRiaUmVlwUJgnuE+W8LGNmWEA7skSicQ4S+VuKWqSOE 7YeGXLQd53RHV4wCVUTVwbFOhi5OZ9kiC0wAfCNLen6M7QIuTVOZQDhZLWI7BEKSK86sPwL8adUg y5Cc6APTVcy4//kCxqtGDCx7L5EVoQbhPQw3PNy5UeZBVcoI6K65DJSAdpFtRPgtSps2q/q12deu LrkFzc1YTWyR8r/U44lElbrBMQ9Lf4rDDUoxB7zpcwlB4FkU4PiFWXT1qbCTvT6nBe/lkQMKuESg RmLoryOsgFUT0BsVS8wFlXEg6N2filKy7hhWSaAHTxBQkXAuozaTPvX+MoTWYGYKqU18+bYoQZAF nnrKUqBf9NlOMT+tu4za4ggkCnefMoQLjqaonRXc5hghPyxthgqorIXD7t/liOrJz3E9+9rO8LkT MdZNGGvLmVoO/95o9+jw/XbBeZ1yn3m2zBwxbEQtYIKAejyg3dDWa6ZcHvN+TbnxddTMOfcsDypd KkGCMZ7PvnySFtnEcjNaV0OfLuBmiBFM86s54O7Zc5DWEjWs1Yjcty+zO0+EvV9cMlw6mlp6JIhD rIPzGQiCR/iyNk8TjXTk7afs5jEi7/0eUuf0z1rTjqwCY4m4zzCGxI/afQLIU0htUJphXjl0UrN2 s95cDGEeH0RTHQwVWUMdJtroYU0u+G5k0QQVUCbAcCLtrxUrV9PZAmIWWFrcKIO5sTnq5FjYcpSw KfmXjk97DCMkrlwQHfamuTNhXXK1Ucs+nKrPNGLuGl9UeOBkLrjJ/6976H5rFibpkn31ZSZxKtdy Jins4Yytuz4CrGM5UlKFrcUzuXD4qQ0a0uVoa2Kf9yOdsv6DPFJfBrJ1JvnxF4Qnj1kqsXtE1WUQ wS3fxAR6c02kgLoVA1gcxtGv9U5Iy+eMnzq6tJKwJ5q4TeHkkELi1pS79ZSt/gICKCLxHvDpp6at KKOYMTasKT4HwI7E0XHapPdGEkMTgyGnEVBi+Tng9hpWBUdxXHbfHK4Y3BV+nTPFon3HFQkzfhg9 CuGsfiq9R5LFuCKh/BfsL12CUEda3dHH8op20DGUx49sMaHvvvE97gvKd1ohnL/8FLdKj5p7bNi0 2VHaDep0uC/zn6UIN7b4vbi55KLwFdV4Nx9eSl4KqTG+zwbbUmHIUIJwsmk+E8DeJWAR/fv7J/zt Lbc9sa5k4xOuujVAIHk9j3Vwrrr43V0qOADrbE6DijxpzEHIfZ4GKiFS2ECQqnXwS2DigKToINb1 wHdbN7l9cT+cg4CZ69K5/Wc+wLXCOmXbN3anlmfiflGsiaIbWQ+YwUBs51LKZjGCoj6GTJEBaJL/ 1+wBwmaWeYkKDyvQqmYjQGDKmDpD/KSO53n8zQrCTkuKG6ggc63xP+736qZvA63I0xclBqd/7WVB S3XPfQHcAYpOFFVy0gJNP2uRIJctGHnHIkQfdS3WzbrsE5Y6cIN37xeWE6wZlxWrMldhFPUOnZ0N 2ocoxPBCVD2Gn8cDRM1cALIOXWB+X+jTmJwXrYPFYcDgPnTY8I/GyqCl2K3x28epHfWBigdjEDSi iQggZApu15Rd+jxEkm3CnsXQs/NxuQhxOCU1ZVMqg9vLEqDqcOCbbUCTr0A/+jIjd1te/y+qOThY tyUrB8DID7zIxn3YEnthsCwiQmgH/sFbN77XHnLOg4jKGstRChmaTGHMYBBrJq+bS81D+Olgfvmt IZv7qgGhCXhqPFZVIOb/Pp6bVCUSFv+SlZF8RTngKLjPedfgaLCsWr03B4wFAcOVyA3vHG5viXo2 +75niwBF8+Eu4eGpiLWmK2FyHKFYo0yb7AeyK13WOcK7UxTPc9eZLFeFYw+rtrPqaKUA+I6x2wuW Pzxp6JzGQPro2Iv8K/pf02ReZsNtA9gxVv5o7Owi8uENm12tuKfvTI4f9nbmgTQq8hIRQDdwLUne SvqVmV815zjjsroDWkgUPO9f0SFBXa5Raqv6Qa+VYY4D5+1L4AvEIdofrww/JjkekLwe+kmzfyG2 66ssU2VGx3SBRdBk74Sc34bTW7PoigbEReiTfOyq664QGkb9PErBWXzJqAjBu0Wis8uAZIWKMfDK qVL9tlv9MJ/xD+/3rpDnAiAPLTvDLECdtrsBnOm0OIHOMJ6U9xHrPI6/ALxI4Oqb8VtT3HUHbMFk kLuMhaScYVohO2z2woS23895ogvE8yrvC1zAkzxL7leIFfrK3yNVo2WNmxu9qIaKZVSe/KVTIE0v vyECXWyNVf7GVTITIGtVjpePGSPujiQK83rGbyLLEJxYOKwMd7CVSqW//yIHQfLk1j0FzJHb9418 rlg8GS3kh4I7oBzOdBUA9XvWu39QBE2EByWREw4BYYwYcqn0eJpo8CMS7igZpzfiQEsh9niKv95g F+hRmHeCHtU+5ceDAVGGeX3B0j7N0zRvxDED04f0RDcbeIOcRPSm3kLX9T/er8YINeQ7Q9vIakfT IzV9bpBTm+xn6Tef/siSqBQeprtTBoNkYYnGjGdNCeGhEtLAmtls8qs1tw0B6uo35kZZw2Dm4Uwv Na5On6xyC7OQaZWAqe3hJi6/xdtov2+6ku1MwQGmwpua5ZQmqvGmhn5534FQ62AD1Om3u51XVQod uEECkTKCCMJ6Q/6S/lrky9OjLOCeHX8lKH9C7KYtOnDgqSo2LynRkbrnEDqq2E8Y8Y+JviAL9dsw HGFw5nlSSksVxjgrNi2sTLGF10TPAkK/heDW4nHQUKybmDUvtMzn7S8QuXJVCLXIqg1jK1EGuhm3 DQaSznUo9fgdFmZ245YV9tD6CS8kTbfiS5aAznCioTTfjkC4YxAgBUotdqwIv/ROj4njSPltCIJE Y+1lwKP1MHBSt9Zb2vbjNxXhTgDWDsTxFAGeQ67I4uWSv3ffH7mTInbKoI468epV62d+q3n3dCAj bJVg+Fs42bz4ghxmsUXDWD5cqdqudDE6D1Knde5dXdMCXOR3xrSYHxctfkL2zSvkYAjq8QkXDVS/ F4tsFIcgsuvEduSaFmJdLss3NecWiRUJGwndDVW6odF943ejDgHC9iigFJzByL5gyUEXuWkev6Ve rNzsy8YcMW+4cILXn05jwVolaaGoOfUy/69y2lQhzSU9beX80Od987fvteZphBa7xT7/QTcxpm7B QGo/NnD/J0cIM23ApZ03A8Ys/EQYpIks0t2ug3zeaFDswyUPeY3zI9q07Va0P7WqaZZZk6VRJvrf JUxH4PVXWJ+j+bSSL/NnaBwTDGa4uNhPzT7aHrhPti61Xf+msNEOHm2iwo0tjcy0IK25CP0wkmsc PZqcfJCruslx7O8MpBTXVFoCnud2/VoWxBhK+OZ6IrLd+YvUuAs7TXI+Z672GJHVVGEsIqUYN09s x7Dt9ogFtN5D22aHThsPjhH31FYJPEIQj9EqAfMTE5YqV0/qHsI4QfCQVj5+sKhPMIHZwxyEshPt uykzUDjSoYLb+JD6AKrSmNUo57flsQtYbbK6KkA1Co8W6XriCcyRQ17h5wdAOBovrI4uuKthboAU kpSmF0moLhm9/pyCR1Yv3xwSORStW/yj5uxVHkpk/HVQNnPhpmuiN6DdGiSz4DAJ3NnhrkmV107t QPEKt06TfFzWtI0eFGEVRoOqmL0szYaW3DKbheJV0AFDE9kxwNIZaKwSfSqpF4P3/AoGoe8piWtP SYeGKtUc0M3P/BuHNfRjXHOYbswoLwrDzA9V/dC10czsND+rtMfgDNIjxCZqyLH/4t2H7s0ZMF5w xd+XvDQl/HgQl3fpCiZIwgVTOstQXcAEu0IR6nqgmEhuc3I9je6ZFUolVRG1K/aEBYuuh74GY1qW swZ355Z9cxfvNP02IoVD5UHje46QTuB/bamvTgZwYtN0zD6l27w9iJCONR8ezF2DswIOnG6iZ7zq PnDfy8C93UP2AFdBwS7wJ9B2CX0j89kJ0AzMHdIqrcoKSLwvoSGkUO3Vxxt9wbbx9lvAlqEYadmL GdsfBfauZprJD3i7VxSj0xlsrjLy7dPVZzZZZCE8ifSOeNMOk6UUz1pdGG1F45IIJ7/pUQibaDTL QzOPa9YyV6EDnvRWEMT78uMxpvMCtmOZEyqAl1TnTGJVSx5bDhFLD524+4y/U/kuMfH5KAAqQRZm eQPP3v9tfwQTtSaGIdZ0vZWoZTiJmqlr382dLtDYkTLXjWijvtzrxSys3Ljl9+x+wk9gL6e7xERE sddtzrf7vWGvJCbvgrhy7qlLYI+ItCItvU4gTvaSw/QhoI9d2gjClgb73nUrc8LeEy6TSG4MQcnt lpn6O6zp1OBKdsSSndRaso5PRi98paZ/nqICs1cvPdktrO7/PMTZe02WrsT3kZ6h+dkIdxfbYgF3 WQlHK85v3C/g62DmO44WJOAyl4uVQmPCpZXSGtdYlS6G1ZwhvbCGhcIFwtrQUxnTAAC1bvPunNms gKOe6OXbreszw6vJoQqQ3YC9CQYVZoY+URDaIg29WTXPaCIXw1md3MoAIELhgHL+iV0rtcXluDu1 KUXE1blkZsaTPbmgv7+zbFpH6ldb+JXEFB7RomQb2TqfQy/nDYBouQul1WtswWFCL0ydCS/KlPcf pdjUAufZmnct0zmdAIkG9YcbtDKnLOsFswLRpAS301s2SvoeO2n7ZBiAutgtqt8nYOYUsWNY70t1 wTn2/+5ciRlsSRjmILtAC6NiUGMRK+xatothwb6dXiT0JeSVFvJsXuBliz/TzJqpIftncBTJsp1G woNhgj+WtQCljJ0NHmVZufjOd3apOk7dzWDxIz5BFxVP0Bewb4/paBoTBeOHJeDi2vW6wDi9kIBD HkTgGccJmo67jfXKjNNUf/YlwT6kUnDPmSaMwhprZMFSpcd3/27BXsM8WJ3lppNYE/i6i1gaonHh JL39Vre6OWkSQz7nBVYhBZN4Y/a7sxjPZzSkt76EHi7aoBW4jw8v97tUgxBiO4hdpkbJlQTXw7F8 21J06U/jsZZ8QWqsB/AthqZQYgtcdIiLwart+L2PxSwA0260jFCSvI7LWSiif+g3VSWdzEU/c5Gw eWk8O/klrJFtaX/L4KmxxRqUUfURvFNQFlKEknuikkIJJTltJ0uwDJGcNLBj3nn06vlGFU1OYK9q tkvOiu7Rv/FkG5haFnY3UL4mF6plWh0AQXttxZbRSOl/EnNNhKRoW77Z/XGvsCUTweKdYJt8kDuv 1Pj+hNvxGPrKhT4nwYyjw3YUIQBARa2sd/cAGDRUe2TnQ1BEMsXtVj/Vo3WL5M9+1y1x4HnoqGul DbI+Jq2hFhdllchdS16O0n9vhSc3hiH1v+W8SLhyk+ceqN5pp6AXvhX1QEwSudeqbaLTVPwx7lPX Hsbz0G66UlxX2jxIgwxqsaVyriuXYAz6eq/NV/oYKP2zwjdLQ7GgCA+DD/eloXcp4bC86d4VEkYW TpaeJYH2u+GAun9Hl7+Kieto4oRIK0R8zU0yAEPqwsyZdcHojA3q0O0qoQfPubZIucxOnxNqkmc/ PBSceIcWPGnFKcxGqTYCquV9bgVMad6WXY45vuDz+nOiZkZ9L0+dIE89bAL8WwwfTcJB9sIMpKru 27WSkQeM230ompUau5MALklnzb2HUc9tuMZlzE5YmlkOBFSWVQHW6CkeOXfU6RE/vcz3W59Idxwl 7tCZEgT7f5lAbu4c3KMnUWqn/iDOoh2oHCuLUOobxeTEdFhXjuQKC4XgaJmg5QeAlgAfiK4UWhJE PicnhGyueFtiO4ZZR0gRQwSls7yhO1U8+lZoHPjvFZ0RD3oiDjOqlY3wVDBmlJ/6zLYuTOMh27cN ZI/UDqL+b3LVbyXriTrwU+pwk/WMMddg38jrQYkj1dZDP4F+UveRdX+/bSpW/WVVPkc19sBZWTa1 8kefJhJGCSXwbcQ0XBp6dqAPnRl3tqOW+7Jv+JJJ4gJPARfLPhgG4kW3XKAslckJ00q0PzAtOMc2 +hTiKPk9lht6TtMdknyRdRI6CLWRHgl6Ez0EUpD8YLZR4nXLF4xM3TRnd8937QqdbEGLIrY5hpUL sqbZAdp2nhnvhA/FIHaJta26geMtc6ZUYyd3jSWxDCL3GUpcOW3486386Eondnt7xSgFkEmqvbMe FqXtXpg2MKvEOvh1TvwGlo042aPYV3aTD1obq9FH02W82iNpaB/0Ex1MwTnqHn6imXe+TM4pLZtX d1mlwfYk5Bbn0aLmfuISGWT7y9M1KsobTxxtdeHmYdlMo/FNAcHQy0EAZpuigkdv+ii37yJa7ACy +4I1H21xmY/FnNhxupJBJI9Qd4fkCabdVsa4nSWW4vOJ9RxM8OuazcUQLrvgT0q8lIJ0joz62Xqc e5zQMwcvcSvCHNrSt2gWw9I5fr/kEU9g9yxWiRl2+2D83O/EyauUvWZCgiA4olOfAmLq6J2dkTqe 4VEk80IJta92S0w1ShwxCttOppWat1IQI98wntG/5KGsTiY63IdDEQCAJ3jVxczZBvMywnTQKEoQ 9YUoxaTrOf/3fiOgnOOrh22d4qtDcttsbOQVcXaNkJS+mSbqQfqYcGmpt+GOEHeIbEN63p7ysWeE p45589lISeDtb57CeuExHjTiDqVpJVjbjXozdR/rtR5k1ZrrMVMZoulBC6ppZb+THhRXLuwufDXH yXjJnCiEP0mjg9vv1lWd9Ijk4S5e2Qbq6a/Uiwu3qRNOULPR+4J/5F80x7RIPDnT5aQ8ZZ67iidJ zjD3eSWwrVrKBhQQy/klBCLnNVN9D3enif01DwQHla5eMe2rPBQxtmaE6HLyLdxGV6BgithW9x0z lwsTC3QJompzEUOd2wNlE8CyoRpMn0uZLfluJ9ouwrLwy6gdiCVWRO+RAIpKNWE/Wr4QUC1ATsFy SL8acI1LweSZOwim8Ys6qrdZXXSJ1e0KvtcRWmpoho7kcb2eWb3/KBj8cq/1le+5jDG3QUclHzIj e3UKaLuTqCjBk1MFpnJnQWMZlpZVVLrtaj7kLWhKuL4e5Hkdx7NXpJZ/3XH0d6oDp0ee8oJiTSU1 lY8WBIw5VZGcfLWp8Hs0hMNkdi/rciw1WAAvSCFMO7ZaAxIsKPIT/kj1yCjYWrfHo222+fpYcyAk N5bs3Airgnu4moX2nbahtRXolmlLjwQZKMEo6DHkDtE6QPFIAmfx/I+iSlci/fqWGDdqFM9FrYLF Ul1q1lIvV+fLg1Dy6LAdBIYhj7coZVW+nMAYONDK3jnPdM2unDQrbTECf5Iy8XzvKuJkV6CME8Ve t1jlvJ8tua5PdVbfcDnrQg0BS+6VvMAupXy8xkRNdTQmfasDJLuAEOTYI0eB1cEGTPagQYuUlR2f KHN71COJpcJ3XhBjnnptAHsyUPZfCGQRSyv+YHQNdv8FpU6f7xS5KP8Yq/9hfr6HGRDPevFf5rWd BqVuvq46h98/nZYzLEiSBXqllBb/hhPOG1fnaojU0ZCR3du8jHnqcAfBGrLRFi/bQZ4elet2v14Q zUtnOlWFWT74RL5kAc4jyDyoe5tAdCRu6PjSJWQox8VKsG9KjpoGpdIhBNrpo8mowR5KXf1O3i40 lZ6CcdpK/RrDB65FZzYbAYAgr5CHoQtOkkVTqeAGjoir5Kdn/DV2gEtjyu33uGNStyiy7oeuyQLV evsi3hHNHUCOoE645L/0arxvBgDkeokb+K7Gf2I8m8CLXXKMPd/bMPwYveVnRARKnrL89bTfyuMS tKuDJ0xvImeIQiny/wp/eN/cD32eprxw3Z433m51aZSxPX/brUbW4QKI7ucDVU/svmV8dfPkacUk ySzmvtabf1C6SRHP+I37aoo0us2L+Fprd8bcxJdds4bk9aZIZKJHpKHKgrFKooA2oVu40ha00w3t ts4mREBJGmCaN8kzpvKKyTGa6sQIZERTjHr67btgD57yhAI75Ny46bsuYpD9uFLrxXYf06P2Ql+t mXNqwp5nSZt0SKeppcqytIgxxw13ks7DM0XS2Eudgunim121C0HNLY3jHzNenjzdM9qRIa5kkfYa wI+HQ7jqMy2N2J+JnfGpxIIeaJz72ZjC2+nw5kI0f2H38wCMqK5SWlN8aGf0cJqnzuk/7wd3qcZ2 balBMA4adwo/4AvgWEbszwQYJTaEibs7bzbu3B8dhU1ZjjYb5gzaTIEwq1yU0nlLiXLDp+/amajV lf5eoZEMNtgMwtoCYriWClJHPgfLiYroOWIegF8wZqqFfaO6BHf8qKERoMys1bxEhaeP/z0Xxbmh g1WEkhwTyMtyEpOlbK4bb8aulwgU2InWD5Wxd8aVd/Y4/vEuDjWBFl/ajfwFAE69FLoeVr5ZcuI1 zToV0jReALYMLdTgXdlgIRFa8RhXvegj2LUYQD2X+zuBktGyW/3+A14fg6oMgLzugz/eZv6uMEl3 xnZveh7o6ANSGXUYN4HIMGT0vkJ2nmX4dmT8weVYxXbgsIsVSUW39DSnPoyZh/CEpVRdFXX0dASh Mrv8kI2rHRKXltkffMlllDybcjgiQvCMkueab3uw2pelg7zGsVxtko8CAE5AmmTDxQNrSzdFSq9q BQUMwIGEz4U4Gr8evKzrDZiYYXXJ2BlKxmP6Friwko1MONAef6KM+Y+mqF0NSGaO4GH269Bvw7sY dhLB4UmOtVu/YZBWWJkgCWcWDxw5JAx/3lAi6FFBroyV/vm7hgqFO7uBRHTTupg8tAYcf1Evqc/F Y5Epw9LXXxoT3qsHh04lALHwEcQ1lQqMRvs+ZiHcLP4dzRByGVQlVg9Ul2xFJeg0ZoFPuq08Jlr0 lthlg+uT0GxTKCluceG76CEeb6VeRSd20JGA36XwOfvb0CBOwzQgsHHTmvl4qMcE8U97iCUbz2ov PlVQEEi4Sd98lYKCrjBvVtH8263vk0R0ymXakPZF6jE7bTkAzhdhu0gBr6+K26Gt+BHKwPVDX0TM Sq0QLUpPyLfP/sO9Q1TZgZaIiHRw7cqmjLKZiuinIIgyGZo2A6ycg9ektMWdPUmmGupbok/c3rH1 3QWedng9+LWk4e/YZzthsuYP30WJbsvxiQBDEvdgg4cc+HghyP8JUh1sACH/Y5IGFZcL1ky0v3jZ JOYguIcPFHvHq/aSo4cij3kXMH1hxM6HLorpWKUTWd50BnVXFk91pUZFBVfP1HAzFZI0gv0hHJZx jzsdSC134fzDH+EyySthJUN3oHHbLHqefWrZe5c4gCYtzgHk26j5i+hsa+Gtb70IOsyXpbODJ9BY QIXWAJy1StTUr70ruwCQudObX7YCktdFkvQu1wT5VeEdJWeWEzROk7ckk69B7laeW+bPEjrlZNQr F5tlSyKPLybSMHLyCxsBhXqkjjcSEj+eRfKssgcU1nKVjYsOW4WuXLzSA0pimTArFZr4pBmqiUsM pi/oQELK6SfB/Ix5FhO5vkRSw7dBY5jU9xLeHf2ijHUZ9z0sVGgKwOr/AYZ+3t3W/MD7sQSyvRtX GR4BZNNDgPg259GH9zVmXHFQ50+mAk8gRIqzxM9+nKdiVSS313610m+yF6Cbn4Nh3jXuD8+GwVG4 YaPdJgUNLOL+CdvPEkbrWpX6nmgFGRcu0LKo4o1DgIK5T41Yv7pj4rpQ5o4IOTh82ZuI/6ryiS6+ qo+09tODpuI/QKMpLYUA0AoLyS6ZHfXLD7YsLP+ZAY3DhIw3ek//alquNTTLUxqz7ri+gfj3Mh7z 27luNY3uXoX1QfSSI526yf5mWtPU/nLXurDWi1nFeR3eR6fHawsZyv1XhfhSG/UOteQSYuTXvqox e4FAHkQHzRtQ5lX1RKdAxeYUkf+GwEdCW3Gv7KK5KA4EI/+hOXVQHD3TRnqAONV29Dq2cMAUEvgZ NDZ4QcWOFtrriRp8Srb+G2pa3ANK2cYMSVrLfuG37gsjmocjC9qJkNXkD3PacDjdlCEf6lqRKv77 W3ww4NgM3XRqAN+2r8IC9TlNYVmiHzEn564J0QVZXa0Fn2UXTTNU+xBICKdRDVU6bAMmJBUzutnc paf6GWWMU9zxP+ChT58cxntPdZxIpSiMiMQ2iFHLsJBHPxevIMOTRpqcKtC236M/wCx25zzf1T+h XZ/19ZclEveoVANYM6KizCUgcdJSB6of/jEr1jf8LBKaYakrbpMtiF1Ze2u89y9PyUhDCrEvXlyO rw5gu90ZpUg6KPHKDwLygBMVE72a7BQcKlZatXAbOzWsGbBW3ABwJsK0022IUOwkOrqXubsmQh1t YwBKUf+N+w/ZxMGA8Ri/DiMp7ksYYe/zwZFcJbqMJyDDWCvbOcpitB+RkJ4EOQo9VlLZUSxi3/nz IqRI0eXXKUy7G+6Ai6PlypzT35VYXSJQFE78Bkn1F6wpIXPsrqoeLicdi7Yepd0cRnqPHQ2Tg0s5 PSKMg9aWxMTICm15TtiGCH7KArmFdJLyMzWZ9z3Zjb5w1V/q7rhUKF+0ZlUCo2eg1g+DHsqcbY9q 4y4YtYBQOripaLPUbxck5bibaZPEMTZk/obp3UtPLemuiz4/gaOoQJ9aYceB4OC88i8VokNd7iUl ESWXSJ4a8hl+THIKG5ESHyPHyOCAitIj4v1iBnzQpAbCCw+fzlGG0hKXCu/XBZzI5diM9Yl9kW4j 3vf8doAHTVt34u5tzpxMKyvyUySYZa1E+HikJ9T+stbBB1VJGungs87csTaASskqMtV0USIQ7fdY 4I7FOam2iUpgjQTP6zCQbkAylGajjOarzRE1G9kQi2d4HVgBBYWNfLaT+rNuwleY4cVuS786qa36 0BELiUoIIqVw+d18SQbivKyv7UEW/N8jBwRNbMfYxSX8quYU+wTEy4+nPhnSeoKp+pe7X1NqOAEH 9CM1U61PYv4VOGIaqDLFRCpk1WpTje/ferrMU6bD/YFAt7Zpz2wwOClTRM7Ua15UrCUmu3FWBCqZ W2r/K1sKCtOwLCKWiyaJ5EiYXOTprX99HbvwU5Wk59xp4xc35hr4ziHcZznW0LnklWRQ51Esg83L /bwnRRPfbazIgS9uA8oeXfPouXwL/rNpjW+na2RTHDQDvVTNFiP7GXxSgOA5hNoF2abGc3slEmk1 Gu4w75m9TLSOqbp48JzUgFhzXx4zBNQEeOGkqnWCXgJaMFwo1jFNvvDtfoMa770479Z9o54drCLf SW+3WgUFiyg2FpQwCl6m9FvkNao6BozZw/C+C1XHus8BDxCq8zRZEweEq5tZRRBm1CBaQ+HJeGuK wWVs6zpPqHYsBVBE+Uau68noCWkPaezz+6xsQgrxK1gNxS0ph5+57F8HgghZa2T93pVN2uZLpcyC CAkPuWlDhtQyuGMv3jY1bV2dpKCYrStmliALFr61qTn2cE2lTYZOPfFxRPDOzwQISwmKzIVDKSBy vFLcrLgRMo6Mpe2ZXMrwPtJTPvR7XHais0eFJT+KTPhOTVy6IUNn06FacrkFAwThkX6cQFSMFkK6 ECDPEKencoowm2Z2ZZWA84xy6qlXWbcwjmlVdC8hVR/9oTdD/LElImBf7DrcA6fy6lg8pqOOcbpy 4zVrJP6YmjN1ecqQ5lOWG7BEErepusNCAxiMwJkeMwgVAeIjfdomj2lJALiBpRT+dqa0J/5Z26UP 8foB5rAHe1DALx3mRa1MlCVwm8i54WI4Gd0xQqBbDiiiPJY+XDupXUYWjfDF4jDWfAbn632K+b05 AxG+I3A3cao+iO4pnASBSQAwkrbgwven2fqq9oGpyvbfhqIYjEAbqKhOyy6lHaWYqWvKoKO2nhG1 N320aUTPaMn9Psdy3fUcIpL0EoudiEocjDhmYcKLmLg5hYGd46XDNvs/T55c9j+uc1pm3vMACP9a RWigezYjpsXDgAhOKmBJ/6JVDcTMBrPqlqwdjnAqjSwjVhBK9flGzmguSW6v9KheMpvFp0g1XWRA BOyRWSObgp8CSa14NQ3LWVghC5gd28IP7JfQc7NgLREJvnypIavfGbBHTCEOqWcRx4JR0w4AM+4O BqwYDTds5zStKTLKM19EDGeAl6z02b8eh6dxFLXgZXTgiSF5GS9rlZQv916+mt+6oySjVj0XH2jO W7mxFB5u+F1cT1/ts6bMklbEE7UCJ20xBIzEjWVER7b5FmQ4TmYkYB6fZqa1/NISjq35fOiADvU1 AG4oRreYXEGRSuckz/EfuujIprsFWd2J6WZf7no2X+taJof0x9IGOU1EA5a7ydBMV02dQn3oHYU9 lOQoRSypwnPLOHGMTcrz1lzxem5X29TO9va9cf4DVnaW3WMkTOmXpuwxxGHrcKsUTytKsO8xKLCn nWTASaQkVZXkraruq4DneBb5K1+k5EYnJvpVhSk8g0pxrWRYF6MKvxngqpekrc4UCl2wfbheJXPv plNE9f7f1H4ZENW33MaCqYsDR1dqmNtg8T8fXk77QPFILBygsNtb2oM7q/DfkKR1LQpgVziruI4E nW1Gn+EbYUlHyEZouWDlOwauZOxDLMhtddXfsnL2sZHAzQz59UMJpmW247VCdbAULwGIoFEF4I7V x9QnsKuO2nVyRM/3jUtjElesbVVVYLMoW5fzcnB0k34YbLhvwTz5qjO5+RECn0NUmcZ7dRMkixc4 oetoLp2TfcVR/GdKqYtG5p9OKt5EUrrBhnR3LlUeeKiya0RBXHE4JnkrIcNTLFxe3P1ntAxuWIDy XvMECEX3iSApUITYbcljgtO1sgtuiAIwm+IQ1D/zialF+p1jt9PqPI/ch8yRH5MVA6fRNnlJH7P5 vllsoLp0pH7jzj8EzGKFWdGuhSaQiG9ATkMbFtkxpKkFGCj0V0GRgIB67sTttQBGSY5Era281w8R B0FtdaQG/T9b69Y1YxpVmjJ69dNgFOTFpxFtAqIeqagRBt2J4mSl22f7uEWAgHWNjYHvqWGHv3vN K8tqAs4HXA2qXOhT0Fx9LUDp7TgrwCBXHiwtsx76gzBFUvozw/w3ybOLR1Yufx4fnlaby1be8Hss dnvbz0fSQGfcR0ilaM9ZUtiNI1ps/5ZNAZOqA02pKKNfhZprM51GvQNP534xhGKfJ7Eb274NsMqj ay+dH5W8vWz+bc1dnE80QDUwvVeWcmTetR9zY5xVkxsuw0ITH+656FH86t5dFHOqbbFjuqp63P04 i6tcSJXVCn9DFUTFUgvyaNc3N+fBjHDJwCrPNYZjwYSoLhko3DrK+LH0j+NaXUk/LYuHcs63/nGK lC7Vju8eCDuXLIXxzgzU4P83vtyoFoRMiT5gtFaEs+IiJxaL5p9I0D9r2DVyrquLdQB8DenQ8DqT wpjpIRqnhho186C88mAeBH2emneHPF/uc5UEUNtswWC+fbmMWGrmg9ntBnQthLiStf6/Gs4vj6tw TvJRjSE0Vt1mozmUUQPkKK7niOf66E+Z+6XuUQ27gkbvGGJFXjshYkh8Z4aZIlVqeN7HyEJh6OJ/ sgkWXAHtnRMJmEUE1WiVLI/RCaewnYiCc2J7RWga8V3q76hkJJSsBGLxTKbAJdklFCtSehSSuoBa Zf24Mni0qelTW3MSsEhJc1nZVjHleXOx0f5APPX+RUHHIRoCgOhU4xF2l74ORsDWJcP2USemCP2T N1YmIMmYHdXb0sG/m04YBhNcvD5VMPf4QwO6qgSOJk6oFv1BXOWrxUrXjQonIzhKyvGe+BEUT7SI QlopVoqcJPIfdWCwLpP9JwhsthWXnpySYOIvj1RPD8T7ZUTQDDaNWa6UYdRbwoVQKSXjYiWySMMm MHjpoBVA1zu/1jCN9+mOLj8tJSc7JhgNLCMvGX7G+RolA4o/kwItjMgjlMHVOf/2mQdGnG61vQ8q m+WLbNVBRpqLtiRbnh6pcw+4mnF6ymltj80J4Wx15ao2L8P3tCI1MKT4DyIGmep6qjW77qu9Gv7P eqNuORZjafbaqBCMAqfZY/yznDd+BMuAQoUyniEpiKEsw9XcYp7DL+EzLR14nrn6Y7D7naK2dSMy /J/Og1lTM6qwleep/V/CIjoiUATz14kKS2yO5Dghh6WFVuRkoGbJCbhHHJMHt5yysSCjpY3u3hqL 84yQbwiUqpeAbrIigoeentOBTTQTEOETZNrnUTQH1D3TK5zvQnUcriGoLwG7uSAtpIRRvbnTN3Te nd/eaDoGiPgaPlJGQXeQKvTa/gC9hCebWVHU+vVDCAk7/k/kO9yOinTqpuwd1MMQTIlIz5nJ39VC HTcWcM4f+7FwciDjiUTh713Qai6JT+oX1dFS7VyQ5vZvgoyhytHVFBXwxih/j1Rup1wlXTDxk97Y gsUsqmveUVpvDehUFvvtfR7uoVbN6zHAV93NLjjHssXvVKQlowBln26n4VMIiWjwWxGjFTdIoRIo Pql5lTJvJwNfnIfnnwPKCi0D7QQH+dFJNvenJ/nSisnz4sy6GYScYDunEjkG59bm7lLm/kNmleIP oPWVorg1Qu+aL1Wg5Pt3ltFLX7J2T/I4bkyJLsTHUvLJjE7+Yyr4FHsUzMpULcWVptXMykeYea30 XwrgR9hmIQ10PPSfh58h+wdtntmrRH7TskpQD62AAUiUHPWrPKTFh3M6kilRZryqj+gzwRHWXH45 XiSdMUYJ5HarqytjJI31MGJ6psDDDsN1NAKFVEmkVPH+U8Cv0h7NghHVm7V91WByE4fUrtKR+I99 RjfxgrSPCrYRfZxk7L3SVEv+txEQgPoYc3Gjg5w4qly4zAlQ1/7Kqbo4oe6N9iUpKWMQYRk2Sup2 tqI/DR68KAPpdHQencdeiCeISvsnmA4TOXudP7nDdJvQa373mwmo8UJIo6qeppn2D3YjrbTig3p7 m2IeVdakU9yMWqeKFLeNkMQXNoHsHwVEHsYuYmLMeQAMSynJsFu4NbTka+PKhE6PCuG/VOARPM+b 4K0ZIfKGfxGnEqcSr4uuhajUke2jLB8WdJOk7lHRKaXUPJXYRmAjwUdDpmKcC5gCdxHH0NeFinZx Mm3z27GLmHERm14twj26fsJtiuSVLX9SIfLd1pIzIq1sAeDUkWoPjYp9DqzpuLcaE6SPLRUDYU/a b5QATa2fFd1qUiomV+BJfzgbwzNqDMFua7xTDy3xjl4v/it7uihfYrJDc6Y/r/dBm/NOdtXhjkWW 8h9vgev0EUlx8XneTFifcWwtENf+kohIHC0RXh9iTJRn6t5s9mQW+cIsbhu/1UDVIs71wmUJJnW4 AWJa2yJLw7S47EtDV6QRCmhaWCIodTIgT6OjQka8wmQR5v4kwaiP/AndkpUug+fwwBBiVLj4FXOV X/fDgYCKVJAN6quyPQknTc6DAcY9vgWif8pFXOu/Ki2dTi5NxBwVHkueMjS1kdHVH8e83dbGLjOU /OE+sMweUa3xbCOJ3JSf8QkSGx5dC/zUCrGbfcBvBPkoe1a8XgPXAUfyCxjBniPXRAT7Zq6Ld3UZ jV7IpbI1JTRgvlov8DpCcYT/Oicm3hi4xT4p6KkqBtBKGLN6cYeBElZImZinna4fjcOmeWUt4M88 GU8PplwU9zt1xI34JFqtfXeGxELcBYZ+fTWtg8X/+uJgpxUB5kdM+5n1FvdY0wzs7DzwSWRiCoX/ JBeT5Jn7gUsHB8XH7Exu2WTN3jTS+GiT3SHusglgKOuK8ykHKsHgxzfGUgdl/Alixo8bZ51XkFZI iHAu20SoxfWmTZfd03GVQg7c1ycXDsgXDHE0fV3e6t60j8lMvnJ558Oq/qIMTiluHD1uQh5EIGvV RTya3IvO4dVTrbYz5RWZaXmAaNkpP/1t1gXOUHmxeGAXcQ+9fWN5DNlge9b5rsstc9HSorI7hmZK 2B6sji4LbvrGC5G/quj4NXYmK6boXrK6RLIDzAZuUDYyU0Ily9x0atiQhTR5JNGLyNkCeY89cDx7 LIG6RxrexmmjEghvbKHMReLKsblfpZHITMXP2XCvQWqiHHU+zBYDkWDZGC8+dTcLlHSXygRlNJDB qY6WbqPyr5a4gCC8o6nUqZgj+aPHm2b6DS7QiEHy+tzPYiHLEwAMdu29mJfL5liaxQEPWNX+Nii+ P/KjVRHFLeiqMmxEK1jIxjPtCTUZwy3/kUAKNjMJ8ezCvu+M14NJzJsagAFzYIF8t6ywwYK7GAYt DzqU5iiVdbFraqxfF/jxiNJjstUgmxeULtBf6MzfK1QPHNpgkug1Lgzlx0fsIfBlMucCMPT1s8NK URPubIP8BXJ3OZG2imUR2lrZ70VGUUDWZs1/45+odh8PAwHswxXJmwEV3aPl7xlFkJKDJDraYUkZ WzBwBjbPfCpDwkhyE7YbVCffHmk9qDbqj+EbG7SwcbWIomb2l+VUxd8OSg0EnAbsa/TwhdzRNPM2 Ezu8YuQxs1yEqG8J9UF88HLWifUmrRfTliaxajC06roWES4DRUxfnVKVzhdEZAtbv/l7bIwfGJwb 7lG4ckx3V96uvdahspZnYN9ra9JzBHYZDoBF22z9KcQqwMpY50abHiJmyvcdtO3Gk468buW6wp+E wJlGi17CBe/k5GLO2gLgXCeF5FylXka7yGce6tNTvPp7uXnErhqKcqdQBx0sLDeyxNb2PRINIxqd nRyImLawv7Qf1JBhok6IHqAWbm1qKa/suEdqt+xQKsrLulY+45H5XCaZYprP8Pw7oP4E8WrWkw4M THXqobYoSzKpYoJton99lEOyjRJgo0yMSOJhwbqe/Sjh9GNKlF7nCe/xgvyLubbHA5bRoGAc6uhF 0ViYjmhxjM6WuXtJDELXLZdmm7ungvylCFIYtpuaqdVFZjztuJftyNEbHb+GIR0VUD94ECllA/kz lsqhaX0M9hhaT2XaRCBzYptItD7f0UYSgh4Afvs3oUzPUqBBAUGypAeTRK5vA8ckZr3K6TerD8F4 Sw9DLccPzeZeyMK/TH9kd2CWz0jy02xwfjtJ81hz46ddJgx6GFGOga4gmdHXpJBkxZXKPGFVgqBN S2dejxGuIeJDpFGgACbASqFImqpgyP2Zushjnv+8SK1sGIwb9CjbIjomph1meEcl3OBJqn/M6baS YkjReRh9DsLKQwV/xLFkoRa1DGBDiU/WupL4faGweywHcc14NBGS37vSJhrDMfaczKJH2Kb8vAKY tSZo+hIF3NOo4eFaBUWujgSIJTP29TD0EvbJwjLvmjDhtJtmjFXhTmNDkmCt5lGUYhwUr4ECA+sX +iPA81Xd2rGoyum6G8vKA2TFcQdXj0nQSi/Aw3Myq9oItPpOCttSzxEw1BvZnhIgfhsRp7CwfQg0 Oc3GAwklg6sDAF64JXTPUeG+/J6XgvGdQlEkWdO0H8xOCAfUbiAyBBP+lEkyuADUsYQmDByZ1RH9 38FjozS9BqL8kI3x9X5TKXvHh484aQoPxixF7k07iEvSZVkE5QmA3NVmLnsvXSWHzbnDY8HLP4lk SDxmfQkmsAxTs3l78A2QtP7zPCaK8IMgAfubFljeOV+5INF0F7v9syYfpDyohVOTM7v0ZF26dkxX utdnZnXSsAn5Kxb3LgHmzRzf1+CUpJ2EXqtOOed+z/utJ0HDsTNXAEyQjLJcAynq9t6UDJFEgeM0 8oKmRiUX6gJNp0ewDQ02nUgrwJOoE0+acfWjTAgJpOxMT3SM1uNk5hJTlBKYHOt1raS/s9Nj+Xuq DomKmS9OZHE2S4siEvcSJbmxF5J/NfUICZRU20ya40ggOykCm9/mZ8rZkccCLzZnB6p56z6MV252 j/iOLuejmZNEcrcLE7p1vz7rdQ+idSP8uGQkTXpbqgf3NYMKQd6m42+LdutM43rfQV2tfyIL2+XJ bYUOTHJdpsW5wjshkeT7kyVlzUIZXXBZIBIYAvbS37kningPifBxDdH8ZZCOxSgEvI/9s/tdMcYW u/MmL3Rs3gVF2f6LlymVhajIjlsRRIBKGFe1Au7oXNZ4ATcY2aS6z3nSAqUFf8z1iNErv91V6FOo AJhVTNLwhG+owcqWV1nPvlUbP7P6zp9XN2hdPqmYO+uQf45gfgA3FhdUiedjfnlDfulMw8YAlVSK 7KD5+jwkK/3wsMqzJqoEOaAzy20Alb1PyvIl2v8WCvvrKIyJ/hbG2oTYnENqsmqMW3gLfftL09HE BIhRbprLM89me6q9H6VYXHbPcufDnFGYtKmod+H0TpqM5s9IVtVBbUKait9w9ujx3gHT32EWMmwE 5OjYkgEzOHFA4icUvjDfGS+irjTEpY61CZWU8AKQC7pNHvH7ZYnksROZgw6eBemFlnn4ZYZF1JTn 9aqFhi2lsAD4/E3305t4AlJwKiorbg5rFhaeb14glOLBFQmsHC5OoAiumQLJb48pp3eKgCdaE4IJ +ZMk2ULRWVKOnHQdRsgu5RONY75CMo3yKOB3oLZwZaA87Rw+Q1WFIL7dofNxAAQV+Yg+UAOMXbjr yC8XptGPOLnU3paf1wybH97DjMUk8L6sYKKKWFo/xkFRm5bZkj1k1iS6eTPnCIcNzzx+r9xF0Ix7 Wnl9YpC+/0lmCgbB7+DZUtsVmuFhnRLRRtwMtEqjiPuJKeqQ/sDLkXX8tPnfl8wX/zteCtNYBXd2 BAWGC2DDduYfQJ1YeyYAHsu3/GlZZVA6B/0qTUfakBPj0Jxt4GKAJt44KiIhlRTxSaIRKJmzYIFk 2xKFxVKMIJXKrIp4hefJ0zPj+s+JnTNiaLRbUBGE03k4WyTD3BXIYvV9m+lPFm1JWjvSgeJ6I5RY tguoTf8jurFz8SCL+fP+Pnb4qo/1XbrMv3yD3UR62snS8GDmON+r+q8aSGFPWHFMq6Do15MBYSQn 8t4MNtPbeYFdrQiAwy21PmxqzyuL8veZZwcVN9HsoRl8A8RUoqEeFkU87wx8PtTw7xMginb6RTv1 Bxd5tj1OlMu/HI6CNJ9FpSLvaL0MAXZBTbjZVKB+8zjfuMe1s3vpEnTKI3hNuowyEtNgqvaXQeGr q1sCVugbVBiF1GlFgu7Ei9qco1feemV8lGNv+R9RP+juR/bNI8Z/aAx86OOuvGa6NPWB+Sv8qaHx IrD1vtqAUG2ENRmF85664hXWluH3ETz2dzdO1VXq2RBwOtp8iyrjS++eC7ls17/VI7SbS0h7YsMY DGPFz4Koayn7ytNvy1j60qmCOLrlMWq/G56rdprbx6tALXKKrg6kBVWliUUq2f2n9vXGJwftQxjr Pe5KVfracpee90qUhVzF+RX8n8hmxMDLFwJqzcSuoiPNvjiWPqY5twG8SJtQKVwi3JS4y/6s2nXZ oxJxcvQi/4m1BUE8+WqXyDdRrxG/nRZXynytYO3HOc8+U6caSGBSJOMvo0iGFBrOxlxxVMNXe0VX sGS7KLVe7pC/brBXaUSSiE6uZHPh2DcJOAgOh7kxZApU7Yy6Byr9zza2l37z1nyzOBkZdn4l+5V5 rqxafzC9WDTEhVFRohirdzQnMdCvyPKZP+kcaHKSIAPZkr61fQnAAcpZMgTPaSBqgrseDul9yq3A Mz8vy2YPhgtOzGiKUf/nNT9CHWzALCifa9dP58i2zAGQBKfdi8mLRn+BpyihjHsNdgVbj8paEYVW rafh14yHn20EZSy9K+RkRWixHyAtioPquOXrm2v0LBAkQE+89VXMvUqS2gm5dXHCdco4Z3GVTRt5 ksF0uhQl8SNcV7v4jwaJtuf+nNKJKsdaGHUrsl0M1ZETivmFC9QAGzEUoPjtv2lJPMsINWWXSrwO Km/c/azqkGtW3Acd8OK87mfIEkuuiTW9wOozbV+br1ipIWi/sb3vW/GZNeu+cSl8DeyuBmXLV0PR BZP+WRf1jCMDWFX5VWw88XqZqVyzn83nRLpWlgs+IATkO6Je09MdxpYf4RaFqmJ1yzavS693t6Lg 1RukmdmuUyOabQjSTEB/dl08G5kN/UTK2Up5oWCpPdx9yUS9Nsrvz9C/RYFD1BhsHHIVUBQtIx5N fD0b3umOFerixJGxF0tEAcHmELA/4iyX06FWhy/WoJME75Ks8/f5RgUDUxShmaQerDpTv0RDzyjI pJDFpgkJ9/nHBoJtgfaNFAU29Pmy8FvS80CPGFR/RLY1B+4op63X2WdZkzMPg9DPEtfgsb8FFEsS FFhPSIe1xSo/OFKxFUS29AZiGWReN5mBCFYFKGF8lr7b9TMB2CeJGXUiAWIniKLzJyB9j79fTGkK nFvqLopLMCKyxLry5D90iDkDyFgSowXEHoYjIrtev3eA6p2j+Kig145s0/9YoRGBPjHDeNBmBSYB v+UuKmUa1dvT8VikaivwuDFnt6FlXjDDBU+nCmKoQzX35g9CQUlO9sDMoVMJZDBE5CXpy+r4Bybo Md7+Omr3t2ts1dCBDy5R6CkpU2sL50kWH1yFmGIWPi/gumGe5JSLYudD3HJXILopK6GbwTrTARBm GjfpO7YmoG1UYUtWR5TXIiQLPgN/zNtIQB6OPQJnl4DOybh9p3FSaBN1iyv4YPCzlFqxj8t5MJ9Y 4hUcpi76VpSHib9YiGyY/gLbKpNpgQ3lUxajwg/tGCgFifiwYqdHugeBBmwKn1roOD7AIZ07WA7w CuL4ToZVrcg5MOKVjI3FVsMvaxfL2Vu6XfrvRhD4osxqGi6IEsR8PmzyY7wclBUzXnrU0pNbxskQ K80MFNnBON7P42BSzqa2Ek0fhxQB737D70VOjOh3rMCmyblWDw4fxsL/UC3xmNIR3Ms+5/yBNwdT qPv9b2V0Rk8Czp7MwpqTMsYcwfW3kqauqrkwoIArPZDExTcndpKmc2qlJDLfXBxp+DyNaL93ll3k ruSBPhVEPpIgIqiZQIBbd4PiJHbOBNRz+FKldFr+x9dcqeo2pe2lBHvTUqShf81tO7zhVM25jwfG 5FwpizGHZQLx3t0GpWSP0Iu4KoL7v0BYqcFofy95g4ORE5TOGZKMft3kEf8niJa1QkKlD0I2CMVA FF/aCj91vlpzfJHhvIad3cnUw5c9Hgah39muUTdQBOVYuwf7Vbrt+cNFfeqJBRipumHBPZSeMXjG rH5/Yv4e7p+YdgKUhG8VVNGchsi8LnluqwVCL2icZqznDb6P50iJZMaU3ICfRwhNedjokuQxAMCm D+w07DvccEO9KIh8BaBfRlPteMYz8Smt1ndzE9Pc9rvYYOdsoOA0/3bwKnygZYihFrK+CUslwaPN JeuJ4OTBzoSn/AP4BjU2dHVGvG2ss6fPpX+jWh4kC3BoB6qvwfJEMHWQvcj2CRLgCnQhfoP7JdHe b6JR1cOp9N0tvCRxGMHCGiahvNCgnRFRXt0dJhw6/dRtfVSiJJSv/wVQCgqz6f6ud1sn3JKsjJxY pzJAdU4upOb8fsGynT0oL5RzjTkge7hNk/wrMgbaj5jDyg0RnOcBqEE5sv9KOc67JqMAhjki5XD/ yiaSUcht7pDqRDZVcwWmQS8d7TqvimZmUSjQqWv6+s5NwpfrY4gK6MLKB9IRihvq3aNUP3XuINem VATMbaG0F8Ycqd2N/J1SC35yaFzpA/sR7I3UNWotNoasJXluTZ+232PAOpFl/unXSG6Lhhn4bX/Y R+hw3kNsEM1UPv8RhTDs0OSjFhGA44YLxSxFEXbyGh8sqQXvjxCxOgReRteCM1JhWaxoowy3FS7f nQTZRVj3wTbHpCesoPW0a//2nmXoDm/QQbPtk9+QJEL223dMfKO65jMrxBWluXsa+Q3gyOZdfOPR baIetFpY0vmGAQX/LKauSUswxcs9XtDYO6eLtgAcH2HRN6RjXSDmnWYmZZbqGh8fMTAohm2rk2fp S5ixmTCvNkVlS69pFZUO0nhmkhdCJIcZ2N7BfvqSiWT1BYgbQfhR5RKIkA9l2WYr9tSQQrkP3U77 8E9lxMqcTEcsxoJ2O33sLDSI9Q64iWcadFYmtK4lnJ3/+KQ/ldImuH8ujA+MQe+oitNE4+4VXyaJ 4h36A4xsiE3ktoHNXMt74Rs13a4GaHdpkQDSHJl1Uh6toB3xmivfYfOcQ2UvThlgjY8tz4fB6ezw sFpL8msahKAdK+hw2KWOT3GW7KvdPjoQnj6Vm7a0pVZZGloQpX2x0HXYE9tBDvp1HA7S36d+9mWE ROG+ihNbBjxDTYe7jsbJK1/OY/e7c/FJ/51/t7vROz5dF/LRJR6DRU+71QfSsHUIObjwcn7st7sq QnXeRftd2QK9xtl4l5SLLZhLzyun+1/ifbeGdWohIjB7KaO6IcyM9Z9S4oOyI8XMQF+Quw2qNlz+ 3+gOjfZEcZmIStvAhFSfX7RQAC7JA4wYlU1eOAHH9c1qyfocFP9wAl/20HQ6pR0Ahl/LdlpuDhyI 6NWTy6MJ7rIjvFKCM3MhjuWI7BhUKkFTuO7PgrkpR7eHyNV4XpzUafq68qChF1URdvWG4x4xZU7d uVIa3TzUZ8Eb5DvzxIviuqohCIla8XL4srkcgrcx8CtfX6bUBUffSvw0tmQkyo1AYnL8cJYMtXZI NNiBS/a7lzNSrJqTFkTzVl8sO89hi52bSG1haVRi1etgEcQuGwKaYg6ONIsTiEdDONnwjZQOicna RY2o0j0tlI1g+DHqhSN82R0Thy1QYp04tymu7PCBM7a0CPCFQAhVstMjvL3Wafn+mQ7MQHaWogO4 MeQyivg5g6KNTekDKCtGKcmaZKTSBghotMWb7aONHiPOVdEp0SfB6MXfZvb6owFrnBKXKnQOU+ND VgJiYsKoj/wLu6dSChWusTyc69TNkgUocH2Rk0cw6mgfu9/RvMzjvbVh/+YmvVKp+e+xjiY82g1Y rzH9cc6akTV0Zr8DGsvLDDtdh9EfFOWxt1G/EwZCP3uJj3DGKfmjdGqVhDv9z7H1g/COI/YR8ZuI WndgDytVbipyUcs6p/02j4GRPXFgxS2AdYX584/A3AJmZW613/gHWPNdBoOw/WMhVvewNOIIT5Y6 1M/ULpGOTYr1ORNZKj2AgW6sS/JGD+921szt4sGCl7eDj+NnhoqaS/fV0DeQzoaxpNlZYnUqMuPu zHbOy+WZIrV8K+AhfnRpXl9IMXLwtJAAdoXhbNmRUk37b9L0cM6z/JS2qQ/1jKfIERhp0HfjvsP3 9t0cpvhCbX3YPNnS5hQFt2H2tPq/oWvwwJ9q8xycLyUY8xxXS2sdRsCTXXN6AOSrOV9Sb35SDXz4 cLSxEyzSO4QtVSD+R4oCQmKS8yHCRtnuzfNku/a2OzAdGT+f9lpvLz9pxYn/IhHyvPQUQ+3y7J4k V0r+B0GloXypPYtJKLCVSpguh7ZKzn4/sNeX7heMBy+X+IG/tLKiLxqUXmpxpsbQjJYuRcwSZLwL TesJBSoXtozTJ2bVp9Xev/fKXal00WOXBqNTcjrHbEuDWZnACWAXggIsbq4oxwXVyCm4vCnaJVJN Ijazv0trXDXuxFSevHICOPSVtR7RtjVHXF3wXJ/DzM6CbWgX9fR6OKNdcRcd4vJuHKR6IQTOZOi0 0+ImBk0JSZbXLmh5sxAuMbLR2CjiixxbOwtHwBxc9H0sOxoT33+s9WJKSQm89kKxC969CqMjpP5/ 6/0ZE3r5j2RC8AL0zOca1eSlJyKRSIMoKTMVVKS7RyIG9wVvkDQIb8lP8/Q8PNXzczq/I2/lBzQ5 nbCacUU4g0Pcweh6zIavnlPQVvyV6eSn7WChe+bdsy1xskn+Nbk1IJIEhrw2hqFGhmCvVUliKW1Q MOeA2U0WhIZBWX4kGBxVJ4We3qdZL73RTdz8aSl2Ma8zK1o1ZTG0u5K4E8q+9SwuNgxKMSGy3RMo Rbm3U04uCCC2QMytDxsR+WGllyn2wtviPaEE4fMGl2+A6mC/QSjrDPTv/fSC0KBpmWlVsKn5VHBB 0f7RBpCaKifXtd+vs8bQDhd4mM6mz0hOZ+fq9xUaZx3MsfdQIJRAREVwCO/fvupfdowWZw4FCaX0 1XMJbbB9LBtOwVsmXXr+XQbV8gbzavcuHeZleYDft/e75WzEqAOPgUgZQb3POr4l+H86k7Ae3BLC +jBivXOFVz+A708rFE+IaQx5NKH7nW393GEUMF4zFv7Ez5wYn9vAtCm8Rme9vZYTb0ie92GxH/fS aSR7/6KTN4AS+fmLb9WskpjEGhoFxNrUWz6Vy1FVnjYqma4BcbeS2vhfdd9XStQA0qJAKFoF1x8y XafVeC2ssnemdG7zbjrPt9OVgA4vvUiftoZ0x79KAQmqHvjdJFqs7oQ/Nn7+ewoKbSg9QVMM16rh gq4OYXdfT4R91nGkgZFblOaKC6UzWSUfUxqpyL+5gttQSPZYkdC4iYw9zKnvfLLmD5qkcsGvol0P 4TagIKopQOHBy5SzZvciSmFiHadGVw5VgzHgmrUKzGpMZ8pcGvMVg17/TbBOuWI1I5ujIa/EExnl tB5o81Ezl6iHNIdQmSvyOYTNeD2mB4LlZ1SrvIJPGCTwn7RU93avVbk+Q8848nHuAGMpwBQK9Vv7 nVJppTKA1c3nyxQ7TIh4FTObj6apL3QwZaMZ93dsuXtNIuULDnQnsyRzsP+2mm1kscHpj081a1G6 zus0cB3gcwXttypZNmydsiz6Yjz6zVldshATzobHTTdd8K/R4uZgrSOXQU7q8qMku4QTu9FBefxY OrLA/n1clXmL0dLFV2R/dvT8vGmr2BUIkRAKciulhwyDSjjQni9JKJVfM1CQpbpHRvSMfYUJaiJx qNtP42Ox9G+qQC1vD7otZyPZUT8VFFL0FchobLLULzHvAsImhecSVyb3/YDS1ZRfYH8YLmZwD84X BiS2cEA/k0sv2jV3sIX8OFOtL44hItxwVaXj7ufQ5/f2VBwne4FsHGhoP8Mb0ASR5DN2fcr4NxQu vhZw1kWDhbE2wxd9jtSiGKQ65BpADamLgg1OgEM2ON0NTgblHOGQ8MEbKPYrd3LxGi2y9eKEQfb/ eLhuDrut45MPFfmr1Fwkdam3+Y4SEWSLPAdMe9SVkDVaRw3wQvx6+fcJLj+BmoPReVjstWChsJMr H6zHPAcdTgXVYcO6ryjUl15wyYYbCoVp/iaNN3z2gNoSZjudTabAjBAVy6LT0C+oR+tf/5npUhGh nnaYL5nx9MR5w4yQNS5U5A54eP4Wn7oQZU2SfuV3AM3t6qAfFBkPQqPQUBtvhe/CXG2uhynFinsr f/bAYa1aUOAkAG7FAnFXRHL6H5EiIyW9CSfQNfjXNJ+I3G3aKVnOGp+fpvpzfJUqBm38ciQ+JQ0x pTWMyEbmeCjJaRtzo/Mxj3sOwaoIxkN0FnRGOWqRk4plC9glnrYzraN9i7CN/f9tExUJZ1ZS0LuI S5h1qJpzIMIjE+ChnKR4HKhLbiU1RvLbH3XZDOJ+C//EtfhLE+9GW43gSG8mjD/cczZ/8RvDYJHo 5hRcuZPUBMgUAlJytK/Ww4AEor5yuY8HRYOSy7f3lCePw8ufUlAPlnNxMVTGRYzL4s7xhHr19Ttd qqjuGSuBALz5i+rnZImYverCNEgSIsmesQdxwhrwfrgJCpgSrf5SfoQquXk26nEAKa39z8tPK5FS hiFDxJTC/a6/ZRBKxam59tY3IJ3fGIpCopFChVO7KeaT54ccC+ObQdm+rC6wMu/QZZpf0D1CD5HE SmJWuUbFzQuZZBHXqkxmMduvrCWyPYioXF5tMJt2WDunnO4eyTSvdrsMLdlv9JgWsVfVyc/BXf5o TdFxWEDgQodW0Xiu+Rpc5xF6MGH4bPGd5MK15bj9b3g72A380VwvJXvTpQ9smYTyHBmmyf8HhQoA MWAdu811zvIUMAmux5zIzMeSzqSV3rMRL0hohvIRIkFC4TWVScOyv5pBSQ08ahDEDpX45u4gz+/C Tw3fMXV0kwiT+NChrp9Ncwc+kVo/+uviJphDgzsEA+17CCN70KFVov0MRA30zwn/HxYm/xcqFJxh t3uHz7PT5SY/6E2Yyvb/BRbktJzxTUAHAcnc3g2VLprpUISWSLc38H0FFx3D3X4fx/+vgILiZL6b iJLP/giAxjmhLfwcPLsZgzzCFZ9jdiGNjk0meT+fsG8Fsn9eUS0+1aeDFtYTyhI0SM+aCe/uAJNp tiNdRMU2Cva1W/FsMkLUDOzIkcUYZWcd9N0W3LbXcPOSuc8nJgvhOao/KGnawpNZBz8llcj7Fsye TtWo1Cy4jhDo1Wy8RVREAZGo0YFVPoEkPghKrlVzkyTLUZh0Y3kgpTdv85AhfgyqMzq45SttF8fu TSIS8QgUPoOheaTtIuYs9mO3FMMFXE10/ZPlBaSQ5A3UbFoTQKjI5r8yJcjKmOv6a2IeG04VXBuk A2IwDDN70AUBNbw+eY1AMG8DRoUv+sRrvLyLpVUZxR/R7DMWz+4xCQJXEK93g5L/lh2cDp+qqUFJ s2bcVIs94siQAg7xTkwIuokvLdnsXJ6q+79pZDFiMH9mCcad/R4AzMjABtk+Viy7TpkBR9XzPxxk WsEmRe3T2GLtKpZOsFzr1Ngai9re0jlcDuVq/5m6sKLBMdlneUutEdnNQlRCYUknhMLKkd0oMIhN yWbxIjf48Jye4MPsPDAWt4ZMfD0Z/d6bBKKg/HVgMpI2Jf4/9DEBcyipm2jUpMoMsmVcPAOifn/J qnAvYbFioms9h+jjtGVvILmxTC5doyOpPbzp98hIFabghqXYEy7zCBzeL8ROc0iajX44kTCuZAZj /QaMoB06x4ForrWvtBFBnnfDd5q82dNktTSTVKmf12kHR47sJX0mcSaiMpMEHJFB+OcXx+vA7zLf kqClFfjaJ5cnHK/QBFCxokFrrgKc4gc9LWPsDmcVcDZWa7IlJ2w13UcyGjPKrItZWxWmSIucddQb J/SKna0INcAtdb9P3TGefPOoC+XUMRs4/RQRiCkWuJRYL1jNhTTLIQbDRgNPhadaABA7Xzx88zDz /C61gAIxgAp3Y7QCCK9rGO9wF2JfemrE3rTU4XZsDzwdEE7bgJnWoUY8UnwgoyZ4vPygiLcjYINu Wr/RtHl8duY343LECdXAl/8zEYxWmXtAJkqi3Y/GNP3217wqOfkkFXdhxeuCof7F0zRhVqSPXhXN VE4qxuqLYTIcQg+u5Jw+kubEZmRTcz8sP19IB3PyZbi7TxyqcyyNHkPC7cQC+WxWGK3ty/TH3alV ycr5UPyuioHgLIipevk+DIX/eFReBRR3AhP5BBKXauzTJrYTwJSW3V5kme67oP4U9tVAgjt8RPCS F1nhWa5+GNSQTt/88NGpuSNd1GHPJ+bGV8fvvHJhOsQMFSGF3j9njy1hZbkEVT0xX3Ti9QSZ2O8+ hGxiINfJC6PK+pAuq7u7PD//P4gQTKEJMBL/KoUa7BTT0oHQ+eB9pio2aOHxReX131WuV8erAckK OmZMxYbQy23d+G3g2otntIYAzwI1V09ANqpmDpyeUMIgx+2AKrZ+Nt6i5A2BlsinyLnb+yCqfSmA cO+IpkOIbCf19HuuvZn1IJ1V3c/lohJM42YUtuIgZd/oeRy2nJ15mbuzGVl8Wxpo0q9HyEjCOKAC Hr+PTIBMuiOmWwqS/rsWzh4R73lrdtRYXK+NPxBLxcsUFIuSahcW31Y9ih9xcAHODDBaw4qhCSLP Vz1H+hybikK6VY35AzIAiemPeQMfeZouDvWd9yuUa2P3md1OTgYbPmsKhB5KPbPuY/mo45BxG4+Y JmqM5x3xsoJEO4ysppBf4k9ErPDjutFQiTv1K4vEscwXQTgSJ05q1ZXeOPGf38ttD5dNX1tanNMr 3TSs+5Rt3uSug+/F5zARZgSNxMLx4MMxshmxd6NoVfRO6iee4lWxA/NLGXhSuLvpzLwD8Q8eF6oj KKi2yZyLIzwXHKRGWXXMsYmnBFq8mXvJcmKseZTBmxEh9tYIVWq/vlFncaWWrdvmh/yNv6k+FrJs dRbigeAlD0EcFnlaxgevqykYmknjiD0Kob0gAdlaTv7/MaTT7uNwNIPm01N3RkQhglWLeIQOsyjp fhWcF960wmk3ebQDfP9IrzccYSsyDWeYppgvpMvIDgtUHIlh18UcXWzv5JlNMHqIyrjS0ejqVe2U LIhF4ditOS46AhSHI/QjxaP9C+WAGX5jfVJD5uifBzAtPOM5pzPsDWsdqZfIfVP6IAh1bLSCnMrn Ppl7NF1bLg8IdkxiII8ILg3tqrZd5tWYj+LRZdYnc75z0Ej8+Ale0cQwPVMrYl3AB7Lx8OvL15Pg RoV8bxe9pCup0teNyzukizUQn3/mzrXYoTtaeytrlVQKiGismBOP/lCWa6QVk711ovZZHXI4UlZT n3LlTcz05cM2qM/yuhiDkGOAdUi8anbHRb+Zwe/BlOcqNhDNurVUw2Zks3L8oeFFwd2Joe2kKaus ZMAYS4vCRBCe69363SLlLBoQEPBFaXxpVhMlRcGmRY+Wt3j3qd8jIQXn4stzO9NA2qPegAlhLawv HmcqyhJbddPDXCOltnoHSMOt6sUkrOAkJ1txwkZp7PjZXODKLeIPZrimzxGv8rlaEHULVyDAv6uw K+iznR2xNm0dF5bh/pjU4FK+Ia7XJWZG9g4n0Fez16gK5VXg0FTmOZLU8Hqur7YXS09dCTJjmIEO psLP1hFwfCQOphVtbRkfFr3MXnPG7r8IGVLGUGFVvyhRbQn0m00lgvWdUNgmb+i8V617ikJEJeDz 0W6tp9Z+Qk+Opl4k6hBaq+ZuqBG4Un1BAw3EfPN+H7MT1z0vvWGtnXR/I+juLLKIUrWi9kDdd04B OAsT2Bb9MddDuxHf9ice6jAsdZJQmTElnH+9ZbLvTWlgqOuCN73a7v88wIg730XpUpjWT+GufVXa 9JxZK5vCBeM4xJXUF5zk0OSKFFmiurZzxsFO48w5WVkIy+F2q6pNTskSU+33NXQSHrWwUAY0OWI3 onfbPG4AXnIptNNwvaMbqao93IIVArad5qLiwwdZNG7JiwVZkMvZbjQVA9GoW1E/rWfVbeKx9htj a6RkMTuY+aYO4KowPQKDwm84Sp0ZfhaoddbFhJdL9ncS35VxTLX8RNvuPEALVL/H0uCYk3RaFHX7 QFGAInBfNVlclfzvcDdvgxd4xmZFXC1FDdMzA9pbqtsNydeII8TaKEdzRPWnACmuK0QecpbOjMyA xPJm7nCfAGGs8kXwnsnBth/jfoM6buuPtE2kIkMAL3jhUn4j6CYcSmAiZO238w+5HXjBr0JtHxgV crVjTDeKVOmcPUuwiExaLpZSsovLrIGZgceYHdyKFC+RRokyYMe1GQ+K2At8FcWSC6+x5yVXqbc9 z1TYbQZo7CGQpCKwJzGwoEnS2j4zmH+nJ/uzU23loBywX82fvIdkY6CDSYmQv1e5REWCVrdSiDaw oh+bKn4mkkYjKG1TcEHmziQZrnstgIDn2Q9w+KdMOUwWGJ+gPrAi8Ag8P0Rj3ckNRgFSdLJDphGw sBg/9kBySNq3hvqtUnQh8kDyvWkF6Reg4a0fEx0A9/Ba0Fj3GX0CaywV80mw/TNcwFFVlnqoa2Eu OC8wsnCzMu32afYaQeaNUDQKi9f5M8bZG3Dy1W8Rc3wHk7JdxqN7PCm1439BI8PIkzmb6p98McH7 KeQCLiyVYLF4jEldJiisqUF3NSuN/sztSbUvBEcXRd3vcuctrO75Pyn13yVgE1axWhCpc+cJ36ky Safe6BC97ZoikW9htsoala+oHkv8iBdaE0kjeUJoXZ75583CAF1RPm5DVklhArM0FGtm6Nu2s2fP LnI2SEcibf/74B/APnDuY8684DWUm9s61WsAXEWRd0Y1xo/BcHYI+NsEsWk3I1qNCrk1IFbz34AI z6xLNkqV6cfA6GSa0lkiTN8KTW4SUkRgYqxPNR5D4/wwuNevDGTIQKslqLpNi4CuLPdSPuOylQKC en1odCfuYUBOF+qGmy/LRD3e5w4hCrViEuZmO9+O3FypOljOn1S1Z167VT7cb94Db+7Lc25uZi09 DBfoYScob2KmFDtyK2jkaqjBExuZf8f0tNfBUVyFRhOFu83mK82MLD/E4cD89YLQKBVZa+RbiC+r lRCnarjAeMv+oRYaRZ94Xm9Z8i55Ykb+kMeknAGeSyQDDeuvjmIEj/SZop45+bnVKUWv4Do3j2uC tjjYbosx1xGK5/SOowbpZhRF/5Lopcy7BGGYH7aTzVpN8DEY5lr4beToYyk7T+ppGl13ZWzL6uoq QTXt27a/H+iJFGB+kta2saCaEw/ljguEjhSRx9mGiQsJH18yp1viB8swGRpfp+TBvSzxjkgh/4Qi 6x6EYkuqAzuTKvSmlvQzE2N75U58L+nBq8rmY/Qul30Pco9+om7cVRs4UBYALe7bpgDqSGIZssZU T+ZzuBdJt/shbI8LfDz+l3Jk9xlj7WeGvCEtTynagywm+sQkeiHGsNCoBaY4T8Q+5N/gJIcjOhKd BLTk85oUHrjHieB25YWyU5dF0ijOXwyYnK8izT0k6PyNbQK74KNJjhubZKohTLuHRr3nppurA5hz Grf9yKaUCBy+is2r4Wbky+x2Rz06hLf2L8j6IildutWY475hvbNXfyTaOT2Nx5NTJtMj/aCrBX5y 6mtOKFcJ82EsY9G2R3czRKJKIU/R0DamogjNehorr6m3bFQVqYmZMTppirj2eGVDe9c+hrhsZEPX y7yf9k+USBSRR7yfyoFZTYoa/ZfJ6NGZBs60OYIDGb4BqJvrIsWpqqFa2lghMYA7KGidhzfYIsZU nxzpQaj9CmZsyA2mUkuwQLMhEAhNaEHwp8VDKmFYrOtymjRX6vgfBBkKl9P9+Blut1eEnjoth4E4 Ty6/vuXpQoEzfDbIvqvVCPJWgkASrrNi9gLo2DyqzaXnvBUaeaATPYOHsKgMncon3XNbZWfbgHRN YRuvXHhv40q4YY1DGYKrdWzUTIwvTiX+K9h6pjMGPKa9RHFCpYFRNeTUNV9ekV2Ol75FvUw6WVEh sjqAJQFRmVeBAYmIXRPIYm1jER9DkIw2gq6ryM5a1qEr61qYZwxSmax3xNFnORKACByA9gkswhwV SRwjOAM8Wx9Hp0zJmR9JGmMZSgOkLbcium2Suudm9oNNIVDFkgEEkxH0KZRJnpIkTBbGLqOxB2Db SmIURDuJ2ROPp5zx1cGw+X+eLq8PWzGp+MtywlsX413z9euRwXxUBE43lxKRHidvZWSMj97HrfEW 5C8+qCScpoNGAVvAeHsNPz2KWrQMXYv/z9WXxxoRoX+IhHBRBSyhI91JkWzTq6/YgIoMzkM7oibI WMMrYtxVv3eae2+DTbXRwAuBLMzCZHfRXoPqi8Ok34M+5ubWVdzciwrz/BXTN3DHXci/6u7q0NFT 5Gssh4hT0drePoN9lPDVGasbyurmuuWpfVh2avEOf/MvvEKP5z6pY+O2iZJ0Rc5OLtQPsGknmsBP IAVYh32hIyjwQkd4+RxY4JVln3Mnbd6ZGqOWvJbSXxzR4kXi7Kv2+wjb2Ylafmw2NS5nftD85ZnD Lbxc3ekBr5g8SABGHEoEFuZGp1RtR6eBnyt9Mut75M3cDxFswqX9N17a324cHU2lm92FlVm/GQct SlJvECTmkMQ1XY/2Gm+5YamYlMxmFSfn0fWRU1haqyhlHmxe2r6KNcTFVOhDlu64yHr4TPBAMWGB 9RWuv+13HnKRGnICLliA/LsB7Rp2dFYk8WngLQ2adgQlwWW6kWGB6sBzqU4kZLOpNZa4BOlO9Gsy MnVVbHQuOPP20A/XW+MLp59ZyZsG+SaAXAc0kq4ZxiXMW81bZoCFDgdeFulTwT8lhCRSECyCx33s hRz+3DJnHPdb57RuXqSkO5BUscAluHIcl8v6eMa/rFbkFKJ5/9vjxE/ovCr49pAs5JfMl5dcUp1s g8wqLlOS9lFa4q2UiVOnV7qGkr0TbYllcNgbbheB3D5oPYCf4KPkWuORZ2dTEO5hxLcUFha7bHxI RtGh5i8x1jZN8IXgrgRE3CXWEIKCMfd2rTsey3SkdbkDJdVlxN1bD1mCG2U8S1a+9fqpD/l3ANWL 8wwsqKG8lbtTfyl2abdZUQb62WWyGyifrKbC7+fWQgszF8fdqk4Wlks4C+F5+fzKzlTm9WWmglrR CQIvORYfS9ht9eN2DLIdgMngIRfPIhsZAnDDWRfUMoKPGr72NadhpkTfjHaCVSzj8tU6TmkGzsre r/V0pmyyqJboqBjtj/B17AHm4M1TQ6cGG68A3ntaAy/sFOdFLsapWxGaYAYBSCzLSXk3VC+lARoH SCnzjXPLKOW3V0TlyuqxkYbWSEIt4f+Dw3q6yUth1PXVjYZdHO1FlMQC2HzQywDOkdaefAQLonC2 zO2cK/+BH91/TENOkzm2L/HFrUFenozyLth5zS7Qdi5Cyg9F1rhH7xZ8k2u6weS8yFTvvfiHXPK/ 335X8mX+FN38sp0WjxpO/FldVq23CrzV71BtohGOwYYYNHsEFVevGENSY8YA99hKjeHab3c2sFQT YxUGC5vTYTuGth9LWYU/fNclA1Bdt2dRHsYxKWFq/5zDbXiTCnXg+SsxN37yTuh/fXdFi4awiiex /d0P+jHiMG5SUVE+0qoMHRHT4Cz9b2gdcy8r1woYE4l44OMMEIZZ54r8HQvwJRZwt/f8GN0xVymB 1mKyrEdpyNvvx/wrrGM9rvqcL7Tj4oVaWIjH60D+KgwG16K9vrY2TCbjhBR1LeTFID10kjEehTd6 +axDxhKq4EbCSoou/LL5DW5WCKcRgkTB2HIF9FDRSwHmOePXCCiMl/dYyvD4SlSZ4iev2K079WNJ TKUtH2NfPhJyiJh0kIGd0wM6XR617xMq85GKEUcxW0KWX/IQZe7EC9UmvqeCQdf989EXOncHMe2A QJcc9iq35kWpFngVsMVpOaHi61RI0FZ/ql7VpYdBoqpqGj2dd9J4A8C8Zk46iyz607qgCghxFOu3 9ZyHorJl0jr5kyruUfh/nTxoRyLdJrbCKYnyJX5dSce9ZtJLFfNYVl021wOhud9Bi/owLlZ97y7C ZM5pULPbX9ByjlyOWyIDhl+9/loLGD/HOTtWtu0XW61rcn8xyep/mcIY1OQTjU6W24J1DCOC9jgS 7wCkfDMdm2P5ymldu99aFQYTIb6ysyZfZmPC/7oy5ksIk325R60Wfi30SPI/+jkbpA11lAaNt6jy KXj4lf/G/wuVV3sQkIs9J66iyMztCXyYPNtJwvEp4Y4uvNNndwn8VQ4zoKTQtiVZiHi+Fexxdn/U z7v7uhkQxfJTiqHFWb3jvf5BXGb/IpkpyYEn8TItAI/UZJON5J7kXmHiyMXlEjRs4mJcQgfcd2Mg LQeb9i2n5+uW7viV4mxYNkcNZGGMChjiaFyIi6wkR4RAVJ7xOwOkm2LQJ3AHqPfOTMij+KJ4BxBc ChpHH+/+HhS5Y7H1AMW4kXJFqTwG9FF7sImUNL05tlGSMXzJ0AmgKu5EhTwAB7HgNwGRDHnNaGk0 PSWsYHeyEREYnSw3RO+qKZoaXJwIpL714vbG7BbB1bgwyXDMQ1CO5wa/YdgwCchg3b5WWfbx/gWp qW8jMSgGZb32oKAcIXO1FgpTjWbZ+c7AJQzffhR160P8uXpg/L5VOmM6G3SZzI6OSjyD8RP76nzt bwPqEQ7h2fhUYa9Ataqtn90dVG4VP5QPsgYzWPdvLdTI+dMwdPSSarYueUHX6w8+t+GocU3rcDtK xZSf9Ld4xozYCSh2ZGHpD1aT/jPNtHra12c+Ty1Bv2wH++XPaLhadhTpMDnWV2+09WbWdFlBR2Ff 6K7UMn+/IJy7N752FhdE0J2O+RCLaB2XBq4vrzgiAfTuZc2ALtkDOnV+rh9PcIxgA232c3RIQ8V9 I8XlWhWc0hyLH4wATBwf+M61TJi7oXBvlUlWcpY9X2BpXCYaXUwgv2GtcXsURbO3oZb40mlGVTLM iPZb6D6murr7yEdTAih5NuH8P7PIbESWL0YLIjNqIY7Y6cIb55TtYs6K+oxdBX0kupGHFwpGPM1b WgFXz3i3El4pO8IIvVX4Oz61OrF98L/Fjt8THxXqlfi8VXMBakphZDx+PvRnmqql/ZMQaAmFKuMU 4LpgNUUohyZEIu5aOBii9Bd0Qu6OBouQ9tGvLfSJ92F4jC/MludYhk32cRDJG5by8N0PTBBd2YcE t+AIwXAaZzMhhq6m3MOGXAkJCp0I40NVJhqSnoxq0vN4HnehF/htZzgWzEpjzULimRTjw1Di3KNN TCuDW6tft1RT3Nb+eiSpkM6POJd5qhMJny5GjIkU1jHqz3inxcjskYSc2TWp8zMOlHlV0uvYBqvi oOXlYriRD3ofNWEPfQHL8aeZx/qVnov/UMuBmT2p5Q5wP9a3fplP5hIrDyNwLEJRhQ/RPtlCbytk tKjfXj9XK5ou9w51BnNuBiBWFozHqnUT11Ln2xVyZV5T62vMylyqUL6JsDTOeWtwNuyPzN9QCXd0 mY1Og//nVTWcFUdc4WyGho3XdglwYO0kdUMINYeh1bUUU/H4jC9YWs5+nDrdkWoMzGhTUySYd7Ff 8EV8uDMCRioTIkQpLW305gPitMDu29XPZv2JgPa0xVjELouyCKkeKsty7Z4G3aW0kyo2nyZ3A4VW yIVAfryFt5xjy8ADpM1epk7PEoni957GHk7H0JUVUbvLJEXghGs90m7VES2wsUEvMbpWkrTa150u cK86HUrZtift38QqxYXOaKcOsAKvFsCA1//7sH4Jm67XfKHZFPPUNkd61UoJbEAy4O5LfKHicI2S GAkZylNoFgdDriSRjZH51077mhEVyc/4Lxul1x2+4l2OQ0QCuaViLRKFRzhjL+JMr6RoO2Dd/pVE wEE+YbQ79jrUmOHsX+SYy4xijQbM2ZJ3tyAanyp0ZroUkwz/0ulUsSRYaf8HNMtieF8FfJy3pv0x 3xhDl3bZ1NOBCEbVSVv+vWAf2UbI9b5P1Yh/yUKDSMe4HYAJPul9k8lunYNWapBH02L34nbU9nt0 k/A3G4o2gkIz5vawBpihaOUROvrIIdVeh17gX9u8jkAWvE19fOdkDOVGXYiTq+8CI7cProyBz1m0 bQnAJMZUtuGSw6ACSe2g1Ksox4He3eG6fNRI6APIJyOKGIRgSkEcopsZkHkemLuppV9PJEXJ925H KZlTWqz/aIoF/qTPd9aXH3rW8fP4+aQ8K+PnBMWvZVXBYRaBbuyjXkZ1cvr4uOvSKyCPUziUMSNz UsTHWmVDOSd4nIozOiBBdHaXa93ERHR9PfOwF7wEP4eAyXaFmDckvxJCIRP8mtKNdAZ1YT2UNboO 3sHuM4pDTADnRWUJBQjLSYsjDCAHJdf5nUWS0E2as/yQzdSSEQzecYNlupK4XSdzmQXNvIKflMsO GCX+Zw8IHlXULbsXIZA+ZasUOT9Wr1xdjpRtyJHHVlmIQeWrebNN3+MyJXBwQcgi3VGzwY7PqUpf mBJUHT4Rd4VljK34/0B8IrfzZb/ojDhbfQa87bmlraGQwPeJFeJ6rO8SDKgq6V8sgiSitoH3t53q T1keDbfJHIQA6+4gIpgexV7cmDQKwjI79RilZSNUPewXAmcpmS7xg+sQcze5E6dJI7SNPu5i7hAo lGmcTna2yRqJLywo2B1Ly0zSnFkS8m1mud/Iq0d+spV27EUqCv+CiIQKRVAEu4xCeW73+owZfP5E b14uFljykTrd3/A8zArdYwKL5Mgy3WLxRzPopHna6pwHkbqGADz1LG4O1mHeCUi142LPBtqzBmbL QwNTGgjDC9ZshRmdnWms+8IAWLX0yWx9cC+IVFV9QWSkIiAvo72myr+Hs7iEhFboM+wg3nf6iHAl BNLifXQTcVirDS/3bcEk2mba9DRnASA+VmV4Z2KYMwHftNk0dwV9y5L7YEgTcdfgOkxaUQkIe2Zq eyTzbyyxjC3UZjX6cPvZDPFrDD5aktp013BzZactwPQb9lA8RD0LZ5EJ4wjdwQ3divmHrNUB865g 14wpS3JUvYEowLEB4VHeiy4MZepZJbisDp18NYRwgMZtnqRX4Cw2iNsi5NWFWsC8wfFimBXUAxXr 5OtXl5A4U3aIgdKCZJpqIbQatgHOt4d3OQdtx+N35mZqrrYnUlcJK40lrcuD3ZiQ/J40XCotJazm LQFU4DTTNfUMkcq8UM8DJ9EGwupwQfnmvncvgkbWR2rUTj86VT33CEASMPr+V1WlqA9jzvQilGqB M3RBj1v5BhpSbAeajSgE4HlIpIXhgWJJrdR5RU4mUMJlwI/XIWlzC3/btMNpGtp7tmPkpMlrHjqU RDRd+KHiRQhrA/GGznNjdLSNjW1fjdaXxpooDF/I9Zrbu739vM2dgWfx7cyjGsgrbSbpKt7Z9iSB YziClpGDswu72pPbe8p6DRCEzS2AKPtRezGWK0j1zmTsIf0OUFw8u2EH7k9UTYmy0uBasZgdGGrK b+hpCn/ncOuuZ6jnTPWO1kspxzGV4L+oXOUvVQBQCtHfXSzBIdLnbvjNTsJwZa8jnkjdWOJr/1wF kSmrlNAOSfUO5jMNTfBxMDR59e0llGa/v1wgs+1iy2xgcBHTY9YBAx+whdco2RAwNBHJadpYIfL/ GZTgdxVACgnPMbjmJfDvDGI3YHU1s/zt7r5cCCA5gldwNZAWslsndA6YGqwyrY49Xd0uLJIUnuJy jjjugrX8rltpvSIBOvg/pdzSDTSykPUw+eANSUMhF5Um/cUI1gaa78mCLMC77xiycm+srOdK9SL/ IrvZsIzKHTUJV3+YfzWo5lh4gJ0imZPYLSPnNK3umr36oyhJGxNt8A72z1BHLs7JWHZLxPdGLApK wpmSN97QTTqi7wGqaX94O22vkxYm1hgeWvkhiFODC2BE28gZo6FVZlfo3M9N1jEwjcu5VRlnYcaP IJUmchfs8B07yN2YE9K06UHiPKiT5fsVrU/qw7z8wjpze73G2m/+9l6oSOvs+nJ0fr1Yk7wGu8eS IfCcOSmutx7mjRa0M3InIEAw4y80lCJjgLAQVJyrkumhtBgqN/Y4MpR5fMKZM+hCBOd7LkNThau5 4PZuqYqLKj/ZMPZZycUSCewDc9uB30lia/uwQpivBKMRag6A2r3w/XsiZrb68+76cEd+9acQLoyV 0gUse8X1umiwRk3qEzsTKIWxG7cpYdNJ/QiHwEe+UwQizDI8xuKLcUi4tyMroAYBC+qAa5K7I+Ov YdcNnjhNbRK+XjYe61d6r3OrryQvjwcTjaaLZCHa6UlVLzcgyIMtRW6BrFE5MiYqJsIzQYxufWqV AE41BSzswO0CfEb9jnJ2Kp0sBvssJbz5C7nYaQHmmEID0FoPUIMsUW7FxzX1wztxDgz7FqRh080h 8cye9dd9MDeKF8LHl3G24ErAAmfTJUkRGddAesPsEZK8rySAtftS14RxvXuz7wr5mvJGeYcIUm3U FMGVEBJSLMpf+sdwOH1h8TytkbwFVjnbuualnjDsR1OeFXgf+Rs3e0FOCKI2jN2iIERTR/oD55rx hxG9Kb+EgxHCdUtZMjB9kkYjFlM2V80kJyNUnwysKHw5aIaU+C6/XfND+DU//OMqxKRFP+y8fS1f zZWNpFk/PXegRvEJUcDnw9QM6XqVqytEM90bYM03z+vXUbt6bCwJ/979m261ZzSVXv2Eau8YML7G RujTIBj601NMFeB1EdRN3ZZwUgWk4QJfzm1EoZHxrO8c8oaRRNQSWfOEc5caz1HtKFA0XhoWyftD IyEwPq3cJJVLYR9WB4YRb2D39bABxVmQ6vIbzoGEiPl5ntykVAGnLIywplZt1kLzJzGR1vSMra+3 55GiAZphEuROdIoqV9p/ByOay7UcI8APFa7mLU2U0RL98EdpUZmpIs7cPmHKkC1E+KMtse00wRD0 cOKZGzjb67RBRySVyA6msxOa1TiGM37clWTDHIDFqjdCYIQFRLxFxDyIY+PIIQbPyeatsYkj9F+C EoQSFAI95FTKykQdjia49FbZhAMg+Jxj6Ae5oPoK8y1XWFFPU2tSFHYr6o8uTRE3Qv+VTUDO2uaA r7AAoQcayNAQu3RAXMxPWQ3gKpkJFejYp9zKb1ToukmWwkQ0Ihp6tcatA3uLlMv1LngTy6cbTtBD LL+NrwBb7WsA2xDG2z0TreZ8BRvE7K0PgedmODE7qfOVtnfeFcQnb6iCgvvM4d7sQ4VSV5tMngeq uul6Nu2NTB0EBRsNqvOKmQJ8A9xZLonZv2YCib43JXFy3a3yqwjxROivJa2572YawHZ5NJUi+9cb hRPs9RgkPiMR7nBks/6soCbrzji4cANRO9vSBq+aJHu17Ga73XWMsh56pH1bM6ZExKVvj+0R16wz zOq4lFDXmAGr2kql91XzmzH6nLZDaI6+BWFOnyCKdSgUZvzlPg7IQomchUOf+f5sDj7UkA/yEPm8 r8YwtuLka0RLbxS0ThJ0DKuWQ6anl+9VbpSj5mXXwqVdlW+B65butdx6gO1WmWuyuUnwacMKBGvU OlZC72k+vwD7xgX4w48zpXRYL7eW0hlsujKixZ7tDIp1J7P7Zx/FFprLiziF7Y6MNedVjFdJjGdl 7CcfIeHpE5QM/I7R+G2acnOzoPFtOu2KSUpGu9GDjkiw/pwRrtn5sxfBHz3+oaw+lfsOCEjA6Emu B6LUCTXYEGrhAoh+16MknfwvVvofKkerXbxyBIIJqnz0el5oKG+dn8H0WpY8/jvVT9sT/+lspXbU CoJEzwg4LGXeR5KSzyO6RYX6YoluX/feDoTLtksjLoEysYrjuCfmFwxT8EDoC2YDOB3Q/APoolsc o7BuwB6h4TCSf4o+c9j0IZWX++eD7WmlRJ06pKgVs1q/iYe05aWxKuUb9jBvZWGOFpTMeEYM/nLd 4EfBOzTIWNsJyKELU3JlN9m1Uylvz1EDkp1Z2tMorB9+GJBqvY7+bLhsTf3g9/4ibhAuxT5DTylJ BkjE0ZFbK6t8oyoDVMUs5axfb4Z/FvGDJlXWnQus30b7oG8XfL2U3f+W+7XwsY/PgJJrHgszRcaN iv/D1LEM+0IFuPS8XVgdkd78i2TKpIJpExTocfE9jfoXhxt8B0VaKIIauU6SGdx/k42gvmca+DqN GhNLwWvaHnyXKXYFsx03rW9PFcf6r7kSM+rB4mZFjlDHZYIHHoGNZU0W7BCjmRIiU3P4Ti+D+eH3 xtCmiF4m2UpKsKuyAhW081oRAOrHFrIWeYsOeU99r+7DUhyaiQ2Omw9aYGMxRQqFlPXwAG+haEHp xExooxr6KDRuNIwE1acRJv9vu8k/nZyGr07wmnuhTWPXF4JM48V2Klg4RrabL7NoKpL64ZdaYf6U 4M5+d4ABCcgrVsHO+kJBQ8fWhGqVJs5GnJ1nyycqZ4FOuLwV6BNBOYkrYflTTs2Ps6C21f2Pw8O4 M3iL9H3Zl9nll4yac+za7fvx1PRcmngMxHmfWQgzNGocrn64VM2w+tR2zXNAaEIw5hHXu/fVHRSX GrXI0Z/iNSvEU4eV6qx9X71I4Fbmiiaf/VHZQWNGhvSiRo1kGR8KX8Qm3vm5UVySjSYyR5Q5PE+R +G952ommuIUIr7rfYr6DUfZAZ1ksUNrFNjULUp02TtSIzNRv3cZ7jkcCTP7ReRB/9SFduJKSSkeZ jLt/ng+DbYlXmkFxIUQXedjlEjTjRu6oMd4teIsGNZulwActUeJ3gGTYaOgo6NvexDsYZAjLi976 vB7T0iN09nmGt3nHtILikwIQFZ8nHM5zHiQq1YlFrdPjOtjgh5SV5ScuQzYa759eFfaZTXWDsM7a Y3xKaTw8ZkxCuXM6fCfxACI7v9YXfY5IWZ1u3bWJcKwEOhkRokUQqymxKg0UWOJZIk1yUvqV6ogH ochL47scQjycw45oX0qyzn7DCNiYgNFil7JC+EtWz6W8pzWT8rm69WIbF2Nif28zuWrbBsbBCkhF ejnSsj1Vidx97Urc94B7ikV10efrQq11CtQvf4FpAlh4yUWh61DXaZD7bzq/xm5dO23kDHLw58B5 w+R7PFCd5CGUlD2KbW2Eda98yEjYGJ6lEID1pgzEMKoFsP4IqZ15wHEolGfZmHyEnUbVKlLOvWkJ AjSZ/N1PJS48xhel97VVcwGCw187Ijb5cDfzlZM3zo1AjxmIioAgV2vC5KI/iHG5B3dvsc9KRRbH ubUl1Pci3JO3FFF+Ztb8eWcKYOVDjM7WzXRIh6lOf/j2RHaIW5t4JG4djU0nhZ2SuJ4usZOfR9gC 1gHDIQhb82zGM0NBn2hGqj+sPvymndqO8uajWkGqRyTNyOd91uLgbu/jvEl8DQEh5qeZkmBZTkld o/U0DCqiZW4hn+RDagy76qBR2k4fGVfJf+mUywTH6KQ0fiO0j+02ybUCMWOtUa7xV65fo7DCzHMD Goqwx4Q+XGCSTArYXB3hcDxFfce1mQpN/jsEi76qD74OpmrPygSFkGw8JFYc6sgPQq2KJF8w9FUT 8t7k+uthVRAbT63DYhtEfkyndq2CAkXrZG1bRPoRPwPWQD7CsFD9K9GRFqeKPj1fRZaeJKMfDm4M ya/SKs1TKu7t8R/z1Snh7z5T1r6wDlGJioBLztS97dOeKP3IHo/jXO2aAxsUtgJ76E14wIQDSGs6 dTqfowEx9Bv2cnr5pLM80o/B4jWeP7YZ4wxl+QGGn1NG/i5BN0V+Mh/9KA2F+RNeOzis2EaJXMQ+ WPau2/lK7kwBCsgid1l3J8WBRfdVyshOvq3oYSNOpsnOfrIok+VQiGipjC1My5w1hAecOcde4Arq hiW+FvTYe19pRTXAE0FiIQiZ0g9tHOHiMXzJR96r6bAilny3kI9eOcFsXG9tbbnXbqsyJjSodklX CWBccp7rot5XujjvCqw6Slc+5t1Fav3EQBw+wp1f3W7HPYZg6B3e/lwuOWbA8/TH1KC8YreaLU+9 cr41NC19vn95mbnyEXiYRX2KwHoKA6g+Rrx7Xzb0Jz5eWtMAcLWNhj3/aYpAShWGj7CX2F7zZZzy Pu9fLSXRnFFfxudOTNb+QZaifxwvu4pvaW1r6m0cEp1eL68J2u154hSwgCtPDqgBmZA4IUVsdxJB swLGksvQQoSfJ3SeGyGFpMY4UGApKrobAQnVmebGi+Ph4kTk7Jwy91mLnF6JvFSm1L1fO1QZ4a4e b3R55rS15UapJ3Fs2o417nUyXJvWeUdPhyvRat9/6jtEJfWxjSqcRSVeMXUV/vdGUs0TUIw4GC2A 70i4HYC3p0X+Rqq6xEMvvNJfxhBWzdTEdiUVDq2w57rBZ2F9IMeUAKuCFS+/LR/Aho+52Cz7WZ5m K/qx7w+nvXmGjIbhKVvT8ZqV4Pc/d1BN8Fq+EjBJQxLty9vAx09vgMb4I6zfRTcypHJ05D9q4mQB QYFgUS/Hc8EmI6gCzXaICSJeNWvI7cOUxVuWSdqe471nfb9UsaDHh3q2U8Ikan/1hrL6jjBb4PWU 01mKNRMiTq+ppA9LU/nzyTkuqtCSXlv9VqLnuv9eih5lqGC1pX7AW/FazKJdQ6L9zy4RfOZ9qyJV +j/Fiwmtu4G8VWyBparib5vRP5frCbhFlsfd5aJZe09OSnFSXek9Y5K34++WewQMbPVPUjH95HKq HVDr2JBrE+xCHEP6tlY/H+D4BfhqMVMZZA0cugYRfwubvwz62K//1R+6pO6iU3xLxQgVyZ6Bsv0j fvZBiaI9ON+woeqQlySHf3PtkJC3vNkV6t7UCRVJGgsIsxyO/qj4lbaN00yPHVchJqGMnhsyWD+q 9Fy1+sQiW/FddQPVU0qf41hdCLgSI2ORFwVnZhHDlsbcoZOT2peMomh3s6mI+pBz+XoWaGw9t9HK 4txPrkONCSIruQyih4CZLpZB1Ujea3TsMGpSAUjO8zK3nGY0YUju0FmUDkNFH3TTgIeLHOxi5l6L 1sYEGbO0y+OyMoaDeT5yKUKCXNiIj4FFxJA8sB03XizWKwXbdMMIhFkGQ2lR9HLi8IDmsNycDWLj QkU6EkpW7DbTg/BqoUFCUiUVn3ZFCpmqPkmSL8rUUbB8cjpSrblg5AI2QCA+zc6ImUqFBLyjBtr6 OuiRLx3CsHRGFkW7aK48VbwLkczGFN7//ocxlEDFlTA+91CvgllArwXuqo6vHuvfrSmpfP6GQt// yRRHNuf/iRW9A2MAR1W7nIsIpHWQcPcazP7b3k6T8oxHfyPao53GS9+tfCMoQRzmhtaGYRe0cKLg SGw06OKFcDjhcja7MCdDUlvbjVe6W3FlYdXlCxgrDTR8HSBIsGcdGpdqHHE96UqIQZcmNhje5veW Lj2nxKAlQBl5i5GuQP2VZDTGHljZKlZJBekMewYKz93nIHR0qe8uLxPIg5U6N2J7eXzxZzG7um2H WO4OtLl5FdtN4rN6zWennK1JkXSLZavX24idIQklqpWLlFuTGwtHWZefaXANzT2bguWBp8dE3CuG OuxyNs+HoTodn9M18Sj6Pe0knhbgW59d294ngNX6X2BY9v6ATe7LQdWwVUAmiXkz6C7JesuLud36 f85qHH6qBeJhdRaMQbRZSVSszvyS2axZhBAIoRh9iPAMb5UjJmjaTipOtJJ7S4xIWKhLQQB7peCS P07fy1EnekgkSr8IKCadAt4ZkbJkV33fc4VziqsDyjxBPBhG/yZ948r2KNvnKyJtb7tnIHb3EQm/ ZrZ19YTg8f+FayWWPYg/UK69FNnK2Ts+XAG98V4mZJVtQr3Q0ChiGt2sh9tls1SCU/KkgKMC8Vif lBgqdKth6OVyMLLyqDtTfni+0/HtMIizsSt97aS5Z2otaZ0cDuDiabZdMUqD2zu7dYvmft2KrPR5 nIDvljymymct02Ea2HWgojDljSnHq7c7pzUe3CnuMfKNg/U5VDQR/aib0/Yx1bu8iwlwhRSPbNzV n70+lk+0hzV/MHmFwoEqjgiM3wI2FcXgTAma68oUxBza4J0pRFvOuWyuh9ND2DlRBptHcgEdOlLq 98sGPnqizGOgh2zbxAh+nLKGhqTq9AVz560kTBZxYRd44K8T09jY0DNSD1hmuCztP8VICmd2aFuB GjgZ9MonZ4WJVoNauByzmFo+9R8jzzfjk4jDWcw3jhJlB43dNpRRRIc+sUZMnNsILccjmC3hZWZx Ni54Z1xgy/ezmbfuzPTTw1VvhTEQ+x8EJI5+XcZX/f3lsziLPga3rs0WzJ4mGcRRvLC8cA2SsqKq OFzoiTpAWHoVNx7ZEKi1a0a8YByTQSCAzJALXDXw/Q5schDuj+FjY8RL3CWhFp2mnXCSqjrcczIv O3IS8GzbJbToaWbfT9qwg1Cpb4Q/qUPpnbv5qWJcufRhGIwFKF2g1wCcHwdGdH2Dhe1d63LuSx/0 OV8hdj6P4G9R85spQdFbU8MMeG2TnOZyVJErcFGUwnlOPlE66XkYLlvepcuVMwM3Ac0gxjtN0rwf QGGO0sHHR7TBy8kY2OBP+kp7J1MeQ8axDgmIwDW/iSSmwkLwUsQwfPKyAkwuX2azNxuF6jzIx8id IqwpBVH19LBxx1KJ5XgZAwjY5V/7sF0QtMCJx/kNtZj7GI9QcNQPiopBuAIVhCSkkZzO7f8fNLin d/Um2R3AuxOiFBMi15AeR0iBAJa4AAMNblScS8eSe3PYdUD8sLRTWK/vP7parc02fUjRePJq0MGm Jnu/4x8flM6Yeut/0FgtJQ2kDlXvSvFRg0bv4DuJJQ7iFfELPJCJBFGqfjC6bz4sdpagaKADrYYk EbpMQlzhHRCCaGBenkE/vj7M8sOnUfpIMdKiu+cJHyNn/ED4SsQptZys4sQBVQb7ZrvNTKdyF9aq zshyPgu1CvPkD3f+WfPGOlnBGk9Zr86a2edV+s6h8gjybdYgRXvfxEu9YbfFTFqI0yPw7KeTxEZY Ih4GNNEZ/JUTQBuEX41gO6WqXHWok2be7jnDiDkni2JbnbhsBz5SE175Dg4ayBy5y02qUC4wXGTq Pd2vB9JtbygvNwYb5ekd4mQSUPjDEZWJRz1cmYceqz2l9vVWUpJrXC9WXfo/xoCGRATAL6xTixWa u18xt5EZobOf7TIqakMvkZc5l11zwyk3AWq+2eBHRgoWtoQcprnEuA8L91RDWgVUXKVPQ05ItbRC awiovbBSttLcWzISRVRPNH+TAL+jSDd3fLT47U94CEGYR7IU1k4Ry15EEjAgGs4rj9HDXrexFq3D z25Gqjrm5H0tZYbl2K9T/ZunjzObYzRXDSpfMYh7iODDrZ4e3IOQSf/r5iYCDGHNG5LV9k849GC1 zndW/Ws6pN9N3193HWN187OuXQ0sB6v4zMki5arS9xBJa0+6gVi4gJCs3ehwzlps5yuCZ57uL0bK tDJUf2O2+vM/2QqMnMCC8RztAo25YLlx7fZ6YGfvtfWeK2w1qc04J93Fi4mCedJ4Afd2NCEwG49E Al6MdTk2Nwq+ls2iM6iC5a1gtzsbBJeIiLgWoz25S4tYRG0MRQQkK2hBl54seRxwZGZvE5q04M0l sxjHtxsejPsQBM08nwIIpdSPfEvEPjuwAaslJMnPlg6J79OYndt3ukEzTboOhzi2d1AiiLCtSR1o mgn97dSJJJoWQCh5XyUR8fETMcf6CTQiDSlXpbdbhMe2/OqTIOe+R8ev76OfZJZT/StHJOWd4HQl AyYiEAo7vogbqykgkJL4/CfeoLSGcUOZ+ZiOPdHyhorsjSa98EdKPgoANfoOycLmiABVu0Lj4MHM eK6Zk/UGDzaWsO1DoLc5TY1f5B2SCvl/oTIssf96ujR9+LVndcBjHaEdJA1dugE6yi7Sw+lh6rjl xT/WJ9EVb4yiHGANuz4/WGxddtGIZ5sp6CMBc1m09OX1/xtPXdh6CZgNw70ghKlv2AWqlKT/Y8jq YnfLY9XXUbZj/hDruJdlfF7IygfwpLOkUe51GdrE+OUn6AwHjkofXFrqxTC1mGUeHthk1NGTabUl gzfqzjtBVlpnQuGAq5nGY3QsLH94gE+WZylvSdVcMcwrhXgLLFhmK0MCY8cseyt1PW0qXw6hAzF5 aomIsrvB6WQ/JKlI2nVLN74jjLBcUlXfyVrsggVqjsc+n1GbyU3NgkkvUKwCiGKJXg2506TxyzdU tYBWnNsHESeF8GQK02zZ7KFYwBAFHhOxbYqnGqDFy9ZR6i0eFylK3rZrnvScQTqWbvH3ekqjMnNN +D/hw2SCZG7OKddQP02q9t2u+CAkV8NeCe3919PGX6J7ONgXQjffpyb5xSxa4aMUMoJ07ErQ28S/ YF68u4nm2qAc8OzdRYTh607iJdHXWZPbtwbXPJPY9JTC256o45Bf6g+QgGKZClC5OkGsNkB3yefE K+O4ZOhDhRsd5NFUOpcFi0tyeZfkUXxFIHzgFBHiKt2YuSkRYIwnhIyBUMV78v6TqT3iMX0Fq67I uUBov6Cc4UUvU3/UN8PUlw+dnt+6977va+9RRT4Zh3ZzS9/NLs64ej2q2qrQaWIFoVtEJXCJC5mM 8qQ6hjDOM0mNxnNLpzJzS9b0LyKKEM6kN18bTpo0N8wiGzuwpU0DlKYdDq9GuJ5Eaiq6gZa7H/7L 2mImbXHoKRRyDATFYStb/Wm07d4ZZ4E0TSTQJ01VkWjTZL05YX48QXDla/vZoFbro4Xm8zKZlGor ZFUTyEfoaOI3egGYypD+ItEgWycEh9yec5ROxWFiA3uhxzNz4h8whRUSvLZLZQN/c0FiksSKVePO t5qHNDfNElNGrlY05Fi6havnFIl5bUQ9E+BfBYiK5Ck+2MQSCvxqDObQRo7SZifkFJFFS/KveqKW bzrl2BnCH4ZviQxAo+R6QZSFmE2Bn7vbOgjbyhItpv4WFnd8JB4T9BfiaxapIr8kPNXpN3hzYjO/ e9asOBMAdivEKfDcboBg6DUzyC9QzgygTlvN9lRw2UudIBcZhZgwxiVC318dtBAs27kdwgj+Ekr5 DzTHQZU3jRey2hKTddYkHvHO9ESUtMRDiMxLRp4rBzJogeq2F+snOls32mM41aag0p0mMEYVEuhj hPIMrZKtseS4UOk3EmLkftG1YuyvNzkQdFUwDXluyBFQP6vvSmXJBNPHjMtY9G6ca01XIVDe4vZc /F/ecGFx149mX6vdPOPOAl7PpXLLvJpnKIc+tQDrj/aZta5yIjALlZjUmlm/1PqzSnFzi2HoWa2V zII6wVTb1rZZI98/AzgHE1d+4wpnl866BzVLNCQ1gaNic5xOHXDAmrzFkCWUg0E+/y+KslDRaUP5 mE6EogdwvV7MDrCE+e2jptOIHTzydM5JpULLWuYMUnUiuVo4Zb1VRWLqLfn8zaYU+0KZWq9DQtAL WxUegKFNXzTqX+CX3Mw4X0l9xIRBNAAsEf0r4bpDaJyrGNiLNJ69PJEeJkk3o8D6R/Wk/XNqTFVV 8qjcx9EcBcmzx6ILUFQaOM9lUhytLf5Lt51+/2QIcO31iKkghsGKBBZaqwjP8Dg5oFMGu4YhmEiK yWIoI1g0idO9Ig1wPDL4Y1OlFaBkLR1NyxjlNbI9OXrHbUVwCV0JYsqG6FOeWoAUBYP+gQiT/I+r 7UGyMo//R9W6sfp9iGoPc1EKZXCssWjBLDTgQ3+xOeD6xpuO7Z4WdyYh2RZWA88vTk4i7MEXETVd sgu4c8aU3Nsq5Z9VY6JrQqWF7lNNsQg+fXJhP7ZZA7WLApM5mTxc6OEPTyC/8BnqWKfNvZemU0Nh 3oAABbGUa9Sw6GhnFxb9bX9J+FRQ4Cm4nx9HmU45aDvfMKkc2ZlddB146gu7GyBN3em+CFcsORiN KiMtgbqKfzYAqDlHGSw/q/5xsmmJinRDGavQ5+athoKD7qa12tcAzYdT2g3HZ3ZvXATGxKrVYTD9 F1vFmgjCyCf12E4bCLiZDYyy6QYFo6Sg4poI6MFyvmjfHCTKR4oawIibqDTu/vL7+lloYADhhVHy BE2rpcAxUwLmLcn3syyLsPQ+uBH13hcHDqok7eoBiEGasL2jXkIxxCkxSkfbal7HXpyA7+MBRVdf RB7nzEzf0mT4RsVrBCzWc0yYez+BaJsh4kxiIZ32PGz5TIDn6WOJrVtPU5vmiwQ1XodTNsWd6w5Y q/snN+eumE+WEd2lFOrRHhm2IuZx+CzyHZ6LerGuEUUJRy+UaNw+W62t2zxKstWChX5HmX1G0CUo cQB7iDrtH0CDmrWqIuaz6HD1SA8Yr3hhR87VO4/K+mIppp9MGd55VB3b9FZSOya0QAeKVEHuZXbj Wy4zFVsZIb4ygTE3ljG7SphQP/fj8uXNBSrFB49mpSsqr23t9TBmanfltAdjiKWTG5WpqwzLOAed KqaeoV+GaVADbrvbd+zPcWZqdVX0lI4KnvdiKVD+v9fmnPHZodbBQTZWPZHvTFF1vj+p4NSmbK3C wZUWz/gs8TB3KiJJkDiQJFIT+7BdXEppQ0JhKIDLP+Yet7m/LunZG8SLb69Rtree6iRf0YvfEIyj ItyTZ9Ngo/BEdq4ekepAFAha8DuBDRWiFqIvydbmGRqzKWaj1a/tIpsZEHhqhU2nL39BrJ53XJZg cZmSx8vjWkFu3Qj1y5BK2yrAKfXtyQK11nBeXLVbhwF9dunQ3t9bDq06jyrYaZHiH8zMYEHRXlyU wDPRYKlX/MiVhWKdiBbnozwvWZtCcRrCgF8MhMv8E3hQ426kl9AWgthuauzieiaiCWaUZDVw/NQc lKyr1YlrVGvSLU+bihAmpIA9/hBTgooQVU6LobM6NzyuGvlwG63VndWdqfld+Hr/S68PiLzDkq7c eA1Mz+CZtxfEpzDXcccpnjOIXEo1uqeB5IXaQZgGQZB2+emTM6RnDf+EC6owEoUf7YSuSZS2hVzg R/XkvEwfsOVZg7c9jL7T+SZt1NtA/DucGT3vBCD9lT5En4xDZ8X2ztDG1vnICSfaFTRuLRKmNSsd 74mWK664y/NIs8RleY4ksICgSw6M2W3/aO6AtuUTM4FObqHLLdlBwLgUZ0+eCEHFxf3El6cUqMnV mblWGchdgPrWGmn7j8WR5xCgEbBqXjyp9YCh82bZfcKZ0KUuwcHI0Jn5BizwrXaCK0t/xrHnoTXK i9ui1ccIpA9KSsEvI8JwiHtL5ovJLflnP7K8vHUbabcE74K6hY1xnr62OStpEg4vclHD9L0LYfYS qSnoBecejUsO09E7eOKtfvSM2d/u4JoR+G8LgptAf+ZCb4TFrhGRbJ1sFHKBX9iC5obmrMnRwFGS wSzxWOApredOLImcsRiVi/nL2qTbc45CraVjDCfpqRzP8HQoeG2cnoAEVXdbATA6OWRsa9YtYnRJ awx30z+WSxH6ZqHijx+pjBXSCGtRkOd7xQrQlRS/n1VpBtt8axFDrxSH7+3etoZPPZuE+YnjzN92 /EKajK4yvaaxFPglhjB8g/rr/+GaQUJAJ1oWNSlRmJLLgpLYmGBfDiOf/1RH52dDUMcYLu+gl69X kNWZSbxqj+FgHPNmR5gHxG91p/i5S+L75tb56WfllMYYR8TJCTvvcRatUf8Gy+MWTyuM3EhE9wNx asoP6qVGTLEiM25j9Eo/H9WFGLdWnNNlsJeiE0A3TUjpIAwhdi5fJxnxYB2BYuxc3shn4mx+jUp4 kDTJWsG9trn6pot4mNkzcO0p/o4aMhcTjsdwJW5BPcjiay1hHhLHiRYsyEAUETv4tRupaUH982l6 /74ZZ0lbUP0PUWQgE6X1ey7UoRxjQec/wDC3QcIxAZJUA575JV8oKNKHLv1UmTIJlgUoJCntF/t8 RlDt2m2IK6BD6EUwdh2N7SDiKU9KSdVFWHJ08daStzaSMGC6pN/jJZ6GrxSw7RXV/1enAXSMixzp v3BgtdidfTrOM7vI0f/2h8ezGD9wDxoqMc44B0td+Bbxi6skzuZp81bXq6y4p1Z4PYWDSDrFTYW8 qJDnGNZtKgE2K503b/jwRZZpOB9kW839QcwxBggFwtw6ZeH9WmOtNQiC/Ly/k2d8NuXZtJmfgWpm fMgTX4ZFTvASaX11qjuTj+0NSZ4ZBStF8xqHhuoBowY2z28p57Vwj4IOSjA3Cwv3v+SBOihj2akO WUPSIpOOttf5QyZirzmBCtCBj0J43+A4xEE5Plju9nUGsP1juY01SXM9cpsYFTIqxOKqVOeQLNZj vWU3i5Ap2SYIRikrVMF1mUlDPrXrxMe+K3Uv9+I3lfOoqXmj6RpAXensL0SHEQ/+GEuN/YVWjPFb UEMov5M3db1BYkH/95V4I3ZxWozX7qt32oG3sj+7DYvXSgQLdIRJmJYzeC9qUKtffoVTsg6NJYe2 dD+Qja0OCp2k+U2yQ3AlDVykiFMiSMhCE3zM+gTudgbqKqB2avJvzFutEH7C4Yk0uZ0EJ0sFpmjm FLR/qxeM4U2DagRYKZ3uLwpUv+m1IhHtsDJ4ytsF3vETQhvC1NUbHomWE9FXJxDdUlw09q2Y+1+n rkPFS5yl8vDwN+BSUDn8IIfaAVVNgnrOOCqjKcIz4oxhibk/jmLeQK9PVJhgSDQIL+fJVLqdIoY6 VyAwDjREhuz1+RyZYqFfjq978y9vrFCetLOLHcL3r+5KVquSr9d2nbbfOab7nZDEU8zEl76olnbP rPcukWG55Oqu/GwwZQEXT8zRwQcuYky5NBcHtFJTnD/E2hga9mR6NCblT7eOPcVpHR0elh0nzcvf L3IoGi6zWqzxf6JFl8+naa/U97MVAHuDsxec6zSLQ0nrvbzx6idUHjJhQmE9jn0GJ/u3QUxk8KU4 zlN+mcJSqNmsONRmkoqUIlaJx7DfcJaAMjtsZtq4Pw5GIN8DUA1e2fbFgDx1mIL0Wda00iBD7nG3 JJdOMG7UsmJEMY8ST7TURxdk3NOLjFsmImWijyHv+qNZxreLcGzuCkyrooiR0AieyyqjHyeK3Xiu v9O91wV8DOroc4sYRTftTxsgLiojNk3o0WZDVNqiRJQNde3Q5haoGe5/uuRkx1bwKuwbePdhvYUp 9JDv/fCaCmzOgf5lPhLuWIwyHe3JURMU5B0kCwEk6lxuP1FXbL/L2hBtNROvHP32l0Kw/e/D3SLA XPHHtzCcxY5ZWdZ+44QaDfYxt8xNyXbH9fLIpRB+6Hc1v40ui7D+GUw4X3JqWQt7p3UjIsdatCY5 b2aahjOPHE397g5VzOYhOoqfX28uPpl/vbgdQ61my+vd83OyX+L5r8Fdqo8uDUn7kibr4L1rXFFV YqGuvgjcDIIBvmkh+mk+8YD/DzQaIbAHC8kb6uJd36+cGrjdG/HR33e98aXMOoeRcw5D4hZl/18h KfwnMCdQg7fXJg+cvUxTV91Uq+ojQ/fysTWSL2sVHXWUf+v5LP12qW4KH+M9Gci0F6J5yH5vVnWk 6hRl9UiDSpgw6+ZmW2a2ze0jL22tt7+xHzlC7AVYG5n87qLzzkMbFyfDReusVZHVO1RnilC6/kGq IVnI6xJ/jJ2SVrGkgGrsyRFFqlg/VNsZ7hRf9yvj3Yi63AVy4vbAo0S+EKrtgyQISYLH+wbDdir+ o42OO1DObBqVo59mdYNyrzGe0Ynlsg+0nIqjMOGPL37Grqm4WsYPDEUBK8Ebb6VY4N35kNvrP6DI ajhgrtK2ks1+hwhGOcQRc+fpICE3IHc94cOrsOuga/4r5V9KFCuQGcOMwacsBrShr6ev2ZOcqPxI VmGEVpgaJ29Fv1XuzZ3svCvgKJsgGeuk1sO/ulsQJrA7SK8zZ9Xpu2F4uuNOndCAGNdL5JsXbmq/ dg2JuWzBPIwrF2dgqdwBJeSuifTCYXHTeIGpFijRulLTKsUSW0i4VdtSbve/93+nBEW51M8mq18R YR7lqlRuOWLkplki7RHOVe3lSFzi0Qdw/WyKcYUUbxCh94s0NMBYEqFJrTOG0R544YpWC7kqhv3q Z6AIVDvh5aUpquYVdlEXEEqlZ3yZmDOh6z2VYDrXQBuzD5TWjoc9c0HbFLaUwhVRifgvWRMXVwtA NwOMI9c95018GgKbHEes5bQh21ZIeQE1Jnk2CPd2hK5v75BSpQsIBHejwnu7iT/krq7ZZAd90FMs hNpqGXZ23kA36m2T8hGvmrpcYtmaJ+t8Z7tdprsHswKEukY4DjuI+4zFeDrsaloenQSqZZqGs8T5 Oud9zrsDCc1Pml/UBoQHcwjdYVoBl4VVhTro00XafbdLUIDklvj0DaXc0WCj1OXe0wf2JhVqsBC1 pBi0UEzi9nsjaGByBe98ZkbRkhbpUFvr5TiCNNfZDEQ9nbXI/1g8G53mBLsHNaPe0x5RQw+jKWeb CStYC5gCTfUMSg+tAjKGNGpY1TtmN5gJGbOABqxZqtOcgIjANXb0dxyFBMbhr6bVIK2m4SfHvI0R Siz2vsN88yZfGMqLM+DKsNalrAfX4gua6Y74fchwECt/MCpueq43QkEQ5Pndz1XTlBfPAXhL3RYI S+bw73k/J6G8T+mJmcGGyjVHjvocXxYyyDgwEH1a479Z2vpT6xrq08J9ke+1MJ8JoE9EiRof+BHz tZcqJdxYYqwtCzFFGeuQD3Bgsq19w/FtOtV6N2o9gQsdNYBSAPxr9T7BOzGgYe8DUEKcTziJSUvO fm9q8k7v5/ceFs+lxhTOAhdtsTThNJG473LgiX0eMQsVFtxDITU1Syb2M61iSS/vIlbJYacIAzRO UFaoXwpsQIfiT2rF9DLlVWNDrNq+aZyjWMOW9EinhAOWS/sJls7ArUDT4AUDew0oof683fRHiyBN /i9hZ/8uCqGh9nOH+TQP/2NRrotGQO9BX0Wic1A3Sc2RMwshAkXIFrO3DmvXC1TjxIXEbnPuO9LH dkazIDfRtzYeKsYTIARm5rX8pw9RoN0zvd+d702g5MYH+SzsSEl3oIp4dW7kUejiaBTFPuMFiaMr SMaDNV3FMcbKVv6tdfV4BtmLmIlmSnrmRv00heaZt8nXOZx5hLTNM8C9HKwZQU7+ep4s2HbJ1/q5 d0YTLzGGIrjcEOdVwYRlwAmE1TwsRrQF0aZdET/miG+2txsyA18+cFGjYIdAZiyVzhC6IkmJ4bM7 4L3+ZqQZsnssjWbVi9jEctSfC+l/3KD8LNhpECF+kiZ2QFVeLqeVBPGAjvIvsyQ9EjZdu8LgkyYY qskYsXsM5zAAk67Y5UrvvyEbxkjmxEiGhXnIDBKwDoLwG4tHp0MJSt/n9unCGpuWKWTOOK9J9g9e 01WAnCoL1bVzOcLll8bJ7tMo1qUnV1v37/VXZewlDY54toRKFs8DCzmweTJ58hXQ0rLq2Asq5Nvx LlrKcL7rfFp4oK9RuqeqrSAZ3PE6CO2dwYzznCn+z819Gor2mQMsv/BxjwHDMQG/z5Y/OigvZvTA nTT0BPsPY58azYDUCJr6RctaJVeKYw20cBDxVFl3C1Uyh20LJiL1uoFBAuOyxwPcgbcOLxZWrqUX L+g+Und12Y6PFAJcqBn6Sxy8cdvc4Ob7qujf9dWDVSXcoFZrn5f9J7Db78gPVDI9ICVrAV/dlrCM jMJ+8acJ+s7U6cIybPZL8gDFbyF6MNK/8URW0PguGirvA86dOA4jfqglWSb0WyrCcV1F2fdR1E6h KO/wtKWLEdl0kI5THnaFBZvusLUfqezSeRZ82owx51IyRKUTLAeTBhez0UoNuOsLciYG7pirPOst 6ESMRKwVWRVeNXsURdcEaHQXm5BTrhlqObgC9v5nRA45OW80KRIRNyu2hc63N7qun4yK1NJjggKy vA6ThvRLgyKCVYBjb7KF/ksBhHtS8gNOKbcpjYfD1dA1Xb6SKQfM5jfQH5gJr6/chbN2UfDnZuuZ 2o3sAG3Stf8LgE0v81di6kFhztpfsXF1EZRC46gU5cCH+WN4/X8/vm3x/PDZtDgacYry8SCGgCXp ySrImBTzOaUk7bMcESeXjBFC/7vHPe7kNAlXs1Cox7K48wSI5MVfFwql9NCsHhCCHm/SXjfCzzXc jQEeVgCKi9gkBjzcHWhyXomoIaEqd9Uqs/v5InohRKA33UrXUpTep6ypVkeTA2Bk15qi9/mcvpMH RTj43/TiJamwMHFC1LV80ph0adM3gbDVSjOGaFCS1oBcnpt8oGibriNbGBb9x66jHTQXqcThYpKj JBRcAie3tX/kx61H5wJ6sQ/z5l8MBbOibJqmGmKRHAnshlGUyPil58jFxcFnAE+lB4bMn3bi8QjT 4UEZ7KoN5Ce8gFPZZL3w7IjX00m6rM9nIDuFlRHoPR1WgS1R6AdgZchWeP/+7atkXpdDbLGPUGmh +MJUOmYUp1kWrSktK/imtllSJefcj8SvV2bT5W/TkeOwQYPKCz9bzo5eoqi314m3AkUUJg04yqcq L+0bnAxYTzHm/cEV/Pf6OjPcV0xJygYLBmbWR7HotnYIQ7hSLIdm0ym5Sg5Om4GRKuCVL6pr8jBx A8cHnY5vZH+beEL7psNxf+V+Mx2/Tqk5oOETkPJf65Bbq0QIlw5NtYq9TCTGz94Fclg95qK0rK0a U/h2gqyhfTJfDK1gSJx2WUVzEyIb616HsuZmay8kn0LvlCn9NXBt3rs3+qSzVj6eyuM8X5eh5K7J kEuJ5KB1rm3yJWV+RxHuM4C/916jDPcx7FCwHpglyPBll99EH4noCApk8NTxuWj49xeQS26e82zP KK+Epm6kZafD4kXOpZxCLWIMJ6DQLl745cIMaypwAUcXTibC9len+vV/HYQ79q7ZQ3msokKHSh/v t8hNgqeFMhi1l2/dEnBJMRurhwnJmUZp0Onj3lOmth/eHH1yILq+fvECXT+ct1OuNuAitkDHrXnn xJtsW8t/068bkaRIJVcg2+Hwf6vtTg2o3kAcSCYMlQt4v846FJLdkEP7QYoNI0+7oFuSNHEQcbKT qnd/izKu8JO/ITofEmlA6n24Oox2WWJligar0JyqYN+RQoI4knf13stms8RR6Nlw2IfjeVz+k2ri 4y5NrabWQnzDAE1FaI9IKvlxwIDnXUUEqoOl4o0RGAsJmwGjpBWsLCuzKyHA/0AWxBv8+t9aG7Gb g8Eq5g048ll3ac02a81d0+OREUHf7c/0xyLM+qhtHcNipFtCvAkTlycfRiRQ6vI+Nr23aYttOuSt t7GJ1XehteygskwGvjX/dTErE8/GtefS+smOgR0mSFNKOOhWceUnrXwUdsbUsynUQ8Y+rJiBJp/E pSNjswpX9cYY4+FsDb+MzsfoeHKWQB58rCadn+OPRmG+Mrrh5qbG/5crGoLCoAKM3Gx5KFP12kAW CFSC/tQVohZoC2R5nhpq66N1XquK1qc0gSgXSqP8lxqmBxaO3hiowiAc/nHWihBzi9On/FDo8p3/ CbNfFG2mJdfg4jVHip097u6stAJj/tFjS9pc7QGpw9VynJqeHZReFHNM2BJBaTeT8/ZzQG8FTAS8 GtxzcIfR6JAC6FH8+3j+RFKVnHlPtL/L9NztYJZpNS+H6wC98iiJtxiSNhahSonbGx0rtzip+XdG DaUPlid28548SDr+6NqsmKv8+WxRFJiRi3iqNxjg/otCV91osrpYbJUCh//W9v5U0z4SI1wNaqCv qP3pMhgIj18oqeG719AFJf78ENirPvewQHPpwDr1IB4E6kNPhz6QZUwMnGEQYnMdiXEqJQTXdBjh 6XJin9X/w2u91G2/OaD4isN8H3cf8d5EeDOMAWtsFMIp0BCu63g44hogCyyaf8URSLLPvUv/5ZMF bcZFvqP833U/c0dt+daIVV3Jf85Q4+IPd0Gl5zOSXog+hpdVqe1F4XDf/7L00k6e6oQ0JqvNiPsm kh6KLs+XtyFsgiDzIEtixTpzUKWnO9nVe3jagGzJzuo7I+MjDb8UMjgKbnnAtiRocvENSqIykZiw yRXaK16yxloJ7zBwJTKmW+nevRb0mvWAGj+AxYhCYEk+ZMxc8qga2HDdCafhZqL6otcWZNAbCGxD rZo97+tLwqupqSgH+E32PpKj6+ok/j9FXHKWluSM5MAgMDqDRhm428UeQ0pUfMxJ6R80egMxRPlK 6FqRll4OSBZJH/UbXb8HMy6z6L7av31XMHEyNLpCQjlqzaRqKuHKJfN04NN2qpAChDWMQStDv9wv uYRon9m7khlOlVqC34ylcfLo/ip2PVTNcb8wMfo8+mft/XuhUArp85B+7OF5cAfl+QxEus+Fas4z 3HIAGrhLA8iCNJKV7qOrBXKyoQ5a2Tupd1CmpmIK1SFTcNfE8/n58+PcRyq0tIxaFTbA9kqcEhQV UFhk22HCPl6qH0VCPu8b+47B41tRJVmU0wG81Mt+AeY+dnGCil9NPAdyB5liMMgmsuFHZg9FOrtq 7NjC6MDshcqR3WCMJNh5hS8bqKYD9/fa6Bd0qw5R9UJEZvXF+vjn4u1hf0F0RCq7P1ADlFOs4mgO mGwrv/g0oCTaURrJWy7wLWrHnzR5ApPJXlWp6XrzdmGqc1puPTeJRPkCwdZkuDgdFULtrbOVJrT9 4FJFAr7N/4bmM49yFDqzpK+LaP1OaPTlycFB3jGiYRiUThwNZfthpgAch1KlTANDiiM0xiwW8wQa wi4+FD09kKJb5piQf5bKzmHvF+VnFNYpl79dQNxVw0HV9I82/sEGwfg8gmzyBaaKVpf+5fLyeupd Q1+/LBznkEx3uFCuZ3Y91eOBBmx2gD7Cb6DBfm5W8X7dn4ZcrQocrED3Fy+J076oMDSIhSG9VR40 wLqc3PGzz50M436rX+vo97L5Y6J/Gd/e+XPlZqCZTIeGQkBl9vVZXz1YVmFnVeCQSYLFPLOl/HT5 Gf0d9sdIFf/tV5HJsLsJBCJH7w/OrJObmLJ5LtXFrXVu7rzseSyrun8Z2isa8RJZUxCZULwPCjSz KINQXZTwuWOnlzgXhR0HLG8umbHhzsIZoc3WME2XtDRh5Vr49uFuK8A2VECXp0QpPc3OVgHjq+gg cvekbwHRfZ2RB2yqJvmRZOZ68iQjkADQ1r43+iiNT1TWh3A/UOSelM7WPxz9QO7Jktkmgt5MyRgm 4lxUoFThHxtrZcMOPGF/oCdRg+Irxhg+w0ThZeRf7q4af5zmLvto1o+EKUWQXAFXz/cMEiCUnrDG GBm8VdJxyAXDSHvmMgadT+2/xJ8lmc6L960ych2uSDEzo9Sdr+8/MuOf0xn7y42YwpXoCv8sWB7P 39OL51vrrNRi3z0lQYSRuYyz4vNrlQBNi2j8sZ5itYb3rBsBb1fvUyL1TSXTjjd3yqfK5j81zOqw k0862myFzNdYvjywsU6OPaqQzMtminxbmzKuIU5LOgRWa8xOGOS5nX465kRoGzP5GYEE75bx9rU1 egUK+NC32Dg8gPbFubfIpPNYRD0S4ht4EoshB8t5mY2QKHQBShWAIKSt9S6T3/ibyM/1t1VTE72E oRAsTjGDJil0Mp0LoHFcYUtT9qMHIn11zYvqCRLcVtMRf9T/wIxUxruZi0VNvipdyz2zC6PEZYYK gzh9Ze2dT+K6D0qYlh3ZpkSK1E1MUuBtM74DK5ITkYWKyt25kqRdLNXPomMa9C/WpCqTGHSOm5Zk RZq7hnEltPVT4+dquLBkWwShvTON2yMxEPQkS+RBuUNMSyoWsq5ZnqmnI7fd67Gtq5ixbFM4cCy4 IyH2Bu7hecqhQfhXEO1SqZkWr2ahpD531BNEbSPhWiDgOQ3j+RuLFEb0d/2zzdrmDDaFpcPatb7o Pgz5kgHiGQmpJMfkeSS83tjJFGEYs2eV0G9f7HeUkkscX8iA3uSc++GesY/OUE98kCF6gwaukz6y ZRlm+yieQ2nEyFFsv6lkeUdMboNoF2hA1lURl+gjm54SbUgIBPUJeA8Hp5VLmv/dqe21V2nT1bQm BWBL2ROJlPSsRA91lSh+x5DNplo8NyG4IZZJnEatvq8LYvBvJWhgyQ9ny83IdKCA0i0W6FzdWUAG nlRkYQHOUiMDmHlrVTsIdTs2k5iGhFvKQsOYafACh0Yl/7hEqvcGxJ4cO9jYikm2Sc4B3fpS9lrq Bg0674tKrOGlLiAcIBHccYyPoaUgxMICIVswe1d2dSXaHq7Z7CxMhri0H1HQa5K080fxKuEUF7+O V4QxHl9G5dl4UsmsFjycBKG9vnMd8Rh4Jz5KMK8wRtPG678VGs0zpXBNqkIbUmNYFOd1eILgjpxs r+ZaKpRraCX18iV+Fgtt3tmT+jLP2CaFq1LZdtXTv26UjBr2eWqsFVaMnh6277vXYmmX8qvFsUqf 5Pl8eueEEMZG3hxth+rUaGQD3Ahe0KuH34BIWpvj7Kfws+K6hr3zE/z9Ok7le2WcRJkcUMiVpb3h YhPUYp6MOiPveBGKwolfAlDuPbNdZm0vvpt+SoVBIUYRKzRQgPVJR6wzomP2xbqBvnKsfPYg0pDe ocvPMtCs0sn1Y77WKLnzAf4NZFKwwyX47IsRTA122htaLeiXkqn2UvkthMfF37UNMDCBE+KeRcqn 8tV+9gpnr60Hbjsr7tGIW0QQDj02zfN5IotWW6hsyW9O7fF/PZys7ffBZvWhsRh5HS1PZ73/URC5 hfg5cMvD8F8WeHldPZFgc17PIiUXfV8o58s1wPPeckzunBcIjmtvvN9NDz/GL7jkiZVACOojUDGW bufnsa+10hNtp4psOaBjjYMSkVI21CO1eFKgTiMHmSNBodh4Y6Ry15+Zl8+6D7LQkkaWk2uVhKav iPaOSvOL3YOfpAmqxWE4a7Pgusy5H/8zIhPex2F59vNkwVhTfZu7BuVTbAyc9MwVInMQeFBamBPr RF0pCMslzmcCdj22EffQsxQmVSOa8eJVVIuj+pBKKtcBTbZEhpiE355m+UE0GceIKh+a3qiNRV6e QpvLWuNHMelu51X8MMLYlH+iZoaHF+FOkkd4wbAu2TDmSjbuchGKO6L4gbsUdR8dS4FAeMDGPCx3 LXTGhTMYpCIqNaDvfAp6IO8L8L3oeh+uZER/spJFN+i0Ch4qUNCvOAVudSDzTQFYsw+K06YFNxDC VtS//keXGGF/SvtWTA13bo27NopOkPnWN7zWI4OvxTI/AVU4CIHyoKbqJGH8PhEMEVlZFhN7ohtq lzK814bAyXblbJracMMXj357N9dhjAcMeW+YPP905uA6KBl/EVId87eTF9SULHvefpgfw5bfwFqh roX6j+xjBX4WFLWrDylPEKRDZj4RHY1GDyGWy+calrELP1UDiMMLDrW02RpzFMKSL0QbBp+9Py1l IFbQSFk4h9lgPjvFmSRUxM2YlbZ698p5Gra7z0E/DCyul1f7EHS8CIxTjTJLumKmKaZA6hAWrFnW 7rdEeYEmAVyH+6cuO6/BS8e+U8lOlqprVsj9kQVXiJdbrbzLTqPmRMTcXxoKSVBJD2Bw7adH3OOI bxQ182S9UsVV3+lLJoDtTwlkB8ZZ1wdeRsENG8k5oCo+YRngMgIzlWSHhAos70DtGMbCWKfohdU/ YZcfWnidl2tjZPWITZVHxpWR7GfDzyQQAyLLmXNMwIRosLlO+RAc4ICcjdozrUNpB62KOybZd4kR UoqypPYQGPCAFJIN/UiGDq3jP8ocMW6ifNIN9LJzJgYk4YsNYpthggP1wOBG1uj2wvpgu4AwRGhz 1reeS706XH290RZ8ebhGEZHU3eRDNxzwKxRLCkWJeTLa1yP8b5WlqRjMxCTRpNOFm6dzAUeqzpPn xyzW/Dao2/A677eJl3qX36GKtY9EBkWnCfTSBzAmPzg2VUT85Ew7JOgdxmax8hZSIu4TZYbltnHI 3BZoy2rQGy1YbpWCEQFPpl9xXq/f5nSqBzgDEeSCyk2Ebf81KI/3tlNjeUOmrsgy9dOgCGcNfXv3 5/3fWJ/q9IWbEsSVzth56CMOrbydSKLHC9OMWSlKiBT/q5KDWh3aXuBHfqMJloaz0lUA+fMPPoep UgzShV6UNmQfA1gKdgaz0Pq49qq9rQ/qzsMEcZRs2ABMoGX998mS5t5NGJ5F5xV8kY1OrfZgr1WW AhUg1iXBDaqYN9zsoGgH5e/UI/AuBy5qZrjfjN2if3na/I7BpIxLHK6uT9xGSW6vmwgB/k70+yiY Oz8uDBgsYAeAPL9PpjhclN/GAuqQAz4LB7iJtRlSiGeNH96AUkHumVDBby+8pl1Z2xYbWQk4Y8TE JwYpC0CmbBGiJpBCmrkqpDelBoOZCBat+l1SkQelj0L2ls0rAvU68MySKmkrMPRZZiZcDqp2Qseg q6hwhhbSBtG63ySb7mG1EHqoA6L4BdkspTa3lm6WtG2ii4TnbDXKotHek9uU9ArbF1Dl/rMlpbQ0 /3wOTBCTyjG3a6mQGN9jDm6Yjg/RUIKIpRjetRuSzhytLUgTS5ujX1b0R/Wx9jAp9zMcETCNPrhm X3CMrmGtyQMVq6t9VdwULbxVhTRjq1eXWSPG8dTY+gX82Fu1taHE7FkLGITO3iDuYVaonDEQSMVN OBvZFenF8NmohVGIEgzd0JEgo2j9XXdbwOt/ydL0fhRa+jiuFAaFtr5CWASXxs6JGHEapGDzdBqD DiP8ADE68Zr4buxsi9nlaibgxdnXFpm26JTDxluyk8/TEDuyJNhmsUNrGQbeoKfOtBEbvB06e0q3 ibAIefn5Y7IzEryJ4eFzDfSfl//8uDpcRweEGgGnx1afTIQE07GLzemAg/EIH86rtklc22TUn9oN 4T4kJT6HyB6tMbZYmHPSblSXthh6eASX7LinREAl0zEGnb4A/xFhm443JlQsKyY0RXQfAS/Hwn4O 3dSqA16ZMn5QBFxNgzhvR9xefTeVq09XCkaNog0s13z/AAE2rmldJ8BCPsh9w3oqSWoqiiL0gsom hzdyre/j262ijt39YIJN7eR9yCFi+aGciznrLuONniiKDRYi+rmJgg7ru0REHPQhWQm1qMGpXUog nDJZiOh1k6h4SEC14qrhEm6EIiJqwHE1nJJ1PH//BdEN6KA2V0AYAOGEM5dIAH8yHS3mbJ+JJ/qo 0MWG85cBlozGyiFV0KWYkNMcQ8WQ3BP5ZVlSIq9yn6NuW6uVoXhGUyBT6dsBzOJ2J4I07THisQif tGxj3Cv27A6DYpnFyFf0JmN+7M2BaFMuKbVXvbCzWbLQsQ7107tTvIPmgg4WuMTFJiBJkQeSr9VH p/njsoEeWmLu94LRmoa5cLmGKEHI9WYgcCkSAluULudtXWHigYSnBdCOB4KbBdMhZ0IbWj7Kn8hZ b9QrIBL1qeeoHtAYMqPCnI5+dbnIDO4i2H37tvz6P30u9kdCy+Dr8chiWi2K1Pbzjp7uuvNLCsjo FQJzsLtFxzgV88JAJ6Wj64sT/Qfhw2MrCHoGLjqaVdEGLtZ8VFC8UPbfSx+HfZhtBxarlbIhPbhq AWMQj60cSh6kqZ6kFc1uS2S5hkXDRtQANzUWXJ9gTdA7w8FqD6LOXboL2a4pom7JdULU01uhSulB evK8WiX9KegianKGPHO44Pt+4qs2kyh72ioeloXQoX+MKbWGK2U+QB3nDNcpGVmIBfQTGp+s49WT +PAerd4DrbaClA/QPx9ar/WcAPof/3lf13upFSBt6HqkETOjScES/MWF7/n0fWtZIatkRoD0fLRj hS4ofV2gZVzAfS3SXazpvRFR29KAB3RQE6jboRexIKVAiYcBQ/pHrQdu9tZUJ/yBiYLdNkaSPDKv cnwkpLbgwQkNHJ0dTo1T+H+klubifXULUYojQVCGfuf5W6FiOm6q0CX92tKH4DEhuzAtLc6UGGKb B7dffSca3vPz5q05dtbQYsw2nJWqdYC8DDsIPrdhohtV4Hkl6Qoa61exW0j/ERoFI6dKx5bxYsy2 4isAVw2Alx2l4q99vI8dFiJl3hSi0rDTmYNX1Ax0uVa+OkdehJPewhKSXu1wZeYzk8NgJweHpMKO OaLIvDz+abxQ5DGAs/4ke0hkj8faM9CJGM95tBEf5FZ1/rUJ+7i27BN6TXHiiKB9LALkNQY1oW+P svvuBnsR2SqspxsQStbaefnXAyxvEhCeU7PbWUW0/mto5Y2x9puT10TSOf6eeCEhC6D6SppB8GB3 CEFdeus7z9/KH9LdWF/NsepNYv4tOoaHmhxQu1EbIRQkNabCgPL6ZhkzUvm0IlPwt2GfTLtkal03 bifwRRBTgfm+b44R4R+G9QdaLy71j7BOvNQnTfF/DeQ/p4I4Hcpf/aJr5sRYsQJcrHiSgDUNsrRO PFfWmrPb5jOlRX1oVQqvZLL1Sg+Hk4MaTSE0dmMXsgl6uab2Yk+wPGKuAraIWKt7JXxTGiWuKbhN Bmzr399oxFKKdqckroCm8SV6eeGB4RsV14596BYQFJStH2x7alUKoqB/Jpc4M7Ly5cs8E0ciJQwz YMiIbBr87II7MK9sjDwbROX/lB/3lw69t06MTzvUQdRobHSv9EgQ4uGDskzpVoR7kjUL3mFuCT1t +8ukZirPB2t7WCrrRlOz/TA0jiSAgWn9k+02TctlPFFe+rioChejraEUPfrpRr8RsW1u/1Dzxsok KqZiSOBnk0Exsmz2p6Y+dZ7uCTLVRHBdJRmN/Y5Fs+BxSUABAsl3tqU8s/yH6QsTfkV9bLHc+Bb7 FWWVUdKv4+2Yo3f7+h6s3+2IgnrNjwAoUpyzZRYUjyo8pOULHhcFK/E7HtS7/epnQa5ncYUGFSO/ kdkh6k1Z68akr7l9+LUZqnnA17/0ERCFKtw+8E6tj49b5JMkPJzimeYSEL8FXCYW71px31DW+GDp tLrhfDWfK3AHulfBQOvqD2V2JkuSklf0f3JtvTQAAcplRugzJehBlxYkM0OzFKtyhTcg4WJLSikI zXt5uxPQo1uxOHwy1RDwd7qNj9GbPW9BD13uyXmcec2nlXh9ydlEzTlddjPkGPXk/9uJ3q3Av7ya 29ju/blWYThjlwsT3qn0po3KXrDJARrLqhFEFxv0+u+yxcAr3Yh10KJkjO3dCvW756sqHzxgu2VQ JpPSJoCiUQ8qvJjQPhKkST2WfxSutTrBrhC3v+Cd+V5tmRsUNn/bLTPLGiaarf9CPL4AANL/ExRU HKT9B6Scx/btc28fZ5EocXa5yRccHea9qI74K+WQRvM6uqecptyMaLHz1aBuJi20CekoQ5BjtVAv unTeXXIznlKwPvXiTw0O2h/ln2LLWz2P30EiTJdB4Erfg1TkNXdKebbDg4fglo2p6Z2OA0zOMWSF IGqkvcd6C+mD+PTVgzeohhU7rN0LvPUnJcClI+goIDrycXN7/ln7R6p1SbzxULV1cKit0ZjeQ3r9 hMsJU737jOJSc7wgH5Xj5ji2a2LTmdBeCVjKiI415m+Yw21UrkeCitHsn/SGzpBIzZ1yThUaluXh G1NvXSHxD5mY85/jV0IXvrNMcxr6+/0h97u3T5medz1UorMoMl8XHt2fdjkh5gOZCcQ16W2KfB4k NfhcSEb45iaI797Mci5Au6E5Q28dBDZ2tkcHRTjuJUekGfJRzGCQ9MdIersjBWZdekN9K0UUzX5c b3M5xM3Y7WbTnE5ddh4xiLcxtc1oJ4ePI/8Iqsan6bIXofPFVFVzirEoOydHTWYh+VBEnN+/VX+6 zYMtqAqrZMBipCymndYMfBGsE8PHg4klyDMopwvTNm8Q2edSqiwLFwsq/N6Oq65pFx/eOUJ3v+lz ZFRQAU6k2DXCazr1asA3xAepzk0Ajgfzbygb+pexRvaWigDYXS2NxgvVx2/TBVjwPPYcl9Vq+oS3 E/Ma8PDWq/e6xkY5G8vA3V1jSOWY92BM+pbzlxox5SL3Dh4ClHjLVpFd1DJxR89BqBaF0U/xlFfz NNy0s6JbUFU4uoqBnlEdhkJvRNpke8sxD/tZfSveq0n3ZWsUkeszBGz9IovigCgXkYiY8P9yAdsH bS/ZaBRIIx0yPylhqGFLCDtxAnKpXmumQrV1+iBPfO+VvnwWW1z+GfSLyvDKPy7H2p73iC0KUWjW gq0sA14CcIhaX4GcLds180xUudu+PCavstQAG29y9lOaLWwk4NxhgZxEcCJ7gvIzYx8gXm/eLdOk XkHGvu6A2DUrvwPg36n3zpO6JsSQUrC0iMLrcCdXlJidAjBl943Kh0wdbPYPWTuHcYdQJ1npSnQ0 GtVJFEdPSX02gda3/equVPJOTZl0Vz5PYjqzCR7cmim1bvp9kkNfIwv1qEXofUX9RBgoyb3BvyHw 8e4MM3k3CbBr9GMnyrosPZgXRJKasDqQuBmg0s8lVDqrAL2yW9AGoB7y0z/UJagqWZGPFQ7lyXws PqXJBik/vAWGDBXSROXiCLn/v/5sNlpjprp/S2J3GxFVzM9o7TB0S/JynU1tpGI3+nocW/L+IblA Fk+MQ2yc/ARqJ+07YimMRZgIvoq9X+c8bEumLD69OjyWdznEZNzLHZ/n+hEeFNKTPT2YCPe2m45B w/1aR1vvWmdsDScWbQGQQcMA61GOOO50+aeI120XSerjnmL7pqV0P7WQB9812O8uFQ43Dicuw1eR Z/21+Ht3QPkHzfybHj769WJ7wT2BuZF/gL7CVA/tmH0AG3XwDf9qrairUWg28dt/Gow4HSMixd/o NH1qNmFanhaL2i0qxR0wD8gJ0Xi+AplHQ/qS4FruXKyOo2unpX7Ur+eG2SNeVMSlPURWuwLRq+Xf J+cbkvLsXzvJwQD9ENfh0MDZ72o8FqKvHNA+RTQxqzFaxsFVZbyRi7+QIVMD6LLcwv+/q62E+Mj5 NCmsUw7LUAa1ixUZd9c+yjohVMtqcBgz6ch9vf/zoW5XQrBD0iTGlyog7URrEs5FbEltYv2sh16J rBw3e2+zFPtffWouCYSaR1sz21xMSdepuz8+kinWgACEsh61LflU6wgWt0snLxey14dIlWmB5yCI s6XyO1rC/DJgC3Rp31JT1JjzADKkVK75LCkM/QzVkKJRReC9kAgea+7WxW9YW13ir6TH5yoJDDfc 4yXZtvTd5cH47kgmCLWC6jb/7HbQ8m8U1L6aqsM5t2KTh6mv0rrU4i+ZDkpvrlSqxl89vYTwlZC4 QvEXfK94urh+thNLay8qED2d1W+citB4Qt5xm/lWgKchYqg7vxQdq7PcRICAv6Y7QctcoH1W7W+A BG5BPVTx3ovxvSKstqWJqIt3C0beop6yk5HUei42In8wTlYE0GMmtQAx9uXHx5fVHpVvUzYWWOSk utbgu+QHIpOhWLITww9orTHGNEjYa62FqoSm6HSsL5z+7WKhY9VxWpS0vhfX8K1yi1sezBN7r5S6 wXy9NzJ3DSnaVdXSvj5T/7FpA/I7CrKgfymjgEZZ1gWrbLIAHegg+6j7WSbNFDqN68B+x/+gHQFV VRtV5l/Ty0XSUGmEmobVmhtlLFC4iwcd65c4jKETTgHVXvOrWJ/MMciGIBL70dlBnwDh95GtPvz6 7e/tCl4bSVXTAP4KPtM8aUSpOTvOhGFF9X3KoExfOZY7JNZAa2KW8MpdBSsAOn9zsJdUzhPM2kSe j3TsSWlNg8LlIe96AUaFoNK+JYl4SQdxQmc2fHHFGk6UBYifayrYQ0T7MnhleAMWNZEF/VBQcRpF G9r+4B1UxZIkp9pG1/+Mwv5JIKmdKn/jHBWnp74BLGp1OXekvw+BZXqgSyJWUoYMcVsId1vF8iF5 v+FHH7SYnnvWGaIIW/FyhSX4ZZXoeCXpPtuIFxEAdzybw+haJOZagm+rj9MLRoVedR8++GamTKuh g3K75q609DvoG5h60vd41YjCNfloJ9F8MnLimdvlUszNWhB6WmhQMeVxhFbG4zgH6HeXByrHppZK WE9MSAEOqe4+CHF6sFT0gwQS812cyTrJ7W17519D6rtoRo0/84KYjAN0cuvdQA5KfaloAabsFSVi 1FMf9UZJKe5BCsUguTmTGKwf3F9JQOwS4qydZxSMvtj5B4eA4ieX+Yjj14iApZymHMrGLYENXiK7 K9Hx4I18HQ93SBnLLu3Y5KIDGkaxgS1G8R7X4puF7d7MvthWAVpEaa3Zl9b90jevkdxs5TuSloqh QzjbqDXW7gCppVom6oFRKobDAVl5YbtPIX0/KsXUSTMTpg5pLbRQrHKmwZvnbgD0HtTThvxwfckQ raOEGhUPSLP+233NYv1aVEoMpV+snoN1IX0FsegBoFErGt85H6o+dTia3aBTxv2ecfQeME1WpXZe gUgpWXTtf69hqgWywNM4twz4x/kdqk091ri94o+2SYvXD4W4CiVd6R1mmYNJNTZnewIdzW/GmeQ4 r9dAh8eWq6OllzaOeZpfNGODyXkiEt1vy92FaHI+cm6SZ7VGJg1rQJn1Syjbw7QvA5f1RO/y+VXt qcmN2twU2acBk9yRzQyDpNbqwbw8BmHbpGZyuoh6Cx4Jbqnfea0fBlyxPPXHiORMhYhX37RNaTU+ Z+z1U3psEvs40BjxCNnPe4GJ8TYpjU9xzK0cKaEk99+Pq7GTTloLDmg+RW/kXcwpK9NDMEHAz3ij 9EwezHy3dFbtbgiAfx0K5y2UF28DJp4W4OWou9jOHhvjkrl2B83P6doLHGhvX1/g2OqDQEL15hPN tkTZgkzRnM4OPI6hvCLGjjZb9s7DxkqET2Cq0IeWZHAR6yY+G8QmT/0YswH4Lyon/EWJcicAv4q5 GxQf0PTBfQlRnQHyNbwHl74ty8fgI0ELs5MVoC3Ys5ihC9TLeDd2yjQkSscwRohI2r2jPYn9JJBw cHQq4kFd2lzbOHSnGRCUyReCnhSdK2nwvD8msix5h6lq8vcrIT6u32dqLlEz/hBE35J8hlEtG2eX vHGYGzNFwCBfNTVQzjP0n26Lf3O4QYVpQs2M9HaOc1Iy0T4IyNeGw1DQuKFDcexUFwP2UOQYvSDv gNrsxlcWWM59M7D2eHNC5vs381hAAn7qd7TDGoxooOq+AjHpazamJC//3FKn13V0nU4hmU0l8zVv 33IMQZwrle0dtcYcJiWEA5/B1hPnElPLPr453n3tYip4Cmb5yOaC9TpiDerw/9MKD36qMSP6sUqM XzCHo1qYwPtsATuE8iUR/dtXvuUcHQnHVv96IHuOjXkLq4RHQTDmMU7HXpDlWMQp+rm+XIjpurEk 8p9qNeR8te8urPb1um/WmoLJBjg/iWXh1RaCB+E107sPCM7CHi78fToTgALAFVMXRvnStMHq0+6t lg145zqJ78D4xerMYiDQJHzxn3oNz64kwgprZ/Lrs7OyDQj8tHC2b51UIw9s9O/slnvEM91L4wGy ZwUPA78A60+um9X7AKBY/fZPqdg4Z+LXjUgJN6DDqfSc15Ptu1OJiVDqWvxTHRHFELJRDUM6JCcz AvTTfpz8sDUPAuNnVu1GlMx3BaK/8QDLUMFZ7bTd/jbb1DG3sZWdjV3zBjyoJKWZfrER+7u6AyJf jNNcDvdM0YvxGRag6lysGv4d7c2IX/d41T8QWxWoX+PpIOQ2DfheaR/AAdTGOIwt/HhcbGhJQu+o qDaPncG1kYBwzghjgm24fcMt/Kdbwi5oQ4Lr23UHzAvyw8At/Fvh03c4Zdu5T8QCB7q+KcLkwbZp xbmD+bgxpWnz9NCht4b68NlpiOPaaRQ0+miKoXZM3wJX1CrIu/lbiPbyklaEk1TiLdTJ1zBk64Zg MOY3QMh+mmdub6lNWWfBEiuzez3Tj7cvYUugcWcAsTcXd5B+kAeeKA1p6j63w3iLE9KarUFQVEM7 9VBMmBV96u4MwDHuEQIb2bA5Kh6wY0qc6R2AcqmtyqQCG4VI1jWgOiCPMRPElLYWwk3dwqr/Ljje uyw6oz7k/qtYfqA6dRg6Wq+gmBraHRPZYPlPvLdsgtc3ZG/mxWzILUc4foW5leciPId00qeCQ90h 5tVTHdc5Qw1tEPVw5qZpOm2v1AMB8KVC/aSQNKdo0V3C3qsSz2n4VYsmzNeLqQYraWUoOv+K104M pZJsmLN8ppVBW7Ts0Hel+V8g3fYKJ4+Tw5tZQ2qMm/pOSbQUmfTYOZR9DBFclXb8Jwlq648GhzBh KjipcfztW1lksxahH3eBJKxBBsQHqZ/ymZoY4SWuhb9cy0psULkGxnxNQIKsoFNru1mH33EX7bDS BWKVU7m4KubFzp+1cZfquAyMpInG9iBylk2/BECe5QZRmD3Us8sOFWTFJEjZvrU97OiRsRhBNcM6 4yJh7ofDtW/l8rbL1RukU04XkIqAuZKx2aVtGs4trE5hk+iKMJ5On/VbyNCDj0QLFJaZ6ZkMTucb jaqGxlCCEt+t7ZkYmooAyf77/Cv6wxfLyxCCGdUPSgLqdpeA1T7Lc2IwytaX+wF7WgeSk8KOG4IC P0GzpxQNkP2fJMLYvqpvYkkTdchCnYVQH+YUdv2TQA2ehDnUYkxPviU1ZVLkkGjFOx8Lxtxg137F 1hq84uNiOyL1sk5uhMWj0jgL2bv0mygN5dpagjG24B+PRwJrFgCZf/7TOb0NrvoKARdYj6YLXvFF GYrOmXJVL4OjvE1m3P23BUKP+tglz8pk3YcfTdcEM33He3kilzFD1Pww/3YjAxfv5Xx6APFjx6Rm Lb/4igLxCIcPG+/1sI74t5nxS83nRTNQkIHnMS6uEBxZi6P3MHy1QJmTDUcprGZdts2AA6cP45y0 p6sGoPLqTYGmb08+YOJi6a7Szq5JalSdL5xofTkHjz84EQckbcImLFEqV9lYvD0EUbK4sBugTTYN LjnM/+nkt/8ge81uZ5ArrhqUGe3QeVq/XbR8j0hhu6bxzGw8dHXceChyB9VtKyqw58P4NeaS4IQI Cn49HH5JX9GqutLOtVoT1GnXu4t1p8qPch6oZjbx2cop4O11Y16lW6fkfC/EFguM0bxJ0EPIA53p qj/v3SY/2valiuFFx0PYdPuFVWX0FqfV+u67uzJM7u44nS4l4t8OJKNYONFxLpNd2cX0Oha1q53h UQsv8xYDGwsAQE2AXmyCu1hY48YLmd57dLfyFe2W8Xmtqda7+Lh5iQp9Qgu1cgLTZI/eXzlZRkxy GVKFElLuoKxEJcl99ZqUSGjcsoZmUE5iWvgItmRRcN+J7UubFNegIrCc13Hpdne+LdfBdCzwr1hT nxu6Yp9IAnKaDy/codCgSvjmu+CRxIfYMgmV8/unLcv0csclWRiYS+c9Du0GRzXHxw3Oq+DCazWB i5fEhGg2jXjIoJSMbIX4lAg2zAtLeHIAVLz5bv7nb6AtilMI23EY0Hh1K5wSVOQyewaCckZAPHhz qiN9PCJDuJwe+pwHKePYHHzWrSYkz/m0kOGjwAq6BdxVly2e/Hnf+ag7riOzzyJmQcVzlBVhnHAp PhC36E6HKiYp2uryqzwqWnEvlpAdK7ynGiGl+I6pE7iJmfSNiiq/ztB6Z8Ov/2/srXHFki34+rRT uHLTgGDSek1mrZeWigZJdyCqlLUH5Y3RiXxnW3c1zO7pH8v3q/+eD29haYpC918WLh81+g4bFN/T T8e2IaOZ2fxJuy64pByBFP+hs9gaMG2f8rx3/zydRjkBywTKSAJceEeysLZnRtgyHC8Kxs6Rv3gG kRrAAzZuKoPvmjYXAUIYYqMOVDZAKvDdq9Vln7aKLl4BlUwhytNN2x2YOQl4/IzEAqhAlTWpPS6L XC/JVrbSspby1vJsmWmVsba4OwjKyu/fM37TdVsc9cLRhhjQBb74d7pslqJHQpgueWyPGaXQZaRv 8++y6qg5LmF166MKYwCWzDVC3y6hmQmtiyNAlqMJxkfKqyDN03TQBvAK/ektiwbZaoErKr364EZW +WjdW7XSoqoylcRVdNiQvF2rNQHL+G2cpZkfLAJvop8e68Ab/q+PVhrvY9m4GykL3aoib1mQfPDl xnq7wv/MkFrg7dc0Ham+ABGXkDk4B4CGbum0pq4NjNXxgihw4YT78Vo5RWJ9loV8ZdYhWKNHtncM 4f6wGLbH/KPF+Xdyb5geDGilLAzJWvnrHE+F7fDqeDFbQZLWXKPyTa7vpthCsbxdrJxsHgQNGDx4 tMmklR7FAjVtykwmRWpxRUQzOdrMRgW8P7yNjXrSENIQQK5YZK+eSVSIveHVphrcS0n4PaaJOm1i Sv3TvIpwbH5fwVgpmcYzS2XUZp9ui+idxt0Ufeve5nQRuPCCYJPwpUr9/GEeqexWeyHuDDbBByqu XPV1JGMWOACJYxwcLV9Nsl7J6YnZWN0+rK131zAHvmEWSMkXLj0lkf4y0H1ax3R9foIgYfQ3W2YL Dh909WZUSTzYKMlsW0wQsC0nBfYJVM80MJjuDSTSzxoCqJggDpVkIluWEzfrHQfK59lYaBvCJDtt lAmGfDaYuKnJbKT6nrvuNh7UAqQych80+2x9px70WT+aragoWyo4LogqZ6UuCHIjg6R4KVzykpNb sgNhHve28J3Pu84Y0FlcI9p9jUOK4oEl9yhNkf/7yiY/Mu1edH1XtI54Q7BVJDUHX9gnFlyGtDw1 eWXxVdXPw8oOhZvv13GbA7MZ8QjUA/sxc8qdamH2SX0btXnZLjX2pWr7M259kcDfTUl8ZE5K3qHM iGOglCJjvPnkDzX8iSU99hqlaxWMe/M4/xuCuzSW26cUrAhrnY+8/jp/GO+r83Wn36bT0bCFt4Eo /Br4FDZo47j4IUEr+sV/7ZYpedqc5dT7y/W0WVYD5zBRvyVkYoar/AtRy5Nsbc0jKwxbdAS2oeWi P418pCKM3gIb0HhTlk4gn4PTbvzYXLkfEKyi1VMnUPYM8n+9nyvdCZTBoAoI9nufi3BYRrqbDTnR jbvGZDgkDcRNe8Nmrdnw/YNZShOuRnch9zD9PS6k5fMOEBwRVmEBqC6yIIFOQ+h3wgmPCmFByAqW cnSVGIjf4KFDE5L8+MAGJu92kYZ7udN/R75TXviULYOnHGaQU1cxGSPxUDeQs0AxbIq6xxZQDLif m15KutshJQOlMNbzaPLBroPng2xKz/N0HmbKznPA48KaTj/gHEBiHIVHJ+KS4Z2NcjiRRkX/zn01 Y8PJBaY0bjh1gXOeK60vj7jr74rE9XvTtTR5lSEhXH22+F3se5UYhIIlcj7KcZ5UBNxuaxhkpAYq N4n5AI7V+BgvG5xybmwGjA1FZVD6wiRYp7mlF51TrETJmBn6v8QhwPiwk/80sWHetKY+QHDqyWBH JQdFacfnrRt2VwVaRSXbpNyTodJC859tyVE3AJUIiPVH+UyapI9mapq+4FuGTdgZDF5Wyeye30s/ FKQXl4u/LgQAXr6JZVD+v4bggQI8/71fdRxWpWAHu1BwgRbm7QqdzF3nZlRvzFEwwL/vXBLNA7gr NUuXzgBxMhn0ykbFlyBVlpkMMKCFWNij2c1lq9ZVbGSnmWrcIrKFfD4fs/2hk/f74m0lwv3H7CQm ncr4a9RrQC9SgoGVN/0vx0Vhr/+HsLcYAAScDdoc0XrC+k3vlDcgZE/BraLwTtJKnBbK/fZXeCws Rz+onkPTj+L5zvoW11KK6JP94+k6kisrOUj/ohRJp5JOdSEVmns+4JdQ1pExKZBcTaeSLppQUpKH Nlnh+0teY13s9YA/47k5DMQvburwPArrmPB72sxvibqyKxaIlrTwwrEWpDtXF6X9lSZdDw//az+5 GnafMjxzQkVjsJXrkP7a60Hg2ypr7M9M1FA3/rNiwOUALqEPsqqlF4HV2sQF0S99APGexVM5i61D ACuy+M/IUD/ot6AP0vcjk5wmzAATeltrXukxO2FrUOyrqsjn/KosuGyZ3KK7Td6jU9WwjR3Yni+Q zncmjgNwlSctv1h7V8BSrO8mPwNX6k/L12lCT2IGZfW4bx46a0vDyI7k6Nfhmc1iT5wEQrZfvkaN NW4emMJQaaV1Oiwbb42q3K07YjX2KcXgdTNMXL5Cyhb//q1VmdK0EXbIfXW+tPb9dD+7fSK7uBU/ 0p2YIwWtzMmpIwP8aHLqYw4NrjAT1vpk8MLN7t8MoVItihguUyS1tZK0lxmZ3gCrLMdrmmHvK+NV QSUuCxe3OHdO/I53gl/lmRRLflsFeY4NkMq+EXe5tKDzgr+p88eMVCoqOxzNKExQJ9UU2S3TPyqz HSSGSwToGLj6az6H4wY9NCeLWtE50DDHCp3zp7EYK6+ZxCnEEUPZohEDq+6SvuU5jaFZqyaAqPBo 9QTapkpcS9upVmIfIGeCNM/74/P9vxokKPChfllKRVfKDYE7p8P+NlWIxlEChy59e2xJ6De7toNz wat67FVedxyi0WQr59iF2KLH4aBTgjjEYi4XDFYhN37cTIGzllaYFPLj2YW6BPfygQwXZlOWS1+B zh0vjxOR7XdQcTYv08aZ86EfCc9zsRYbkpU0q5rZZx9qkCXUBGFJNBYARAZldz2mOEhY5JARlAut wksZOUmsqiUMY1cb4S39fHvrvSZjyKYLQ/ClczKIfJ5v9/NhRatbMRK8znJ29cKptcP0CGCYLjWg Fu42ffPFf0OWXTNsG+50oAOPJ5JUqn6WqB2xINstctjHUAZKjhH+zESCjZDghQSlo9PUWK12jDP6 IWaNqJwxAw7SSjYMqcqsbTWYmKiDzmA8d6OJziRd3TJGV1BXcuWSTW3uFos3pR6hbqWqqtpOjxDs 2fK3b/gnccQ3i+lzxDmxT2XsYwBl/VsyxZ7RXUOwPq4kEV41j75gLAVJmZDLvDpO8R0WWfTBWE8/ c7Sx108Cuvncmf6sRSs8iZorsbnd22rUzbkmeze68rdgk6PE6NgAxD8tGGYmh/jpEWsONeA1WzOB djGDEuQmIDNogdYiG7k7yigQe2Ii+wQUMQQt9o4OtlVVZto/HFFcHnpTI4ZtBJ8ev3t2fA3dNjLz RwmGWqrlSD092ebHrMA9BtF/lXaX2nH8epO+8dPeKuaZ3fSrJPoUXWoDGKMLJhk6KrkIK7y8kY2I G05026DxvL5rKDLoeIc/O3OAo7P4UP1jiEaf0p4Ru420tqi1RoWM73SDDA1qbzKOAebWKROspFWx UPCNUdXKzRT4PcbZMzXxzxAVsDYqz771Ik6ovYe8DKqAZeibl9qKsbRxHhC9ebF/A7eA+WFEWWwh x7sOyMuP2T+lVJX2BSLPpDHIDn3UuXN3DdA32IIsDMHpJt1JgVTudUH2fnOKEhoW2pino2OUUNmB mX1/2rxY80FhQ0YZHFrD0zGYfEgpeQYHo6KxCfYWrtiKXWFdQyco972ca0SuvTN4o6gtRKRc7E0f /DJdRNUOOsx2fQEPveUQOMKHGcdgkCy0BoiDtcFtEJ5nXcYEJGlKUZcAqlAMAALQkVreoWUOEyrL nycZgA5MJizYiokqe9CRpL54VacEQSwHXi4tJ6SbwGRNmQJwHqBaiLgnW99m5d/2X0B/BkHCG/YX iAwyyggS1ZTyjxVJLCUijtPIWUkzXh3Jl3oZSMwwAKil62/jOhUWVvoL57cwzzD3JmU+bJy46E/B myBoRh+492CmquMs2Cl0QxjmLu0yj2y/gbygoFdPC/TSXpKQmFqzFIwqXSnCJRHH1kgRfHJgRYLN IGa87o5IxaoFyHJdfDkSAVxV0pFxFfnFGsjhxlx/x3OydfGIPX6giTAvf9CM9KmNens8M9ulMN2Q f4pD+eaGJny+p17ClJTCW38wUJxdkY8x6AsZd0DkGA6n/NaXQwKkQy1EuGxB+r+/D2HjJ1FplsTi NCCPQO5F3I3IU7K6mHVmrXYrHyPwOi7H7/YljkRzNU4azm0lrKMIQOIxFd4ihRzNZbxSOFbNByto COcN5to2ICmXSUzE8bSaT3sL1CQut9UoW2uRMKaQjXjK3H5v8uE14VdDAKRnTPBhUUQebkLqecUl pTm1OU39HJgZKtQDrdBoz+rbyUpkhR2HAf2zlIa60Bj58ZkQZyvnm5cHcTSqPM0MlnTglecVWhJR VRGKThESCTAXuwIKh5j6VD7TlChFu0Wd3dRyNnkD3/WDjjcjeQdTVir73rA6j7x+ojU6yCaqB6In wWjFz+cSqd6Cxz5uEgkcaOax/WbVFbaBJ3gwHT4kQBgLUw1Q0KCj3q4dz0NJPjt1YbYvBsYBaLBi E9eB+renN4+Z4QBysE1YagQ3hSmnlPx7JkR7wpBb+IYBNNFW+j+EhcLgwIFXu5bwjoCpK5SGNWR4 j4nGPAkaCeq592Zu3Ie3PfGaweyNGuSl2TM/078Rzh3T3aNUgMOIKYt+LfPwaXVQm3UI8JzCQ81I fnAoFqTFYafCUUdwl9YitOO49xA7eKGxtWVOJ+R6y31bK054Vha3mHAQYAcNbY+SzabYt8foOHCM fyIUWGR1pmC7qmpJvT36wP9IJDj5czrT6bkmvrsqse3zXGJ1c+ZkiKcnY+gp7nrONdSrlYMfbttv KhYe6fR9XRjTUzpNOD8Ea/Hf70RCRCato9DJU3vp6oQb4SP8XZkFFbcqni0iXRgAQYXqy8IGCRmZ /0rz3vxAz4uQCsaedBwZDoUcUyICfB+dB5fTeLpjLT4gkHIXCWl44YcspBQk48U2ePvJpIor8eQx PoZgauC37upcN/WnYbssWCu9LnNYuPccojnysXdEArASDEc37bB+gJiJkLRb5a6u/IkpB8syUxbq 1mtoqCgPG6yR6+gexbzLzA79TDYUiFJpGRYRAk92Cb+anxVR+l8Fbrpc+IWb+kfkDYYP4ezBprhI K6ERSvFvNPCELJ4XQin4ifhbDvZdYguw9p0gb0XM/E/ZzgzGW4KnkAOxorV4m/lniJpsQObYpb4B azffnG7PN+O8JVdMRUvRY9I9PDR4cwiC1IaHr9gzouqScBsNU3RDhDNSd0QyIJjq1aFrfpiyLtuA 6zbDWbqK0ATg1id9wkcGkwG+2W45w/LCXyEQT/x4DmbAQ4sudWu3c/b1F6YkZW8W6eA2j9sN4kDj rVzDguXsuF70ldXBT5UmqKFHmARYrBLzF4zus9pQ/Wglji5XyrM5m0gVxF6PWMlMXXCZTTIuSLcX 4+r/n0zwFmq6cywoLQsFbbgLS2dKzifd9XZ1FTxfRWwUNYhPTrQO9fAwFTVizldMA93o2WNfGnqe yulL+Vih+ILDbWSOvqWv03b+hosDBdNWVMHb1UaupLP3fm6xaET7hPDJjYepoFgmufSpmslwBTGB FDS6/kP1/idxRjIPrDN2o5O/KgC20Aw26aAx5MIdZxx8muAYdoij6H0xSDuH9OcyWlNAJ/t6HmmW TXEBQ2sRmFH1S0BMWb/pHcQXe4Ph3VXnZ55hWP80BAFGB3DxHcpwt+Pw4N0H7c9R1TAQBSo4N0cv Qpwic08EDPhTg/gEpbadL9mW5xmt1uBBVM0r9XmoNOhTbxNsuGPgNsN/yq/K68+CoZRKnsbbvmHG 4SY9MborrkWfvlgSGGz9VS4PWkCcYe71UxLh6ZjtsL6S53O/v9iVjp+U17Ij7jxy7apWQOvArdJq bBX7M9oTDCT3XvgQ6M0AgpJ/BloAvVO+v39f3LLQ13J8/Zc0krtAEe78QBcpHB2INpuzHUA4gWPm jZbTYe4KvGHa5Td36DHDemhoImW87z79kzAkfemKGV+XiIm32ezSqgoiy40VcQW0kRIt30FFeRsf iZyc4kXyAh4MRCCFzdBhafKJKSyEzLUKo3ZZ0rYWl+oyMlDK0sTWCOrRZstdONlzUx0tG8eGXHqz 9Q+uxIGBs3g4UuWENpUb6jFhUNXT+zouoPsl5tcYX15jyIfF34HJ2H/M0IIzITc312GMSn/9mS9L /yQ8j47lFfiwzFcxhiLqjoWUNW8veFpxSvZB0UhCyc0REWcPOGojLN0A/OlxJ3Im2kg21GVQ9K9q L9056yYUWNZDrJB7oVoLDCxaiHlgCQTcjH99RwQbqxhwig+BpkkQQTN86Qi6kmwA1iUtusc+Z1y8 /m8eZOQYkzquigaN2/MAazRDy2Af0OZj0RRxO81XEe9ovAFph5qpgBtcrXwb9SKiNrklrKQP9d4b +Ury/zCp7EtubWG2mCyM28IevbwISUQnbkHBzKBvlyDnM7ziTe0DdjP+/JYSAkwU2DmDJVm24XIz F9HfbICBy/XFty+Md++SkIr9K2BJrhkmdixsiAbKIRRzMv2r8FB5D7HcMld/iieyNitYPHZbOxSE akWtLe8Pjw1Sma4287ANfhNHlAVrK1O3TUz9PgmHArx5L0jC2V7cxfCHhEwoc7U1gXVNTpzWjD30 bjhAcqxfwbgMG8EsX5L2NF3Kd3njUhvwBsrAGGTl2aStwWezohdEL1GWSNw45KqdK8dKFXPTxYU8 lvElSqarUgDf/r1JWh9olHo9fYrZbofbUWMEkKtIf0/FpCni3+PsLzEF8hpvap+rIITbe3v9wXV6 iMFv72xYUzGPleOmxl+a75/P3n8Jzfgnrju5lM3meqHmyedXbXy+z+fGyNdpYUSIk3pronBKP20K Jt2jucVWlel4X2ajgyhLU6qHAOMj/wQtAMPHIREGa7kILxi8yNqtMrqy3S0dy0VNJNjDWNn0PS6X kgLdEsfA+3qUuLurd422eRSZwIuQBLc1fMR/+sDXBsfxEDeg6U7sN4J+AUAi2oHOFx8P3DeuKUf0 g//LLc4r9NVYh9DcpK5Cslxpqtt7YUblAkIY6Rmw5AIASDxHZeKeJL15aPBkxFCwEDVEGK0XowTW 8zjSqE1vk+slxbKqq8I1Jq4Yd0uM66sRPdwb++u1DD6DabqMffpoSCA/4PZ1ejFlqYAMxxoaeF0Y w1xnlCgNcP7fPR3geGvFgUEX4R+4jyRWhEAvNEcOg7KVqzU1jxd2sKbVTbiuO4C37Qcj4SexUlBw geOadg9qGdRtlCE8v3qPMtlczT9hraZDP/4zz9vC5m8b5cDIqpTrbwThAOIN5BBTEwqDdJrjT7na FIQynWIwmBWT4kmxIi6wFHC8Ij6FY78nkDicfPcWaX/XojO0cMAxKuiwr/JCSc5hrdDRKsDmVcrw bK7fIOojD9OU/tWlEQloBHyVX/htWXTVJcDp2E1egups1cq4IfDohlfCzzGT0rsdPyAizFZApgTq aq+iolAx0RpV6Y2vQEjh0nils9nb1XdVsxMOmgEJugy+cc67/1hfJhGImUQPcXIHOYdQgpr0kiBQ 6dwHFFVRgwObikqlUa13cJHGGC1t0yFEsIMplelwknKhbYZN0q23psh+rmKUqhOzLGinNt9VJtsf gAyqlrLGT0Cggkgb4Kqxt9X9WAAysm9u7xWzNM/N4pu8ZdO3HcVNczK8xi3+ULNJ2tbhQ9vRJPW+ Bp0AyRd+Uom3qLQWp21zBCUAp0Nt3SNDq6QOAcoNL03mQTn1II1rX0tSoRBxcS4iBlqRVAKYZQnL QfXNOdl1nIHvOBQt42foJOFqOWaDjdst3tAW4p1b+V1mRcPKcj399stgDckTsEeKotRxmDL1Z5aN xR9stOJzjwg1UyYR8FQ9ManqCPYfbYGDLZvp/RuwxE8bXm1PQSELDuQ3LlsCJ4n2EK/kcGX9DY2Z KqmgXddL2qEg61oy0RIl1HIyue817isen9eVU7Id6xxULNTdgo00msjWy3AylLnLwObn9CkJ/OI0 p0WONT/sDueAEB9nORtwGdbrA7RvO9s9SWAa8jUR/ap1DtoRVYLntRRH+yjoo6qN+MyT8WwkfGvd IZsnJ2eukB+29KUNSoFnQuPw9kY/SDFwPkYp9bqduGcspcPoPn/8Lp9BiuCGOFOZgElfwT80eU3m 1IYuBB9RlVpLGwQSlCamhdS5L62XNTPdk3cV4/vr4NiwQ2q0QmbdwloZfz/+aIt/vFJQ4PSq5CEa ACEb9l9a3VXlsD9v0WBr9sFcZ/AvLAXhgOryHPcFtDazgX/UIgzXQ3y4sUmoEZ2csDyklxN3nak2 SUPf8UteMM16o3ANazsdrVCi+2KOvVtzdPqOroltYgU7EcZ4INeniekRMYn6KjeEYcUd37Ksrwzf QOZVVWcBpObPPU09wOBP0oswG5A4ZYvsXN7D+dQYfQOZi7MCgsP2RtRXAJxhILFSTYEd//pDZggW vFY13V0YZ6hSf5vyCbcOr7IbyHLp7ib52tsUqxsYXNUX2SV7QYXi0Jnw0vQr7bn0K7Yz/hZoWgx3 GowRW7+DpLHEIs1/ctiMlSuq3m5TVRF2zhpIfCdVN9M81MGTbkk6a6Es0yz8lgttjH9axQ8w0sMn lf/NSvpxDxSIUziS9CG7lcUyZpR4OB+VvP0DHSBhds0WVLYnA+c3QHtTOpstSzKSWHtkYeAT27Dh 624AOBfoZcLfx1XzzkWS3h/2bEdEzH9Ehk+ViSzOI0j0Bo0NKXKOctjaXEBcdlPly10a3Eoi7oaa 1IOurFAorEW9ivrI4HeFymoPBabAejB6+GbFAni0DDqDDwX2RdKj1h5ViwHDORPo0w8ZYFYRaqks fu/9uXssuqqbk/vkZg1mKHaCIN1zfv2s0KBjNlXMh1aCoZB506XRlhgrvkhnjCA08yCBPqWo6BEy XMdXjPb9bXq+jS2mC4YGlgT3jjw8QTj7287BiMEhkNSV+1ZNAtxjAw4XSZuSJkoy3kqJyehSuVWQ 00/m3N8C6XY+t9NQFZ/BWWlT1oBztzMjgbXWCSFAvcGuFejCduEzqJj13iRIQ+jjoZus+q1v1fhW 1V6TwE6nY8FFHfoTlBDFM/91iyaXZ8b9w6SSFR1hBSGMt3fFxbtB7P6Fq9c2m8Z77XEFlVBrvVMb O11XpUI/N4nToUpHYRpBNlGBl4gjOinC+BTGu8N/riN8xnWh1y9it3o4cPZlvQFs/GXr80Qq6kky 9saHYeBfwJu2BSGv2eG7TqzdPniTMmCDkeK32nh8ENcT25xdGnKyZdXF/WhEQmlgWfSvgDbaQE1V 2j4KK3WRlYBIsdvlZPEq8PEkHdTAhhNmFWcI6M3q4aKPPGFG7lHAe/pssbmJVHNV++F96A4ZZV3M CzrB/lZ39w/stkbRIXtcCpCMz11EG99pzK0jtPm+z8GTPGNNnB1+o+ASmVgo+1jGEg0NlJIxIQ5s b1fOS97Zpc9bhdLqlzK+juF2ppHv+X2GSUTJezM59Ziiu4CEZoY0DsK7IYA5QropgjahmBNHcKwe Fz94UCfdMHP72cctGbrG52TNIco33HO0N1XlFybZSErZuZL7zI+kK/O2gd1eKuxrQ5wmG8XvCQKy 2JTFKOY8/dlLUqe6Z549YzDpMV4XBwdjgeZJhEcpieM4r//ffcCoXwZyBRNDntqvxYOXRpJePAJP oHfvHIbcZT45Jsi7ZJZkWNdO4azPFJP/THKOReFCf5cT0pzOa6D+qZZdM3/oqHLl4R8JVdNzFVzf fo0o4ukvNl/u4f6WUbtDeLX0Pqa9ipwjtTV2J5dvanu2g08sWhbOn+1AMuhLkh/Ami8cqOX3eAxS OkuWv0tWUNcgQ3neVkWqnRJlTrOr3EyNRjMjRPlf7ZDzqtxOWObSZ9lJp4jzgIchM5zc7IA+vR0f mDr7mm4edZd2jL+U/mYzEYb8od9PvifpItBV76SuCZS0ddeG9HPSp+lv1ce7ZygZLT83tNQMDynd uxVZvGOG7wWFfomfHDxvjy1WdO5/rLUhSOeH6hiz8iMXQ9NdOKGmJ7I6/HqGuDX0ljm9MHhT2T9/ n0TfoSuRJ3ht0vTdPqspt4SA4hL1QcTKjWJnf4bszjZWVeQrReu7nFQFWSOBo6Rjd0n7mqtWD6MB D3r/xpvRx3n+2497/Jd7TnsVrVQB0mSzYUKjUGkVneWYQC/b8VoRgAccANY++/0Jk7u9al2F1ZrW UhQMxib4CuPs7HUkZVGEiPieeM0KOqKoEDsG2htjrqvWOfVsLwgpNaRtr0n8q1GA3Zp3WhOxvahV u9NQ/yvHYMJiZRpdGrLZaZ6XZ1n1dWuyir/xIpFR+owkV0FnxTvmz8YwQwjOEzk8OPA2Sr1qsC6V wr/KwaGUM351omEPPzmMG8nfWrljOVHqKfsxsc1mJghCfnlORe6Uqp/YRMkZSrsE14YEP/ONBls7 nWW5EAaMsl4d531GpqMqO5zpmuEZ0OjHedRcSXlqamViHGYGKfUuCZuO8zZZ+NYWB5HSvOkIVE5R d29p0ScWvE1nYMbdu1Y8BCJ3d0Bi39INdlQqz55nW9n2UMJwaB1RCfzESlvYPQH9w1+RE3xuI3Mq NUs48739ZqNtQdFHlVSsPfWB+swtaBSOkWQsGtxbo7dEt3qrqrUzrSl2QrVJhnyV/YA/YU+po2ij kQMJHeSIQda8LjvxNPlA46FmKpf1LJ36QnZ9Bfg0N5N6Om6ehERJZy4cmb7qqEcZogojqitX3RcM IIPS0cN0NTkF8ldYkHXOpzS1Gaw+tix2T0cSWtLVKH5VFdUISgK3nCrDeQEFTOW6kREwrM9kI927 /is7fkB0srJUXr7gQdZYMSYqqRqwo0sS8GhrxaOGYPc2G7hvBSXcRpbT28S4PjRYaAnjctm0ADIS WCed2BZWQINlbAAqKoYQzQuyLITZj6oTfXEGwKGA0mw1Ky/OzDPZ/M1r0/VUWJrpDH3V17oN7oc6 C0Crjr0CfXQ2z6pcZXkdzf6O9yA43Ov540HgUHWoCslIKj0KlqJJ3RLDKRte3H5T/1zSRkeBAbqa y19v9+4bKICchMyYmKTYAY42eka/dhFD5y7VFFN2tfVklpRZshcsJgSxGFG3IeIVkfEX1Tr0ti6F 5vLwvP2MtCqzbuvapMBpUmx9oZ+ISU2aV2dNx/78g1WEQ+m/PV8QCShNE1T30GygsJXSWDnRvHJA qQbfBv4gFb3Jeiq9YlI7zMbMwkhLcokLXP5+cjRtlVk82Ir1BcpTJF0khFlKD4kMzsT1jvRocvRE LNdaW+Sq8lSlgMwhx3FyILPFya89fcY6UEOl648Mzpfj+WuuQv3ARRMZsl8/UbDk5F8aLS83tpmE jGTGV1pvL5PmAh8azm8oWxxnllHjKMQ5dRL7WHDq0CFZailWo5zlMNDGSOz/IYLEivjHTnM/77co 93U7+4eTQcPS0DaC+j86SHGRMgTmm8w3UZmij4bI766vw1zDIS6DznmEFYFxs/Mr3LIe2C/YxLAF SCq4WcY8ATmmdDQfEjDUPNuMZxGVq5qgm0yx3SyJH6oVFf2UmDPx4LGd03uZwHaPI1t//JbZoqRp D/0mbuaKBGNiOz3dX/OL8Lb5afyiX8YeHky6eDkNl5eEfjxpJEsHpr1NByGcf222zgzffhvwOqWh EK/HrsTh0tacIIcXAP4Autpbzg39DNv6ZuExsfZWIlI+sC6v25U2KcxGDBw45MecdcwXg4ALmRCh 9PAXDs4WddNgDQ4jPJrJJUTtD5c2twLSVzEf8edSnhJva/aLyx8berl5+sQULTFhbrFk+gqK2lSD 84KtqXQQzvPye3xPmx0efHziggY87Ioh0Y5mYzRm8b5gqu8H36OLk1o1uZ7lGyOrXRd+OI7xsxD8 eElTNiw9Z6n+1xBKvMtyXQm93Fg2CtJxs9IzLVqV1DAAX7/KBkDsEhG7G1oM58dknjuTpU4xgHqk ICVhmdoyrNBd75eWt8wcDRdSkWoqqBctEF+rgL5T7yfOmsv5P6wR7yVxIBbozjRe76V+mowo4sQ7 HFem/FWlHa1VInefM8uxqJ5ryquZ3LJSQLM8rhcku9UBqJhbHiG6serFx+DvUKtpZPQbOHESRpQd 3vqETDnf6IzQ0xuYpxM4RG93lcO4+2ZPXHIiD4y0zFoT2OFvwax5aV3Get3l0I8ktzbkeJiJeaM5 Ju2EKxT0QpBtVhLGSIVN5HnUVT1A/CoNafgnJPIyOnJw6FsTDThKvMdl5kACpgsAJWhdG1aGsQOE hmR7E75DjlhLAKmm4gUWqVHPziAKBOR2d/DzWB5+ntdhSE8veqv0jA9m6Fwul5mbKeigWHR31PDj 9VFvsxmzpwTCyv073zUDYqvWUjU/po0kRz/2LzYeVMVsmue42dZGDNDC5TFt76QTiVPLA6lNALlF HUNusrOJKeXOGgUee1hpq+PQixANOj481XwdXzHXG+tB6yagJveiTMV1XsL2KnAHIBoEiypopvwu Nl6q8vFe9FoOj09XByGLe7AAUMuuwnXwLv9dC2j59OpWjubD2muNImJogK5K/0iXX6uvopMrUepj qfxnHeWyrxBOhCRhDo0wfsbUlUUyQ540tU3e8k2u9rrNrZh8Ym8TOgoQ9HACP6/TCx6ctgbrA3rZ x43PoGjKKDOpRJLsR4SzpZ7VrbK92P5nfJUBHBi1t1r5txia/A59MnKsb8HGG4uyf/U4VyrWfIPy 2nD5q9TjpRLCllMCTU6iebt8xZLFY5XdaAFsYrf8y0bzCxoIf9G5EFreDaZ2S968hDjWSi4rc+Dt V0Px2PMqG0QpWZUYyJRisEGqgVYdCRTJB67B/DAFM6fmaymwVebcGJ+idf8aqV5Sb55x2oU9T5bX pwS/Jgw+5bUUJ/vSTiytcH9h8FRCP0ZEH+/7jibLyg9Z0Wqo6ZyacsyWZTqVE3em9tPEpB8UjCHW MhnxsADa712SF0Vfd49vbW2fuepi2kU02Y9idm3v4uOs4vzpClwTojTl84ctO5tj9WSxgJxWnF7w S5bPvWOiMsAHxJn4C/YAZIg5uGGqsHa4eqe1XKLosC/wUuANt/4d6jWHIT2vhARralAU8smbqNu4 FCuDlZuuBESHZXifP9CJf317uIDH8Wj73d3cEfNzuffbJUm7LF/Zm20ztLzAW1aY471CYWgJeX+b NJ1Zk80lrwgEv5rDwOr2cdjREDLKbt9qdXz90NT6mdq3aGJ2YcdsYIsruOnxeZgGFANRcXmTozCi JE/Ndso+SV5KB2yOqM5xpLJnRuoGvMbZhvAjrUldt7Zq8WCChU7yzNR3U/WjvuQoDO7ZAURpGEV7 oMzst+pLcZ97RRuhQrSBwfQr4vkOQHiRXUnYi1e3rhNjGwIZrqy7qLccaa/9ze6/Bma36qv+vVvN e2paVwHD4nYC1uO9YMBk4j/Gk9g7dKNJHCKNuPzACtaOahzj6ULwErpFYCEliEWOt76ZE710JaiE oZhuakV0vV/NQu1ELoIrHHoAYbODq11nitA+9eqgDEFBEvc4dKAldU1R+0V5dIhEpbHxHILJioyE 7EXpypwXytxOFKuvpQDuW5Ve68wSvlRIwbW7KfQKvfzidz2kygpIMgV4i8PN0Al5qcA8b7+FooPD PaIXe9lR62+eHYUqfWfw0ZpdSWEcoUo+ug4vIeXG85tIICK+dP2c9bpseoNW+3d29rYQCYGAwRBD h1r9u58tm9dDETnL26iQ2jQ8pGRxHrJ4U4H9okQDZNBIxla3Ro8TW5nQ7ocilI5IHt8DYUsQGqwI bVeKXgkAYVKz9mlTORycw1GJunX3KglZ1mIPMbKMiMDwhfaBUKau2wyLcLAIjCi/CIhT2tN8OQ0E Ko/zEcHjIw6J/V3NqzbIv2l+An8pRyJ8mU7tvn4VyTdCZ5SwwLdPnQmBVpBj8eSlqLxauVfvDXmB C/kfmo1VgZW+seB/Z/SiXPGrMU8Md8KKTfoT8/ualTxGyyvH2f8sgha9O3242qq65GZ0ioaFirE6 Nvc4rQZYdBIv3w0N3Taoa332d7eQvuwhlQy9PH/s4parCto/XIKl2k+/twXeSeXVmRGCL2COur1Y 9EM4eBqZA0So2Hudd+Rci/Mskkz9hA7+LuQdTiTwyGy8ldcr5bMKDaM6K1bmVPfd2MwQQO8v8TrW 7Yrbac/9hhp4/N84o9X0KCoqbGDHR7pQoK/Db8PavVOLFG9u1kTCtszoDWdHh9pVTzjPeaKFDOUO 3JPiDyBM2t8mQkDLlYY+p4F4q8zQvg24XIEDY6vN52Q2PiIH1CNK+xsExE2vQFsPhoy63nBPoNXo 6O5cipxRah4nUO4HogPixeUuegGXhuuujum7778WYefGupkR5/OZJVateg7ADpDVSozr71pzLXlF 8Kf0RuDZgBwky7C/I49DPPDHbjm8gfdbaTiqCKNWuH3cTQaNwOdUJ1DwnpcnRbKDR67PmXNTBtXC kdBPJbXWsctJMHndVqg8JBF3CcVsXT3pw9fdUmb/62L4CZurBD8lGWH0Lc1fA5rhmQzshhygR23L w4qB3N96/jBgZqpWDa4b6F8TfgcfzvKa3WVCNyBm9E/Ud8k6rR3a4q7C1k9otRSDkCUaidrPBZJM HFfR+AlbGpQyPCw+0T22Uf+X2QokaFDwRgHD1Pvozhuo2qKN2B+3MrSFM+rw3ncbjT0hGYhKrDWj sBtaTaYVBwKP698O21UFdLXef3Eq6hooEwxhfh21Z1qOKs3B1qz5/zD+U9azjj+XDjldZDRT2IYF 32GTjAQ4shvRYrmyBoKHtyiLLSdszZMps7AXp4V3XlSFYHUdcdAo3DAnNfsFNazKaI/b29YCdy2s Oywk9dcqP8aulxOtiexSZAJ8eeiB5jTVSqQOp6gY+vS2uQEA9lUAmZcpVgwwaUaHtjRQ7rZkbC/t cNvES1TRLlUPgjHBr8ddsVJeeCA1mKZdjheTSaWbZhRDpiEmz0WAZvHLzaYv1VWgggX7HGHTRCFm Z850GWYH17rwFFUKea7dG1d1c07qKRUfmosQ8k6joLfN+sCIcV9yPLHgeSrKDdlSmgo8m0BOCezS yq58G3tCfGFljqp3pVT8L/DUpFPRfM+GR3vm2LdMj/syMxEpLq1g4epCoyMmmPFXTmKfLlZ7hZZK zscwagcO4zVExgEUlunfKfp2M+d4KCwmN8OtzWva0DdoHgXiIy9zuYhgckLoL/oh5mUg/rnhxS0L NMAWE6GGrBEGrnA79nPjQ/+u9mcyL7YwPKgAOsHYAFkTZh+8aXMK8IeSbIy0FcrOnsPxH1oXiSyv JBCX7HxG0hlRSDvBLDPe+btTje4G5swaHU3BTnCq8esuXRsM1kvS1qqTO+IZCIoX/y0N9RqbC33J bE/uWNW0ngzCfB2QjC2ketbweEl6dJfBwAVQmX0IGUhjhqOAwOzGltM6GKL+KuKqWNb8YSL8KdVK j2nrw7tKCOeIXYnJromNrypbpzkqhbQHfT/aO/ipn0l4xYm1xEMz5e+EAZ6RuIYaJnPuoXben9V5 XNA9FmUkDBfu1MAZgKST9KhXduT78a+FHjC2k0PQ18MfJsOYyppxQJHMdYGKfYV81wD79vyeAwZm S7bKrvSxSigJth3a/rI0bICuVh2C9p0EZ5WAcmFzPklrPiEeYdZXVBrTPyQ7Sy0gFTCyB2jRwskp CReBLB68Qm1h3MXuRLt0/rhLm18gadpx1EMQtVsPT6alo7y9DCmo/okiiqsokIvVhOSYeUFklgIG bPzbz3pA9f2jym3FcuDWGnH5HTftIkuXN7QSg3tWkJB0uI2GzKMjFrX/5MQ4k0gwvhdr48Bvhsrk vii+VY5UDihQYi1SH+wExxATFlZ+xlsIMEECT/wWT+wL5nK9YdX4uyl/DamwO7eYwupXogQLIlmW kfbHHyhCekeb0BLAm/6kmswJHDqDJnjrfR51NLST57tbPwZYTy7fB9vnAmqxpZPpjnys7EOFm/43 8J9iya+OyqjsUv3LklPxJ4k3/vwgeXWFCZ1ElnqXQZe0ZD5n708fQjjwnHHjSpCHoQXHfB17HioM RaROKrU3H1evYLBpcPg6E0Hmktsdy2kdlam0MPLigoDl1FdjE6qLFDfSuPsJOa44vDZmFogMcwTS amxPEouwe8R1FPc3aKONeRGTX3BYOmvdtW+eOiyZCraDC2ZkvQ4iFgbjYtETWsRUPtWn7uWGuRZW wpbaItobAiYFJbQLo1qdl9err8iOaOD/10YBqJPr8trm1RK9CLV0clmeHfKSLaSjayZeZvamOvIU tm6A6APRiugowzhgdN7mIiPgyL7Ky3P0rIotDhZNhlwQ0p2am4PPNRuqGrua2AMEcae3SsmFCM+W S53b4vvDe5gMHA+vjmYWWq+9wH+bbxRH/H6VFI9QPg3RlMbNLvVfKQOyl+JneqkWDKLvaoJm3mi4 hP0xEgLHSBtMKucmZq5aukbWXXwfTLzOw9Al/gT6goW3FXNsjWRd6VMgGyvlOuZgEl7cEvsmIfxj dwFGdhKL01pHiV6DV+ZQOfgOdy5KheUZata0uxqEx9YyVERm4wwyyuGfpX5FvQvD0K+DzhHIfJRy KftnwUEueFoF0Uo8ctLGvYsLfYXg0AjJvC4mSf0N/bz7sn2/zVHrlVX6Jw1CvBhqSHQwboYA5pTx fcnc7skbNR1zlwxQXeuJV3FqnUmxeWTuHrgnL86tnFE2GqF4D5tCxy5amZ15KON/kKnc8jrV+Kw4 z2YoGpTM2EW4B+UKh723izOQUmwelfzsSjacTJ6Ss7Gk+gDbN2lGedqWXKzV4I8tbNy1Up8EIC46 WyininKutI+jMIZZVx/rX2R3eoxsOdulAjAUC3l0tnYEKBNCIrPBLy6cG3FnK5j5DlDssKSHIscV s1Ozj9ABYrRikSu4wa+dp0mEPlaCqqO/jwewpTzZR1S9r60mSUgyLExB9WILXTAudgxmzaCaDcJE K8d/vgPGLcAtFYtMrihOGKdZ2zRSyZAPaQd0papjaXj+LLtRam4qh7RuFH0+Ad/gi3tDZ15fOM/b +le2BYOYcg4T8HPhPRpkQVcEAew2HkPkkdz5kMrY1ee99Z/Ps89BVdbALHmca3ovxA/krtUOefBZ CvIILpwsfi6h+upMKC8+rDfc8wuZg88OELMM7smLtn9g/TEaM7ueCP5F/YkYqkpUxPsMgZqD5+II fb7bT3H4Y5TyQh6pdOdmLTNyJ03pNkxRcr9xS/n1xy9qlfWJrEhhfu+iRdSaDOvywU5TUhEpA06b 6g7qyJcjRByaFhU39rrvP5pz288FSlBqWtmNEmUSVZRfbZVSKbs5UtuJQ+QGeHCgav1tWBpX4mK5 S4a6h2KS6+5/wBuvpt5JB9SGOCeepiBL9BhP3bZYnU+l3h5ecnZUR83YrC7odtLWIdWm5ffvAQsf 1avIRsVjy5+AyILMk8+Q+9XD7sv4eugZD/sjZWOEw9U5lXG0Etlct/zHBNWNuKmfvs/UCfwb9u/r Ufk8B81j+bj6Xb2oUBRBJzI791buxssvZnVUMqgSGGuIuBG3+ihJFImxuWXRrSxeo4IqBzc0rkVJ OWZw5+HES8FkHXWTaVwEBNKk/iMIE1nZT76ppj+LhRJatE6EClnJBTm6YiFy7bB3ea18OBuujrCj X9sm9cPL3oHOfxhzSAbShhCwPyUFZkF50OH55mioFBae3i8I/r0Prd3wGqEGqguG1tvef3OM+j75 BryVQv7cxTn4zWHIxJCZMkx/tdlOdxx7dxMxRjCmmK/sLfVUyCTMIhI+w4K+u89m0cVNfudrRK4A tfBYOKGyQd3uTjw3Z7L/pOA2yo351EmG1pj8RPdzmO1Us89qHaKm4FeF+lXYl2lDeoCE7Ylp/2Le XHTeqK7o+9mAto38szbxhWn5BNBb64wNjVA9jp8pTVqL52NpA4s5MAWK+mJBBiJlTL0MBZRks75W DckVEybpurZljXVsWl/4HC308EaemfxNAOWSsFrMOJMQgduuteXXOnkjR8nukCfMjwpFDQ0HO2Jl QdzRqhchcKC7XSuPh74DwCiy0yMN6b3r5yjf56WRP/52Ny+gSHVDpSaSVm5/6Am7PM5KldMwvDd3 XjSAf93Q1SGNgEb1Cw99BcQQqn/xhVgrseDmwbs2ZkQzC7cSjHEQWlxtrvvfL1zqS2+UjkHPLrQm VerKF8npNQdIcCaJdDS1qzA+hmlLLApori/qP7ATFi2Ti00S91I26KjaoTPEoJh8bBn/UALb/qXN YApLL6RSxzUmZnaAhsOlxe5fZLHvehQZj4K9P+AEFn/my/V52fsMtvg6w7TjNRq5uJjYXapP01VH hlkOQzUmFtbwcSJOK9xVYbsYbGnpM3YgM1S7ScZ/oWtdJQqN8JbuCX2AwAT01esL7DTGiGcZw1IK X4yyq4fTkWC8SIz1V0TKvyWGoKO0RTGYlzCu1cxBDq2w6NrldD1LUCtNtYu/fd61Ul9/bE345YmU AMpKywbPVLPwLZQFwc5uoQtAmerFTrq7Wc93InRRIqOrkHv9g4y0RgXrfS6LlQ1eUvaPKzWGcWsD vqsW8GCyFvunYTwFWXGeipsvA6cpbvlmz7vb6CG8oRduAo7d6RwS28t7E880ua9cNAKKIsd/wAWb 6PlA3LZ5nwepBDijcmO05ouNtnW8pCzkd6rN4gNrBH1FuI1DDGB8iwjsfEMZQtJHzzQE7H62ePUK ElV6c/k/21vtpP3Hq+9LK5nxt61DA+I0fp9amqxAwfUjCCpZWDvuReCyG05vnTeqkD1it2X9u/38 Gc8+WTT5r+NfBZQ5q0mEOqNlp8IHWfgXgo6m0dzZoxEkyUDNXoYiItnxffiaXmXl0Mxrrc/7FnwO lNfxYQrdidtk6z4q67MhOrX6f/dxWrmM7x6Vri3zDFgrFSSMNx+ajYR3JlNZ6yVvId1fwEsI2MI/ 256Lyj5A1XeM7W6ijK3fbf9DFMk7qaa1RsYPEjACQuUenmsYmU1Om6glhIw2fGXvr5AWm/D2n/UJ 5DUaSDRCiylmYqtyvLHm5AUwWEmo6OAm8Xjf86RUkK5AyvcjG8bQHiu4hLg8wHhnPURsvtmENFSb QyHRMf+aa7DXejlsJRG31M00x1t3CXgrzWm3Md3AYZYv7Fgwni9LQ4Db6jGT444ZPmOU0xCCJhE5 7rG2amB75lzGzJll/EfnqpBObIv73KhL+m7PDez+zgK/1otIbwh3ftPt6YuApXeSRAJ1azZ6y75l 90/TroeECRVZBmhll268B2PKFBVzIKNl+R3m4ADHscoMBU14Mr/TMKloaR+LyA2PpBcP6KZ9CBZV PQ/KIenM111EedWZJ28fz3R7geD5tGCUMZ0Tg01fTsLBlHTW3qnEySM686OAygThnes93eF8LkB2 cEnP86ZbN+VSz1Cvso8iwHzRNmqQMvqYTDQjjkzuIvEpRkj2xD6pxRDWAqrvaa+JK4+h5YFvAw8k 1v4t3/80MoL9uFioFm1CL/qLlHBste14iAwwzrg3vBPXaf9YNjPB8Q+Buq70ItWyIpT82u51nF2u CpFQo4UV+opg4UIOQ8dBKduFkUdytadhloc7+ypJreXEXNvvXolr3agY6G9EaA1ROJa58hVjh0/Z /CvKkXyTS6bRYpNrCYiUGZj++/Fjuao+TcBNIcmdHcVJ0eglcfsbRL/RAEMikNGtYkY6ET63AWr/ dXST0W4AxUgXv4CirZhK7q8B3JM8jztQOKD6rW0RfJdkCkysAiYLSxJhJCFe2Y8v9a1gN7dBimdN GWzWl4SYJK5ePWplZKnPobu76t9APT3PFdqq9Ly30LNK3Jn9Ez72rGOvj2GrGLZbOgyB6r+2+mfs zsUSv8OKlGU2ZThp4+tvE5l1vJYFY5C9BqsOr6z/d529KUe++mVMHYm40RBX/ya7TKhYv60D4tMz Y1cp3cbuEEcBtYg+Kkm8somw/dOsmGJtIA6/PhUam9puo4r7tvKtYhanNBrXXtxZLc3c4xCq22a/ 3Bj6lvsqYiwNK+j9YWtU7xWa6Tdletm3683mkf9zuNxHf7/JOeEBwXMAnI5+jETeypdSBlQ3oKcQ T2sGZ0pgvpKZI0gB+7ABtpvc46xZFWoScvD/LATb9EFB0iQksJVJkpIVzyHgMfGoqzabNbE7cWC/ QlpCrbWQxwVSkhmRHugeHeuiV+4iSiDXlM9yQqc6NSHM3xfsB3L1HQ8I6uQAHcOyw3bZMxxskPx/ aw+N7lN1f+4FjasoC64GpO4sku06VMQiD1uhCBO0Qu7ExVlJBMmzbmMJ4a6pV3TuQJVYpSdn3clC to3aZzjIVwZBXx1sctf97RlkUWOJ1lRwHuNBmvp9LkMc7BBukRF1lp9O/bs96z8jHaMcfDzqgS2g jijaLoU5Lhx8Z9TFs0mmflULhfTFXSStHvoIwb31H4csx9SPY779t6wmgm9Ir5P5vqvTyZ45Rq8H nQ/+LZkT6YDmPSXR5raUlErAevJcVvk7wTagtt3Xl00ln33rv5XJpll9G0MfnVAJA1t54BvgO0VA 9ap0qk0AmdrUJaXDtAwiy5UOmGBR/y2lem5u34LRwHPJLKSSw3sg14K/Mnrfeu6+gHqzBzy76/IG yeFFE/6oBJKLvBsBL8MUk1FN4pq6vZa/GiVenKwIsdrpE83xMZf1ZuumG/IubKsT17GsqkEJsMeQ X3hcZGeD2QOEtnRBmkKNUGJfwqYU4zlmarKqQOhxTznfC6nPvJPmv4OllinhUIaQreBP/ZDpgUmc BMi3xO6ah/uMClAwMHRo1NbEbQAUo9Fn9GwTOi+To6PaybhYt1ncZ8daHQHNzcxlsEo1FvzWflMG pAzGcLGRMnXbcigLNZZR0IgBv6Q3sXJC9ZuVhgFz4aSCoODr27ocRoN01wDarOEPMjJbVEEQylrB bsJGLJoRhFaLMKa5mUwnnrd1sCzbcUi6fhKksQ8nQLqt1FEMpeTp2ASmUuStQk+qm2aEiZq14j1s Bd8+njlkx+H2tOARAPxyNySi+dKygxp2EWFIE2Q8XwJcObDBL//ja0greYbH82UD6ENr1oJzlldT fRNgr4o3IPgBZ1usA3tc7k+Ux31y+BToIiVkHGxemCqtqHRVlhl6fJ11GyK4fqBKlWjL2hg7hxj6 jnVRjT6NrBtJ/x267W2pJZulYlX4WQIkW4haiezGJfwl38g4Pna9YAaVEGgMNb4cxLAPA4IxQ80R T9gioK/0JRIiEDC2SKX+iZvrFkg4j6Is6vnFJVqgnkXeA6lGNdxvX7oNHDuTPnWQ3uH85vRxqUvn bIn4YK4U1dMI46Bt2xWUOeyEGWVtcy54YEdhnYDHHy4BgVvr2i3hPvCsbyM66HbEmEX7lzVe6x5x kwVLvXPlcRUmDTQue9BfUjMlaEJzGD8nZ/E1w07ygNJUIqmU6PrvG/YtU+fuQ0S/eP1kx+w6/nyM IgKhWnRwdudpS0ZtyeeqP8b0RKwX0/kdpiEq1cvMXlH6ZEiEHZ+JxsrcFgCp8daSQIS4T0g/EkNz KSZsKYO1diFy1rCM6ZHWEMwDw6ILEo5tQyLy8ZjBqz1qTHeEuYHkXBsDpVt7mPYa+SWY4GZUzVfX oUVHK8vp6T3otLcFigwT1czZFyxShfBKkBU0HuoqoFFA8aeut2B0n/nWG5tJv9l0D0lUkdcpB3Tt EF9Z9+MRIP0CQm6CaI17gX50CDGlIDIFFLCU9CEPc5W00bghDTaS5VCYHb9nn1gwH7zpSS2AfO9T Ef1a8PMFJdbB5+9qZlnTF+5fPVL0Bt0JdobQaVf1ceEiUxyjCSdBXBs1gWNtfofcJzMoQS0UAro6 hoTUe7l5eRUBttgvarIiAJSLsFg5C1uqxbV8omAfkQ9oTienEdjNU4GGZ3fvGMi+0qhvKHew1L/Y hfKgiimPnE5KJlkMO5+ZJ612ZgL4gbNhZ4XT5i4DcL6MVT+s2Tqi27+Q8mFWOnOXwvxWZMMRnyrI 1uGlDAKEF0HwK9FY48xmBi4cm5mYBlcaN9sZYhD+lS/xWjCmtOe7uS5/7BE1MgtVea7uvqat6mUl Rr3/aSBnYD3JNGjyASAkPKvwzKIXH2AGyLayeO9n5x5zdVXIEGqPUab0A+Q5vwxCejCyWkbZgDUg NlE/uz6mKk23BlTPSDq9YxdCxv4q7Mj9qrQFysVBK+h3ScYtsBwUOTJQn4AWBqSw4+qixzPBJaO9 Ua43J9CnseA3VL5Z0C6sSCrU6zFq/EOokgxiYqLHJH+N1bZZUfWG3TIbPpgDgp3maR8cHMdPVchd /RhoGXawlEs4Nb8uO/iM/SdK7eFtNCcHuw+nBX2+5nm6jN8zJucxgpQsk0MSsYbTFdZMKUSZ6QMg d1q8njxO96osOxzW/NySVo1ZKVJcpY2nKEWmnRtNmn9wPDegQNr5yxktWaYphqAFYoyk44JaFQXc S5Va+hMzLsOgzLjgOgsLJIj3AN2ubrvXLrDUTufnj4T4fflytR4YCQjx5sMYszb0SX2n0TTCCBIi lEX4JE5qsbFtM6qSri6IQSOO2khR1A/XvonnVXiwwMnjzsNZuPX68QK2dZbwISmnKIr6m9ett0hA 2nGgzNxbMl3RJPxSI1HChb9Fq9eeJmYnQoQ+zEQy69iJehjJTgybrilCJBT4rF+I7wCTE6Q3p/Oa LBCj1vLXklLu9XJRQLs2YoRCIu7IZochA4IIikmfmHhd4kgWMqkmgOh237Lr5RWFH8nycSrsGPjU Np/U75Cxj4adO08hzCcFHF7bkfrEqIbUD5B0fYPf9ru7Y2NpqZhPE7+d19ALIhzC0cHJCwRpmc1f HcESnrICjj3WsoCXK5OubP6NMNJdHXh/8qJNGo/sOPo2EI+LS0NrvSu7n7epnmUOuC0mqugaGSHo 80LVFpLXbJEUCiptDRf2bpsPXAfQx3W7HW6epnfpEj+Y7D7ayamyQW00aUkiywBmFEh+XnmScBqJ +2yNoJcjAFKeBQfl2wC/+c61Fm0WVlzovcaMzCs7HW3xeHTs+q1QdRqU+nZqy4AgTkq2RVuqOIcr LHwcmDZLNy/QbSxxaiMTgh6fSqMM+oVLWdBFhNRljQY0b2mPCFm87kRePsVD/bK6ciaaz4mvfMoY V3I1aHociDAGmLfOcUebbGKxFA2CblpCkfXOa158ILWU1j3ZG5C8wfflRkBrFOll5jhJyZoZwqDC GP44fK+Ai9y7jVCbw208dwf+RY+9+ROZmqsjn1oz9t8q6il3oXl35PgDoM1O1T9wyPVfZTv2fKzU zwxRNTvUdx1Dd+Rj2yLwLtMrP1qHaySqzQWGr2Syb52X7KR6BvyWkvpalyh+n7JIltZ+MqT+VT3G W2Mi0Qyl8ZwiqJ2qGduSz4IYs4bi3/9SpB75qx1t2+6T8syHzC377TCpotnltnNUNc3yaSqjq+VK 92wvkgLiGtisLiEYz3EN9p8VY/j4vslGTGAYkC6fS7w4qG2fCMINeA5IdSaRXEt40gixLER//Ctx Wa78wMFvMp7lLmR4rk9VQQSCIVcUJmtDjGHHpPg4OdpNNov89nRd4Mb33tmHrMUarmWhd2ELxTa0 hEmL2YwmKFTsRbsw+QIFz+x+6YSH8wUkuTQpVDRc0krKXRprQ2sKhYY5VIfZYH8PoBlw+bFaPXTY Do9+oKGId7rgF0V3M9HOtpkLhkfgwXbDO36g037M7Vpw3iSxJg83zzOh44ik03Qkyd+TdgZ8B43Z Ck6EKaBbAjPlXyUvJpEJHpmy21O38A+8856p/hQjCrabJ102uTb5FsGyZERTrHzXSTHVa/RS3Az5 u/tXYvozsqwJ2THFyBtGvq0Wsmn3TrikL85Oy4JwcwE+iK7ocOhakwJF0G/3FDBxg+9Zj71bWIgX oUbkML2fQO78TitqRPiM4oyO7y5TcpgnfgpvfWCPSdYmofwNsffkwzuDWcNEiTRvZV5sJ2gN8OdS rIDHxhN51qvol5oVEARIgeXX0ARbhplNsCllZrPt8I9GvoXyJ2yZK6YgW8StjZ8IM9ADMoMTKL04 VpreSJDsPXwRzlgXeEbhRwxHwc6RwFNr6y2AnvvtKrrkZfcnnIWA0TnlFlx/vaPTFYJ05VzbcPNS D8w5mwUjPDcrLmF9hRd/t7cUdjr8y84dv1YU4YHlJ35XRGn6we2Foe2tBemPngqSwAZBVM6L6GZk Hoda1C/bow8Tgs8jGhUfEAgqSBqGzwgSz5CuBpdk6ueKOpjDZ0vkjqgbE4+tV3zaV1lguPlHG71c MvjOlMDT4SLkKKdukjDClQ+l9cceNR6ugEivV6kemZycK03ZEihg5wHj/JAQ4Sf9FNRRf6//yljp BjjAF48xJKXabwe3po6GcNXEnPIo+TjX1iT8Vt0bsuovaFg6HecHwBl+HdXPJ/Ang85zJ0usRb6L mNhHuugj8NzzPz22fTmZCD+AfLrKemKnSdFEA9lBLqD//UTZqEvrwswhUlAwIWky79R2DqPAIdS/ qO6LwBBmCQ5ztxpiYNDXAyDLxOiiWsfXEnXRfDOsEnlr798gmEWSzQGmc5n/09zrkUIAKG3jI9P+ XpedKH0dyW2rraLyFOUxONkmQmYnTkZQq6VOiBcuwRf/PjjdaePzwnMOg+IFPKMCaSlPDh497oYF SlUTc7BpAVHYD3lar+m6K06UFt/tYso/yHX2Xw8X6M8jqRmOTpBkR6POKpczH0r+kcvneT3uQvet UAlaRFmKp5Q203idTDWpoOxkN1g5F6fqUIGoEQC/Hmv4c4Dt2hE0ltLa/n+UKwa7EUs0MHMyyS4f ShJbpOj+Ux6W7x6smiYiuvsoNeZADAsI63hNpMYGrb3Ws+4YsfYNJl1iQWuOlNU1jEjmIvwUqMq5 H0QeNp5KC0gdc2rQgAmuNO2d6VxXY4DKocOzVUswTOBDOIFC1E7ZzhJuabusxH5sFbhNwFyaEYkW e20dp/0zQMTs086/2k2WVpOd3v49dy8y2+fya42CVyzWwjulLIaYtPc/RuBCGhTa98vXaMaM4J0m hG/887PlJ0CjshF3Gaw3ocyPYiLBqOoX0QUdgm6xq9ovcv8AOZeZIxRofL23CPyegReFyC6mw6e+ 4/y0W4dSlf6PEwK1X+1x/8WbSFz7m9My2HOA2rljvbLBampy3bZWCRBlv9t5x/7Yk/rdWFjyqu7V moTgboE/K2U69yN5L/PcCaZaCXW6r9CUfZbUbgMFIzajSNlXzAlIy5Vtj3+fNprbOGEcdicOaKws KoY+P8ut7zc99JtI5OxjLxvFxvPBCGJVliGSNMoq516RrKBww6jGpuN1+RtkM67t5xIKICTcUr0/ 5nEIecaf5SzTXBkj3/Gp4crcGBH0T8FAZ0OL7e7KwfhEz76Qt/YWdPFpkYWI9Is0TzbLLMDMe29C 1YxsK/daxixLUvLNCga0KEf/S2X7ZMYjpCO325R+WZtFwbaNMYoiQY4QQcVlGZNqRS3lkwvlOrn6 39fwfLhAR8SE37+SgokoiUQ6/7Dv3GNCDgQKflAaZrYOCDkzQTeDGdLt36lvauBhEbjHgIE+QJhR zRF3btb060PUAHbHqhyUS99eOVyMoXf6saHCbfJGWgN18b2XjiRuZPoL87w7+CLAnYvUH0k6Zx0H cOx3Mq6uviReQDI8/jEwzmtWtQWFcKOBjOkiWCx41MMGUVniZcR4ZXzBWP2nTp1cF73pVXTmhqNX y7SjI6i5QVx2M6DJNm0lDLW1pkRz6i1gCeNgaSpxihFPQDTZC9zmvFE2cYPqy9j7hLUaqJg8eL8b 9E+C3aeCvQJfPGm4NlO775arb2b89B/kHB6TT5/n+C7bGcCoiwIMG6h/G9uScw2++lTqs4kUADaI r6zgGTMjxhNlfcn2GUr9jmntzdaFBVoKIiOndj/xyvfOIUWJZTm7llfHuLqBIam5kvbp2+E9hbVm u8z5gX/+i0xXuDvjkCXHbqXcdgHvqCqNCcmaG/f+BzEw8YPrAb2RmnAxplTIapzKy72mESiSPpYY g7q/DXV2tm5j4QC/7KVO5QBmqoDEJ8kppDk4AWsIXyUweugTfb3pAtBNlOm1/Sb/7uSWb1gWGW/M eL+N/X3/MOrDa1FjbQGUlS76p6cbFo6p7A64S159QctWm1gKAfoLlHJH4Foj/Z2pp1N0KSOswJ3v llmm5f0xTTgkQAApmUmP0IgUGYhD3qSWMTyutMFw9p75/d0p537O/6IDIX7cN13SCtVTUZEcT+fU O8ybu+38Ggz3tcX91aG8e0OQOt/nXKoSKYOxrye9h63cRHmZmBvzLQHw24mnf4LGUkXyicnzMV69 ZhCAhXThvyQqNVH9whhKtrSeixCHEzvnGRFOGIdl1KP8fRtwq6ZnRgFrjxqDXHHMCLLSytZsZXRd sudWOYtKl7vT1qW8W8NIBCnREVTXOvT7A+avQFOiuy1G8TzRogdADRkU708m/kl0mzEY/ouKqcuF I/8Hndtd8rLrSOEQeUG2uosiNqtKS1pyUdtJ+Wd6+ju/CPzDlL2AREZnSivRpo3jA7rkCOiXQ0jO YfixQt/GP310As8S7o25v7Fb7nFOb1DR1p2ZWln8pr6TLPh0Ns8TnqDx2M17S+tdTXfsRWLsLQ8o 4uI0rSO8aFJAQqQutvlpukY7qAqxehFxIl5jEur/9LRMK0+O042t4VmRyt8aTomwnuk3jq+vz9h2 //Ekb7AVhw2JmhRgi7QjOZMq7OjTDerZTmqUHzbtw3i2MSvWs2i4mTMli6bdhdNywuMU4AGlYV58 Z0MdoZUhcIWDP2SMfjc+EGueUlMqhDFAFv2q6KVbB8aLSLAmodeJK6tOMvpbY0sdUq/ojTCuSMCd BkbgKUuiI4/Dztn9YSIkInTzaNgbBRmB6/xM7P8fK8mfIXUvtE0FWCFpQTTeKQTorK6X9LGHsytq SJKpGZ9mcf7HTKafRoUh0l4Zw4iiJXkEA5oNmuJdwTo38qJRPeLm5UIChgKjA5G0CKS/eBjzAe3B m1xBvnrnMLuhKOVbA1GlTuzpBEnqV0wMkwR5othySv2Zm+m+GVYzqDeskkdMercQQzM9iA08VvHG LQAZKkwvZXrwFYN1g/zcjAqy9qndOWr+b+O5oxVxnO7A1XiPUcDr6/AftqWTbPFyqZT2lkZqUSP0 tYd45jK5+z44Jp1qByGjGikR7WmpNRWD1FXPwrkZY4/pzINcpZTRgpiZnNOmsCDbdYLyGER7IP+d JMeMMUzoZsEKAhU3NNwI5PSjE3PUFmqr3M3fJTMn+JOKhaSNuvgQx31/ByjyzauSWKBfYbCbKR7C C7QGS1nemJNLx4rBUQ6qCCMx8YAXCVUNVU6AZzB+eo9gMjwzLPiDm16SsamBEcaAHHRaHmX1vnRq EuGXw9zYGI7QNRuEGxNetJI3SA6J0NMgkIgo+EQWiFTsbRDhc1NwLD3y9ttl4OqkmA6VpaSFWzov XtA51BTeoOWcZUifZ9y7hwo6mHM+psWRy3Bu1CYFY58AY7wIZ3lM6JqOF5KJ7EaEZZTr2kdy4/bm 8i+ESi3wbL7ksoJEBhy+HrSiJiCk2x7JjHKNS+twLd0k93cyDi4Uy7vKMQcADClBxmIlGwHw/2zV AIcKrnBmVA0cOSnwCetXp244L95JbjgQuxOPoPQFIVFMLU0nMVWMklKHe7EnlWhxwU7KbrzTYDce O8yBMU5P6UiIh6XxaHoB0zFqlWDraTUsZJMH2PHpf4Cq13vJr/1gJg8Ep/KjZrW3VccxPGXvxT8Y iqMgJYmMBhJDNzWz8pbuUqhAk+3xKRuJniNKCluvjKDrQ7CqwqxHzll+o5PGToeLBLb+M+7sW9Cn 06SYd4tbGRYjgbIzS6OmxWq/DRH9x9hmZDhLIHiKLMSvtWAdRxAIQyMblOVzuUvaM8fLHgg0pVAq yA4G7ScTpsKublpI3HL2h2Sm9M0ht7Jmu6/Gg5B2gRkfWzCZyfR7MYZarHwG4suRE+JUc1CWQapc CtvWS1meLi/UE9z0GsqeAWb6UoGd83EW9wHU2RlQtlkr98UPgCNCExtlRHCrVo3vvsEZ6NQB4SI9 MkIGK9n+KbhvBVtNzUkqXhovY+3nzRQA/Ug5keTifPlszbvOYLJkRvSUhqlZWoHwsIM672bKWjXQ OKoxq+SDvpQWtmo/h/OyjrxW7Frh2h7mzFX4d8u65fh0TIHLiJqgA320sDbjdVBkJ599l3bsqfRz 4vBIlibhpG1211T05hFVl2IBTC8RmgaLyIueGbpIb7xOAXHbLNN8R4Ayo/5M5NZ8syyMhWSoYcz+ qfbwkCiZwMVJ9qaEbum2Lq/vzsvKFS5LsKNl1OtZ80X/PEtzHoeW4uD/ufrU/k5bAOAdK5dwgFxw lGkpt36zfhVC7C1dlBpbqWPPWJgswppCfNcM9l/iIi6onzjZPiKghytUfDxaqoH3UzzpJPJAyVjY 1gZe8eCgYBMuOl0ShZrYimzUNLMkAPv62uvERDNB9FB5fxYy6UcIS6KkCoKFxsdS2+XG0VTMh58O HxAGPCtO20NQnkPbHrNs3l8xZZiA/lZqaur30MCQVhmjR+5dtZsvEA4Ns61kaT4vUwXX6F4el1dW CLG+NLJBf2F2JWXIZ8FMYlW3ImBu7i4IMcmoJKI1OljZailMmAGgKKVQnzPI7P+s85f86n1Lu4IY Xzzrf2d8dvWzJHtINnSri7TaPPvQup5yDBpZxez50cpEki7axoZYLvirIuZ813rr93WZkK3aWkOi 3+ti2RUfoG96AAD+tTAHi8oYcvQ5skLTNl/cjQAtesi4h1rz4wyN1wzdp1wvMOc1l0fozKMhThfV PRo34vzcRJ3kNZ/sJODE5PJYwHoAwnzv69+xxO5gwRd4TcmLx8EJiUTwCSleT7RKPjnEfvg6a4oj ppd75pvmUuCWB1ZKu4xEpgUiipaVSdMcFjXUEd1Q9fdxiFuYFn9IRmNRy/w7IpySsXgUVC5bqV/M eClgKThTGibHMoQnk4sMH1Nnbs0HM58oQWnbuepl7lx3P6TNDFa1jmQ5lqJ+SUaDsqtIiWaXjbGF qq0vcrm7juOutRqrmnk8IDOoR2jWH7pAHGFJIiuW5yImQ1WkPrXlS2zOJuqu8nqfhgBx3HzNJsyr 6tvXUbE54OwuNI5oO/ZpzOzzUh9/LkjDzfqdCuZ2yy1jyPgYOHLHKlYgWEZDDE720nrlLvxBsL+R zbm0MtQBHl+GJgDUBrcXgEHt6rBmuzQGNnJ/1OaSJSwv27PZy9tgjuqxtrev13XJOgidw2yd5CVb qy5Pxk7joFNAvx1q1b3VlBqryE0reI1xNEnQbcPLcvO/Ules+EJJG4Ph7gepMN+PyR5NLCgIMEQa RoUtPM11Yw5IRFUJS9FSLimooYPPhTTjuEaridWbdSFgLXTncN9CAbMwh1iRTzBvtqYf0p/fSSeN nf4doX87EMEuAIY7x3Jxfj2IWI72sxQBHENs63COYl68V36rkHueGMiuZ9AQyBYMc1vijQBta6gR NB0xsKz8e8GVdOCTaskZllk+zV9AIWQoPtN4bkJIhBeDsyCjJm3I2hAYC/NGA+w/JN8WkRabYcCK cW/CgMhloJcsFT6ZnEiWuCYXTZsoHH8zyTVXcZ6T89tw+qhKWip4xnBPosh8eE40Elwr5dPp2T5p E+KMHL86w8pB6CinWaMG11UfVVOX5UnO8fnSHbhohGQlY0m1dp0rtlYuYPLSG9hdu1Guv9LCStrk w6Heeb1meLrieDgHaoFCt5X7ExX7cqxJHXdvqX1ivzHd2qIDBkV7OBlP69m7po+9daBGa2NX/fc4 eRRdQihhGd1ClREdIltaHNokm9LoUAhQZUUiJGUAoeomoIi4xn/OlzDIBA9Y69Sjyw4QrHZYGGVD 2mc9kHU0E/72R0pClK1oWutBzPKF90OD1Wdz4bqj6gMYvSbPlaJMt/0M6zOJqW/rFL4rTimPAAwV XogofUeD8Kv4qcXPSeuvZYfvhUYDBZM7083Lacjg3yyP1YMRsyBP6FmxckoQfhJ9q1X+XVPFohSm 5U/wy9O60DxQWHYRVP2IKRS3keOs3meay6EXl7gW1ppGPj3QyCvjjXuW4Gm0nft4WuWh/DHSqCH5 UoA1oL0YkiAcgGEFABhunSBURGQHzxs5XBExKs7vDxZIDpYdZ91CcEv9bGfuxvYk1DqeVe06J8Gb +rVE9jnCgj40EZFr1IqstD8eagIr2/HnahleB46EWTNwAU4KFT+qTVByn+WvxvN8HNJ/99JF+qy2 C4zGZVmEYSicba9mToBmYEKiEtfhNXOFE0XmmXR4Y0ZLfncgwR/LxwCETnYHew0l4xiQDybc5qEq tC7Ij3S6ZI1QHhB3ScPgCIArytAD6pA3JsG0bPPiL1Rqzjsm88Mn9vclU+05FU8H0exsFOcR+EEP GXruIDdR5jI1HTGh9a+G4ZHB283QvWkHG4D5LgJ5kZDzEYMYdRmGeayj47ZATFgWCVCd1uclz5qf ofn8bbfXQ3TCPadpe7EH4pj0I9TyBJynmfylfFkReV/c5mGscXaV+BOanoIAu/EznzheJU0P0DF/ wIFgATLoKYW1kzk068ntnjZU0zxb5m0qCEzMeNGXbZClbZ1BM7HdeMKpaPG/prngBnLTRzaDkIVp Z2Sx5aRIAsbkSHHsACJ2IsQbEwtuJ3aPi4/fyI29J0N9usRiNYCxgDprwlZ1M0kGnmxRn77NLyWs vduBmj490msdIq4imLSdIJb0Z4L2Amk+3joUjTscsDkidWHiJTI/IP8sxCIForSjPGuiWd78Igay lHaD9m/3RqFCYgNi4vV2blB/GVKpHqIISL9wqjEYWQCv44s4zLP6vAwv2TAnQ5iUKqLYDDglFzVo rOw8NU1DsogI6iysAxWcMoDJMGYZdQJb2H4gza2gdFq3L+1q9yZUKmVftpq3GuGKtv1ilQZynBGQ zMb8HCS7YSho6nPj6hRNcu3yd0VC/tDUhGnfSjjH+TDxG87NqBVpN8sqI3S2UNPA/rJ39bVpU4Dq AbSz8fmN+KjLIwJ4WZJxo84chOrIQBL3AywQPJqjGxkrPCpXPjd+Wy4+U0UnlfTu/nXDaCudtPKb uKkvwwmzoJqbNST22VsO7h35/4uYb2ero1ucFyfxwwG5Xd5/Xh9mL6o313WtC8pQso26LPVKKXgj aH53HulPeitZMJ+DEVpG2xJ6kcULwQZ9AgEDKl0T2W19mTx48Qhrpmu7ilEUzlBlEAwE3Kz0Cd8n f6bH8mixt7/CN70bkUcQa8zQVDMa2sx2vy9Qjt369TXdD9Pd48oHR/CG7+Is0goGvRCsulsEjaw5 FckHBEKW1y6IQARx1+PPrd9sw8ZED8lx1W0pTXRn7KavWzi4R1ansBAuwnRs4YLSxj2TahqYE30F 2dd7fhLVBNSU5+0xwjkakd4vg5qVhw3UXkg+VuF1Sp17dmRnp2XzqImiLkcSx4u9k3Kb1YeFlo05 rXLSDU4Eid/TcMqgDNrDg9aLkUbP8GO70Htrgf3Gwx4Os3xNI3Sygt75EKavnhCft+Ecugl3rk2c GYYvY4BNd5vUKxhaJ9cprFnNqhKXmlGgp8o+T9/2P2L/IKnsdY3t3JEvoqhAF4NixImJO9mBzGC+ uWv8WqQTIvOVohkOlmITXp+AaoiQtHpZjxadxNQKvlQ+tcrzmrQB1F75nFR6h/C6/yDugyhBf7xb VM+6oK+rTT5Z1WNt4BUpYJVGs9CDnjQpXsv9MHbsJTw4+D1YAXhPfYnbEgb+vpHP7Hyiw3MV287w 8iCHYSLEWeuh4iAoxWlT0IkjFAFFee1OeFFIkX7UP2S3ckd6m4HSr7SygSRdpoaN/ZoHcduqe6dv yI+RBwj4/qviH7G9FNkF7x+Lo3TWPqq9nfUcGUsYzF1YXUFHLzSXFUWtCT2ULbV1Q4UsQ0qVd0Ks dChj7Zhy1tzYE19qUI9x/YMt1deQNCVNwrjyIhvlCiMSzQmJ7LhtCKz1oZPjfxVSREB7LuXmZ5xH 3GKgzu+okV36yEH0KgprEBOXgWFjvZACYLvqCZlzP0lEibODHo5sPmFNL1Wgl+NbbM3wiEDl+aGq KKtITSqsDBzH8TSnxDxjXYF75xdTwlEn/giOZCDMh6YjZa43cLFWZU1Git3anG52o0cdw6lRY//O XSFJK6UwbAyFDZmS5Ytd1bz1inEvjoM6kLIzEm5f2+/XJ8c7rb3lev6UGL8FnCee0phWkM1ddkbB MEWfFNIS13Mmcxlq9OtLrfzo0xc6ZJmrDAEI5O0Y8qS6cGSSYz9/IwA8ImCYIF/gtpMJ6NCH7EGa s0qybzK5aNiMTs9V1bbN2NM2vy9Fsk1U9JN8yZtZ79/3jicF/Dl+4s0qf/79AredlhuiUgOgG/O8 e7rXz57n/gpbnU6q/yVwQqP1KBt+o0ixDqXTFHODKPVt+07pmhTeTAFTAzyZ0aI66UGBfRz742c3 VR4kLvxc5rVDe2A2CZqhEKQ5zFMMl0Jf31H0cCGgU4FCaLnElPDIzxjH0AlL+/iH82sYK36B5IDt +cB03yddffIcZXSRNN/dgvEmbnoliptLDK367fcSO//GAVHXT8qv/RqKvn17Un9e/DgIqvAMf3nb nQgETQ9x2nRkBywF7kDbfIIHDTSj9JtOY0O7O3ha1oeiST/nfgKUoD0+U19Ah3lTKzU948LjgmZT +pk4UUIxc23ossebKLZ/Hw1FlIBL0nEMij1bECg+1bqoyJEs0FvLWQmTjKd/O3Bf1utcN9KMafxq /gg8NmkeayQLo8ZMCPd0udylfJ6/daz41NgJVa4Emx6y3fPGcPihJgjalIMBwVlqktCOwwi0mkHt kx8I/NBWUukuyzDR24m3THG0bbR/1xRfY6FuqvEj0dOgjIyzmafYgRWeP6Rq1utNwL9Mfi4pc76b WQTR11S6+tVDJaN4rDIBz9pLWDMROzBqg79+jXrhLTtmMCQzgSgiC50oIbbHxmnvQmje3pRyP5SK S6xI3fu7bNaifHlZ7TOL5pGUTXOFJVWnKHbS3p9R8tGO/yzJC5nmpe/OBy4xEXti8YhdmuutRvnS iE1T+YnyrBfoDnu5Uag37jVI1f5QFSPmBOwdLbO8+TSPwvhXB6Czmy9P9J1c9C1WPwkzMxFFkcG1 e5HJIMNFyDKUU2Gkj4BYzskMlFbWLvU+f9xhM7H+3mCbd6heTwU4HdJS1LEIOlJXNkUd+wj2c+Uc rnmlmX+HY6yx0jf7eqBQHb6Xd7HOLdrrB7VA/E2d4soQIXs0u1EiTjxMBqlLlmvG/4xRf927hptp c2tmjyvhkWMACZxA5UNAe6NyEG95Ws+3I/JRu/1eiJpZ41hW9W9EqiYjCKveO5JokdE/64xJLw8n 9Nnm0Zftep+lqymJFgYLHT2o9fN2u/ddz0Mef2Fm+mONScX/fcrKSpLN4zBLFLI6IsV62WRkeULS /xOqUqHeqRekuJRIEOrWwV/ZVcdqjgLKGNuP7VXLLkQjtXxWLdVY4YaIiVaOmJH155ndjUWQ/e+Q wiFC3a1QnQOjTluKQAW0c6aowj/XQUXGlns8/MPnz7Hwzhywp5ERtCnfXhq4RInFY729HrjW7alf kx1k4zJHmJts62vARy/tMxW8tVkrb/wMtoWG3jXPGdKaX5d1RuzP5FDjBbqRPCjMD3IYFCSJh4QK Uo6gnNiZ9AnnNtgLqLTURL48UOAFwmd/FP7UB032fakgEVLI2GBLgqycfCdWhi0n8zu+iEI3+0pT voAwZnaxuU+EspP49S36wjdAL+geHOKsa0qK+Gnj+jV1Y6NbydfiWmi0py5V90X48chCzXeXQr4e 4jvM4U1ftcXGm05MxRpYrcNGBAkYPshnbCkuxNBNOG4rfi61SRY250JpSQm4HtKk3dcWoBEI7SEh SsoOvACmOrLlpsHJ8eZUrQuIf5Hs9fDV1D2g/E9pr6FN9MWuOgON1iYD+gP0bE9jyTxhyqVvduBt ukpp0oj7G4vn517KSeiGRziowq4/+a+54qVlTfgWJsQzn2hDrsY4ABMhLC3oSYJDeqRBIT1r9ioI ruW/yBT5LSBVvhk4efEJgJmk3bKVyvDqCsgyGj5Jev3c5KWj8UpVXAnw1ooziULru1oo8vnzG1sk Th8hYk8jYeI9YC83W3PFpfElTFz2xUTW5nvNEZwwCALHUmLYtwYBmQhddI2KiUrdZeLQ68UhtXR4 +EruvJJvdB4t4cKbfYPBJTCsYRujUsLZjxE5TLUNXhT/Lp84o5UPx24riDd9L6hr5CKGrUYBCOZX QyoFe+AlLc3QczxKqC47/LL0h4SslYnMSMQwWu9xXSbJnwvd00UejdtHOp0NP2ZnKXv4iThVV4uX hmUQ4C1W5T5rvIoh5LmBN5sRgTUs8x6zCAwGxLPlGHoyP06dvf29IbVPUr9v6CVV6XNjL9ragrS0 +ZxN1k+KIJKbDE6HFUhnpctKwvFepT0/0mSydJcRj6ar3aep2ZnVuincPLLdSjw91BirHTjvNm/z RjGMX4/rbF58NatZ+DjzOjOrM+casJ9uAx+dxHfLaSws23Mk8aUYAAH06HkYG3uyhKMiBj4glk0a WoSt0dAzZGND9xwV56mPaoyS0Je9uAx6NAu+rY5Z2x8HfFjsbtb0gbGAclY+ZPQvnS4lwAxsm1KT oIG2+jdf/aEFtBU1utvaMN8SH1BQ2PU+6n1kLjK14dPsWCTFYiOoienmYijWes7gzOiE7MSK3efs gw22yvVPATbN/OJZTpBKFNvwDUjIwvMarWwdSK58XKyo76zXBp+0FbFuS4k+tIiH1VYX5njjIS1m 4atB1ms6ATiTdvkLsCTCO875TK6epGB5OuAZJN0BnHpvBexRTsgfTlC2+tK27rId81gzgUDUVFCz TZagdSyKyz4G1A9FnIFsbiQNxcN4Y/MGcn4LJgPHD4ga21Lm7h5mM1e33lbn2cybSmiocZZA5Z+Z pTwxRPUdoqStwsuEIa3cjncPU5MYuCrNT5g7hSmb3/HipryFzkRdNBnke8enFHlTGJijbrJyewbO hzMRTKHg3XpigYxWZQ+cQ6mgVQptgsJfFdyPPb4mxkns5cE8gC3fgxA8GkiGnaE7s/+Bs0z1nVW2 FnVWJw2qeHeNJsR2VXuIQwdWGaV5KSB31Y+7hlsHxGHubTslhQ4RzQ9snDITY8NDaP0nCY9tfjFT JBNPvRjp0Wl/S0Vv8mQJ9jnaG3+dyT577OZM69masUuldh8jTPC1A4B5+CcJZxaD2cGWXpAjkZUF pEgjAS281u2DVDPxx+4I6cgqIDlS7ttcw9OQcrMkNQgFxle7nrTvioulrhvMUJ0teZLylqnwzDH4 lRwI3bOjGwivoQqCZboG/bjOBMpvP/qlDuTYsex+u7Zk/CaicpU3uSQgshO0FR4PKAY8R/px/dBD x/l8f1WYjDD6+pDMA5oyxwgSlSPyPLNFrWEtJWOphsb/4dcqetFzW/L+lgjlUVoe1T/e7gx85xfp uqz8rpVk1Bere0JtnNO6dZXoypf7OQTD9QecTvxUzCf8Ah3ILpnsZggtps40fhtWXk0vWYRdbr+b SFLL7KxaraETFmdCibW5+Hv/CSMFKN/oeTka3UF/68pnV/CP0UK+JHpLN8O6izh9tcxpvEW7nnVq zUQD9EYS89TIVzFVT4zZKsYiRF+8qFwGax1gG9aRWs6dAJIquyJJmvGd4wtsHqKzWldGUtdBpOq/ v+E1LL027y/9EMra24W/n4jWSOVgwbZh3kXuKWqMVvQgXbahx7DBgD5qRCoAupuJJdZzc3q7Qff2 Zy3CG4TW7oupKQ9829Z4cxc66QtoA5gemd4+f7VIQkcikyWvwYvoBG+VU45ZrQx5MpvLDlBsB8jv Ruxstz8MaguyIYVgRyYsEWtXdqgb1pZOxeiePobvhAghozZCfdsXrvEPp7xNIIL5GYVw6VExxwiI USvSLSKtae9bs7HIWU4xdMuhgTe4jF3WWcsHtwqKDQS+TKmgOPrr3Q9rFM7M5jzVQDepqbf0Kgjh 4X6iSAX/7L4cjY+fCcHIBQuIjONsoS2oX55qjZ3oO2/OSAPEsVlBYQBfQR+4DCLsCARWp+Yb7v6Y p82FS94tUEALkmY0QVQT0SxCzkjZ12Ccenfx2ZpfqdP6qtknMAgaB9HgnOilWUU47MEj/EM/tFta E468nTn8WPGRqE6iJBawfauXulEWQInZDjWbFI+ZGOZrjUTBdpvizyJlN7RMjawMYXxSimAVKDIw +Eo2HuC08Ut2sLu2ybQ7uF5YM2LmyMq2qPRXUbTrHPQf6pOMsC5ba2daPYgsG23A35f3VDnJ9fzJ P0sjgqpDGGicyfEuX/7jL9YHCNbNwiU7ZBU8pUGyfB7sM9K5Tu9v8BqISUpysB2Age9zqdAD0CAh YB7an4Lslv2A7ITw2YgYvIMCILkd+9p43pOR1kM3TAmH9lp8mX26APWx98dfk+kAg+okQRK6AGsD FthH7+utTEElgyZ5wyt11e9RNeKLnzr0i7ctyYoi2n9Ym2+T0YdlvWefRjmS34o88y2jAI/To9AE u1cldYJx23UMmH+9tcWYRdFRdfnF+9BeML3znPu94g7Tuybo22cyz79wHZNV+ubNL3gJUQRj+TZT s2GVfJLVUn5IkQt0JAne4BoeGPg6Ryss8ap+msIk/jn1XocarYCJgHRmpXclddsJPvr9KVtrsevL KQTnGOG21O1RNZbz5aXiML9frsSfo4vRb98C/Kb0rrokaYznC7REqly+FOZ1yCLaZ6eafcJE0kze tjgLrS8xjzb0CDo9irjwrQjKbHzj9c3Fq9MtcPXSYsNMbuceylDmzzcG4yZCM2ZOQKjZDiR65ld2 WP0JUUib98LhIvBu09HEfRYvWWfTsWb/05fgr6nvFmNgMYAxpwJV5LHpyFE4iTMDLXD6+kWhayfB YjzCXYl9R8esN03I5UZcniJqrUl+MvYj/iAW/VoQ3guVcZP4xurAEeNlWr76Dhv0SFjPUxlR4YGR elK+g4H2kqt+6I13vB5lvyQe8MQyjruGYj8kdIfAojBZPSWdwARhAMyGia+yW9ypVnUiFHFguQfJ Q70KIw3h0P3xEw9vUEs5FTgHjj9MHmKcfoeEtKAa+OHg+IkEhoXIXevnMCDLaeG23TIeF5Mp0fxF aIJhNW9VSmpYTwCjCszgPZmTGnSsdPPoqyWWtkRW4oWpHXAG73fo7DtM0k3vfi4XQgWeCWhyELjN YYI6WDF80Q6BDKBpzr1DiD2cLjIkGTINpI6VBIxNXDNgyHmN90gEdPbGteearbLY5tjyVa/X1EIZ BFXafJvN1efYd7kp8jtAPtpdVtCZ61ILPt8fm8VMUeuMzp8cdIfvIrNUXccYtDH7TCpC04sa88Rz wLM9wU3MZjvPQARk4uraXrJkBS69njB9P10JNlTGoc/GIpAu/HwrgJVwRLsytHxB/CPowNYMBQyF xcJcFz3rFUSj0KYNhb3HcWPbBTSZ13wBJfTxUe2HlHJ6CtKDDQEJO/WyfpfnBLRW5Xi98tyVPvY7 Yyc46FD6Kwz3M4U2+5YP4wudXprB2xtQ6w/KJPsVqUbwO4kaDL16BT7Qz49KAnUw0DrijguOp+cC 26gTRShLjg6RXRMnkdfIueBMwh7AgtW6ndmSjOwCMEP/qtx0gGzrw03LTT30aIowEEtrCAELbUdP lYM8yi0GMWzC4GUp8uBuS+iuBODZrXKQghusseLZU4iHUYny32FtIbeyDcYLGxPA4W3AHgrSrHKO JShoJNtBtY/SaNki5sW5gZLyFHwymKM3/2tPJz1AkfVqx11DoxnfP3G0kNITqFbtj2i/FxcjCZcV 5Q5czHwCeSKfYGrE+dgbW+WC7LGRw38x7SirPNhPqP0Eb9HK2SWHqWcFesL9bSbPNQIx8zkh92x9 5wAeBp2lpuyXxe9ew5CUoC+GQBQ1mxOh0KQsYe4owmFP7DSqVfTWEUoBGRteQqhNiiT8/ok0Oo0G N+js9rxFP7Zipm8h6P7fhyS6w62utAd6pnW3oguBxrflFTynGd6Cj1rBKvUQOLBX3crz1IuoE6id VTjKk9q9QkFXnCKSghYwQ4F+zpnJjbpcKVWFTH0USnfcoOdcsM8k2CXEyekXthYOMV7qMGtQZ46C ihCvdagyLI8p2+xqMbiBt0eJSYIjDjQL5QatwCF9mrOqFk2+/5sOo1eXrKarfeGIKRXdXvl+s2JP lYILuBqp0qQ5TdvQ8IMOsMgHUAkXSudCga/ezFNmFUr+kDaCySGIY/LAkij/e+8W+BXIOw/hY0Yt cfAtEfPLgBy2YBxe1OgwdaQ0pvhJUjTnv/nXcrIG1SC0G2LHUgKkXXvEOxFSd6V39TXWve1dOYFU 8rkqrdmbpvFY5xH8xyLVwOHkV9uWOBgBPpqcUD12boh1AEHwKR89prMsnNHpCw5aNUgwhnJEVDf0 OzN3cX6ybt+mNYJN1fiu2leV5X06ZkxHu/zCKGKbVW+RUokTnFjLBwrWM7C0+Rk1/dGRb+ol+xJU 3bT0t2iWz8k2SM34asf7+W12+syqZNcPkRgtqs07KVhYjLpKoQSrMBR0aWK7fPIyVLWt5U+pjcck XC22SXwhdQ0qd32oGR76Bu7HMrn1k15OzY8DFirBhM/nKQpGWNv3/faarctwofvi6u+CDDX3Csta XoiDrPUQr78V3RPHGMMbyla8gIofYE7YWTu3xVMEiyz7PvShk0GbjtmGPad00Ab3Ouww7P2IR1My KYt875VrGNW540/KjpHO7jqPRjRdpyUou68QnEnH8ixbM9CCPGm1RlKVjlFEfJufZret7XaSlFGD KvY3A7sMSG6lPVur68bm0R3frCBTe/9/jhdnhGe124vsR+l65ZMjOWO+ZVopftM3t7eXuqfufqb8 IjNILJyR4qJSnYfHI4tCpBXL5C+Vb/oX/AOwR1syQwvwF02kfhSw8ZjNwJzgS0amKXQp0LyOACHl pKqzQXCOp28e5lH/1t4P5c6wPHCQyTVXT+4TTS6vsWrXxZ/S7Yo7rC9q9Z2rGo5GbpyMP+zMWKoC eY5Oy/SQeSvSlNEtGFhpzo+aUGLUdCYGs5+2mhOB9ERAcggqAonImVFcbyXMuNIRXHDIk5jYrwnL RRRNE6edh1dJwcHxcKJrwChk/Og25XTsYqK85awB+5EyJSkLmNDWJDdphVYGBXmGZV5ZSDqhiTnF SyFvup4RkgdkJt9GsqiDA7poABjl5b5Rcp/nx4/mdnOKqdzJ8qpiv5YggrEhxVb1RZdPo7M5qzdT YJFNWlzBbt25Ko7u5TA/FfneGK9vdwm48UBmW9QaC4FugGyOQ9SEv+IGZ/gtxxAmvcmKzBg2TZjv QxHXtXKOY6RoE69zyHl6qN3jnSM70NrjkZWT3o738rv3peNgCu3FNXPK203WrNr4zOL2oTeTQbaR aQ/5zayFC9EZlWRnYWdmRHP1+D6P3Uc4e18qnUWvo15OiwSfIxgUhufKcdHjT/RWFv0dgMKtBviy kZIWoLPH7BeYLeSvdLmeJ9qU2ezuF6QiHXiZm/XNWI7WxozzacsdTzgH1iuFRP9Sr4NoNcmJlTVf EosCx5aX2jCrN9dii/Z9Emu+NpbNoW7rDSKGJW7vkpGqoY1hkoh1Dy7TObmfhhRMFyXvklvA9To/ 3njqjLYttJWZcuksxzosJ22NNoxQJXMOGTr3zr2ha3B4b4T5SJqMhrJZI8ieuLswuqwdKQlNotyB 9tHsLz9O+WH+A6FWjslGNSv4qq6IkdPaW7naKhwjVIcnFxZGmfk1w0EA+swJbxIScTNh6WWZN2MH zu9cG4qDkNh7hjuZIH6ODr5M+Fk9fXVxif73JKkumZQ6MxwKn4IOAhgpRGOnavPUndX2iyvPT5M2 3plbPpEOlumxbygptWZ83cZNp/aJn1OUj9sVIkQjFBtPKV5Siir50YLYvNTx1mAH9ZeQIsfgCOcx OdNfG6ZKnC3y2jc24FwX9KQsbYhK15Cm/YB5hcpW3KVmYO6mVvgu+N+9C/2cVkOLfyOJREkzHO2E Kds5/DdoxSVJUyUVK4pUGiLAd9e0Vrtys6PSA4PVyeKJuYBM5vn8l9SiryUDrV8QOzE12vbfg2oY iKJLI/hQsehZHlPFlR3fIm5XG25d0BMe9rOWk56h3uZDOxVKdmHcS7DaSVjjY2AkNRqKgzdiwF7c 1KA1eVy+YcYF+cJXVqGCLJjOrRj6657zmOy9/xJ/pbdfM85YcSyUritA/d7aSM3mx+l/x4/3w7LF tIFkZYV+fuGRaz+qAUZXsAYNmE5xUE91U5VyHxP4/BJ1dwgedJ/Wl5JjRAaNqPTLicI1Ib8a4urO +GAIJUZFQdln3M6P1DnWi5PeqgUkQ3VilBqLPvlBjIUcqM0yCsP5tRFicYL/fsDlp5wLLO8oA6xq 7HQCSd+GTG+nNZz1h5Z6aajMp7+WupV5EZE4X7mFpqmTDJJTdGneON993/J5tTWI2tiZesCVDF6c 1hN/hWtvhVQEV1UAwCc+DP4CstDCdzTQpMg6A8lFKjWrIcul2pVGbe9TYYYn3ithPTRK4knowViW RlBMMa02/rU8ffrX2QJPIsfTAjvokjKyCS5IFTUnDbnGA3/0A9Y0ALkI0BSaQc4KQttiu2xQzk4l XoIZJn9qTO+kaeE15nm7my0LBlE4RkbW5i8J3XoZ22Pst6j08wh96HdlEjHGaTOrKf1KAt+xVLNT YmZ9OsWDoTblfcajF6YyEdzz00+EmReNAtju5zQbH8B4dy8mIPy+vkW5QvyJgPBi5JPxMsnDLID6 PZ4dVX6xLGBiKbq/LMaiyhuNmC/zq1qNNvQSRqQloiTrC15e4lQC19taDUlOPRE/42mmh7ofwXVZ T7CrKfxUovP3ewVfdIWi6esqW/gsV9stqe8fnOE+jwKl4ScO9q/nIQQkXHQ7Fip6QwoWTVCNEToU I+aW8PXep09Q4xr2lG0EBYbiYIBJXKVUEqPeAaqY3v6twmIS4tL0bZCISlelao6Ud7zKwXzWrXVs tLFMWT5glDl1pTYlc5eEtpN71umEfmv0tHtage7FmXrrDKwqSHqwkIUmTUkzSayB+ybhU/p7jQpD lFRglYLLq/U82RDs+ycXqSWNKS8XcU6yVObKcA0ecHLpHJ74Mt1RCwV5mjDTUEVGf01Tiv1BsUJ0 fUEZm+g6NGbyUSMKKzGGXOEB18lHApBSGT9XADYQDkNp8jO0UU1N4px5iHloq5ltngJz2eZ/kncw erSzgCDPitEw2iz/eUOPr1mDunNw/u0tKv6wHYgZzFrXGnc9PmgD25ihzgA+EOi5CXuIGHkIEwDo t81qxPVKR9ltHleOCYRTx3WX1b2MRGpfpuJ12HriN0zVL7RkAoRtBZ20mIMqeSgkH9jciSPFnvfJ 4bLrMNj2csd6oRqwgIm1UVd370QnabW81YmrWpQWsEmT7oojzBvNgbJAuOjJfmjxwvBPU4gKsjS8 yOjheyvf8ahiCNd5Tfep3BUXml8pBIzPtXTA/jeWppCdsOEQf1hRzKBHI+0pKiOLlC5H9k5tQ5wo boZ8sBFh4OjelTY2LZPrTgc5eT2z/j0JTN3sVaWuXCmZh2kDNWRqVBBTjo7/iM1ALQCq19pxwDA+ KhiDAxlqIroOeiY0HxnprE3BUYx43vOFtBoQRVritypJwFhF0+u+qjYG8bPDxDa2l+BgmvApDco4 2Y4YFQuXnU1qcclOHNIEu6mNfZbViuMvYNPqmqMQ5ThhXDoWS6KGt6olLmxgY/2i3NR18fXZaRi+ yNLKi9uFVFXQfiTXTZRe1pZFUu+yWAUpXmj1atSaphHHJhVQMbCizYQ4m7XEplU324ecoKGFZGrZ ijoC8NK3R0rvaJC0jM5TQZAdF6E2ysSHHMJEQiIJUGy7xCsuzNavTUX80f80Ax/hgkFdLOIY2G1L 631+BD1J80bC6sNuDtA9buGpbc/rImm4TgeauKnF/jqRkz1MY1UXvu3ZTlK9oooUsSqyKCWXJ0nG /EdmzdXfvMV0D/Aqhb1jyANKo6OkfZcKfRdtWt74hzC/sCYm/LlnJG/TB+uDxRPFxJu/uKSf+vDJ es3CIMrhmKQsRjBEX4JplTZDlFGf+E/cDaVIzEDHWL6UKaAAxkNx6kc49jTLbd//ngmYO9S6nYyz AVuuaJGz4sHKP4OMIwt3Knu7mNabMCrXKx6+E6VFw4/dMmKbsG8fhZrV0uFYlB3llpR6G4bLrTkx rEYiZI1cQ2X9fPCfcIeIEqsBfzk27tIDfls1/23hmO399HhJO7TVMQDGzzFrB31NFmLXwLDmrWO7 yxzD+IgOO2SPV5D3A+Jpi7N2+d9vNiMpUi9Vb2i0Q3CArL8fvHaqP5yzkYrM89q3GxkuFxuq2/S5 VTe1n228Bug3jxqfCiCZzIBEzlb3tGP6+A2CcIjx7HRNpYv0gdGgawnhkxP2wGBOZ5d/yx098NoM mOoEaJjcwxmb+5u/MkGeBMD0mRhIt9IrvwkOXL+KQH+5H7fZj7hSqDUt9lgV+SFr8mR8rmtyrHoK 3Nkr0H1nPo0WEjpmt7wow6omTLE0KXBGiW5JrOB0gKU39wzqIyIrha9UqsJMPZbiBWXHoHWch3/c xUrM0L+pV/a8yBJ03R8DURUqYy0c7myy+XjJSe7Qm+ibuPoLE0J+5o/ALYX0EvwNPuEW8+Pu2xu/ h1sC03rdpTXkwYYgB2n9im1Y0uT0RkU91nL0zbHSnWhEuDoLg5AEj3JkY71H1aoau7f/U+BMwFtL nh/Ezc7C+YAMRAzTnAPCEV7ij5gxKHH0UEPB7I3XBDc1YhSUcjBf0wG8BLXZFmW1+JNqBzaZm7tX mBClkXu1qqvC6HkldgkGQP9tK1+xEShEnTN4fGvWlBa0h2FWDpyGqCEUXwl0APm0EgY0+0sQ3oNM KfFznzLsmmoCQrBIDJgcMEIp1L0qDel2tz71tJ/OY/ZD0IjXDx671A49KCbbQcIOWtMME+C1k2YR Wzujy8qjZTy2sBN7skUpL72NErb9AOgW+iXnNPD73iLNzXXuB+ozfWxDD3+UCYhLRJhTFEm3Z7B1 /GdHe9T1+GRwVN+7v0fOTRayoBCIygEnQjklDQlEs6Pxrc3lkC8pynSKMDvwLdeg5lC8HgnqeN/b nQuxkKfjGZ896PQ148bU95td1vfwiyM/tmtNjMZz4wk5gHVvOPUza4+IP622foDPgXacTkaSVhTG /eFl16DkzT6EX0ZRuh5PEHifIQqs1f37hdePoAs7oOuT5YStfHScbM3V/XnbDTI9bdGVn5x6z5mS meyC+403wccnRTuSjwtq5ZUx9C7l1QEp7Tr7TpHhha9tRNB1/B/D6fDnVUHsTRWJOTmdxgQGIzj3 GzktH83cVAKJuK87ukYgA1Qrisi4q8Hl4UHbCdaEHM98PNLXfi+l+AS/8GAcsNG8HVBfa6XcmZoY Is/rkGaD/PWucmeYXYb51oSbCRY5bkIgPhcibxuadgefUCPtQ2oOtBthXxUVqNoBwA9yzDguIkOw AJqzWEN/aWw3f2gXs2MTybV5GXYg3yEmwZv4mZnmkgvGVrlLk2rAsCNGNyy6W7VeP/IxT+EeznRT BfTI/s4U61B96DWl3TKn9FjFQ1snHH//nYva8DEzlLFs6N0v/hgWRnxdDZ/X3xTVDRdpnilGXthI P/UVcW8y+LTa8YPKMcNdI7h3qecoCwVlgSEKAvpufbvSYiOa3LbL95V9F/QIWznA6B9fXNAM+2W7 PxNjqDhtTWhP59G1csM9g0J7IqbZVGzVBfxf2RcidZT/7xxdvrWeP+/7AbUQFCuEQn/G6PejBDEF kx96cd3DjzzKUnIwvxD/TYempFsG0L7xw+VU5lA7yG6zQqHuC6Zau14bqBV7XmXb2MdEGq7H2xed j2t7HSMvwDv0tkAR0ibR6wMBpVg3+NVUvDjktSGojobU6K2CbuO/L4isTM1iQ7BiOEJRV/V2bsgo IxJKAi2C0G7VQVbf5NiBtvxyMFAFaF/HEW25jFqXGrke8ktwA3guUFdKDVC+1X5kS8V6qHgCbiwG YIo8bVhfMXxBLQEEpH93Y2Qf7WOHJIeUF+Op4xCTPfWuaz/AFUHf8hopV9Dgy4TKlY5GgrWFX3QD AJCfnJ3RrKDPkMVwxRxItSgD0ua6O+5Tu4MRcL+mfHOrD4BSMzPV5Ox+JG99XrNJgqR7b7eH4hNa xqThgOiGJEhBl879O5ZVT+Lk3avjAdKTf1cZrGqwcWBH7szj6Q0+U7eBehp6Nrwrl3kIF3oTidxv SZXU93djVRH0iZF1AUHj+4E/pbuYg1DTwGRpK2ce2cWD/VZKs6eGrbTSkREsOCM5R2bHSRfJGtuj eoR3MnHtF10O13olslDDNbrS5JLMGLuPZr4VPn6wQK5WW3zeU3kNz9b8P8/gzakL0Sk5QHNAzwGp aJDK0o9XMhk1K7t6abkXitoEdX7w+bY6YCuxF5FFXg86wdJgvFjeGa9gTzu+DjgM0gRiWBPwB/9M wFsp+CeKLLsxBm12/t0ek1YL3P2btW8V9SPQstnc13AltLpt9DqlhS5VkBVTmfRwcPtJPLmfFO4Z c5Pe3fkNljGRxl9FSTwPKrzbEEZuCcT4E3RQyR0S8HYZrbiidH7DVkxJ1jQzmq5w76UdOt7PBnpn BjacS+1j/CH5/BGxr96M533MLsP54qedLcVEHCWRP/QYiekOfULsQ3DdyJvdYscmwzqTKa+O/hWL YFvM+hYc2fifhN9zkq3Rl6teM6oCW7eIvlVYuJiGqkMxP6+Jdq930eeAH8M8hPHWtN392HuwqPPR EEQQ2DExb2OUHzq4pOSxt/tzThwuU6e1JJfRHRkRvOLqhIr7I3utgTEzcEocRUAhQjWmbGj/IpZL GKCN5J93jdjSWELZDQ5P7L5qBoIdCg/Lu+NxC4sLo8slC2y+Ki+K7ZCiktvOSZTZo2S0in9HaXFO VRqr2VcTwDTZRheCS2FFKPJNfRIMX5zKTQHtM1SefMYZ33ajfdahgxvF5+1uUHMrqKuSQ/2mSAZZ pUMEDNbg0xtGAHDj/WAbg/qG8dtCREVB7jWKh1Pq7kEjLbmnS+ORtnmpfordTeLCDl9O5xNYVKKd EYTMxNVlcFDrMpAyE3TwRCCTjc568sfYYMicleEL1ab3JMoHgQipSGavTXfBuMBsxyElaR0P45B6 rE78DUOeYPv1+UR4f3sOZ4GPzZCmxxSlQeIjVLpgRE4d+tglAc4rvtb78A16tD3lrMzcYmTRFJUu 3U4VimrHoeDC9YCyLKFUUpKtAgZmmCn+NullElbmP0G1g2+CzAcli+5eFbGL9ameb1Surd/n6B3/ 8kIPoFKIuANxJI9R51Nk3sAG1jbK8POlWl4UQu+uE7wstIhaRBTwuCXSY36CNekWvZ9cRLVaBgRD RUCPiao9eDRegFCNGRyQyZ8DVY9Cji/TkEL2CB1pDwGL8bvGToWbgkRGRakaKIlcLcdSALeQtMRD 5BaUoi/bI5TOng9tULtknyRNvy0skK85ZcBK5GKAVmdQfZPyIZVCaE4YpVP8WvWqs9auhUaeyV2J j1TaQIZ1B0dlIH/pT4vlvSdcKeIDMBQBEsNfcm7exXlyQZnlfH02tAIJ92Uf05RgtbN7thkQKVdI MaKSaiuo/xiWSzs7BhYyyEQpB98JP0KDnU/dAeEhKw9VQYeQ05zZ1vODfIoj9mONveFFQko4oVAU 4s8vL0xCcFFJywrPVaio10qim6wFLpKBs11Hniu1pzzQ1Ox1ruZ0QBoGdKrcMOrwKitZSZzBlf1l YelwYSTHe3BN+ggQEqsk7UlwklyaDoI5DxsEylwJi5exNYHR4Y6LsSSQG6gQim9fapR2WGTmCvOa xaTahWiDgX+eQ9CWeWt8kx3N5Y4NtQTKgxkqKUEFPG24n/E1Xc1R73eS/76LESmUCuUXG7Lb2z3i 5tjvoA2RebE5f++JEdvc6w+zA163coDbrp1fwzhpw/S36n5X0mF0klYQ/pf3nPClnKKlRvUTp7vp WR7G9sBProtFG95etEr9yZZ+69wvft0qHlEx2umB5Jt0WQbI1ia9na6FDzoS8EgKinJjmtsID8aP lUYtznoeXSMwGuVkvwqfCkXoo4A1bqyKsY+nzZs0wyNEFtrybcciuqfZ4A2qb4vHmU4vJyYRdRQd OWnsrcLPRsP/9eaPpior+pjHU+Fhy9TNxKABnwbyuQOZp1MLdx3+dOQl477rwAe1dpEDOerfRYO+ LrpVhLFXg2V/1QC0zUfPUG1UYgWTtjDCJrcDIaei4IsVZe9Fy10cSqnRxCj3OuBBSQglIbn6lrFt 5+PaiCJfh8AtykuNBpeLAph7WW0LkQRzl0n8jNsMNb1GTfJykcQQnN09gkAWewiuvfKI32G5wAxB yrs8C3xW90NTp7S1jKisqyeqcRFcDuoa0NtM5cpItc+qx9bXV02VFpNXdZiMVM/caX+Ydt4XgDIQ rhCJ5fwr6RecRZJIDVPjb1itgDQ1Fe2xLEoZw7xSK59E6PmoB6I9AjgjzQ3Ze1rJVKx7jnRBAPoX WGB3ENV7v5n081nhjGeNaYzOr5VpBuUDfeZengGIzBmV7ha97Ekt7NhvCBlleff/dfzed0SQ9DR2 w8DUSeJkPBL5swiPJOfxWmJoV4lrAJJz7bA1y5fZqM8UcAc4znqFriL08zIEfGdSRE+kXPE4IlgP /q9Dag0rS6eAqIAxQ0kFOn1CCthA6WexZW0CFN9nRBmaWZxth/6w0oHEvbRupx0s4DtdJhZyzaQr sXevmKw1YMRYs0C7UtcXVI8HuZJaf8PTiiR8PO1B2tWWI0svBfDGOvXEP2mSpluZhhMdhciWBR7s LNMJcDORorDY8C7P0IDHaY/YTgqGDsJ+mAJ5tl/N5tCVyLuJZFhKWDD7J6FnypLIwiE9QC/ccfCV blO0MbA2DhTVoDvxH0YW3i7t9d5NpBwvB8MzF9Gz2viHKBWOWeeQTZvRNKJP3HFlqZ/mS6eMlgkI 2pYC03ZL1/veqMYG2l5X6Fs6qNYi66eFom82b5ls5P+D7oDUQ8Pq9xstSeVIj8mdlo5u58Y+9ris RJlNDwZmogJmBvFZcWKlIO71gyGBmA/sUNwnnKqdpWjGbUmYBoswmQ2MpNfUEsOPLfADlqv8S5dW Ukjgl8jhoYNDH8nuYiLUdE5CiH2ooC8vy6+tf/sqHmgjzE2LJsr38k7JPT3f8jEaC76VPiu5XaqG 8dQzIF4SzJjGm6ldSyNrDQcNFrWE3yfaFBYX5lQkWFURnJyOLNtsKjS2bsBF0QuDWIvIy01zoLvy Qq8ZTgYGGWBnqbcSeySCTie2lS6MnNgWYmTbhlTX0PucHGOsnGNKHBoK11BSqwAKPjCdue7gYQSV 0eP0e5IOBjCl3N4KIgXSHyLXOk5nW+UHa2oi0ntGaRiGL+X8cBqRieBml4xOvI3QmFiRY3VFaJ6u 0g7OM3fSgfyYsB9NQZwvvMy2Wb3yjEiWi38yCqiFuDWvdD1hV2s8pkhzEe90GWYnArP2vWAh9+SH T6uvTs4+MHU9iaIrEKwjZwhy8+o21e12gohlz4uScaV8ElnWwlkbpF2zZVkr76fYNI/lkylEAqdc 0uq2ll5tWPKjdpAm+7VLwXY3pcTyiQEPRQXmItbIYtpovRjYNOaSvpwjEAMkH1bGSWZ2nu8aNJJK CO0RGe300lupMCEtNr3PSOoSb/X8iX0yEduYAnkauL5Zw2AhTsMey3csGzEm8Hocr9PmhKvl5BII NshMJIp/iBPPO+KmNo7smiG01gRUvIh8PAJmMHgJ5wXRC2Y6tBQg0Vg59mnKI1pIKMdtZFWmBZ3a 0aIAfq1wj5qF3w8aGSuahPDHQkdSq7nAU9EYeP0byX7mXXG6bOUzHukpGIDrKSlq5iL9q2eFssjx 3dwzCKRjAj3Ohz2OaZcr4/PPAxByWc97z9qUNGSvydL3uKPcfXmcmSeAZNWaTQ4efVEpwy+CMrc4 YsTs5jOF9JRiENXrz4YSTRTc0hOLKOmrXpdcOfeF41Zk43rwtLJifaoJ/lmpGjTWLJdgBdWreLNG nRo1QHMbRf8xz1MlaiX7nrrNbEfYD4grd/Ut2QZ3/JWpMdkv/PtHZi698xncj01fB1rutD0uNAuZ SboWYxh1vcBbuOzHopZhWaHU+/OkCJ329jbXjHK1MzJTbaRqsvExz9FEHe6Cq23yq4FJ/VFuGdBR AiHmAEOjkVJS3/7ph7v5qy4DFulTZCaKXJpEcxmo0lMh6Y5fhC5L7eFjANDVkTI+GNAK3JYMUDii 62jx+FmEeIH5f3AZAhj9qYuirp8TiMKJyT0Hm7+dtQUeAHF1UIMYUFS2A02ikdlR6+lgs5J9doG/ evnuFxmnDtMq9fZSJnctQxO9m9dmr1dTxApVaLkJbospgQXJyp1HxboRhUBVzztgt4o9DjuOgiHM 0UHD3zdy5KUaq4wArPFODqPHXCx83/MdYeUUrfXm/z6Ri02DC/UXaCtUubaY0qH0XZ7Tr5qn1P5M TzVuXtLFc9NPgaYoVwtoNsSQzzwCQp/UjQK6SxuTdU1WS+H8lxHnKUSh8ebMnfRixOsjE7vg7zLL TiTmv/azKudzpCnnZL5YLfXDJTsgDH5hbtOBeQWfYTaKTSjitTy29TIef+5VCi4dqyaH1ghDuVoJ Rwne7oVK3lFKS3kdZUeewmVET+yNJvmz6flK0KnZ30hKpWD+vkU3oMHet20lxbOlKs5QPgJmCTyM SdNjTOMZu4kwDditdYl/2DhEQO+LcGy/hU2jm9nZ6lwwtGA/3/BJ/ehzviTfJebon3uwprtUc4Wi 8/krDWqQ+t87g3zLfJ525JpmmhI1Y2olecs1rGJwCz+jPv6gCyFgUFq7plpG8EG1z9PxFGuA6+Af 9aftQLww5F/UWcVU6hogCKIhlyVI6EUPtO/Rv4zwXGsB6tP+wPF02aBLP+amFeYrHuKxe8Fxcc5h 1MPJHgUmHC+E7xuK5xhqKuEW+kwSv3FA/lrfXZEoNGS+fQ6ZGrYO9CvD32kHLKfh7xDDE0y64V6P y98aJpzhWNb3QVsWkZAIYPeE3AIP5yXKWsTUqFNq0tuc0i/g9B0pBjzy2tSs5IIwwryfHH0m1oAD PUmO9JfRyR2M5ThJwKhHZnWLvVhdiiocpRZxbESBjICzvYq5MjAq0Gitl7gDtAtXjgAxoEnNyQBo uggupgzAXk4jsKuVySZcRdscGbHuC6JK7ywxS1N2qWluRkPJpT/sb3RFF11oo1wL5a9xloQT+EFx PvKbxWJc2Zc/CNt4cL9l2tY24r46emvhtnGl0yMDGcN1rtVYs0N4qkcBUuLzNVnrfSFLW/ls8saz 2dPLB8vVAv2Zcz4GT8O9yqM0f8QgzWlfV/dOE6xkagRzIn5O9h7lSSedSwFEy7Z08ogUy+B1oF/V yAuanVK6TCtfryPwHtXuBeRIl2b2FjrQFtI6E3JhviK8OgThpOiYumARQhkHiGoeDl24XotfRBZs 8DWfCw0TO/nK4EaRVu0F9nrmQ2g5ZbDINj9ym/XKBarJWYYFdoqeeSEQUTmU4cGtu+DjD1U9KoMo +kKmd9pMgheV6Eq9xlZxNv04PsGS6yiLFu4ll9rmyAyHH6xauqO3taFt5YR+RKnKmpHItvFvhLrQ W81Jf0ZysITTKeEDbb/TcUpQKnyQpWGsOr6ElXoe2+/nbdhKY3eze1k22YJN6EyNT+eUDprcQh8R JsQ+JAxJ3/OD3KRaNDh8A1nynkA889vJ8U7dRQ12vk6yIMZ1YSLB6P5tD+c9aIqpMu5pyxqL10Wf zioNCTCpz7rQerqMelXdFpHgOPPiJmPcfKyM1QqJAZJ4LFkSGJ435x3X500ccXMVruAJBtr7aH3y 7y4MNeuQ1Cnymvohp7lrM1iT4OyR29XIbQW4CO8/tU+kUtXAeYUBblBAV9JtbsNzkACyILjFVN5W KfoBGHYHm5d8frETYffidzlYc1xRhxsUwfW+nmbxfXB+6lze9z7YbG3pxq/HaxwYx3pfxlD6q+Ik ybLvIPSRM1L2dev4PqSP51v7rsXyRp4xcCW/DZwZa3BXiYJA1htHIfPNFj40A/aRPa9d7MCLxLEG 8by4M/RsHnb/dpzmwrfFK4thLfvitMFzIGbePSLj6ijIr3Va1NIV/MX7v0saJPhOXAhz82kh0eRd tI3lzjWyroZRXkl65WzWxanBG4Olc0JERvlj/Ex4ObQg/pB21cIvKFr5hqgDIa/VwRpHIuraj81v MXWpO+ysaBiwSIhLqmpqypRgLKM4y/T2eQo9gXoBF+b4Nk1ovwPMqDGOFv0gJqwUL+ZZZPkkZzkv FNzq1wDr/KJv3plu+q6qFDzx9N9TOKzKmcUiultqwyF58Qql9u52DuRx9KH3Xwwj6rkZFfkHh94U 3ij2qoVw5yuAUk+30TGjPv3HvE9p6pR8+mydvPfc/q4KkpfXdjI8Lj9urk4Auu4vL6xVjU6lY5Lo 2FEtro9aEIBXeUU18BrDYQ0ZYpj1CUe23bbTIlUEPr/gCtc5x6STgejXOKF1qYk8Yu5aME+SwF24 jJHw7BX2uQ5iclTCe8J2TN4CC2Vk8Lf1t0TebmL3IwXTnF4uL+K9xMdRb5fvVEErBks3Lq1OJ5we PEm5lWZfVQJ4bAdYHjitxJpo2rUAShhVuQu5BXqEezU1t3DwRZ0nieZRsp/cEOzfzgnPYQyY0lqq GFZYHbkUHUTm0DjIdOu+FWpE3fMlpTf0nz9EH58QFFUHczIDNfQA52oYB4z1/5A7zrUuk1grEXGg omtA7KA/opQgEaiSzPoKEO+eym/V4eTrRQqM7EDeNTHvtbIPSmidZXOHgdRarLkTTmDkuAmBHxfJ m92xqgKIXbyRiOlCTOMmFt6JcX2/j5TSUFsKdY7BTTEEsEyM74ofpn9krcoe2Wb3v3AUG+lrYgqo JLhFhs4XuxRgY3EsdwyeS6PRC7m8feg8b5d976kgdFoI4iweq9ICs3EAHJpBT0vVGv79DeG1MiwY LK1v8B5oRuGHMWYyIXwLJciICs/3neImpJwWFiKHruIDKvSrX0O2VBmCKoAD/khhO0Tg0sxXkFRD HeHBR3/YKsFJ78czT5KtTrrmFgy0WuO10EweZSmxGYCNOTN2V51s0Zi9jzRhgdJA61utcZnTcSRU LDPDNK78pZAFvf3/iJiMfSOHacv0cG9rreICzXNsENuwawWRWQlK+2cmq5AP6AA1impu5nIZ2NZT F3kmKKErtTw6RsDLtxn7a9KCZI3zTrNxdmDIJz/Mglj0fP2VwU0dFPzq+Gtm1G6KKpe732EpQY8N NBpHKkI7qXlMaZSana4TO5w5dhOgb85mkcK0uuoeu5tRLRDQJve+mKk7hrexRZ4qhyxnZx4JYxNM PzaQwTGaKoYz4o07Ph4domNbX7T8oCizVvZpPjpsxoo+5cHjA+YBPZGMwOMZ1Rw+n7w5Tp0ZOiTO AVdgG20mgBPIoVtO/GHCWT9rd4yAqSwCll5mvRBiQ63LQ0SlMpj30yC1tSRTEtsJWJvsz40auDwl Mn69fQTo/6xoelWDvfX+Y547w4LIO5GOTj+UsC+4TV3cfLav4gwyM3I9QODQlXhWW8FyCWpFmZ7T JEE+L/9iRxgOqgUsHQyNaeiXYVIMN6yrWkef/uDRUy7CCthHYSA6nx8Q0Uzdyi3z4taSk45CIQ6f yXYMg2nRKYWYzhitbDKDP93HyUtY5JXd9P2rb3YNcZYgwQEBhG7myE+76oh+rl5kqsphf9FMcuNY F3wzLBElTlY5whq6HgyafyAttcTtwCWXAMo3Q2d87WbRb7rY5FvHTLRrI2oFScp9a3eYjvfqLIZy syxaab/TqNy32Pgh1g8HsXr0CDpWaP6aHSygqbNVZ5NHi1g7I2x3To21/HMJXiqTT7aEs4kNRcXQ hVzMGns7Cls70KZ1H2OQFDjnuNQ/JdE3EqEFTnnFJxN5BE4BYfntN6qxp+wqUTpb1D/1jlCTIXlh SzlNLZsR9A5zfMJuZzXqa7GQVJh2+5ZUiBITtpX35Zh4Q/O2xYuwVasbfEE6LPhLpOqcJojZox/q Numvc4tSxxP0mB9kzhh6THCqO/KRC9x3JTUFCSzrCrzByCHpnbppVC2bJ7lhEAa3e2rg4WjIz5tv MGAj0GUcr4nwkjj0yQxjJDb8+5Q7Ed22selvB1g1yZXSZFFzv+Sgm1atAxkkk1E/F+D387ieSwgy YFhDyIwp1mY5O5y+LYZMQcUpiRQhTiiSQR/0Cwu5w8YD/Hqhb8v8lF/JKy22o2aEQ3TuMgMmCZcw Zhy/4fNO47jucIaq5W4dcVULZ/09kSaQW1SWOcwnjMW/jNPTBTTKaxh5Eoh69q9dF6kKm20o8ZuV OogRN8EHM9b45BRG5ggtq5sNQIp64fR32KLqNRB7Yv/H8F818rRheaeFf+T3B8ZM1EdD3/xNWMCI 2hLsthfplW9LcOGCcKBUp5jcY4jC+E9ORcjd+VBJxbQevgBErnzgNA7rBj+IttZglwk3Pf0akh1j rgaEYYBdX+eXy1sK+mYnNtvO6LUUYnshqMNv1gQKT7se+OW3KgJcimPYsbCVJEtJx4GRLU5Cr5vd HlI/QHexTd07Gz3Y97Ruumf7Dg2HOAe92xdY0H22dCRHYk1mNwY6fFd23gOUt3GCV0htZvVtiAP2 mx2iBwOIo2l5VYJcqL46ZuypHthxlj5TcCCu0ivHI0vjWtIqg0MbhmylInE4EftKl/0ejNwfFD58 IULITytaawK8nn0ETEYbQQA4lEaOPlqaHOu+9Uirxypmac+IYkRF3Dn+QDmpGnSpUnbLYLMdg0EI ChKkL8+iXmPvuo7Ayq1zV41NFyIyVnvti4iXbRrx0beb5qZag7wKepGX7hPohgU3FrdZkJFP1aAR dKAFZG16EBtLiu9WIJwCkT2WJzKdynmY0MT8WZv5BG8zaH1UCN/Q5Iu0cVWVE9y7sH/R9N9lmxDB BF/vfm76xCINHMkSDsD8WuuR3s/IT//RJqXFJACcAn83q2NQzmji+W7NK4Xh6GbnRzZ4Ue4kfsEd Y0oPklIwz2vgm0iNMt+tTiF7UtqkJI/AqyYTh8dOg1Iay65lY69K638UwuRW+1exaQBZn19xuW9F PIPLr7bqpeLyTJltUGjivzjEdpl16QzCL2GoMB7ptKroMv3isk+tb1CUvxkC2kVxHzG6MmsV3RKg +7XHQi3HaG+xXWbJUboQC9DQ6NNyzrW+WzgyymNeOvCp+G1p2pm0vrWmae7GDjEmMinWPWs6sSoR 9AOjwIsJaR/+0widOPd1dWQ6OhWcNKf6mp+0gbW8wdj/w9L6TpGrnFZaSjJYERXtjKYZC4ajJr2r YcU4rnul5geVstBQdte7/ZlXbgq26uyBRHVd/wyCCmZ9DSZuDD9MFTxVQb5QLqZqncCtb5dpmGG8 fWq2eLAffFcXO/4IHxslnoVvwAJcc4tZh6qNxtILbdcdnf8fWENbCpfr9QAG6vgZVjaihhNxi2jH H6RJ/p4taWBfXqA8EMkZNMuDtKlf9sBSa1/6RKvxFOIeX5jMED3dCHhY09AxFLpyZcw/p75QzA// M1rUvpZKdUgsGCAy71OWOHVFHknU0OZD/vr54ShH7GSfdKIRi7zzJnkcn0FfX/IzFBgvJtmAblR5 3NAwgtoCtVAE72rm+7OwrqmP7g1iSr+uLAYzrLckLwjVzZHIFFtHdg/71ECTcPIUNYZv2anMFrJY 6KcXYiYlr5rM27M6HGEj+UQ5zsuhHRSM3MrlOHh183nCWVBI4VM6FHwUPrT+cjzOarY1NkBrvVP1 Kli5xhJ/3dEDq+Tb6HJtctDKjz1qtfimDCbwMzPIYUwiF3bWg9ZISfd8n0c68+bC4bl32O2ZqG05 pXQjE1mCT+8DAl5N+BID+cJk1ghx/5WXGbO/MpD68vVuaL2PMs1CBqm9Txf2N0LWc7+GPTVV2C0E urPg0IpT06PEeQnXFKPeieM/4dgGGdGBVok/wuwiEWEfoALMNdOP1L+w6meD3K/xGOrkDZPrbGMc dJBVT48AXGjMO+/tQBTuhzgOhYRi2t+tIiIr1JQb5wEj5FHSS6qIfy2XnVirqYSkBNuBiTPh0WPr BFWWdV3cV4Kt3amT8/apUSYuaSnhLgfJV4JXub1ywfZXd+1pCnRe3sc7qBeotXlqbg1VY3ivdMLu 2Ap3eFPmlaBzAppwg1IKbtNh3zCfPpW9REvGgbnEmWNNKVIzhAssGNebCYotayU6PCmchFj4JMEr V3E4q9Z+u9718swkKiESyrwmsBcn5liRDpE01/iV190EhAqzhjsKqmSwLoyK/UBdPBise9x+62FN +Rzzui6gr+lUgaIwJfxBvZjYD7BWHmE7alzlRL5T/QaKBdKJDJqPf6/WeDSSR7IAX9aQRXecpl+z qUJnNByhLtOgNDG4tXpc3tHUeHIuM1MIalfokHoJKN9o5i+wk1AX09JRWVXC2KICyXeTZIjDjfl3 xdFns18hzCOZfJKraoUkSj5V6/LmWLKblKmOeYGRVm4B352mC4ZAf9easfdbcfdYiOdpIEeIjNM7 YgcY+bmhmiPV7TBtfHm/pXBh48oyrEjKGWIqAmcO8gso+liIcbBUY4SU7P605Vxvf+leRXQpfB6Q +ZXWMbrzdlX7F4kgwqrDfGWMDmK9v8d3xhvomhB+u159VNU01PuXuni/dvDi9/EOHYIStOWFN+Wg iIFWLZv5EXm6TJCEVd6mf11oZqxkGy6NremEOSXID21KPlGv0jz3eiIJ83v23K76QKp1kPaFhG/x xjz7rFH86c3xlYRsojZJFi/ks02GjyEcA5ybtqQ1kHqPoekU5FoCSz5H6AewmIwz+IazQAL3qv0c rfjrcc+UeUb7haWUA6/o/YojIbXRJjNJ3uuMq6f0JiDae74CeJyosqKHPH5+aa0fUcjKXODcPxJ6 j+9VdVymNIpjooRoqqJFcldgQ/dLZG8tTkDayE2ye96b2p8fRHSM8kbWYs3o0NVZhW1iRfhybLp/ EQ8ltpxMjDCYIGSAOLUhvQc9WxqgFICACp2xB2EZZ1bC1/mK93h2o5wuzpVdWo048dd12jPrMyow gzQqC286lm1ge8JFDnbmawSYAI982TGflsFwwLTG9qjToucx+E2NUWVMjrBSK0YBEJ+JzHj+c5jP eG8rigM0MksW3XVWMyGtFv9iskDZYS3Bgxov6whYbwt9kLL8LzYCWvKMIhuK4oJ1NKvd9T/1godN lvUciUK3aMO+ItrjTXHpbZxv6vvJ4fey+1BAotPCV5ncZEwOwmWVDCpuscTlqkbGvBFxt9x3/o1Y 5p7mmuBL2X+fJ/1s+UlVO/HNS8BP3QyYTTetciJakcue7H7qdGNy4ZKIC+bje4vvX9RlXmbOvdNO HyNyxKCBWewE4Jp5ejg1F8j5LF1PQvVti8psK8hawX0lSXgoGjShX5260Pbmi/TdKzN7n1MtGg3J B2R1wbQqNT6EeQ+KyVivbRa1VDhywmIry26PhzgXMtxOuoaoJhF7m9twS+O0grAuWUnxrAnBOt0e hAZjZQpb5dASUddC+k3nThYBEu++M3NkhiWFJ+V04WY6pUF/dWHNiRjNyCa/tA6aZWPmxtMk3e25 UfeTlWEPUCvP7kYSKeM2dZ23kBEgwHw5pHrEamnp7yHyB8GPHIXJ5AysyaZjrRY97yOjjDdLVRAi UXzFP4isRf9zv9sH9F7M533h/eQrQ6GqW2NPTmVkWaS3IHt0OPtkRBRuj6YaeAj8EDR5LDu/uE/m Bu3KV5VbiNtpp2rhFD5xL1pPYhDGOU11nynaPwKpwKFnYOU8icwrkopOgGriovDo9wngGTw1Ki/+ kRHFWDrPpRznkmFBzRthwuaBnZRmwKlGhColI9KISPnOtYkSdhnYWpD94N0w1OOPWlLr9hlW3smv 7H4dISbyg/ixvZtJ46CLPDkisU7GlBRinBSmAZ58tTpkz3fphlIR2mRRspJ9nq3rc54jlcLA97Lw V4lez10j5NccA64Wy457qOR62+ymaAI30uWWvE8deklybwqUQDzKzciM9g9Yy2voCBgW/xM/oZ6x qCnIMrhgtSjc8trDEVSgCuDTPBJeXd/N9hHddYvHCXM/9CNe1J/jXk4D2LIpMiMOCIO75kBGcB2v ev0nD2pGiZPLtVRa2zzBgrVGSWhHpeF2/1PoBPZwfMcjyNvE6Tf3YcL1+e8wY006TuUabeQGJNhg uU8u/ZavF8Waobt9oekilC7aWZ7SHRlrELQaJsW95ltMTyXYewvxlMAZBfQUrz4gwP8pXXYdeedc SWPc8UHifrcDa9asad9JRh8ohqTU+SA3/V4zn4jgraPOh4ouEmYeCAFbI+Sn0RoGL2YT24XEtmJK KZH/S2xRMRzDniwcTW17bhOmkfVdo92VXkkjkm2QkczaQd6Mj+Yrq/C6CMKJws1LRufmlYz5yPuw Eu7XZm1TRw/riKpqgXAQgVfXTx5p6PLLBLv0asWRgaMl4QhWV5WJ1B2tkyDvjnmSNiQBRwfh0a1D +FGILF7oWd21VRUqkfP3/wTEejotrC/Z7wjj7phtoybZTCSnjxgoFzb3nTpLKoxVeLU2OVBhoj8P lz5sDHGG9uSnyRPkSnCzVF79hdbs+ujtMkk03WXk5a3bMJtCYsfKdlvnsiwoM7PQXWaaRykGg1ov 8bmV85QCQwz13ZQLsjB0n9DsivkPUd36rrLbNfThqXUPcFrf9v7e1q0ANIRrEzYlxkE3Mru68pgH DMzrVMi+TQaVEqHYR6/X1nIp2N+heYz8skgK4KMGeBJbeIUJEsCIaGV/VbpvBNC8sR/TrInWgZnz si0zVpt6KmPC9eyNov7BRD7MTP/xcP3p4F5qet6JFjGpMtJVDZwVX9Foefhwyli/FQXpT7q+3zxo YKjak0mu43SEdGn7CAhVSU0b3II/68vUKFdwrjCLnKNVohirliX91EvwF7RUJCWnK/ZWLs6DAiU9 IL1oQkwwSp5ye43wm4Z0tMmgd6Swdgp+psxWQm4Ke900PJSO9NVZAK5uKlnujHnwNzI6N2fkXcc6 y+mHOk1GiEdTf7rAQ9r3ywonFWcVfSGppqacvVddoYgzqr6ZQYKeuZjAtiGzl/Y+CVzGJIptAF6c BX5eJgR6pcyAr+qgCz9CiMv+Y3/ZkpetSXf8LIV6ZEaYZeJm20X0k5P0og0/qoGoTzazTCuRcAYT plSRbJEZrteU/fIejg+y3+OHgwZOMEFuVZ2bl1oXBQFbGE+02Fq5EPp+MTMYl4Zp259pUCjOvuGG etIDaAUq8t6mfxKei2gXZFIKkpHpsK9Ni9hBxWP2nBmduLYS7N1DdyBBN7/CA177DiCTWUNU6VqU 7UHfnKYb8FRrM1Ms3WIG6BQaFfvD4z06s1syTxb4UJzjgPQpr7tuj8c9SVvjvs8fYpDWY2TGS7jT SkAUSzRcLTTaitHUZopoVJa+PvkZhUowwN/y6Mc93hwu6MAJ8L4/BgZ/8p2Tt8Ro32B8eyj/wH1B 0FquA0twFFiPcbTnvZlNamboCiUE4OwXrxBr/pGQjTsphmeEfRLw1MhuUH5NzMkWpUt8+CxW4nQZ 9YjgruBj/34Vi+TUDUtwMPtA3ajqVerHJbZs1mRhQ/kUIrL2ZlAnahBj7FTzXK2ZPwKmj48StRs7 ifo3rb/fAKTySAjaiNYGJsotn98XIpggNSCZhHzzMO0zBjsXH1ZJC1z4tpoAvAx6T5WVYij6cOpn Rcxcju9YO1ypcglAhJrbQp1CXPmx3FLYxWYTeijnC7PkHlKB40umgqHs69RtIVQXHOj8+0/63nWC 8Cb4HL53uwIYIW4b4zsuUaEzFoJowW4gqUJrVnbJ85alqeZXMjkpoiy3E+dMGkKjtYyhvoC27N7Z 6gVZrNPfV3zyFnNOHJCz4d+Q3dK6SW6jkClNfxNREnmKDdQtLa36ErTMrSLC2WHq1Lo+XokGuKhX 8xZ1E54fr+elHaHooHT5iGo5MMyMBBUqwg7GfjjscIb0v06P57esUL0AHhz+DioCbnAwOUeb3oe8 OORlnFAVY2XaL9QbIS7PMHQeNJMF0+V/bRdYAlWyFIZo6Qq1maDhBfpnHiCqBdvX0V46KJqH4bGm 9xM79ZGTALyEqEUqQyNx9ZxnKRuGTN8KVPCR8NjTvuwVoKhbpgfLgfe9hNY39OGYgeDehq/yxRQw VDpMccX/Bpflwkt4qmjdg72lyk4VGMqGPrp8y8ZH6F4zOgiMg2lOPNGWCkdbErEPYwlRFYBiZO8x /PvobEhJZD83+rRTpfL5f6jQY91aLCvD1P+MwCs0dHYz94tqYtr+owo2aS1KG9wHySD9OfA4WSE6 xikY9R3n2Y2BDNLUr880TCu53SLjorgNSiMSSPNNaBOsniU9LPYqehDtabm+MVfLIsJ+0Ef13dqk +AWiXODXznOhoaZPOBL8FTpXzAeWKe5OafXvwgbHmAEcnXgHdy29Fq5vD+Ue72nMOUu0V+AGfUig To1P2q8WGc1P+1CSAIfcYXMHVNVE6dbAkPBMFQklm3hxvA5Ph5m5wcCHSRV5Y6x6ADW1whtd+KYR VfwCJYqDq0Xt+jSL65LEcx2gaE/Asu0R+74Vq+E5w68OVnunIXJhZmG2OMt50OKFjMCBD7ttftbm maMoioiDKaaCuVKzLOhLAoBlVYblUHbC75FreIhM/EpPLLrRx+nKAAFpLtSOTT46FCUOVqwrHVls o5CK+9XyY9eP3itzSJg+PAPLgwuG3/Vj2XjQjhiS0IPof5xOyQhI6XLGpeauvy7NjDmBPl7VrQDf zeIqZsMPwn9zDVsEAWLezVIRpxE+BzqUELXsBQxWM4Tnxvv1Te8aic4BV/TzWQYgkB0/QgrKmzYA 1GwLBfVf9dVGqxpSACaNNcW3uvR+IX4Q7OVPvfiF++QS+d4tWdjoMOxwB8cX3BIBonpQWFoH/YdM jFT8NdUqgEuDoemhiWARVK8w96bszKUE8THl2PVA5XvsV9c62HUaWUHkrX89tFNgqLZmaHEKEULv Pn7vuxD2fYW83GTW4CbLTI10q57g1C4tEPvJszv0BeNP3hXYEfIrerHFZoOlt/pFYMqldWFbv/O0 7PfqzKxl8XMYbQFi7lrmv+jwSPQdF1M8a5Rp3PIukBp93yFstFzlsCwaWImsXznS7mItNBLoQTBC uJsQV+RbaCa3BPu2HF2Sr0GOJKgoRWrLETyikhAaE88VeajYhwKp2bzf5qhnAagRZN9djLFb+xEU Wp1qF49qKi0x8eJM17xwtT9z/9tTtmwD9jOZSmeTwpz2hNIxich13DgeOlRMvfdUsjzujl1g9Mv8 9cLRXG3rIbeS6WpGE7UX5dYnZLmyWSHrl6QlQ7BBIYkZke2I5alhThO8WBOfHE4dP5llaLlMa7Yz XQpfDWyFuoR70lLR1Fd4LUomsGGvXBKkQ0owXYkrKdHF+XicsZ81NEUnCqz7U8b7Ntb6Ur+68eX5 paOaVLQ9xXn+T0EB5Leq3IsimDo8LEXYMVEZd4z+iv39oPcK0Kdm/57uP4ZbmlVvZ+CJ5aIO1Nux AlLcCntvNdMBbZvxYO+9fJM1apuhZ2xxH+Cio8HxAmi6PR9Up//kwelXut+4bWiJ/+/fsjQH9nfY ESBKtqW2QHc8vXsUpDz1EhOiutULl+j7f2m1pPks909oomwJpP/0j++IReJo+Z4EqGpbS738iYec nZuWXokSdtLITbkDALGX3pJBMtFw5IFFqYuxjiEKTVB4oc3ie1AjOgibFwDa9OfecmA63dOU7uRO K8s2wOciw1H88KKW4agU0syK4OgCRQHS9j3/Nf8eONWnxeLeW+EqYPpn2QDN/g98vKkSxDeP/OsC tMjRaPznozsoOBlJwqmoJ+EYtF7YOsgQ1AjNt7mdFGJi4Dfy+h8fVMXugoHk3HVEcHRCMwwrRYBP bInFJ4mEi7fXFCewnSlIQtCCMtwEh9UiW6dRMS76WeQZijgA0R86n0Q8O15T2At8AkSXh8qx606b Q2CTDK+0/qOOsqB12+zzLJQ+yY16R3cp1Bth3musJK3PCnVq3iS3xJw0WzUJj+0x1HXen0WyHpDY 9Ec8/o+75Ip7ZB/7/dgfCRlCxHct5543pQhINObAmtKPgCUmN1sebzmXk+7zbg+n2V775kWrKA/Z T1ws2Y5hq16lkzUrHZYtsm9EJkQt5e7EfDi44TaoBesedsK3/oTL118nA9nv3tJJ1WgiG0txRmin kfRFMIQUFFKa1/9XowGN989NhXKu9biCH93kqtfhHWbrA5YAKyc1QCygjIT9HO92Et4z3C4sK+AX xlP78wwf1FacVkWzc/p6lkB4HtcXihmxIkNoFNYHd+48UI6HXCShBQnC97TMeW8Pr1meIW5hkoZW rmD4v/0BCrY8eJ3ZzuEUDBubKzWZxHHU+MDChYtuYYMtZaZDud6pBKMalsalIh1u7/7HmP4ZfTmq uFI5VmdfONmiJyCm+L+BX0SkIDG7Mp7kjvQe3j8fnHe4YQc3jXf+bW1uPxIJxzuRos55tlr6478N oEmYyaLWpecG9CeO2/O6K3PgPLSGcEzAZhaSQO14kkBiFloRo+8hizcTWT7UCU9F/S00xliHBlDB Xqka5qAZGsYvW2O4ct9NaI9RjjSl0J90und0Hjq6M0W2S4nGVIDr89TfqLutEaVZ7RpPdJIayztP 69dlxXbTb2Ae0EYya4SaCbZNtYdEnZTR0NnKMBm8FQyYyXoflYhgWOTyZ0ir2xAp6bzYWDLLhIUo I7xnKZVY3HWyrV7sT8n7ES1iY2DBRqStCJ1a+wBQuqJNyPS0tm4NpVgzw1UkcJLBxuWTJVCTeBXb UDZVOVk2rRx/4S+7woV7baio7c6/KgeHETvZVF9HXQ1GCYWwGCNSJQDB3+g7+kXuBagpUstohbGy YDLzdiL6XU/jpB1eQ+psfxgR9L23BarYEc7SWAnQkjT8oWE2LMqnxKCM5ti+gYuoVEHurMKGV9QQ euTz0dyzLhP7qYo16DSe8KbuK2cqOOEW+Pi88iACc2gAGSaZwxk88y2gl8OM66M37eU4HxGVMClL eJ5LuZtcSMKszAXGgG+7jRk0IeucZwK8RB3hDnUWPt54NHiPaV7+TZQlRbl40ka9sI6kKBRe6p9M bz8y0+29uGuwaLdYDhTGuBIFVMN/rZdn74+89so6laxnInD9DdYRLRd2oxqu0ruVjGGXTX4pq5eL hCGb5pPikEvXkQ4Yf57CXzZwd6BR6ipnc2aP4D1bHwyZli8jJBd/OJHhPICqDhEEOvFPPLpgSeLD zs0MKNkHdxoy2RTDJJnqAihswCYpQlHhyABxK9Rd59BS8rheK8Q/GdhcU4+8kTHMv22Y5Iz8VDCO UmHS+TG00+h6FOk6HnISt9zVF0nNTSjbKxe23zaEIL9Ph6crlxKrxBPeeNDASF1NyW3XFebUiABu htPdIF6GfJovq6L+c9nG2M2qpF80wdVHrOS6LplnlZKrT0Xo2I20S9unPQbkArxTjK0f14mnOzH3 Mwac0SM5UBb2V/bmTrbXDT2KH8vVMCPIV4JktiQ4fdkgnh6yjKiQbGdCh9xkE0ZuXmyfBIsfbE7g 5bkdovi5fmHpQRbJM/OdVGzoQd6K85iHwPnVNo5WlxrJPY7DhLVF/PTCYv1JxLiHaR6ETNUjyy32 Wjy52rcSyuQQ7JTwM3lCPm1zp/M5vhxDJBmQZvLDdZZuyWfSYwWSP3X2Gj5GR7zmeCZt0V1PF5AF 2Q4UNufHwufnLy1Bn6H/1l6SLVwoFHBgUA+5B8UtGWHIgUc4d9qyPqDJZjaLl6a1j/7WTu15lhI+ M0s3EzGo4BnS0l7VuUsziIWUAa7xqBbktP6OTjfG99KPC1oRkPZ70ajrudtFIYx01Wl4kYrNY19F F3n+3zYCY+hOlHvf3778vGCoInp634KrI9cho7QAf9KA89RZBpi1pGU/mJGr+wDzbZIVDMFUtyO6 6e3vjDuvV00/RROahkIt05PTbry/kUOtPlEqn1w1t1Xfv9npxUypQKdH3d0sn3bej2hB4YUUaCc3 QbdJdyIUyfpqJRR8qj0Ob7TD/CXnRy/YCeF2EOog0Rx8F8JRLBAgYSTvJO7YxufVmotnAqAFvwlm HY8zoVLYNRQ+tVPyetBGksF4MyRc1TB+6XZr9Qs6fEf50/6d+Z4FP/iOFQZ6oBC0ZgpYE5J+/L9K XYX+pi/7Jk5wUTSD5tfQXJlo0oX7VnvJro8xn5pA3H7CUrDiz9PBpMUB3w/nYtXmtFkkzWWjy3OE 8Z1WwyE+98Lr1cpdpwERiMG0N4gux70KTe+dvTW/Tyv4ME7KJfOKEUSAnMhlmnRBeNNQI7pkuH2e 6cwWdKPKKmLyREvh2NXquLsuGdkQVlCZwtNtmwQPxpQH+h0HcEciLuqZuyu1Z/zYRDHLxCvC786n lnRIEHJTYHnAg+66JwrajSuofkUdBspsPYyYsaJzhDXToJwhePB0fB6SW5zXBCFbet2tBYTQSkFc 3Wpta3jAzfly/XkCukK0xsrZIvGFPXl68TzGewHqhmVRQUb1F8BWVEcXm5rwY0ze90VwK8C/ou/T R8mbovT4lHZHvDml+5eWM11vI4hha69NrarmXNyeY0n4DLo6GneMBBGMrlUWiF/jSnRkoEQxyNQ3 jDPzGNMe9nWSkfz+93Cx9Y+J25uE4/425hasPSuYA2zODHa+GykNmbE6pluyIrzohAAmY/l1SByY eH6NU1swC6D8eAZvYbfsahf+UM0ticuc85BfMfItdssspaW5k04hTyWY9XYlCEkIssriKj5Rgctf VCxWOt+I/4Dt5Crxbzh6ydLQceldeSPFzb133t1OnSsmKD1AY8AiGgjewBjuUqiRseGDymk+m53b bDPBSMjhlniQTCZIfkGWBfig+aP9vwLVf+4Ca5I96kliQ/qPie+PmqqmXHjEeu0Xpxs498iC6EwR WOMdD+s49hCuCgxntSYwpdCRrmfOH2dp7/3l2Yn0eA+HlqDsdku6nl965M5me6jTgpawIffeoGzK 3d4CVfeDd8zW4dacHoJvpDSHnNrA8nITz69v42qXXFYs3qLVOH97V9/BKscVYIbQHSHnlrecVsye 6nZJo9X05mIOaQEKp1UpoG0JWLkhOwt3qxBq39pyIqtUvVGBXBofVu6IiMbzkb2ZeJeSYV+ftMIb xQTT1HuXAofNEgi7uH8a+zlA2NK+xWtkNfTGUHcaUtdq2vfpSG08kwwR45sMtxpPUBx7CIIRBZ4/ NCw3gs+z1sBa7Jw5mH42xpEueTFGijCh+rSx1rE+3tT0tmP1Gea7aPKy12DXCGam7GA3DLaAiNsA rgsG1yzyZ1x3ZciHfn2EmpzkfG+o9HnmnGW+IGCWFwTc6PyBaKwbxGkQ/pW/kFM4Fq2GGxjFTqhe 9+xntkt4CL+o+MU0Vn/b8TVJGovrqVCqtTElU49bhmvoEj8vqjSgTpt45I29EtMkgQJkx/zvGhpR x0dsS91r6BO9r5ps+w3lTrpflZ7Y71axgsYeOpE05mxOy1AKLipEXDrjj4785RysPjW/UcLGc+ud +BWpkxTQ99KdXv4PoT2R0j0kS3DVAHMvdd0pmQfdOobtIJUuOLF054XGHBu5zgXA7JblfzrCTGTl MrspPcnvvxVdpCBHNIPsJ/GwG3KwWK447qtZNi436O0NMJYA33Weho2HxrS44CfIk3wODZjt6vD8 PT2HrbGPLkV8XR1+YLvF9c/r2WgI7y3bFPrNzzj73V+uaVImSzLiWPezY+x1v0fsL9gc0fVPLJSy DGFUi6Cg2i+u+RHpfNWyUmZvSwQ4YmVFR+bni5+zGNaSuYDzkwm4LcEmeyWiTeq6CL2+AIcDWseA /wOo45qTJQnJXjG/35k8QHc++Lvliuu0QtGu15Y9uheEH44STzvDofCgVQjX15okBBRCMZ2lOtg1 B2WRIknoOr5mkkbj1Axb3R/ndmE5zBCkpCVG9HNkHAh4CK6AqS08WijOEB53xh4pr6ALiVi1v/3p f+m9mOa+ppaqL3N9LzK3ywCAE0bKknIWGXGm7j7lMMHgogpKSuLSpuC970hQ6TNEhtCwmpWyW0XG 3VnHOy6Z94gVtN6C5K0wrRY8T3zw7RuahmGJRPOTvApPPRO0+aLueqj0cGBhFsQO4oM4i7CNQoUH Z0T+svoZ0Jnb391uWdkBrhLDSlOkfFzL8xW59FD2kIuyplFoE+QNKgx5cyUAP7N91auixRltfLXO L9B+63aroyoV5VmKV8jbnUmejPql/U7XUzxjEfx9xXPpqFeuML972vsTZrAFmhTGfqRnAGEurh48 I8xUJVDi8LzR3rlLL0niJQNEcjpMeeNGwIakvkpGtmav/enRIW5cv1a0aJVqsuMKCPxtzDaVT15d Li6EU7nDPwljYgMPYY0jltU6uPItuXEzKxUXNoiuKP37kImVldkhfYbP+NTyWtKKEoITXHJPt9um DK3Auz2tJsnMxewed1cpseO9vn+BSqDdmrd5dvUeheX45TtYBDMVBaHW8qQRojYve5cX6xPtzvWf IJZfcuUkqFznvROavpHaqBnnRbxfWU5UmI5UaaxMt65/ghQtxjwErTfLo+JGWEUqFG+Y7ijPnZcM QyLYa/pn0DJNrseCQqOZ8uhb4y4CeZRcq3sOymkBDCqcVhWIFf+rGJPHEdpqFP7LPRFZttWITon6 47XnRW8PBaaQnnizSpHjvNQVmUvOJfnUreoD5xfaVgjPb1bMtTh3yrrKvZOzAHozyV/J0EBPVAVA QY73yR2P55es0L5/PE1f0XdgYGkveBfafvu2YFZiFYlcfz7jEWAKoOTCXIZLWTD1JSxHyvIFsiDx p/8p7QfwSRUvjaJO45WS2uoox+xQ7A1fU/txCgBObYyLiOrdaKvxSuzEgg55dfvtoeMmp4UO9/jf lUIyiGtX7DvL31kYkkDXgytqfOpoHSQeGYzMVq06Ualv5LjVPACyF+NuVLIoFystCXcm0yenn7U5 zFc6GEz7LOBRs09JM3MK/71v3bKiPQ1lJ5/7rO62KM408nuyTDxvqDvUujEm2iPX03QmMCgioIJh kPE1kTVqYrlYnUlYxg48mYGFyEhzs52+XDs5n9/MBGrkj+rrMCJiFKJPRUwHlqsFkNmgFRMYhpac oJYA6HT4LIhl9Y1aSLKGA+wgF0eJb3WFi4yCTPmuyErHdLQqoJENbxJ7Hlw9YBIm1u1lJSWGXsIA 7ebDqCMECwGmivWbRv3BTq+PUGp0pd9ZGOK6VsH/D+3D58BFNAj4JmoNYn+C1GNsbD/lc+RuxXvz l9DF+ailzuatEJhARMn5hwgVZFu1xrJzqdkWnkj9lRyF0juN+MMUdNDvhJGOiBTIRT+W5IVxVn/u gBshyC8vcqzHGEltgTi3GJAyPESK4Z+BixGfhcPJ+20dA5H9+i+UY7Iz1vJvkSOrjy5cH6ud8lzG j0zqG5cEKuqCqxFbGTuvR19Afv8rUcoQg7M097NrlIvmP3lO2lNKd7BEPDSfEy7D5xu+qPh9YSVu 7dMBqYUqVfBTflh9tjPHIvpBWqrN4P2sBNkq+F2FKdLQC5qu38c3BsoFSlpw9ksTu6jGgpmQc9j1 MFXQJW+5THheuVRhTyxtmkhmJ0HYjnn2cLMAc+84pHR555KUBchthrPXHFcK3IDBgdF3ctRqXjNc TDyXgbpT51t5DgFDQGlcCwmPW3h/Hwi/KKFAKy+yll9Fd17Z7J6T1kJI5U1R5OhTg78hEwKTrbFv S6uVmVCgOx6Kl4kSpI1tZ1cQaanvMcnIHocIZZHuMX+YuHy8AwWGQ02Sr8dNTrkblD1q2Kv/awdu +ez/OsSaiceFIOsWuqFNRngNhNM92ELO+odq3iygx1c1SV1c+n8hEnOWFR4TO1coDxuxR+VHpjs6 H1xkKaeg86OH245N7IjXqYPBV5GZrjG03W0wetNoPDwMEhfMuXNZwL/bVKkvs1XHI2Dbqf3mMfcI WAC16bDy/I1BTAVNTpiHuMDsjhFwEk5mVkLiHjNwsRuwyPlWWDfCAWVzpf4njNByuO/y6YER+MTm lahKhsChnYNuWdYFg4ucegtp98eWCHQ3O5iffsRNLC6IOv1ci0Z6yBHbzt1B6WqlncIuuLf0r+Vx uO4toAVDrWQBND0NyLwN+ad0sZU0LotseEz628zGcY2Z4WZUw9FSrzBD54rKdudYDDkK6rEkMyzd f0DZQF6ny34c0Du02x1kU2aN57TwCBZvt88AoUSMpmbq4YPxmHagGyv2mQ4Y6cnJOr53RVGRmVHB Pp1aOT33JTK/FnUHO33NIzHtp2iYllwRBLG4MJndtYRK9ZnKCo22mOrlf5qz2ZJGLGKjQ7PraZO9 VvtrqlsINje5PYEabDNZlGvz996RA1frjpKk53gOi6I2FQGB8wweIIOTJSrw/9g93uQD2CPOp3qc 8QJkoI1suyxhe6jc6Nj6Nl7WOFRoCW6r9sl/RfTbvXkIAnKCA1+fePD3tIz6Eceti3OxgveOf4AW WFqwrpI39ig+yHv0u4Te/hG6FpmjnjqfkhZPDi7wYJBbSKQQ8MnIzhPdETjxKTgsyvyl1C0vwoQw DA5nLIbJFJ5QUNEH7WAFzH81Hx+xxMS74R9hmkkz2NHDWzELqIgRpXhU5YvsTY4Z769S7ua3Zr0z eONZGKoelpKRtb1B+tQVtptW6+dFpnubh5KS4FhrEQzownmaLP5qkFz/nkwKQnhqGNGqtHQJVh80 ZRhfvZSU39Q4s3PzOmpfnBIGRu3FCpZ4lDtDf/yL0zQmhx4zEbSyiu4y1Dk9KK8MhBQ9I1GyDRye fE++SS45+95osIwjGLbXHs099lc+fGOloBzhGty+EkTTIsFhg94aHWRrrlP6Lp/GWN/5gEF4uYia Ef9RGMK0qrzLcWY4v3IBnlj309u9jou+i3fN2LK80jNRX2uT5bTM+kLMzGiroefyHtEdTwr3sgWl QlXFZiMmh45F8+B6AUQswgKZNvsjQPquS7bz7OKcnHH3V7vOb3wHPgEPoBGv24+91UFO1xDfIT9o /tjv5W6Ld8VwSiMTUk/WDxH0bBtPABOidFOHI0/ISxx8AzZetQ/fHSpxoMpDlgIVGzm/5kXVNYQA Yf+ljzib3LPSqg5I7DLEDkSZ78CaahXIbzI5oyNXoTHd0JeDKBSJzcYpgnFcSOZnuCtP56pXBr2X 5AoOzekWTsiYy3gfwyFVu1CBIUPLjb29poUnvjTthmAUShJVnmkKs5BaEIHXNYKmM0I0RIAbH5Ec UxVeqYlW3iso9ChTecLC/EGPe+db29VeUNJGk6nToz/ngoFiBuhFzas5D5b/IxgjjZ1hr/o69R2E DwCGmvKQmNB4HUAIvS7+op3gNhVHZWlFbQrSfysawM9pm+PVmYQiTQ9NmWQwyqBov03TsnG/RUyY a5vUnJZL9KLtqIp9+ve2iG46V/C03LYt+BeAOqyI5d6ywGstNds7NkxbCG8QGylhyVahqDGKNN2o x+3B3L5pJeTAn1ocjL6COK0TBy9sSqTVnailBAGFgTU94i2TGiM8wgeSOsYTd2ZklTiFSpvbZ9rh nAHN3+WfqZlrvb/XaVx9QnDokXRHH0EWvE0o9n5IJ5wOjjXD7mTg6jQvb9s5cNNYI0vHj83b0cC7 yykoXZjaVNh6+TOM6rnQKk3L2aG3aHVq+DOtIKVwoLVeP/hZdGy6oGD8b4T6tYfCblOw4Mj/L0JU HfA6pyoI1lOWeYJOcvQ4nCtPjjd6rfjiOhoT5paMFrMZT/L0IfWTI5YIoBbERG3h7QqpyipVBUUb jC6pXdhFsP+zpXFXoQaNAG7zFpQq0t/+hFcqy6MnrhsURaAIFyyhfV4cAxIq3oxcbSVTUZOdRXzf PgjWciNsYMBbBPQOEbdLVjOLv95NEpqy6z2a7U/OXGbw6SG+PoqNoUygkMvl1SmUk/LaeuH/BgtS zyKNIpZ/jvTFIxgH1/pHJBgxGxRxc8vHe6FM0z71zzlbXLjatVE/XQPu7i9jJt5Shxz6gnkuEAZh WZRpwRLC4vznY0BBkzv4s4JwJR9iMwsJd/giIU74j4Oej/b4//p2yOJGJk8GXrdi2JabbpXeRHm2 clFKILop26KiXw7wMe3V0yF0lcw38dS0eUnjqyWfsTeRB+45DMULD/ujxFWhoYRaEa8hrdoVjk8T GXd85Qokqr8RL8XnRKgWtpRyxQNDOBi0y1OmkWzcFsY5enTdL6pfAQzlzxDcE14PwagySlisdV6t m7XFIzUlFhh8kW8G+xYUMLLIjZCostY6xia3DCRxpS57Vdg48bQGjFuXKYnYye5LiTTlidtCfW6H 7zLKA5/jLpDebuywRbd/HldSgDufZSQFtDB/z8IkdWiHSAThxnEAf5XUFfnavDFbB8WQoRva+oz5 /5/SzEU6ko5zDfY+DeTdPChpfOhxSjdYOSlG5JYKY+5079vHFg7ZH8KPZ9ybYp8xHqKmyyVXN7aa dsjX0a/c1IQ3+Djco8mUZVLqdetWHq7gr1sUS2AWDWpi/omVJD6+FO+fQkXNw4zsg5OcGJ7LxGQB 4BDfiMwPzDG/vaOEMG3HNFyxuN9aOVS5e0WhRrox5Khb6diL8qBAsGtC3afo2azt+i0AdTmduwfS acVb5qoD8KnajNvSBKW9+hJl+Q/FH8kiRbdq8AQUe8H5eqQikrZHJw1j7m3QY+cn1JbuAIZxbhx1 /PRRnlbIFxgJGONDNsZS+6uLxm6C9iWrUK5YEvHu5TG/kQDeUBT89qroXG7B9ZglAqqf5MSglb+Y JpO+miBtxq+rU0oCiQx4OeB7iTvltpjxYuZdRml7qgVl51nb2OlrdpjeWnYnoPWoPRMiBLToirJV QQViyrRluu7sfX6YnaH3c+91S0baD11W4ngJP7bRmpzXX9mX+bdCVe/x4Hyl1364G99m52W3RAbr 1oW7FFoRv9B+ck8AbRj4H6X/ujoCn2pF85KxjOd9S5eVm9DBjmOX3kxF5dKD2R//To7OOIbS+1aX /JllVuZo2vpQn7yQSd16PW5dL4dSksW7mLyDvFqN9DJtkg4qXddPpDJ2eP2n6Ejr6adcj1FuAkLI UEu9wLhmLbT6tYynLx7DBzxInt35VX8jA5Z9oc+Bt9b1PzEHli3RWmBPWX4GlOQTBRfd2fQ0Zni/ UcwnMNR97JfFZzjF4u7iHbmnEMsPKNVhtZtK51ft/9woMrEqmYhN5V2P/7q2joDUZL+sBg8z7/ks l3rWGYuXpynivdGrqZBiNvOHaXq3bm3wUpEdPvrCk3L1yNrKW/TovZKybB8HkpV6y+ME6X05SXw+ Dw2DCIehxM8woMn+lRKO4j9oI74csnMsgqn06ejbf4wWi9upzo/mMgsCowqbcgZy3fMSSmAU7vN2 YQ7P13WJHafaBxTitmJrMxehv9sp3h8FNUGqRCGsTQc7yOfbbko9DtxmC8CrbpGaz07XvTfptnc2 OstKEnt+7ebRAW1yrzuJmpXwJQ1vNG7SrnY9NaowXl9pCt4a7AS5fPEtEWEO0mig4NRspRGmfnm4 hEnKu88rA/tRvMTtIewTSGlJ/dOc6CyW0o+Je9P3qKNzNKI0CFznAJek392XVy+g07Yy9F3kRF6w m8AE0j03ibTgnDvwk8/5MB4vq7yp9+tjjXouJ2OwITUWDxnNndD5FlpihNAcw0iwpcA1ppTKDcas BaAeCMANGIPvsL9n8t+MOcJ/VHstbYMEVZ5Z5eTuUR1S6/pcqGu2KHHghdqjr2WVNNhikkOiHjLb ll+ejKeaSceoOdX1qicCjwtyQ8gCYCV137UgKnl+hYcHgO0pp3pheshsAW4Q9WBejNRT8RiutLIj OB9VyF5/eGthhIhHWHw9oBY5hFxiBKRWwLQcJkoBaKbZ1CH0Ufuu1M5xs1+mKaCiolMEYLFdglva 0UcztxHoiK5EN17UzzGfqIh4puXmMwzaqjV/4+6T6DK6xqf1ZPLYXIHkaZrdJxfoZ+rRTLYbhoVs ULFxwmbPISETmGWYhsu8ADcOFqaNI1C/iJ1Z+M1cduNmh57HXSv793VIZ2ZponEwR6cAaHhUUmTE bEzk7ZhlqOE8J5uU7cQnjFAR58fFWrjGHwMPs3bnRAmJulyixnlSZNUe8Gnl80EvEBAATPHp/OmG rjxF+279fh9Xyo4h+20SDosbvcY+Yy6ikyZ3DXuZQbkndIDf82OsIvif9A2EcZPjN1YfdUGTD+qi YDICl6t1dV3FSA9uU1N4QvI9sASQLnLkckvrJY2qXLwt6Djtm7LdfXSp2W7xbzqscvaiwBUfIQM/ E5iNIU8MfdJUJhUl2i7O7n14jmCy64zdtuluTNk4oFbMoqPZo1b0Lm7csD0pVeprfsQZZNNskG0Z CqmRDvmyHQt3wP4tCEtO0ACXvJkAirbiqc17mJRdI7XGI5BCehxalx0zSeaw310QtjyEiJEeSWqK 7g/qaHM63uRVWZC8c7X5QZRiaoeOzsgTIYnkM+n0taSovwarw2pn9WXnTD9kLFdgfmmA5My8GiyT jpyvGVFLKQ6byzT5hLrJbpIYLxo32VashKxH6+oVzf4c2x2w4JUrgyfxN5aJLkcfsUVbZsLyOVCk 3G4MkdXxR+dkD6JvsDTBrG5LGj2UymmOM6nFfAg/wJYEd89OfjiBffOXRs+vM8YYZjnPFfVYvVhZ mqENngzrr2aYRW30MIQaO4E0ag5io8C7ong3nAD177UXEVLVMp/F5JUXWpKoKxinym+NUSbgVXsZ YUikKq0sBsGodyAydkswpN39pFJdRmsDKBwOkj7Z88arGtPFFDBV3hct+Nj+58Fzk3m0LtXFCdGE rapT4IAEe14HdyMeCL22m69PgPk5BH35YCFiYKJuK7Dwg0RA8FWcmcoFNEOMI2NQzhwfL2EUIrZN xUfRLhKkm9lekOlzspySsUyldF9SIU2JuFkXkXBeQy+GcBaEFk4AUnHCZTcBO4meAVvgNnump33K 1Prg9iQuvh+7XCLt/NYUso+5mAOnJmZvMT8pVPOXyIfgQV4CeMCKxM8LASK5xyy2To7BAK8/zgn0 K9C9Q6vJX7W5M/1NCZweB2BON7iEIrZaeGWBXcAa5ZCJ6xzJwQlGQT9m3wXHlb6exCmd1fVrOITF muQf6DkcMsl2MWmStbzU0oowhLkd5qAQhTuhHKQzzdx2x/SRfWhGpVQY9emtijJ0s2kCyvJoYS94 zJNrz5daV03P24zhFBtPIQQur3iXnz+7fNvDJPRakUP+DodwkaVw2/thY/IuzmSj6PD/ziRLRLN7 0RNt8+e2+7jS9tuuaHL7A5T7ZW1Yfkzv68JjH4osw6dsd5lk3xoUVntm4/+YInfLt/TYWGeKhe9/ 644WNdeYlbXaOVeVXPgGqTVNtHu2SOaqA12SiuKo/uOPhtkPQ8B8vKjUiX6aBQ1HmJhdqCBc4oSU zOPCF73JTJ9P51heo2vh+KLds8P4RkBpD42KjCIONAh/lGphr0zw1ZQnrRheUFPRkUKOxrJWYKT6 wXk0Q8UFbJ3X5NNKNiqbga/cCVSHXirEtGovG+SZXKb6b4MJ9TnHK4N7Uo26PP5Tg+jBHSLLNmHN U4MRmNd69n00q3VP4w1dh+LuDTf5u6MbAOnGaT6kajw2eUlE1Z/YAKmtoetc4Etg06rk2Lj9Onz7 UjDktwomlc3QawHma6p/8QQDXS9HaQVMj7EbWm6FQJkTlcQRTbNIauo81uSfzkoZwA230+4YCA2h M9zcZChDMRMkAsX5ZpBxrSmED7yWQP3JtcorgQyu/rE7Z/D+iRXhJ3sdHv3slNqIAP3/ppBi5P7c UcQMGhQyIB5FX6YUW988MfTUEPhajYl7WqNDvUMuWCwxhLzf2NR9nTiwuLytTJRa6x6dzHeQk+2U UseHPTdjLNS1/am94OsyWEOQc83Ma9BLvuA2cKo9ed1N/KktnAMJkV4idsaXlXVJzpKHMrarWlf7 eHMBHEbZ0JTLr5acgNr4msERj3SxsvN8bnWL+x25Oji8rJkUCwVnCRbNeU9SMiSyO0RejrLwT6do rhf/l+PR7NGqAWMwa9FZ5oukpZ53021E5fa6UdAhVdSinE/grBQtQ/wTOxC7gKSYK7YhCgeUgz/H KgOoo3eXOvCfZvrADoAqLTaqR2HE8sgEPY8aAGG8I0TkjJSRA13UDa6SfV8w1BR0Qf5DWi6RW0Zg pwd/O5bI0h/G5FDll0g+gQlV5+FDGLi/eL8UJEC0MpI1Ok26/nqn2I5MjaVBcuOE8bolrMKTRLkD 6KG9HPwyGTNN9/f0T0RnEnpO1x9jcV90xoEe9HaZf4JxI0ZsdZMd10YhxOtsHHVBJPBw1LpUTGQb bzlcX7P6GtQA4Hh9Gkiii9L+vZBCrOY/wm9XxLqj0TkBvdfgDxVKBIuhoIER5blUMtVKM8gIa8sj 3Kg/gjNGbTeX05z9XRj6BYfIHAS2vjHOUN1Nrftk0DDU66xP/zZ4Yso7U19rX5+9Yy/RW86mdpb5 0xcgrPdncEwiVShQDqW3PEDV5LPygLuadRDrA16qryiaY/Fq2YXcf+Mmbc6H3/58E2ApOdFg+0PZ L7Ni9Bf4wUC+eo5ntnUKknrBsJ/8sgsHe8UQ5ScQkoHKzDgs0nOG2BC1tYOYVmMnzwmULsFflAiZ qQSAHGCy11Q1IG9IzYyKQUwfOmjRGrpizRwEpygwkHRlmhzsMNnx7Ejf/kmInYaojC/BLfDCt3/F GryjM5s2pgGChZeHzVm+OgVbqKqEvd81szPfPkGypOaIsnQkfTpnjxP95pQzg8waDQ5RiLmNusvR gCbT93YwfuX2Y6o8lApBUSuHpfBVJPFPi076JrlQ/4s839DPJfdZqYsVQvzQZt6Kl3usALRbgraW GVqpvc+H2Aiq+IlaDSQrhC+25qRXBp+ZfB/EfFgWuq0aPJGApSWoK3nEXhOSQzPuVuEtYur2XXqp NkQ3zE5jEfdofPTNoWjWPH1tYyubTuSrqBNl/KmhF78SbPaVK40aELW7HlESZ9IHudUgqMMGWzLv JJX4cPJhqmKG0XzG2oT6fGL2X5eY5c9LpkEu3igjDDwnJtbgpR84bHZpTObLgmbbzTY1gw9aWeHi OsGEGOUG72Lzb4Ec4e8+VeHu0t7F7MpvIq2N7TypIbsr4nZ63XysqwtJRg7ReiEoVVbMlZCAOWgp JldE0l7NmKZ1TKD4emacGdZq0hOF5wrqONXWndwqB95C2hAJtIYclZOzbdDxGc73PunltoboWEoG SAnM8XdV1opj2TxDmE30gEbVYALzzCunra+RfdDCH3nE2LQxP8tLg6ctHc6szLH7z3muK/hijhBA Eos+RwZa1S9fumioUbH1bjJI2QAg3qT1FfrHM9ehAYdlynTqWwxnkjqvFUho20Mj2KbL/HE/r6KP UbwqOcPDuTMoitZP4XF8lJ4KRtaQMZxUsD/rVzFiC+S442JZCvolxeywez+aGFfQe/lwjxHt8eva +CxG0vjOn3QiRKIXti/G/GwENO4dnEcOLhWgI7fdVycYyZtavyyrnBwESouKkVBIclmvZQUPW8MD IhHITxrlt0xyA4cYBZqbdNQElIdhVOwyQ/Z5qBxczZ3kO+PduWSRME8Wa+2IRS5PRHTpgQTmC+WJ i+8hSqbelBwWA54nvXwkAD5orIrvGOd65mCb5Ijj+VQgWygJwabrjMEUnGdUlA7Qt3DVvSMC0gSP oKbDMXlBH5ln5expDTNonuRd8xiK/MJx9lIhKERL/IexnL9c+aM9oA9EyzUvb5/Rmh/KjailV818 6c29EzSGPL23NKnb8dH81Mi+kuudQ+thzqHAeWk6sSu+lYPecWVj/Wf2F0Q1xt9fO4Qo/f7u7kCc iDaFY+P2Z6AElUqwzxthXzcGDnyOIdC4yG/nJXbhN0qVG2JNZnw6gn2wztBRtRNHvb0ePIylUJmr CNc4eTnZk8fedHnl/SBM+qU8IB+mCHjX7l+2vujALOdErccLFsE9o3w70w1TLNyLv0BqsWUL0Jwd yC0VaQAUk4mDr2OSX4kQGPafx3v9SJiIP4r5KLylNy5INsfSA+fMjwGdC4b7qCfIGnx0CBWmxXik MmDaKZa7s4pdfw5tV6lpBhrAxUzvzv2QPPsb95gOOVyOFKks01keb4Ru1Pr15/mQ6wWzj3F2phnZ j7yK5nWvNcWLSl72mXrY8ojjMd0B8Dt3yVQoCtHJYYaU+WLWEOpoQDztwjOvf8ZOWykkxr//bvVY 5cCh00p5DaQtm/0R9hUkgHD2raonouPvtlkMzxUu8JU7yyNCFpmasjlIOfdxbp/OFNyyf83awxAK ENi1Zv1rF0dEObG2/1CMOb4DxSHW4V2cEWQGUEQiQSWPqM2VoR033ztd6SXlboRuOOJwfswvNCZi lZ1TTiBKGBToKT+CJaxqgqekFGs3+gDf7n0Di4P18iKjG6yaQks8WCZBMM0hKR70pjcpImdHfSLu xxENyRpIRTjI3rA9ccx3a7YGHHu4471pFzzl/mGL3/6jLHR8R2bSC/Jcpq4Mb8YhNwso4y7/+Wm5 3BDKb2x85YLX7NDGs25/8CGjnvnBTJTVQd43ciJu4Gn9r9HG1jW2FROZTIo8cqfu1+fONJEIdA1w aV6b3e68KqI9X5BXdbXGtxtTiKxdV5ZowtRAoXJN57hjgV5KN7bUtsqkRN3E7JllzmT1nFod4KRw q+NyLlOddRlWeAPRE9KYoMEB7DdVakol1lWFjjrSIuHbOOfjCkDyAje9unOP1VMb+C9aBZBVnPnU 6VlbnnnWKkP5tCNONFXDIfHWEezkJuZ0budb4+/bBQgi0uX5smNktdzLOog88N4m9TwvR4feD8K1 kBc+5GkZ7df94BL29N/yZPk6zFQDDVUzn3WVwKZrScdAdaOR//GmdnBb3bEqR5Gm9kTgc+3ej/Fw 5rVJv6ua2fMFUfr497XSacIqEZk3oZiubw4YYCo+awzJNVsuKXjIU+nWYJomsgIUS7l9HNIuRJ87 mvylfQACdpK+PwNf/wmx8RCP5WRCq0LSw6CmmlUws3Xv1gizHFb4myX0i7q+KyT8XW0FR3ylxS6G m47Pd1ggKay5bkT0EybkSoew531rkt1kmSHzKqyOGyvx3DfvBnHm6GrWwM1+adrpB9khBcC41n1w mCnjaXt+69VSQzMNBpqT3eD9WIkOG0B51Rxan9fDYosGqoyZfUogUrghh8dxavChlU4on/5HgI3E YKMEaXUkEKF+w9mLUkj4jlIja4mPRQIrAhys93iw+IkuPTGRCpBMikbL/5lCSD3bZJ6QbAwj+LTK y52wzCfTY0mVSHyZ5t0gdC/+uOp6X418XfwN4fd+PohRfLF6BJQbyXjg2gZS4eWbFk5xq+56ecIc XTzjLylfKa5BKKCpKP8Cs4xqx3g4GyOVGZpHgInQqf5tN8vwQa9D3+XD+jD7tVrqHx9HOw+Q6kOv Q2PDCU2tUx8I8wkRGI+lqmtaZAymakTDLY3Kr7oo/ZLfhcFY1q89ruxGd2Jd3Z80l8TAX8OGf84h cmmSsrBDK1EUgIC9S7JxGl+vnWcCQ0lgFDZPBmMSIxSLtJ8H1JORG0JO1RplQyTmf0NVhoAMc0mn NFMnctNzjxdqtxFB9eqEKfxE6ubrQ33+aeUB9+Y2pCltejg4Q9vKUngG+2pmg59Ct1MPIT+oTwUm orUewUtaORXbDPTf0bLSYZQQJ4xMmO/tQgcW7oIOm1vQBAIh+1Y/yxkmNq5FBhQ+hepMW5LzaPVy 8NSopirwRu8LWP9AsbB922YsfuCVC8PAr3C1u4Lvswonamc/XBaIIJfNNBV9br5riy7x2fUstyK2 qHTN5n2tIIxbIQPlPVw37MlZKjdMVddxzOXh6o3hQFRN+euHn8r/r3MVElda4O9fjDte/rU8JcvD J6FzgxixVafIxtDJRTCElerO8CXX7lboUD0omP8iZPogwe3gfFikbswLZ4aoEX2Z9oQuia21OCJG LMJogeHegRENVCvRNr8dWSNxmRSIwGzK9RUn3r5cqGzEmQsfjgULg6Gnm/EWkSBPt/i2pjqjUUuf VrGH+AecwZyxWITW/GSRkSrSBzclmUPuql8Vo1O+uIi20WtPZP6fc376F+c2k5XCIvEw3/3ffpK2 zBEFkHzJIdRFRdocaTGt7TXaR5QsBQPrFWJKKSASIp4UiAL7BgPG7+pkWxQQIuPzEtWhkwGlmivG Y3VNvThNKoMXt/ligonsUXXVneFX6OmaRn9C9pM2FCpAH+0s/euBlZ3w6GY9DOLYJD8/iDydsHuP CzZafB7oaIlqEymbZFluWTGuUeEIQDeoAl5+C3Z8NAjnt8UH1J1Le2n0A14xQP/0yWNzUD6OCJ6C ios7q7v6pQk70q76qV8+YiYwYXevPbXAl/Fjf/vMN6M3Nv4K+ePu6uwtl7ftGS5yGsn8NgqzSiIm 3mrLcch6IhJJwAW+aYjdnbaf07ueRBIUnMgjP/58SilKpUw8qjv0ytM21JLEv4+9JKpYUAoxyxWQ Kb3gCA5rYcdSP62K2WjsKKQ/YeA7YjGuqBK5TXq5b8DeMESy6IKbVX0TmX5s3wyrA8iqcpP9bpHF Y0gvyKWbmguahS5B79Tf3VZY/RwLUPPbb0GdSPhvqbM5aOhaLQRjF3VY3IKhOjdNxWU9IjNooJkO 4E9Et0lL+YfeIY2O4kT9YjHC2o0C66LMMYyQoNQcHeCn4MxjiD7HV5yDAadkLNWfhhu6bKC/bcFK 7dp/Zh+F9vhYZSfC/3ADld4Sa3Qh0tEUykeKQ0CVl2YIPw0HJeAEuAozjsFbB8SiGiZB+H5dmgzR IHlAXmXEZ6ywAVBN2Gx12RZ6YVniT/MvhoEmGTZdrg9Qqv1jt+gS9oc6uh27DCIFzO9NUcCmEKez Z9OsT5CZned6bVT0h+eVRGlV9I4EiMbJWTbSppmOQaD/Z6hFQNY+pYyfRvvbQJ72wLpxth5fTo8k sPEW3kRg5pdpTlC6qCB83AjJp+N9taidtLcL4l38rjN7VnOugvJPlaEe2//NTjXaMO4R3+AWxQ8L ZADmYVHroT8mdlD0AEt99vJaOx/BSegHO+uzDyDlo09chqwu0P0rtYpCDSJrxtrFgE+Pn01qSoRb 5EpwXhiDUrFnJV1j/Gvqo3/HDcMXvWQIqvfa6nyxaE39ouKBf1PDKiIyNUbgKLXrRjpACZS4MwXK 7H0u4keErx7D+NPnjdqF/z89NVDmH9p0tHcKjIQ+Z4JEmp9zRmhV5D+gy5UQnXxpohhlU30QJgRY 5PifrsB6wlfpJj7LtAsgHOo3UYaB0m+Pojh5o5Vcgk3CeB/q4uZXqetMLx/L64eM4+j+Vp82KMoQ 0rdXcO1IWGdUP6ZnkgZSmshABVTm5QeboP+RPNZYNbDg7Gb1snrcnYcVdr7H1ZHGVcvcKFRzDrqo Zs5veH6d4l0XYbC2R6GPcw1CgBUTwgnobwwYBGpOVQGl9HE2a7VO7+nlrmNOsgPK/5dBKAYHJARN d7HJMPDbTL9XWLXbqUNpsDyqjT+t2nXymMNVk1MFz4bz0E+896JtZuy1FQpdtJYvPbiDOroHWn2k d1aGbI3EnmH6hXurdyGqR5e9oX8Yoi9oMyJ8EiNkdY/Z2tWPqqV5GNuqIlY9/OKW40IPxaYI2PQA p6fEiV55G2oi+jfltSEentlHrW6/L611WdaT2IDznwVUDhCR6bnjeZtVaD0fsYH6H80L3dTRB6Gt c3/oFQ0xySCaICLgzRiyza9R8RtHC0zb8gxXKtDZFNZlj27wPPhxPP1OfPpFEtLaFGjMYkfIlWWp j1IZZg0ogRiSqC8UOW/BN0tiwd9GHVnrXZouTDSB/KkNMPWfUT3WDEjRdVb/hY7ffcTeicm671+o RUt0Shd8tT4vc7ZecyuiTQIJdifdskPP0rH7fuNAEsVUDI7sE6ypFFe/WjrEHnpxDFLuSNN/mIVy mzH2Co3ieWDgT1GhvVLkV445izlu9DWE7IsPby1O1jnJwTW8QCPWWBJmiw4f5ooxuqzPRJMdwv+K FDz0YjgJhQ0M5/Oi7lmcEXESN4kgMEM4tbnxj5wXzEKavqbU1afAaLgr0mXiLyg/1/vtz2xwEhAY W6+L2Dfg+542nZfWJhAe5VSSu3ny6xHtCnrzfGwMV0sSGu5dph/sK60jU/nr1QaU/hDUq9xKgbxS 92fxkr5h63rng/xhwXPlJE/ulsw269lA+Y+I8V6zRMD50FqvNrcWsrKC2ToCIbr0cg+l95Fc6Vjh GxVM5hFxegY/Gpj0hqxtZ5skqSR3ccDicyYb8ylIbS1ntU7/+wOGHk7f/UBt6p/ol8dIosQGG9im /ZRA5uuma4tGhQ5JPDu2VYcQ4wHRBg/7Z5Wa7tWHbuUfeZs420XNrcFf9jxmhndlbsUqc70TCTTl uTBgRAnTUib+xw5oZOtpDHOD/64qtRF/KHiJMKDmIW8I84NVqdKJkN/ZdQgxqDEeOnf6NNyIApWs lrgXHfKK3V6YggEQWqCk1bay7c4x0YcnODfWCi8ZrR8p93gllBFnUqlNLAKL7lKQrvJNOl6j2dun crwzQ3FLXDF+t8RNuzlccFT+aYvtPDXPw+15cqfI8zym42+2pk5c7gJc7d0EbcCnhyRttDUvScPU Ss0XoJ1yx2myNai9nd8D4IQQLz2uh+/eCJ5Mo/7YI4ja7n2uBBXvoPegdQTpmpW2W5Oatnv9imbQ lCSK6vit4T8zoCPmRfDvg3YBuvGzG3ZjOR4lU3pM3eraYKjp9kpJG8ZIIGx4z1tZ3c/mqDRqqjqV A/k0oKJ8JZ+7ycgCi0wAXJizu9YDzJ5SxjScsurSWgpkLr3DpVsWDU8yPze90aqHbSSPyeudFEM8 Wc3jAh+JQjPzfZLCLXStj5Chra5Spy/V6L317n7RX65RPm7p2ldY0ofitjRiVCe2//K6ySi2J4Pr M/KKhUuFg3HLcho46fec4q1WpLf+C0GSWR371+bvM8tnpM15Y6BkFamsAytsrAh8kWxQz+qLm5kn 8NhkGoF561A2xgT4O9vCxLz4bdKb6mBYWysiPWRyVdA6qae12JMxDj2bmZjXYW9AhX2s5wKPWjbx 97mV5Lwy7FDQ/QwdPI7Lym8zRtZj1gFl5/U4wMdJOIWlUsyo1XdksqfxJeYJWkU0WraRg7XU/G+Z c+wxc0jgSk6ozk3bLJuzPSlZqVvABTvKGX88NUpx38KNx+VZHUEmJFDSMdaLwXY5xmeLvdP45WF+ gSmPHFyvbSuBMeZKuTJDsJfCNwnE6KVG2LFwBx9FkPY2hreSQ4hAQZ+1uHlpBR8wIEqgNiGMHsDk zuDS5/9vZtPXSj6iiukwNsAY0xkB0hKs2OFaSickBifFB51FBht3MkoVOnl7ep+sDR/lmxKYCSRV 9tvCqMkQedvhVNOqwRxFjYlq5uybwss0ZcSzmITPrOs4nhK2mIoRh1DQLygqxONGv9ABrdY5HQmd ghUle6b5CgKsZO75WgIZHOzkV1FmrI3e9p+isTbbPY3zuX8jAr2WkijZu3l+KeNcjSurVXC5jvdk SPzuPE9IV3fUmnz8Z7S1/RGukOQvG41Gaosdze7mMihLH2/ETYqb6QVGqY9Ltp3WYDWoNxjMUue8 RtYu8fVkk0ftrcV6vWzJPAj4bQhEDUgL+9KHrllNLSlEBWcH5lrv4NF65PB07FfGT6/rXeFRBV1+ xEBIysEjQnhQuxf6Rw31D9hWBpFI+wjYZ7bsZhDCPZNKcnV/ZKtbQyolFenWr77m9JqYVqbrFpRo yRKYl65OAm4Jm/HY/lAu/cq2OfptIQkSI71gco84xE2VplsxT7Qp6b3n99rKV2MdmQe88UT6q9ec xqEr+hrBpOoBSBhtSF7nwaJ6vLmapYG8A1+gq3fuhcOKpCPxBO6ouO5oD3RTH9njxym91kekPIrM D9mWsIPuoL5g5V6Bnhh5S4MdWeXJ5F0vCFYf53lZ4Zfqvzi16d+1BEnDZmiyi5ufk6FXnfb4XDl+ iTARW+z9GRm/XwAQEOx8fDYndcEHLpomvccbDRFAsn5ULPwBz2lMNUZcnRGV0zlsMkS5IVPAjs0R +ui9pIRA8F2vwKfNTGFjH9jQY+XcfGa7rrPv6Aev2/xR4dIk7ahVELDRWZbYFIolnHTCcOcKSqi3 /DKXBVhZ8fT0S+uGVDH58xgIwcboeGGc9q3Hq9JbFKbKDs0A5cWqYEsM7hHKH7AMafvUxldXbOBe 1BQDzJ9hPTxwu83vhK8l8W165oE1uGMCCEjo75fT8KVqTgc7P1fIEtBiEY7X6SgAMJ7/ib86Y8vl dEOGanw1qeWpSso661NdtzHxTbH4gqvK9/g0K37h1DX3cm254/3LzFL0VvPBcApxHKimB0L/R/oR k1ANvPNUP3jfVksxayERKybTAtyJspylBsephPP/Jz7mApqLOu3+pAugjU8vsewVAD9TfVBTj6Bv YS4UDlcnoBtLGOJ8+RIHiI2e3+spm1Bge/wHzHckYOB6r1GogdTmCB1quBCYIxfmDyLvpyTp5rcU muHaDRuvolxVN9teRbsGW1aY//Xgex8+n4LWMf6L9MFMJ3jS3/JnrWgLPWvdnWUa4k9z+E4l666C bdOVCLzZ6QNndwhI0FqiilwGoHf9oUA6aQgEtC/TScwtZxN6zJCfQaOr2VmtwXu2O1lRVK+FVdon XOkgIa+4aqEyBqbzGAcrdWVeXu46c5sEnec1q7+imkEiDJ8cPB/Pim5f0SWMhI6fxeO7X1dHIPma 7CuP1vbi8zqPSZNnLDRRm73MkpxsuRCI8r4TDyWymaCgrJoGJIdmKv78y5l/ajZw1x7fyNHsXehN QoQ97AKBHsD50u11gSTBjIr5GzhlyluChMxirX1UdlYGDefGqfxOd7pnX7XBLP1+4gJUUFQvTh3A 3FFL/NaCYf1ZxPYxPj8aq2RpNZl7X4tq4SnDGUaG/EwKgVsWyHWP9Gu1ixl6+9Kbmw6t7+wZNSgh GEtWnoM8b02A0IqnG0FuadQEtgrtG1sHEK4EXTmYntfndv1ICOktx0y745f9dIj5fSpFbw+SBC0n Fb8Hg4HB4EkmP6N1cKp++oTRAL2grlCLHMBdz/WWVotKoAdgu3N5CuxR+9tpMDHQiB9uFOg3Wlfl 9pL15wnAEjbFuZlmP4Jn/XA1V9iaknz7pKRHu6uddO13QVOqaC/ZPtXuo4L9k3/zCYPJ0E1o9l69 iRmaOiyk1ZoLvc6TrxEcJbeyYVRJWQF6UkGeM3V7kZCKFC0gkvWG8ixsWiQN3gU56Cyt/LD7sqoC 8vD1BvlBttOMDJvzPSPxnO/IrpioVrfM4AtUWn4vjTD2yuGwC0p0h2dm2Tm9lJ3Dvhom3PnIOTrZ M6pLDavPMengu8s2hhIkZUJZ7KCFI7aUYvbYFfDk4Aae7Aen83IcJXuZnsiFjMZnmWxranZN/oB0 mDWpr5k81W5PYXTe/rH3FHE9MYUJu/rVaVe37bHpTmteDz8ZOvKzqcJYXg1fmeuNYZh+/YerKIpV 5e6pTFd8tKENuIugvHjiFlSypqcixiL3uJ/tXQCp7ue75PYP7yKZhnhqqik/MfD6g6WfVU3BkJ3O 0xpyuDeaCoTG2VnVG/cZ2JIq8JmjfUvzP1nqe7RT897zJ4d4+Ri/kJxdWrllerkjpn+p1YQ4MWu+ 0G7eoqrDbPjLY0iQa2HhsQmGrvmcgCTBqSA4hvEAv2FyD98L3rerFWUX5YdERXB5WAcmlyr4uT/R gfNT/a6BIHyHkV8pVoAQxYxZfEsKhT9LNmjgCSYgADXi5s0HplvOFMaUM8MZvXWa/kdslzo1HmeO FQEcqxKYFvJkdwzJkpzGFa2AJca7ouZFOSeJZ6BtBZQrucYCB3BdfHHG5MCP/2S2hizz43ZhT9Bi 5CbgdY2sYCSZq9l5n+PX4tSN51pOMSR99YvYyht5mypMfCCk4iVGDObmCOtUrxc4vugLC8OVmhY0 Xt+l38TuzXYWL41pRjYjIF8YzFE5B77vJKxiMGMf4WprVSi35/HYzNdH58Bo1W66zKcaMvTGm6oo LK7FwoFuxXUP+l7yIsWmeXDxqOTnSViGcL0FjsGnu4n/N7sGEW6IgAxG1Vd5SZNGr0Cp5fNTLecc 0vuiMSrwMMuPyi/fKmQBz+ue0jRoqIvJAYg1kwudUXhSCVXC180JzIkHOSIBoo28F2vfCZT07rTl 4V0PsEFs63ZtsjkLNiFMayfEyTjpXUielRwPafTeK1E2kSge9AAkRaR8fwtUa0CFw7aTUllIU4Pv AY586RdsDTDeSryfKSgrGTRuy9UQGWU7MhBrLxS6K5avLxnm+QgShxHf9+9xyikqFkH88/eGuyBL we7nD5RLAK5JbFeNQP3HvMnzoyutTHc9q4is/VCdbFLCnCxkQDYpIGOnHQG7t5YcY5C3ubXh6QgV hosUZUoKSJm66UDsblSQCuPsWZIhBvqHpun7ZO+dUyDaQ8dhVegNW3hGknZnWg4EtIEIMcv/yRKR y/hJC6WsBINui2JupECFIxOGBtzeeAMBu+ld18195WI8W+R+ZUvkmso2XiAFguya6LA+vB7diGel HELTjtEJMppS3y+on9CFnPLv9FCJVx8jXn/05RzNrSkfMYZ2Xg0pWDY8ZQWX1kEWlc+kyMVWe+l6 8PlizgMH93xw0XTOSfKP230/DOOUPCrU0z4opJs6OnKztpquQuxXhrBrJjNS+m3WF9EexM1osE7O SvxSoVSXCT2SwxgQCUl95+L2idsete2VYZnfkwqs9OIqjsadWivnq/VXZXhDVOhk/ArrbvV8+ix3 uZWWFE6Y2b3N2BLiBlgrWoBaF9bGm/aZeO7r3uHKUweHPHC3ElIITVJl8IeUrV9tHE7+aGmkoEM1 s7eSf8J6fADSJU8hTnKu0DEwSs/9ygmH4IghoMpp5ICxhkpmDBHd9zO3cL8fwCMvEuAGm02BSp24 f0ZAz8DL4hRpYn9BU1H7ecOmrJUFVXvKI4IkfOC7LuaWocNQ9AG6mmOJ9cwddAgVmGe7PfRs68IQ UCUrTf8OT8BpK2vUquY1HyQKCo4Qojit9gk6GT0UBh8WARnZ+VqjtDc5ol2H3VUVQqUMHFsbDqCW 8DNlEBloMu9PbHpPise0rXKvkSOUV9I0t6MCqCro90dGwJc0ed2NjZuL+Crximqmh+RnHh9rYtfn DjnVQBOUx/z0TQuWtedaJ/kuAoB66QXFO9Ako7TN5NobpkzFrRZyE8qWO+cUuS+lqguk0S71rf/q uWese8xrUwiQ9kvgpi4jSPD/keD7duZsLavIY+79iCXxc2N6l4VqIS/dcuc/1zZE8gKAksBsfdxh rW3BpPPKli/8m4YPlGtahLnon6H9gzycmn7TWlxPilD5YePGF62NhFthrF09Op7nbCvzOtZJyf4V wQJqIZIPlxjIUFpTk0sTAl+aMhCrqedKDlwbTPb9nKoU8aoKeYW4sABK3eR9e4VtfoCD+XSzmb9g 8lY9S+nKu1ilgSKGKTRSlDSCKr/VzdDepJ0NqgW5B22fUXqo5CLcZHkJE56LH1o/ZpOfn0OXMSim kEIndD2CDY6Kotl599zQsI3TuUA665+WI4k573LgXC+HSOOkMfVTV413PsprFR6VoFvKEDJyNKAW 55mBZvRX2NkwlWJcD7aTj9w6BpC5sMOl2Pc5vr8Qo5ojRIwEXDlB7Fdn9denz3vH10zowjzLqL/i pNTZJ8edgKWd4rgRyY/9+lakCPjCoZ/o+IjqgZ/AtlrtP1unyjA3FdcuCzPflhdNTjeJXx56Zp4u zOMELN+C+5ZW6rg6r5kwU5NtW8fX0rl14kMf4Zdw5b31CoggqohufnU8uQyqKeaWFgHavUaIe2UH VHOBFJMUdrIm7VjoWcs1atMFIeEgFuPwCxxAqy5IGvoGHmm2fkqiBZl7LTjq1yBrsU4yN8zkzxBB SFgxQSHnAT6essc3fvDpBJLHbjZwKbKVjxySJYGTrmN11kBct0jAMTAfNJPIqmTqrwsv4mPuinD2 TYV/j9MTOq/mUZt2d2ckZllXzSOpMiuzNPhs82FympIxUqS4GGSce3tUdXEWdtsS5dEPoolrwzlX V1wVxhmVxClf2nfTV/sR7DIcGJ6uAYmGWdsIpNhURcD81Vi+wOoqvgZ23MOHAIUjZn8H/toAmmcK PkkzOMytEgcmmgnZrypYD6pqasAA98pVqg/m7692zHPIey4aBpH+lXAnt5kNpyflu9LkWCSrAsFI PlhJd7VH0HFhX3wBDrn7Ltw76QZUH40vbarEO9Fic3HUKWAXQeGX0zU/rbNJvSWz2eBS7eQ9QHDg JYDGLxaqtlwCHbGjJAVY3F+58q9IImhu4aH4wvp2D6lib9tWWLuJNgDxJ666D2/SfEvdoBuZkVeM Zu+QGw/vHFjA87DGAZMQJQdX9FLIn7pDrYNJIwIlClOMQmMayGm27QgIg1gbUawh9YsIqEQJ4tDz /uBYnAvI+DUEXQydgm2h+AIW1iB1wfCOI/+6N5fyvrpXz4nWw80OdROtkStVJtGL23GZWv8uwyqZ d3YuyjagmNvsV268Gl4mWDYYGgeqDt79yMpQhLxSIyBVJEFvcTmwWUcay1N94hsbJiaizN+PHA2k j/6vlWABQZMcoxp8cBj5/WxBlWLFNewnIZTUOBn4W5JVl/BzL9tlOHTL2S1fIoi3NQbfU4wXjNAg rBc3G0RVXW7BzX+ZoqAtThqtB77q3IgRDkIT3BM280BnWCR1pf10bJx1LTmXPpX0bqKZ4mO0A/q9 V33nMJwgYyOaAdfyxUON5Hze+N156TAcjwZCHv0SPFhg4V46LD5XCOvb8VNfTstHpcqEQlyos0Yg kHIM+cLcZlCNHUDdOJ7pvNJzTp31e168l7YMAtENRT9o6Wq07qJDCz2KCvlKiBA08kKppdeGV9k1 Iak50iiJDumed2SAZgktzc7HS7p1RIsvnH4yJgIsRcqBu4ETtGDwCRKC3TLX5hE6OfvVf4pYa9HK Ev5wgx9itv2ICgbVEl31v+lZS+YqZ6qqeFH7UMjDwCVL1Eu2r31AMQiNWacZdfZMACQnFHq0h+39 RixRbgJgEiM/fZ+4V/pUpBDvVstChywJuISrnuSYazIFAUF3WuhOlah3GLh1WvikuISeZk6rG6/N diEQcugxokaOvYhemDh+B+r3i7j5S6e9IlMqsGrNjIiD2Tp8rxls36NZDnP5cMIZhS3U7KufQHSQ vtuQRwImpnrvK8zorTlBjmpu6bic1wyxVIhzoimg1DRlNkdu3KXnJEOCgEV0kD+hAZeTm2qtfM7P Un5bEZhrSbXe1c3Qv92W3W9qdX6LPVRHOLShOk4c7rLRD0lbghxJ+j6FLDWDSCiFOLgAE3Qooxlx 7W9k6/qAziKQPuH2O9TsbcMBLdU6ReIQ5yzzXNPnfHVLGPISaRqfL+xCrPoZltHfxTDWUI2umgw1 ZF3VMM4v3F8NpfMRqMz+BJ+I0ZcBVb2wUUCeGSvJYC/5uOm3IjyoNgH5/EajLo1apwUoQ9Dpf5a4 GYWA2kMLsf4h37NXzNwaWKdpS0EGfg75p6IVpGFsO7DNaHaL8KW6Z0I4nNXlrHduJvDOkVfcDGPt 6Pmc/PHMsvtsCIOt8QPAgGMjG7Tl2Po5cdxpZPnxCpF0mjXPHzSyn/dXkYoxldAm4HD1hkcyo+hn FnmfxgIxaBkq9fYq9XoWAHWZOsgKu7clCKBx2AYsjBzNSk9vej942HEUe5yVK2Pl6PDuf/c+Dkb9 xBn4UzhzgCt1DhODKhtAQX4g0Ivsnw8SkUlUGDedudAyXHCH87jvoxVlZsHXgDe437t2/cPtlwT3 QMwbB3sRgG3IJ86m0E2PyNGGoeZ+26/r6suPPNFi/bFUUI984BmoQlcTReOryyp9Z2VhIZl8wdCz BOMKgQ1ounuSlwrrplSvX5cszs8VQJ1lUtu3RMy1Eh64E5AIw0EIo0+MZ95JKfFlNbx6DrKxMvLV RN86mbrwyVbLaywq+8jHFaxcJqjOewc5z7rfhbveiCIKmgNjA0Q4T+sNsC+s27BVJ2jyANH6gQfF hYexvgzKWg5ntKDioJPdU9WCVkKGFMEntN6PYCPqEkQO5e6gMh2Pikf4HhH2BduJhMKPaDIAdoVQ OhnCOiQQrKAz3eRJRZylH730qCPaqufZC7/cPSeJ/jbS34JcjHEims3iaXOm9NYjIcwgDd4P8Ukg gXesN0WbLW2V6zwSpfBFuuFOLPYvgMMRCWmrcHn7ZqBEf7/beV2HHRj6HPxjsTSF8sN0EezxQqZh x6JBs537uE2YfCLjHs8xgA3SLGQMOsF5w/qODlKEkWldWDpSLN63+GxAq6wHYrjmUHfDj4nWEGbX xIEjzT6Qe8/2eBSo6wDk5mRD1T6V4r8uoK4yaqZ+Wzj+4oXNY0VH6KNXOmS0n7RLU7Tdm3twwhpV /sI0sn9wS4WrKGKgbuqEsxc2EL8bSzudopoI40+MPcw1L5EXjsRwhx86Uv/dOIBMdOnkOaN/zxTG x3C8+HgOfkmOSsc4muWWzQPFXvNsnBVAitcMmUb+QB03USB37ke84bLc9co9PXFp0CHCd/6DmFAS kBvm6fQORHLFrzpVxSde+m7k+rv8RXLVqvJJWh2h5Gn5KhXsQQcy45AUHApbfHiOAQ63Gmqq0LqX zyfUoKq88BWCQr66PVpTa1C88fM5VBDTXngMo+JHI4MIv+7iFjmbAQLOR0g+oqBS4uMaP/aN06P1 kBPqCO3C+vCdtDhdsJu5Z8dDpQlcagmZ9MJ+4+n2z9dSBBI5kSbyaP6PzoLCXH7Fw6mneUVQSHfi dvZ0huHhAVy65Hfz1RWK6TJDJZxCIh5j54EtvD8rzQsbkzwlHnck1JM6mTAE4gyrOd4I3ROUE3v3 aqndPOY+Z28kMyZUGAVjQF3MtMgkWctk8z+DWyyfh3OAB+Mgx2Ilpxasc98A/v0iDaFgAy1SMp8c mMiQrOG1SFi6PFVe/OFuPp9lsiTpbnv3CKvPgg3KwavkUTSjQBs4FX4X5yMKhDB7bjseyr1DJ+rD m0wuqgwJBlSOcHY9foVI66Jmg7r3eMuv5DbHIJtU4vUtp6cuf+LgdAVVd4rvnHPUhGb7Sv85E0BX Wwd0axja+I+0IFZU0jZ6NA5hQJRdbB7jzDMKB86d3vCuyPLNYIhZ7nnO4RSgSbzR8cGiwNQTm6Sc vtdR3uQboKgGrH3+HIi6Eu5MBgQVPFwNzegWLhLJ9seL7+vQUW/NjDi/D/rjI5Z9ogqjYysPhxC+ CxAwCCqlAThhoRBgHG5oCj28XSqlpdQ4MJ5TX+uvoEFOfjNTErJQTeaLwaBNUSyN7DtzzLq6ZvGC Mdh0Kv1NFm22Adg1sXt6eei+JwsTJ7oL9k/ggvoqa+Or/SpfjJ4N4wXtTov+5u2Q5bn6dJF28OhB 9G/92Xc6m49s1z2C3Q8kiZp0MzhFchgyl+pW/s7sShjMh9KLbtXznAvIxr3E39MMlRBLR/lpLBA4 VLFm+8HJkyoDVZrd1Oi2/b1hfGcAd33fALfiNFd5TxjO20zC9Ri8KIwmrXmbRkh5BwrmoOiB3rI/ F1IjjW63AxkGkDkApe9YbrpETG26ybCCsEyaWwfJMUiF8dvGkvCWsmBHmDJRRb+X+Wybp16cJXqL +0VuztPcYlqjoElxBXguiSPVspnuPhMa/Wq+wit3auQaA5PHx9CAuwrntS2SK/F1J6OUAbNU1ljW diQFd1GrSoCPzvbyE3rHdESCG8uyQcOY3f/eWEVN2j82xS2xMg7iW+qyqEBipav0b+kJOFe3UXKx tA6tznclWpKaDbDzubZIKkmRSRXEjqSX89iHSNe2p4zULhrJAOEoKTTmCKWjh0eObCVy0d1dcaZd d4S42sSLkSgtA6ZUQwSCOchaMEPr2GVxPn74bZBXctsOVx49suFjdv24C8hZ3SUeWfJ47ynUcSrz DEVFxwDdtaNVSF6eyp53+ivkydrPb/Go4gT4yE6H/+KLpLOAWsgtR1HpfvCXjsjm8Wu8moJ9pyhL f7uAq0zIr9KzsDhUXaT+ZF4RndFtLAAeCG3NmZs2XoI0XZKZdSIwFcUyzPCHp60AQSPa7FzbNMe2 NFdR7q6GLMy1GSpDdB1QSOdGz5cfDFg9AgIPjU0BjtPDaM9JBC9hsrb6mxdsyRTngV3Km1OVPswm Gu8UEkibHf5sPjXQtgH75egSisNOUG5DG8tmBOdQrO52y66iVI/r2omVxoRClcafU/ThqZIhkJ0Z 7XnJSLIlV26uUO/y/BTIYH6+RONqUx4dCmrb4l3ji5vEW0ZuHToq4aJiR7kkOTBnuckBgRBjFzoT moKkE7gmHXz6wN9lnWKYugytI0h2LgsVZUIXEvIH459h4YPt6cRdSBDrikYyigHSHKQaM9qAqBKU ztz/fngUafg0C+d0X4xUxPAtMPQ7ahBomXOU+n7txbA55LNTAGJYjNiNSe8RD7P12Z4FuHfH73w5 JHeFeiP5AAEiND9Anf82P4+RQ23Z0PecjrICLlXDeRaPNzJIiIh0wffUl69nY+RQo5K68N8Y+NEE ntHHVSbort61OWi/ZCRu+pLofuSVUGpfSecAqdeaH4dRE9wUtlVrrmTE3wqPPoSJuCZz9CZcVrF/ qlATsSth+LLAHiEg12uNJouOiFvuOp9KuQHEEcy9rlGfooTx1owVzZ+93XAoPVar8M8flPiLM7S3 Ih7BiwlTaCsYy14pZtH5uhbMb6GY5SDvii2BhVbfwGUqFjxysWiO4teFC0o+ERtcIOLuQaqzzTS5 qWRAf9mnh0rwosrw2JRUeQD4ir0+KzXHD2MEFs15h3Y36B4bp5BnR0+mWm6WMxENWDdxM2+ZaslT kZpld6NrXAiktxi/vQIABaK8KlZ6HMF/48cMGhgzVNZK7jGfrElDZcqkrF/URTGbWYIFN7ORM/Q8 f08zG0V0bbclKqKLn/d64Q1jPMQvabMAHp9Qn7Q0qwCSPzwuJlqX/GTw/c8xaOQExiz5nRjiqpY5 wsdCezBrF8E57GA/1MK9mFQOvoYt2mZRkO2djPS/xf4LYluHgwAb+6o3XDj8m3xjld8zUYv3y9Vt vnjT/PDUr2VgIKevwQhV+em2kdlKovMMm+KNT+Pb1U0l+0pP60XEw8I6ZPUKP9MZys2Ua6duYEiO cVeaFcSfk/mZWNml/t/wfy/snJIsVWzEy3MPntkvleIuhgS8xYlNcJQeZjCEe/8xbLt/Q/5/fMjT cv0oKFtReFMxeEeRqmsnlkEWjIIhmzu27F+S9wiDRD6auhpFnO42cigF3XnRm39rWPCJwWnXiWph mKnxgS9QtDGT/5/36NVkzSgvV17mtpfIe+LIfHt0QLZJKIbdKNJ/QxZ6Xz0btKZ/e8zJfLCkqco9 2PEXawcIKayKTVrU/q5C84XR5iqIoHlBDIt9Dv7f+31Vy+SgtSrBlkH0Etjii3vyJ6MEC+kUrHke 3mOTCIvRvrue7LKMFWy/t1mC8Qq7MRFcPoF6I504KpwZdZ7qtKHK5754VZEcYg39GjHz1PpSeSXP 9KuiY4RbyxfxD10s7kHbvg/VH1ucZSs2yVGfHBEXeGMUmQgRusag5aycaMJY1dxD4VZc/fVV8QDw SJiPC725VMmtenCQH8MalMVKbZa2PZtnH/sDaVlbKjcSY6CpnXJbiHGfgMDm8zflb6A23pvSpXnV PwJHyfijlwjMz+IfKMPKyo388+9QPRyNwEHwv3tVp9aV/gnGMxSaxwXzxK6dEQZDqSEVuWcH/xdw wbG2nCxJsDhu8484ziFZip2sj77LjMmm74kWFfdevYIcvH8mt4DHqdEhyPuwWDC+qnX32JyIYtNf JN0gmNHkjlruHfKFDoL2Sq5NPetKo51hHP2YLduaHhOtRJthCMoppiZStGLI5eqOS8mMIU7ZenIh JEP/mhf92O0VqhQtrRUkNjHKsUGxbulRJTgoh6IRO+nDfIbxnHNFP0sN48DTf2JEnFcN+Hkem+RJ PUeAnvH0HFMB21kywwq7+1wnUnArTWOm7mKtpI1HjRCkLErFLJnh0yHci13WBLQ4a9toZDCoYNuz KsOts1Gre6Lu5kxSZeVrJBE9nJ7p1RpTT9w83tfvfRa6Zg5ZFWo4AmcPHB8aIqpL8dLhDmrE3jLF OxtmkuvWSSZoEqlYc4cIGa83oHMiQk0m3lwuyuqFW6efUfSA2QgOk/WItlwZoUSla/BSknqDH32b QWYQshxDitUR9Q0HXPn1Y50fQ/XjmWHPSmL5HNORrQ+6xNyvQrbJzgGJsK+xKRgnNrgTDmZPN+bN Xg6BMQWRqABfuu6wR/8JeV7FIp1s+6yaFukhqRsExoIB8CRK1b4Gz5CtFkVP5B8z6YQSMdf7SLJ+ xGa44dZ/o58HN2oy6X4n473S3t+FaEUxWOAiZynz+FFyD/hJDdpQtP6SekKauTTcE+6YMe7VPceN ATdkPoAIie7/3/O7Jc2xRuZTBC/bStntRKochxLG+QDL4ZD9v8z/r2HE6ROl0eD24SjDr9GYP0h0 y/D0UzpvgEH4MaibwIivo+HdpdV9q4XqD3ks/zR5gyt+qoWV/+QEEjFT8aVPQTtB55ZTe4P2OrVA 1SbOrm0xYZDGTnr7U9f+VOoxCf3u/2hoTUAaGYstatoghNUMHTET6dhNtARrdSP5YK1HuMEH8VEb M6ngG7+2d8pMAHWb+ubWzOUaeFssi9jFxqkFhBCVJYfU3aa6kk5EWzXYJmeEzdwXFEzApdEAqTzO NzvcmOpK0u3WG9bpODtYObwRaQeXlIviooXyHG76OdgsYtuaHVfx2+l6o3Y2A+v0l0IcWRMCRLCC v5S2yhjrqkouHKIh2LczqLzWwUX4cHLkEsZNCMJT8Cr34SdDkYMd1ztoaTk87qKhzj+hFN7tqKnG th/00c95R/xng9T2QtV1l+DZLUmNECrz7R+PSB4c67vg73OSrtofx4EEiom49k88VJllhnExoZx5 aOOlWi5wjfWL612C0HgGxkrfVJjE1UN5zR1TNVQMRszEKxtlwgUPDcB1ztaiYf2/NZCI/GcIDP2l c9LCpr1WIjTvVpyq5e9/LOgtHh2QLVYqF6DyGgp9jnCnBAYhrs8lkZqXYhVSxBtE29hFAMi0wjVy Ogzusa7sfHF+NoqEeZG8u100TNlRb+myAoC9V73Cw7M8wfXatTUyVS2Um/yJTPH1CFGZXPuCSJvy blqc31GtyT4EAJQ9Fq+SOKdOpBSVhNHSiPAjw52+FyUbi5yq4roIdxeQutN9KcAOjtXkXMlnkxOc N55Bxdg9+uj+eiJWPvZxGeiTbodKPUf5INq7rfe/kCPueJ5D3KVJn+FlF9s9IYC5u9jlyNJKbRrI m6gToi9DqgQsVWHlDuI+tbfCiZHHHitj9YjunRS8oAThqh/TTo5HrfF5JT0YJNhWLIth5cSQsppO ++0/07Xyr1JQrr/yQNT74nvraLhYcKyxKTc1j1bQ8T2FFRZtVwmAvV4njAE3EjPivhkFxOcp1biw YT40jetHKoYTP2MSwZeUo3jBJYQtctnujlM60g5mxsgxpJ5fOFvMWYq5D2SN8NuosS45OWXzxpSj Ys8gLoPwwP0HA2QwQ7RpWFVLYLz+ctHxnvrKfBUXxnLDYbCK5dnfoSNUqMqXNWPYBaYdZZguvCkA BeBWs+R5odIT4t9qBPu0K6TOvyDXklxj8ZvFQnyCR3BZ1ZuoY/ZTEt7iBrxwqGBAyV59jrnvXNIs tkpJr+VEnkjy7wHVDl5CaSO3bOeHjyXDTM72IylaLu/3Ygy2ARbONRCS4WokHqZEFDxVYSPeuL3J ACT0iIc9F6P9vcQ8YOI5Mo57/JNmlWdTbrGqg0RJ5PFwCTPcpiS0xwgQIFsj7TaS2VKTrDMhb188 QTvJBNsmmq4mb/Si32kmZWvRMSCa+5ehlRQcnK+fLs4bKffCWlplTSBzQaW++3JliXZEvBifZhJQ N6ezJau2hHuniUCL5l8VkYprX8jKOHdjDy3XTrNZDwG7J3GPAuZ6Uj3kXp1cAzBFNegfXalr+cTP Q8IpMVjFA5H3BDJvGAjaOCHbIjR8RgfAhZIQHFS2qpbhkxVy4hLvpUuCK1+a3DIUniidhzwfKENs 6RkcA65wEHPs4l4tjBcn4gVb+UujUktjbzls0KQTAhPJsY5PDjUvl41Py1VOMUkQTLD0mrR89Sc2 uSMUO2qevk8Dv2UpIBqVfGZECbGLfO+jJowZBCrpSZqf2+VIUE9MjrIauZ8FIBd44RV6iFHPUYu1 QPgOEcTJeWcBPqBqnMcMXGDtNyg5dZluiZB8X3RJcxw4LUmcWt9ghRiqRmPudJrd+rrv+93L+fIK mprUgCOQYrQJh/ss45s49CSn75VjSsNXkRifbHMejCyNTbgo7EZdKYJeGpJ0Puc3Aq6DoDzYnfHJ enaxT2skK/ID4sXFZ5bDDkPGgfk/IM4rZIy935bq5CS0A5DRfVWcVhTLIZ6hyd2lo8FAj6tMRakF 8qwzoF/1FDa9AF/6usxsxkk8AX6LCK+8TXI15hwNWPithpvtC//tdBPudf9/wJXCt1zDOsTIRoMI lC/apJKPTeuzvcjFa3iHIldGBIhuxn2PXPgk5mHWBAIbg+6hnJUoiDvikTTw+2sL/wS1mm8B8zu2 qYt45JjLcg7p3CQaIanBNNEFDl0ZP2l4PfSZoCMZl+DrcbP2y+umbjhPA7y22x0b3dnBSeah/F0U 0vt+f+ztEt88kWN0r5e18NkxZH3LbjdRD7DxiE1zJo6MiiRIeCBIjGrKx42Oy+yoqZ8sCeTP0+2o EFwzAJoqnSgSWQRDPFMjc9SIMXjLMInO+ovdLMtKcTwpNVrrHKxGpEJIYzn218VR0LxVOPvMhkc7 f4+eNiWRqHO1KYlkbdNi5ACE6lwNAEpa3hd40eCbJ0wBOAarYbdZFsoh9zxDs9Ioin3vfd2XwXoV 5DHcSt9tyEmHYG+MeWGulxfejft6in1oDbLZ75fiYGQQSw9wTGQ8VCdr7SKJvLKS9vgk3z1X8fvt XMvfsB//2PNddCeW47YOwIhwREq7C0BtS/gpzIGziNWyaiggbkl26iDTn1FTEw5wxMFaFaGNcMEj wxgmJvWMrT+Q0VDeRl46rbukG7XJeR8V7u4kYtclCxuyAmPYqlfBWnbXUmg97JpSYqdcjnqY2hte dFmCb30UF7HZ5lZlZTl+ezwcn1+N91k+IWE1Z0YBM0ncj5xF7b/+V8uWRd23Jm+CkqGC/G649XlN kcfFvXOPIVHhU4yzkua9ltdAjDzawTW7CoHiv/9mC9i+5eCWHS5EvIgPGFk1xTmpyxrcI16f3ClH KuZDa/YldpbioeqIz6uE9dygCgJfcZor5onyZaesiybi524YH0n74947S6GdoNaGgx3lt+G3Ayd8 haAx2tTWo+eorARQ7pYqP865LHOJ6tHpV8HwGg6Ttd2w9q5AFW2ueRCwfp3A1qCZrDQPNQA4xNJZ lwKExSSCo5L36c5aPQED+AsEMI76nBW/4aFUwbrbC+yzVEuTW29YpGWa5ZGGgnML92Hb4eVm+CXE 0/JpYNEAQP8Pn0pwjFqZWq7w/+A2tF9NO92ZbTiFT0r10qOv/svUOiSGvFcOnAlVlRitvZuvIGcv eLWw5QG5G/PxXCHJwoc93VHqbXZJ9YBN7f/+4/5G/LJVQYpNSRitPXB3K15Nei3uFEhy80bJzOJx hVfSVVpY3xS2tCPOLwuEfk1t0lGlNbH0pWIy1B9co8ZuKD6zU/i8Yl8H6U03lts5kaLHq6ctVfdb IMTJ6/ragnmWArckrsVepF0+Gle/q4iKc76ZtPHxDkuTNCo2nBW8n17qPx0oo5gVagiNkJS3kKff 5Lgv3n3J3SyuZkGyityhwf68GYSL8QBK1o+sed2dhSs6ULv4mNJMoVO3LmNauVMSTvk5C+VwzcCJ SNXkMmORDK5daCTW128/9azt448yG3vvf/Pui+5g9cqKjLnRlxrlsplI7+6+lU4jfydpaxOMH0aT EVoy0OGbyfUefVN4Ut5jQi3ZmoX0wuXyktbX0+yavaDEmnJ6R0zW+Xom8Vw8jXoDl+9MvEPc1d7+ l5HJH8wAzFMN0TdV0SDntjd7Gfqxwi8LCqlZcHPpIj0spt/yNkcWgHJDbGKAXoD34WvCVEjjeHN5 +oIjq24PNyUGXjDAwDAgiuRaanRaGQIcDAXZQYhGpt8l5tumGiAjqvDec8m9lKE6EbfxREjLf6CU YMAc5sAVC3ClN96UY1HkW7szM1qDjDz0VI18yJ9neGoWKxQExMa8f3Q2B5zeYnviTZ3b35oghoud zVRrmeHfBncVXFC0J2KYqESeY5zR/6krLvAW2K7IwDM9zaOb17QSt8Rl4kdcyDT2qihaumAdtSzq 7u7oiE5VdLvpt30j3J8FL5uZB6C/RxiWNxr6WCAju8+KNANRB2fGsudrV0PRHNHrC2UtEQLLp2oe SRysfN9u2JKlq+Y7MRcOYZ189LwYjaqLNzcdowbRCq1Mkshm2WRQjaCmB061PyWeT+zMTolF7C0R YgGlq6G666yWUTaj9lCYFM6SfDKThcLxI1Affi1yZwD3VuKmHL3zAMWS2+jg+pw+Ga8tApo03mZt 7ZkO4fxUGJwEudOhgKgOuhzsyGh08jkWocWO4d2QXFaFNrY9hYKKRpYTNPnRW2iNkMuHE7Xefyro wVwr1gLEIssijE1O0iFHiF1d+aFBoae0lDnMkd5sXhRRoOiF0SShimajnW/zFGBf4wV9MfdhjWfj YgPvj+G3+dvTPFur0O07zu3rvlyr3fj66gSvQD1nJOhl+S6vTs0GeXChpTUaKDdFxYsNiG5aBE/m sOxAd6TAeKgWWR7uH0xRMf6fsRrPYmkjsQG5ANhOjm7s1goylxL66/L6/4eU8+o99AIasPc4UUrC C7L2W7JYKoGnGHPGXksxHDhoY5fW283t1zZd41apSL0QIdsEucJF7YRZkekr+0RqfjRVNYo7RnMC t+6KN+TeSMg1Fx5L1HkovWMJ937s1bJheB45CAMJgmwMVg/PhlAFecw6EZttdDTHa9zP4zh8xlvK wy0V6S3NPT/8Wyu0g7fWRZ4di7zZonlGGI6ELNvmXmTXFCixIVT+QmEcafUo8Ztpv0jAfkdBn1ZE dX4PyIw8EnHe271h4BoJi1KkL9QHftEW1Xpob5Vd3rpL6v1yXihebmvb73vXrmX2oVKL5W1zEDn9 00Divxj3vHWKgWEReUULJRljLwqRxC+RpqrU6XBN0OnSXFmzIUz1E2BSnQ7fxiwXzAis0H1Gw6ue e5pL6wQ9m8uoov8XcCZlaEKtni8y2ckP9Gle0yiJwDJcOAV4b4Ew6xeKTZakmNk3LFq7TX8DpW/l MCP9PkIMbyokKSJHbPsySxl5SXF09bMjNBFBEeI0FZq7mtiHGM8ed9iA8EmIjpOMF1zKsIWkIRQ9 WpAtZmFg9ggOVisqhz4KUNX279Vv8R3R/ghBAEHds6t6VYRRU0PjyijDYA3Xpeos+ksNvmg/O2Eq 5jSnc5KI/vHuhNMUlKIqH0B/9CyoMPVDmdvnJcyR1MRDnjGKoo9hx1LBB1o9jMTSJ9OGR3MsNsQc BwA9B3jO7cjJlbG1mXoRv1K9aump+I/dW8OWNU552llWeHIBvFe9w164UHBafjC/71oTCglgcc9h bsqp//gyCV3B1Zcird8g0+65cqdaact7QZEnvyXQ25dDlG19BmQ0tZh8MqfC2uBlVvzNMzc0l55k 2PjSzaqa2JyKsGaMGQMR/a8D6GsjfWAEUWV/ZB7mSz9+4t2eYLSmV4FElluvuW5RfgoGeh2Zjbsb uIVTzewFIiaZdPF/GCIfudd2ossfSLrym7F1AIDd7xhOrOBLvHBjJxi6TpT7EKn2wOxjFz0ac61h d7o7L2XtplPc0J8aUGaAxRRVVTS//oibTdIyXnEeTjnd6nwiGu/bxodMn7NYaDZ5zhzvBv7Y6M6i rBAbmTJRWx6mXu5Qy6El12hKv3HYEYuoYg7MFDBbXTzkUflB7YVwAJjD18HVjLmQKxKWaWJIBGCC NdY/UPvjcP3s2Fa5hwK+RNeyAbrn2+uCUD//TIa6eh8827spz9fH/3v9fLU2tgxo95dtSgY71OXD 8oMAEBFwUhghOq5N9uxFaRHCLHushWSG7ffYlGpJGQsvDjyZDNE5pKrE3Nxm2QaekHBCjHfGbWgg odJGuVa52eNPwo9I1uQ/wBwcwnEQbZau8lEHVUn5/JUV8SR0aC1uoT7GbTVbKC1UDrok5Pi49Da1 mrWGMfVbTowMgbrdAAj2xp9RQUUiCc34P5UHVXcrY5+XgVKPlGXciQkVIIwIQW6iYr2t5yifffLO IX0/C5rN/Fi17FnwtPQpQJB3qH/jl7Coninh9qQYd5mgT2Mc/mimPfT7gXTeJCWo/GTgDl+VFiEf AyO8FKa+S4FjH4bCEUX29iX4TkZnXCPaDdr0miAcKqH0UiibGTa8euREeA9BXFBCrwQ55eRCLBV0 cKvqjb6MnrjW97sDWeYzw1/VBKQHd43QcwJeEkpWh3KA+hpbFX4IeGuPS+YY0qJIoQVDPp9MgM+d DF+AtK7CWcJUa+ntP818gy5Vh4pIJb6WTP6oegZZZEO0s3NcM/jL5VEuImXfbLWZE1HbprQfGcet BptAyswiflkb90hb7H0aRhAzBqmuUZ2bOndZ+AAl6WU8T7Sd7T8sHb2MD4FJsNJtBGwrF1v2dkHi /gnpjkZmdob1JcCeNUddzENemegPN0k2BCSkctcQ1GYyK+K/1iHqBrZvkijso8BPwaIrnZ02dD5w Kg6yQ7YCDS0/I05G1/KfSwFvBXAbypeNTULMDHxUsDI7N/wNn0Ohasl9GyTlizdx2ALcdbSRI1pL 5/rcqmdQppdLFHITGIco4V1qySgJC72IbArlR+L6mZL3Ow4JLLz+yuhKimd1VUqh50xmKJ2w30uW RSCIrtNK3hN/vu23dehtQtI5U+dLFgi9YRcQRL5Yds2BXVcWYncUaGaWHlJDVTuoqrrdWVH6MlIK kaLLqHo8wXkpcHyp3miNLxBF2vchrGl9CGxJqh+tsJnc3YnSMioEdVeB5CLkI8wA+G3cRaYHQr5J e79qNBXmckNJH+5Y915PvnTJBuIjo0RYIHLyay15d4f5Co3ePj+PZ9BBbiMqcwYkpZmsAwQlQsYJ Zhc3YHTYEysaomSYz4crUeuhScL6ORbsuDfnlQtaL2PKNjP3aSC9+VmZA4T/abqdYu+7BuyX74kt 2RlcN8w+9SjMV5E61dcnS18cD298sN2knLtV6Gykzo3Hrw5Rph+AnuWzO2ota3rK8I9RPt5d2E9X lKowNFSARXyAOma0ZbJiK/+nm0zQ7ML5hqH4T/LqKKuIzftsQBgOxGeJ3q/17RmzJDmYSVSN5lVi cdT1EbHdjVwLphNMskttWEiwPw+3+2SLHd6GeoLnqKqxRf6jISf1jAzut+xBauZPR5y5OHVHl2gD xMHbzeUBFtcJH6VIomjpY6zWRJqmpZHL9rLVip+Wh6whTspVp77HgWVS6ZNwicooCYp9FzNfWrfe wGy3JGMTAdcEAk+Ns79laWu14jHmCTokOj80Lx3BYT+SjtmbGkbcy7YoZ6sM0EAa8QFaEIAojsgh 4syUm+Dp9nq7Milw+j6WkKMOtaUZB4W3lYUqf6thPYGR/S1tZG767bxRUT0wfLV4VUsj5zGUrEIF rlmtcGocR1WQ8tGVVmw+N7038LauBKoEcPV8vB/RT2iN5rAl2SzHMFyZMEb8QwFEsDNQMjrCD8cI ITsV/ps0V3FHdbTeW4sUFfRevRitqmCFSudHNX4rd5plHHF0MtJRi+K4r2mQgZzIxHso/BkdXh61 8oebLb1yTlnUdbypq3dO9RCOxCsfVI87D3M7m8jvUyUwO7rgodimaI5fE6wiJAFGbF7+hVvKHrhi BvZbCavS7SFkKthkFXiPEvY+EH4BfblnyVIOtmNuamxXYWJhmwUhHyZJwUho/HncLyx7VkDAyjlM ZskUPpKz+7Klvld5DOZDaEZz3sZRByyKdg/67HsXpniYRybPM+N3OzMt2PHLJRH903TqaTMPti0L dvdQYjrowz6qmIqaTxNJ0vu4YsWkZiKbVflT1VBRSz7f1lLxnokkf+yhWatd5JubNfUhyEihREcr nltDbZnQOsIo7PqsXmVFuQXe3uITUAOkJAkloEW2R6d+EZzqDk0dfBkmkkp5Ob68xzJ7WXd8fmFS fN+s4b7jPOgJXad6k+m6ygYhsFT1czVYZYKjxysyyva9VyjBLRTfaSO9MtVWp2siTVbdUsf6qCAr S6/vXUUx5AmtvJYb2Tsj/gBQle3Zj4cGRWWA+Ic/c/t/ZKgJutDN6/owW3OTi9hR8rqNi58afkjU jSTzSCpVqcMO9Vf5n4qPo7o+xE5n14qyGLqsFCYluDYX3AvBP0xBXUWBdmT/0a60nKrGB342K3RK CyLzqX5H5A6sPM5O4Hnrn2WUn1gDItdAS2XhstRaL/xrxb3qQ9oxESgpN2yA9iLQl6+t4PVyaqoh j1McyRpG4xx3d/37RaniAJcIxjPOqE720NFyF+n+gfdz4Uy9Zf2fU2tD6rADqLfZkagZ4xTuLHaT iDdCoeBDKT6qLBwA4W4w6KTNJf5CHd+RBlXjGBLcU3zWyt/XkqAKNVwVSwV+O4atICDK4QqWiLlP 4qPvrnZS9AaG1LofZxCdhhN80SyWobpP/3M4uABD7SrBjBXuc6wdaIP07i4unj6jwjxETxOfxRxa ZZe9lXgNCJTznO9j1POjhA19xPmAvOzBgduq+t+kYy1GdinFOvpmbZO8pc8jAFCY75UCPBI+b5Ac EwztB0wF0PLc4L895X4RMGPZG45EL+SnOqS7pE6OTHA03mZLf45fHN6TwIWVmw01eucGof5o9vxl 74X8KPpuDnlxoWcKCqysZ/jzCUqg2muTyG9EvSl28zczsrA9alEy5d5e+vov0bMrPgo5peZ5I48Z ivFob/+wIPiNirkAXZzRQ4KVedYMHLatPOMCYfWwDqa743JuJEevxhq6r/D3HcwkokFgNQpGOGnM /qWpotqpkY+OCgi+icbr8cj7uu/ABMzkU3nsLpdk7+tRJ+nGC9UoWDKcSt3+GMp2SV8V2awxUbjN xRTsIpyNdA3V7zuEfWJEY0A6bO0MpdP5nk6yyaLaJ4O9M6umaM4jgU7SV5lOBYBdpNCLV8Mqfkd+ vrkqxOBw6/uT1wrcT3rA/WTJKvQpUzjtP7JvPUeBcPUbKGGWHnGxp9SnlE4K/TdQMBrZnyUV/vuv qf0olu4C/SpN3xwUrGvuJtTm/qGFBz6J+QYmFDo/OKvUVgIJuob1Gfc6DUN4k9xhYd5OjjsVE6AP Io+XfZleNC6fTMp4MkmzbZ9QXhpJbZHYfc3T89r9He5q8cXpGiyZxoDnhJF9Wnjh4l3MKNcVNr0d 2VbxV0Td26uJntCutRT6U4LUey+g0WzSL/MTQ2wFHeXY98ueTAT+hTWOO0s+cPRCSJPeixlyCGt4 YZmGyXTD2W5MUdSUv2j0kMCO4iPRimtsgZe8tXokcBPLZj86qXa4P65jQCXT43dDk96tOaZ0gA3I l6B64Pj0x8rXrpd8q4al5CQ5qGL36yotcSuKyvl6cRMkQpn9xx840+C0eH7YA/cM64vdkQzx0CyH T7VWMgj1dBg/Oab8scBXYIPbHnhj0x3fEJBy786Ndjixek1YS+UDkMwIYJw5J/RZyw+o5VGYdpMb ci8xUUb2nncBVbEhKkUmmu6kFJJUTkQLWNSWaix4sI1XxVWoUNmwVjLOVRoIz8pn02B3Lt00K6XC XKL7NpK4XS9nZep+yVeRkYDHywKVki3Fr3Ldaj3pdN9awLFx49+6uOfWMkqF0yzqL7AcZJzFv7cC W8QVLLdqNmp0s4mjDMxjQJgQqL3farnIedvnfFwPnWNGaTWZ3/tU/AT4aEGgbG+grVHctoj86H/E OO5HB3cmllDdcZyD8o7HY+3I2Pa5BcxtJvnCIftf1BtEsEdTxRL8QCoF8t+ekts7baw9+dMF8371 T1U2iMGsTj89k8x0NcLF2KCPEMy/shnKQAcZ+dhqGSFrYTp/Pvr8Qie/vy0kPmf8AWx7HF54v10a LomNgWZxNVqwKbyLxkpvuVxkp1yQqp7/2f5sd214NN6RLDw+G+EN3CT/RjO7ysEb46IGoxKIGqPN T7Qp1DQQllY8/p5zAolYgGocby7y2wVUsO44Vv/vZqdgPumTyvb9DQYKFmLavdXOpKurkbjBgFKf ZCA1Io1jjucVDROJ8OAnYjhUeJ980zLfWMyJ5PEllwwfQXwZHH6dUxNje9tlK6kKuwHCvroHxb4p H6Lc17rbubxmKR/9O6020heeS8GN3i+elcIcglhzqCaDk7ILxnG5HEbmiNuIBQ5ful/hdO34lVUc 6BeWKZdkPF6G/Nn4PO2CAlVrXvsKt3ybFYKy4uTh8bAGPPO/NzbdXdqy8629OzrFvEWmlCRcW90g pFFh7abVLL8z4aj5Kd887kiYatfTzd8lheCn4NZs6v6B5NIbmCj771vAnXGWx9dhKzHCDKEITBTB nsPYtqWfqZ/cPEwkOsB0Uae0qKC1kgMhL292oumN1EvvOjhIbzdT7Hcs/gJelw/EFlca0r6zssmq iA5ouzAD5Wqe/ZJ+l1bJZ0B2vZfvpVAIkqrzwF4WEBFVsMqaIAAUlvONYayIRbeIDfS8BS04Y1nv RnZsHj3HGgYQ6RprFK/lUCb5Za2nLhoi84dWkgokvOFTMoJMOWkEljkPYN45yIZLTvqHKTs5uKlV 81kH9s9+r020kTq2xAcnlH7/gBkTV5M4T53oNgI832sCYUSzFio96AKtdCxCu73rD0DEBApNsMYb GnMenZrCEmV6z+0dFNXDiPsZdQNAE29EEXBEMT0EORCxMNpYfWGhP3u6HfJo43kWkm2aWLAKgmkF ADQkdQ5Ng1TRvaOsvNZp6a36MJQsvT5zyfTeX4TmVRYP0KpaMK8qlX3GSXddYzzBKhpYgZbmte+l qtdOslZfxstVMaSnp7jwqkPSvE+a425Nc3furRsp8UacX9Ho6AQJurkipt/DZEVah5kI1Lq7w9az ZOEXRNpdDv3TinXRwXc4pNpCX6aNqR46tiFriVZ2lYU82OEWe/coyQAiX9GV+u8ME6SE1uZGayES nwiZSiLOz73Ll3m5lXcghSuxkfkfE/2mEKiaA6eALIstZ3Q359oiyaqeoetOdTxrbnuReBh+k5iA aqbKpB8Aea/Pj9hOYM2c71Gkp/AiGq9fAPXWwb3B5XyOgWSh+QQLxjxFrSvttIayUr0JJq7PViaE 1ZWS0lTHxxPuqQgb3kL+4z4TteP+s0l2fuV+0zp+hii5SJPAubEyJgT5L6IW38TvAMGE75TQsZBH qOcvUx/W43//6GrBrfw0yZHUFdzUp4qqWVNerpc0ew+0v/eE5naWNjCvKx/X7Y521dokyjNhMDv3 VQwUoeKHglqyN5QLKRWjej5+TE23Qh9zvKmlp+nF8UrST/w7WhleaRrcqzQ5MDkc0aursgX7luea EA+teCSf4F0x6QtFjcXI9JLylaHKPqP5Hcc1YqoJk7JK5yTTxW+EIR9Gvw2DMd4j1MgkmbbfCpMG JWM9u7qcnQoNg0GQiabNce6j52U2ekb3+LipBms8D/Jgx+Z6oSC69mU5gjPze+VqS9L06fUXRELx 5V9P9J3cPwfSmFfU+VYAOAgJM4BxcGBdIGIM7E6ZRDR3M9lQ8DmtY5Fl8FzfEKXvk2FPTYOgHTA/ 0Yx34koPwTo8TLzN1pe3zpnNVrgznpdagOumbPpIcrcEY/tvRS2TNKqjAD4Tfvx1Ad1jsqzEn7vW dpYPt+qkNEB0m2cvs5dLIBGWAF9B7YLxtE7WVOE2z370yrzJnqEl5PYI4e8VpecCbNHvcBzg/JcC FPLPhwjWRUdjWiqErsBT7QGPC/PElvj7LHJ9XFXswopoRnxZpxFyQUxmTSCtVYq8lilgsDbgrHUu LFM6u/hv56OKohYiz3e76qkSPkur4JEqf49EcQNma8JmokZ6+mvMNeuR4m+yH6kTVD29LxsXpVa1 92lk/mHtzZoSzeDqooiGUYieoJh0vtzJqjRN/FD/aiGk1gSXcJhjHWJQ4Cqp+DxJPO9MCXOcn/Hc Hxw0d6zwCVo31yur3f2Tk9de4T6wr6Q+zVdv04cIjkM9NpezG59/K5edXQidbcy46/0foQAos41r HdaXE2S+ZVuu8G+ciwy0G6WpKbpxEcswab2x86HsYvQ+DutHPQQnL1fnLobDysrJKjQZl4BJ8Dqn RH8va3jDQNctQIZg19QUrSQFPyZkKsTvYhZiG2Wln7b41uDrTTc4x5oj2s93Dhc78a1y3AGm1PN9 M7KWPuGLq3x3I8QBXrlxyaDJVSPkXpOHxgGrNAJ2NS8k3G1Ej3k6IVn8436w6XiOUFoqzZe6bR4m 49fg8fdfoK17sn1cLHHXnFlGy/g0DTDO/kUhqad4UiXziU+GY8m416D1LoY5etEyEqGrLROl1eiZ YgyF/eQXjKsBvvxlWrT2QwieEWTa1YubCBA/S2NhVgb4odgLB2HyVSn2rXaZk2ForxJvd1WSZtrp tSRnplMGefBScKrQaCeqrQ5umYSVOM3rQoqaP8h5scmGm/musI2EQGZw6KTOGZZdnsjFlysjavaJ quO+LvUmI2BqqJaER2cEUl6g1O3irwQNjuSP8LNDVms+Q1jXO+2uhKz9I1VUZysZlv10AI4+bFUN Vm5WnBS3n91gQcfeIv5KtcyLDtz7M5TlT06B7WJbqyoCFc1q7Ik7prk7+7h329pGh2meZqljKMnS n531zJKnHOxVpnIUAywH3LZTyKHZZln5gDJolOT4XleOm2vu58KBMxpd7ptLs8RsBwBeyrvh56Dj 6vSARlRCXNYZ14GZiXjvaufe5AXNLUbQHruKJCCKAa6T4fCh7HlvdgIXJh+Bzg3Nm9ISQr2BwB0a TukVPzNW7JqRMo6S6uiiuLTklWxxm0pvdVIAQIZSvaH5WWOr8GkikHwaM8MMLdmxvMlDs/ib7vV8 Q+EWV6IpJ90AT57eswJKDV1LKuI/aTUBQRYjG3FuUONIuxDd9s86EQZz9HdBGO0ty4XXBqGn8Csn qL4D7+0npZHQugONwJajPBf9UyMwJeuQcPZIhR8Bgw8Sz4ys8GNbvSHMvuiTojWUMhYyGIzZVnGt CgS/5ap3iNYkFaErObeuViRyPg03urZRldtnFmIY8k9em+op0aE6sQBmr2pr6QxExanFGYJhJYGi XMbkxmAdcT8P6WnL4jXfXgzFa9AIFUhdSusWrONaL+/zZTfD5eGJdbdx0irljaFOKTYxqV7Sak5H T57SWeEzKU0mhuJQuMcSMeuOUZNjueUTbdK6YYNbJZxwEEyxBtgvAtqeA4v0bXEZTOq/MuzEC20j KIgqnL8WefZ7JxUQ6KyTa4aiTzTE2hryPbz5mdSHfn3g65vl7/A6EAOEl2b4g759kqBXo9hyimbe 3bb9zneDjPh94ib0Zsf6hQBJJUKOp1sM1X0VgaYi9TC87Fm5nNeKXW+YR5Tpryct/coKxhmVD09w wb+6e6wPb+i2ecsbbYsbtQI10yN2To7QsNQ8O2WpgoTTJaKpVJmyZtO2dzfOlS2JW2qdpOpfUjOj SCD7AIYafpCTey/ilJh2KB6r1pgr+xPP7uIa94pogiSUgz179yC+44XjfEV2AEwnlfwotI5e6bEH +2zN8vZZQcQSnCGdJBugJSteXuyYFDZETF3o8hVGmdj41c3xAkoK8u5ryPZptltr9G1FEiryg1A+ 9W+HU93sCoKz/4rDuxQQZgo0U7xB+m4yoyKIrydJIR0DTGfZhcBFjN/HCDphm5uC07w1dwX3Ivdx nsgvR2DmJPVRiWWC4rApGk4EE2oHiIFvqHo3QmvfCDFknT0dLOEdsg4k5dpDB0OXhHQj4n6kedxe tBJ1T4BUqZwrHgzZfBHt0a9cm1L3ZNnb04riKFpeVs1KH46xmUKBSIa9XkrEKXzXIsTEjnZhnAXr TO5doynPQD9U2U2Pmg+FjcmJbkuqUbZftWNDT0P3fd2hWXIwWr0A2ojYWbDfVblll17GAVaaVTwm pBu/YD7joJfoKVJiQayeIa3zDK8jFpwdSEqfn5gHESiYLKEjYjdufQlMPzmUfSX85HMeJrO2mMO1 YYB1VA7GVgIL/+b9YdN8VWsx0koepw4Dgrzn3wJjQCORf1Lnk5Bx32qg9zr9UjsD6SQMJ3Uwrkwf jNjtN70MlzMapTTKY/Tcag5hl4sJDa9xPaQ0mVQGbumMsVeb9UcLXCStXEpnh+dngR9LXQgy6Eya ELMOOUZpYtbsJjLAOjpU1tEflso2llA65GgDA2RnMfa6IDbMLVVhzgVa5vXw2va3OJ+CDncmWI84 bic8dU1/Eob07EmXLewiZEdsxfSO5B3/sAwna0ZkK/tER0fOmNSG2Jt6EaVxoMk9142M+mZZPNDw sO56Wb65oLcY+mcTWcI7L6KSYu44AYD2Ay21dNO8CgiVnLCBVtG7Khdh7mItJzthYEuzYBLeV7IN LQR/remJJ2qia+XoG1FROwIWcn8N0mC2PgXaIsRK/zh5yDVRtvQvirrjJyCdsgzJBaFe2C/TAKAu KVZ4z+g6uoLt33i2uIUJBqj50OOJlewRHqDz6X4RoZllDdGhsoe8lZk8RZgbt3QghfBG1fsNi4iV HNBRWhtI1XYpCqFGKwsCowheGSZpgJ1gEsfd0Nc1fn19Fue1Jdy2OtCoAz1at4Xk0CoWK2t7fsBp kCGNUDZjWEx9RR6eDkT8IRv15XjEbkqqUhbLx7vxI+dYSX2Zo4bz6DJntIDGmodXgoswhIfzH9Lx kazbcFD/3JeDebrYcJqOot3GhruVLeHPRcxhUkCUswFbCPNkytwDbgevd0FyS7//mvTJ778bfD9t eLK6wxY45tlJxayRjY+uzxTQogeMWRK6plLVmLQ+S2C9qr9sO1pD/o3ndl+UllHRrhtqXhhvTTL4 57coMTjomw8z+esXfpU4sq+Nzp4+eZwh83CL/zxa3fIg8PKJpzoMOVu/fQNnhStlAKdzwopI4Kz9 e5JXbdJLz/68M0svqQX/QDp0STzrnNKl49IGTa95KiDbMkuPe9ByikUS9f4HPEhwNQk9P1S287GS gvuvJHzMYWbXUnGTh3S701C/H0SFn1gh+iV6NFTMhYxVYUdNfAfCj2/X4bldxLoIOTWN1LH+/yOl 7nhiev7AdXaBYzPADQOZt81UqyvojzjLC9st/QwRGsGw33PhehRXpeYENIgawC8XKTfYEzMZnUH9 OsY3S/TNLcZ6dp8jTzjG38wtBsT9vu3XgegmaKg37HL1S1wxHPf0BKr15tdZ73A0GIZXJGfqjfZB QYsQy7P5Tcll7d53Se9hfhK9AOF9UHpqIrr43dU3DiRponcwOYS/25vXhs9gkujwgho+zTyicDJm 1xogRENWPDRYrcT9JsB5Hi8CH3y98h1B2LDLIlCpF9J9lq5Zr1Wj0kSzm+ybA5OenalBnldbjJuy i9EPj9YC1eDg9h5Vtc4CQpQY26wio9XyeDj1e5mqEb0f7vh/zTGNzMCwDkGecHfZWGfHnLq2+/CP +06a+IN6f4QzDBRo0eiDxySjB0n1MzbB7csHf4LQPs4wR4+GFTJvHDQ8SpqaD0KVSqhEcFlY56h0 w/9Q9LvngBnYHpul1mP4YFvaSqodYuvwYR9YGXxNj62MCwOljZDWL4j6gnets5zZyNaBRTbDOiL0 fcEfyQXFvER3a5SqQfR9HEk6vwhrkzrKtbYiF0BOnnlmLi8B9lKfGu1NyuNA5PlZ9+5z3u7pgynj WGnwdBzpMn2cjvDOpPyVDBkvd4E4lWr1+9lfAnRWVjSueN/0TC1zcWUz7+39rTh0hL6EE6seoj4I ouTF+oYNsq6wvtYd6kGntE4wbCcoDMmMr37Vm4YtjMyRmtRz9fgbfBYVW8yb0JRUZCJy135j0S92 zm41/GOSqRHJ+Mj37/LSUs1TVdF6yNWIVcVjNuRYRCMuZHvYhDJzMnaSQn4g/C4cxwS50TbzXrdL Ll8JAEgjGln8YHyOeBlU+YFAum9BrIWEMi5amx3qxnORDFqnfsNMgnWoxjEJu+uDdEa/ErZW47Ri w5a0ietkwgOEAPXbxhMbv4eR/gZGH3XID4hUJK1zMOoLlYPH9Ht/GjOnHe1W5/tUcVrllHzjtDCa wIrim4q1KUcKWhAao/+C0jUXIZmkuSU/+OdN8i9GoJ7TtzxQfWH3wsnVNi6mlhWaiVnsBaZtjapx AGnetvECpY38RK+1R0rMFru27O2ok7/CegRINCQYgLaSnLn0i2PkzsTJ/+zorVZIkE0eWYfaZBp9 T0HRGlsa2bA8Y7Tx04FPOqcJLcRnUaUc2d/t709j3+ebhWNOUuHRN5uFSKg6aFldws9C4UlmTWDW 8y7d7wntuNli+xhAbVSIIrrk4Rfl/L1EdFYO56ntn9QyhK2BUTv24palpDqDo5amDVZDIPI+DQqP CKwQ2rL+Q8JtbbH5ssFLUNJX4cnm7DPE7A9tMO13gMDqOeTTxmKnqeOPZ3dLbnIV4ZlTM3KpwTv1 w1fVYiyTCuZETeE8Qa4w1e54iTo4a5jkMCLpN8i62JoG3GQ/hh2kZG5woDjE0mE4MrLTVFglxF2+ 9x3daUx09Xp8FfJTYhzFnoMwg8NGTk1SE8VbFFM/XoKw+ruIRdCQ7gg8682tlnTDCrPXKqkHiK7L BXuZKJ+jlYEhXJ3MU3vmYUt8HM92ctLkZPHpsDiGLnGfw0Hq+wGKps2ftvf8Yu57ECDGKCdeRYh5 iGTjrlzRe7CQPVW9Ge9zbFhdDnJqQmFhoV8Yt7N7o2GwcHrKDPfmgHKoW05qG3U1cmrPPsxY0u4x ReM/kNCLvlEpSq6vvivyq6fZc34dCSQ3fHGVj4xLM1MaTjzV0sS6bq8i3APcHX24LZ55L7N2Wczg HkCLoBez9C5SChzwFB8CCjMooq9P0Mw8iNFhOjI/AhDsA4fniUNUu0ymm+6kN4RtkNdueR/3zeeO iptvxFqKcIqnNcwZZiI6QvZhOosB3JW/YcCz0ZjCx1Q1t1XvFyVHeFRaX/t+mXgSkTgJXoi4pBL0 FbsIhwvz986DyLhHXuZaRbwuapGU6eCA1Imnp0WB7Ds9fFuSyB7MIMk9QythtymkDL3OBF1XREtP ng+RLhMecsOZhQ44eTpcITVRTIwQomTWhDZ8vyxaWgpv6f6wBTsLUyHVFRZPIhEOY6tK0zxRxLM1 oosW/VhY0PyClrr6DpRoWfBovve3snPeI2m9inPqlSS54DMm0EDtdSFYXoEw+9FW120NsKTvFMJ3 wxGkFkHPFekBzLpyrt3PYOfbxaaZu6pBq+VQDungbmLstHpL/s5xusFvdaQTtsf1ZUqhLPDcbRwH lFOmFn5akiP56y721n7BSvXD+4TvyyUBb0IGnVMuxZdqqaTfOt93fZTN//VN5g69ChFK1h75Dnka x3PbDWEKoq99pcd5wvcHJOHPU4Li4PSgd6W+Uq+PI8un8fwayboI6Z1M6Ustn3ucGn14KAQneQlN iRqHgGQ3UeJpQ+7o7zwhJysjRmiiUoEsC91nCAqTB6zknCYdesvX0Rts4t+qIZ283+n1Pow65a3D LjmIPP+5eQ+ciPkvLou1YMv5mFiliGXgLFhI3CF96IHEUgbMm3C3nCfBZMyS0VqqAEYxWPY/FHUi fBPim/wQE3FOf/MspLVPKOERsvQ4QDzSBqoPNTur9cii+9421Ecs09DEApL0hIWSqzDpMQDmPkkR 4yvpfTbWgFPLAm+ERityzwr0rfJxtDER0Q3967z/W30oHxIn8bqnKzkjzHVsRbfyki9u94iaHhIl sJEjAIcI4oYVoU/WEhw4GuVHpxhg22K9OxutMSvrW2p11YnfGPYDUlWrfCwqmqb9gaZZBl9QORJm KoSp8OVLrlhLTA8MsNoMjdEWkmAqVh8LzsKz8SKof2/awxi6MVpm4BBud2ppKzgtfjwKDn8ECXkm VFgUxRR/yPaPpbub91TgF8cEsgbqq6t3cT7ixPE5C5kYufFwI3HQjZj2OGgybD1R4WEqGJi44e3c fCEyKyDqmBfNv4QgVUbKGQ1pDsrjzB84Jck3ALju1V/nfn4KqfeyE0nPOv5MkBdDcUxMdBviQFuo c5k0PqGz8v8+T/wX7I2Z/0iUpfVUGrgLSTR/ZY+IvHDEwKFwyey3TkS25cHtgu7UQdmpoK5D1bv+ WtY95Ti32cWr9paiZ12X4/jFShfWjeRCCgFNATy1cA7qKcaSljKkweKEU+6dT/rDCFUsG33ounyl OIRZyFxd/L9nKK0l5YkVHXKYzXspvuzabmcx/v+iLbV87z4sP6lEtkIb6+6hslfThf7QT60foCn6 yl5VTuq4aoSjS5QGjeUJ3zc4XJ2K0f9B5KoNWmB0VwNgLmYDn25iSL5dR590xem/sCaKk+jshMEy X4mRi7OlcLpfjz/hOEPVa6Zhu0f3o2BZ+KngP3QDFryFG4Yi4wPmu5mZD079ieeNkDhv16DiBBEj jNhlF5is4i7NxMy9PRNsqpzFIsLStkHZRX6DAe/6/a3ZHCLsWM6Nx8V/9cm4eLTR2/8rGPzT0ajH /NkFjAOkdODJZpJhIvTx4Jg5y1EMVEvUW8nk/dm9K5f7aPzC3m6iMqtyE6vv7yhn+L+l8RL7EVVP Y0qtHlloTZE5B0gByEbZh3+aoe8B2SRpDpHLVpqX9OD5rBjBmygEce9zpjG9zvC5KX/IMbT+d2Hp 4yRe1zU+y8xKxcfaspopLnsdQAWpuJD4C3VFXvKxX4OMyoa5WRNQ60ElcvfBI5e2vW6DEbS+sfg+ ZSulp4ix5xKHg52Mg3f0pXPMPe4zpvtMmVDLynEFK4CgNcJw3u8ERMuEsVSjIFmmi1BJ7pHER/YW fp02fSsn2Q+VyJZBbxhyyPpBwgDp+aIBMbjLbeh9zlVYWEh3DMcoXJ+fE+wQhO7x56596+Eqmkl+ yhmMCpKzblQv/50msSnRG+fUBQ+esRb15dRyoufeH4yXZmNHCm0D0PHrlGmgWuAo6HpDpUPHr9N4 oN8bP9U5+XJJwp0TbqRL8bz56+2GQnGUp+lxKMA74l4GOXTotdubn1Db/KV+uJVsU0kZ2sXzTqzc IdIPo/IEe1AEP4XEr0eRs1k0ucqHSureXd7K9G2sueHxB9cLfLto4kXPYzKEtsjApVaXjubTYYRk jsZgkmpU0N9x6cUmXdro1TxQVvhYPFq3kgjo9a0+uHcdWqlDdPknzAGK23Kip9md801eC086zAom 3WQjFmdI/JHC5iX8SGbzovO+RIFcj7WyS289EiAh/EWLGphXrVSliln0rhi+gnwdOTDuEL38SKNI HNBuCgpBa8xzL8dN35iyIaSGfZdBPIb3m4Ju4tnLJkoJIhvW2i/t0EhK6HyJfXIVShG0hfpsbMSz eG7xTsfCt2Jek9ocDalBhxEOGPkEZ2OFVPMyZHlDgVG43aBO4SDpKT6RswN1PH7f0hylxLzL6rBF gWOQRFZFIFcsIuTTD0LGyUl54Fno39aoPpGPllQrLdrgJxqFz2750w51hHAKOE6ajBa97WLmk0ce iH2rEFMnilQW4qEaO2E9T0arwPDLEkkJcBrwPOF540LN/+FgNToqlf1FXCSKUPaQVmHtlzFmVeDi LxZkJ/f//9l9XPUMO/ISNIf95kA7JrJQcpNI+R1RQFXszXg8S6VDvkYYBVobJU79P0LzSLLNZSLA e0W8F0chwMujeqzFrrE+jEDT1JA2uME6+q0I18BZztAL3FZdS/Ax1E8dA/rMcjwIbDJKHBF4ll1r Rr85ZeGpS1xUu55xCaWa0Tav/7IHtaItBugfkcGEsjtKI5lC3CsvNvUZP6GyIQRrpdyQ8dmQ95+d oARfkhDyC9tOMryeVN2ZylBhhrY67jz2vsEKvW5P2LT1nrnMgVhZ1BC1MU9+xknW9UjnouKFxsa1 0b84nxA8/w/lI5datZDMbWs4LArAu2DuGmPmXFHbFHXlqKKBK8FyrCwHXfnJK4prLhiBKu98xPVV bOBSCIZ3F46DScX8kprXjA6R/cxLeYfQx3LdxlRviCpCB2cUZDHjR6AYYzlKlaDNOTeD7Cihi3TJ fOf0vwm64ISrh/enjhDrnpMloNIGcj1PYHxnev0CgAKiRC70FTxK/sou91hIfQ3fyy3iT7K9n913 3nEpy/bNfO5qHYll99hMoVV8DjtssK3v3NEErYVofNQWW2bLd6HC+W8OMwtlZ9OJZbftdMZ16MDg huAHMVmmWgKAqmZgTGq8BQXRO7xjgjmdZ7IApWlieOoCDxPZlBWPzNttE8MlGgXXqisqMhl7GzsN 6I+uMWAQG8hCMZx7RW//MAhkAqXY/WCPJxhOlND8Udioc/ptrHRA4ZZPqjg2OR56aOeZIVvr4KHj zaVGigEsS/dbe8tOe2crqdo3VF/ZltFGZJNOxEW7WVm1UMrz+v/MV6KPnzHXR6T/yz6/5/9jYiEM CwV+jaxkNuYpnBk23dEitudRU1edmXyPK3gZHRL0c+HdjQLqoONjJi2l0ai9czxpZgupKHdz8tHN Zd7BvmKQ6Qg7x6cq3OgTXQGcMAZcNruKQQmrT623RKaBI1Ugkr+HwWbRovb0frQiCKbmq1jnw/l9 QU1QM14WMuZVEkLyESBtaY2iDfQFbw8xl75SSaADTISXuzwQhakHBvs4gSo3NEGauQnq8SfjOTFc 9ZtGCuRjJ0YwBzJ3HXgFQv4a24RtqsA1rY7wYPYnakD/U7Z2Ow9qmwFRjpT2aS1LqZrV8oRhkw9W PiYJ7aUraYbB2G9d0a1z1/9oA8/17dG0YV+GNWJrzq6SEZ5MBLrXwiSc0isoDtuFfSggCqoB0hIu RgzUl9DyZ7JXR96CYUG3W/JoF9s5rIlwsEB3YfR0+BuHEbx/KYUi5XPllvyS6iOfaDxSaWkNzstc KrtgRhwQcEqi8Nn3Zkd+HCxszSBD+MQhNdQQck2hldt/FStZ0ajt7WN/rWy4+EpK16tNasSP//cv roVmpOOHU27WD+auW8AbYCzbwWCp55EXdCH+wDre8bGTnUX+rEZzrI+nA+qB+9EnSUIvRWz1Ches bvn7EAIj9EU68GzLSOWqub4UXavMmq/8mK7wuD0cwitXf9XGBMNHyCkmraaCFd5x+TA9T4CAgAeb V5S3fCuxi8tpOoBT0whx4TATcZ4Dd72PBAZP1dDykAIbTp4y0jCK5YSliJ4m567kAjmxYLb+2bbN RgJCxmxhi0OwV+idz64ufu3CKYnR8vMD20ysqg41VOpR6aHaUMm8yFj9MJULyLLdUNMYEsz3N6J+ 7UQnTM7t0ZU4GjRQGIuJ4EwIZon6h1wk3DNZO1QzdXZ6QyL6Jjo8g4OrgxqsSLHEePb+iv9YekFH kw5K7ttsrOxSp2wbnPrIMK+n9yFWkBWzMZ80+aiNepe7W+33jU8GDKj45srck1N7ACspu9KLTkGD uq8p0J5s+i+Oe7w1l9Y5D1KNYDBI6WVPifQSdpIP4Z8xzLzin3RgdKBu0lHGBjXzNmY+qrEFMC9t 95zp9yEzp+T47QMBWED86qprPHsbERP537jJf3DfY/M5Dd/HSaZSCwMo7bwnJ6USbaqkmRS/OGyr NC2Zo0FLWTznkXny0ZdXGEE1xRkEqoPKVD2ViFYBBxQtEsNQOX0iep/AEtSp8slto8a8AE6YBUmu k8fxQpFRA7EXNfvwt+XEaahGVcX5e74ZfV36JKe6q224a5yKFu4DokekXQyUPJieR08D6f0V8Yjo nuDNX6xQV4c0trXTOdMdiiVcW/5bObKDNY+GAHxUm6VkblVLFIMLe8oJKml4UbeEoZqMMalm+KrH OU4OLvEiBtAI3pckOU9HasQZFv8I8whz1Ty6MEYSKdjOSNIPidnbxgzXpEK1NL3kjqHbFPX29ZkG C3G1X6eosVpTIIiho6KM01Z0yTOXOqNRHiCaOSeVdLvdJks+WD4vmVMmCmVv2c8feQpA26lyl4co NtpmfILmXI+1De6G3UnjINcI97YdAQlncDyxXXGORvZFwFF6iMcdd3riwRMqgSnxUYKolwr02VBW +DdYde3uSY9XykcbkYcKoeHzVdTz4TdJ76WFX4D0A3tvmHPUSvZn9mx3BxERvWeqQBoKdv2QhEuV IGhhgWnDRogs+Cz/mVFSu4AGOco9bsmD1f1pNY//LQOql2fS6I/N+5v4oIKA+lNtpyYarDnSSufP KocT7033kqm1hfIRlH5tOJIn7m0vq7QQs1dKafjPiPyI2yuu8TZX6m/CKZr9UmyWPtGN3ReZ21nY /LHNfr/xjRjSoDbeEO2ZNsp+m0BQq/qCQZwNGv83bAayGGAmN5OaX5HAiOxHY4GefEfU/SrWeQRk o2qPiLkqpVox1IdoHO442rBf5UL+WIJlw9d0APkefmOhnre1NhPS96cv+Ddw+bioQR8MrnkfRfAo PqfQG4chO/nnC9QI6SwGqE+S76NYhsZtqmfj6fSQQu2cBHttZNIRYBgFLyK0vA/WwPE3uxAPuerf qJFJTE40y2Wuk7BqRs0bvx2EdtzCt2FNl3chiuFuPeUokayTjI6w16K5kvDr096cIiYvS257AHfa xkVlTqd6a1Y2SwmRm6elAcz3ijtkptJN7S2bppLKk5gIcgOCGVP1b3ZWGJ/aqm7agSAW/9ylr6u8 UUT8A4dJIMZAW2QspqeZhttJ3UjKRUjP7fynVzFmpuO3p1nBBJR7pFtoQPOMc1pZ+RoX2VUe6qId x+eG67ukwrvmUHbgbFuqdFDbm/i4XIkQgj8Cy4LQoSPww0+XCSGbardHsFPrRnA2ZIpze6khNVrh /6JrZhsEKvYzzq3+NDs8+MbAiA7or2gHoFfNdRXWiiKDbPVUySCHtMAJTNNuA5wICWxKmROTdJPE kiDbRiiJ2R76QcAdCiuu7bHnVVI1Y1ceJrxmsqAvwPLOjKZN6Eh2Qv/M5Dwx6vCqRlZ57OnNkDIm BhkAoSD+S4cIZh+ytkd+xHEiMRdssBNXz421yTi7lx4b80tlCs/WLef026/hfU6PZEQ1436q7pJ5 L8IsoEcX7mJWoPk5YAvGOjWRnwHJ3Ws7c9Lce7GPuZqb3Dp0YClsGNLU/Uy6hLrzFLru6PpqLt7I NGEkWRayhhbmx6dhHSWVdv+sL9YI4sNe8c4kGwtgZ/U9WzvRxZQ7sDENNzlWP2f8KiO5ttocQeUU +9/CIlOe/ytsLF+xNYpnjzcNLUtyS3i71PmucSWCn8PYbp2GSKTYPW8iTM2aLQqC6Yz57C7kDQg4 pVBCpz/YDheH23fyg85oah1rI7gpKvYqVkerhh6YQbgG0eloH0+WA3HE/yBDll5ENV4tFJEVBt34 K/qptmywUafgpLlEydt1JNYcqAr1YmRI4XFWHsdrqhZQ3hcw68RWAvu179Y6GDV/dfHKqAimZy9B vot6y1MQx/6gFobjx54hNmaDdZfRu7ZoVBNUe50FWQcKjDP5RrqtxIgXXvI6XPjbNXNpuQubaQ10 MYERw37eDmxSsV2+a4/TbeZDw+IOqEY+yKqizW+JJrrlEdBmvNbJ6+U6BZJrviUxd/0ol0HGnXWc yLto6IoVkNDxSsFoyVGdMJKVXAQqJyM+jDeX8/hl2ufHMos1ralAypS8uLq22rYxQqiF3ZSUqzHT 1GMw0FX7/ExfFmRrO+8lpucf9DBth3ORqvJcp8VOGuCc24ly4SQUhNXFROyxkPW0/CfDCuHGFS/y whpvBmLHP61p+6/aggveC0b8xE/D+59/CitfJgif11NVWvOejdosiZ5rm5QUyshWEhNPsJUMAenV xL1QBAkuiD1E1M5MbsvQ5P3d2ntkowoDQJ/glRYimH9SON1Q+pcpBDyeoMWIdWScMvMjXU0jiEiA t8KIzaizxUyM7KCyBEzrkrmyQzsU4S1KZ44p6fCTuH65KWXli+V/slUMsZbY0VRurUD2kUTkwdPb fHS1nZyPMd2wGyM5pFwkJFLEkgtbKn3I25u/Aot7RLpa3dOWQ/d1NBeNkAG4/x6PJbGaBmEzvOsl mey/F6ApkWrqVUeCC8devKL3YBfRwdcuOU8xB3eqJSbbRu1K0CD50Jhm1I/IsF5kkbEyIJqfsvl3 AAJ+AYzn98P9Vb8Ywub5DURUEzVGAv5Gsay17f1Y1hQpmmd2kcaQ0BTAJKtrZHcSLAbPbk4zvlfI FoYaTOsS9OzbK/9ivbzyNHnrnLU/1HCSO3MlCyJxYqy8IM8ctVFtqrMhpn1XjaH/QPiZ2yvhnTXo 3j1Rpg9dXIthQPMH7PqRjWMoVR2fqmPwQe09WQZWz5FAuLzsVksA07+Eyyy4CgvlWiZXu80ABp0F r9qpR4iYlw2Ht1jklppA1Gn72RoV+RFZ/N6OYAkkXd2vjtN4/NS3PVbm7ns7uBh0pXvT9QA85W3t zsS3CdTVQFjjJtqqRwgQ3FtWjdXOvaBkKkYHdPAjrxRiPOEWZrxhGf1XENExuH1FN1mUfRHxMlAN M3W2QS+/otSrC9cREAp7/r7q00+i4OeJU1NVbdH5hSjLLthmlKL8f5Db0ETPLc8kmhRVta1yim7i A0GTOP7yPYYlKnCWuhTZkPQ/7rcl0llXCu2ECFu8lzzEy8aOiHr46hkP5brdJg6K50xk2OdtdK9z A4zCDCJzH7Bw9fzdxUNx79r3FnFSDnCXbmfrOhBjVoeGcjP7LEaJ8GHjpYkX81y2tXqgnuqoDyZS d2ymkSHZh31lPeia+5rT5Sl4Fb7oyCo6NxWjM58jbtgNAtTr2RoLwsx8p6jOQxIQtqdCXH2TulkJ 4XFYOx4IUDoAU9wRi7m4stezGs/FiJ73qKVldX+D4i53GUVSqTfJ4VJAYIr76R3w3RWgDPrcu/i9 HByj19cx8aWtQIj/VCf1E0WB2B1GVswKN9EmUpJKh4Ba7sgwccaJlMeAKt+1HR/WcWahN0Jol7OW UGNSODs4O+NuKOPAg1PHdEaa/c5XjxECgODAG7DuBI/wz8kn3AeNIp0kqbQXTpw5Ti06YCAyNqEu D1p/GYwKHZXhPEppK15Cu1DG0Trttm/IaNvKZH5L/bcTwv2QwEKsO7Wvf3QmkWb6on0/ONI4U39/ nlpyqULd7UPxZYbJ1srPDXC7VFUBcKLZmGjHk9Cka4fWo1B50KHUDsvPYLYEwm8gqEuj5th/FJNA jOuxv6yTdZZGT7pKnasmn8r6lCZRRmUsaQjEtSx9sc3nK2lLvY1KGq+diiK1hYDd0c5o0Zm+TpuP 99e31mpEVo4Ng+0LPd72c93cKDwiMhVRKRrq1qnZPBbrmEbxlXYEgCcmeozyYzi/1hFh5G3Y7Rfo r+wgZAMPLfpiEvnphLH8o8ljpmVtK/OLUcA8js8SavR483Pve/fQFss3REi015yVJc9XiGlxmxPg Tx50luC5HJBs5r8CzRXbKJlENC4cEAHxe0UHhfEUOjbYkhnHn7eALhc6HqfPByLOiWhTf/LQ9lq9 XEXbEyTweAtFLb/xd8bzav7hOUr5+dUvL+3NULYqvtyAo2fGi2w+bT58hYG/5g/Dl0BvhbcTRv9/ R/wacQ9+JakAOSmzSathNX1dnhTM+jjCAKUusPgdEKF6PnN8ul6GCm+TEGoGxBewp/catgiXDTFS 0okcGeH+pwBANsZLBavZzxZFcEMj2tBKx3bkHKwYD2M+XwgIschZuws2XmFEGgd6EwRjInp0UD4L H384uniJJOPb1FvCObqJAkOFQq8EIri1ysQP5n7labIYzN9S/3/P1H7bjqsQ1pkD7RcbnwOPpk/I vEHnYR5OuRUvl11wOPOpfrZosKyNjZZNAIBbsm21DHDQ4otdmFpiIWJ9SSwmP5mg0N4mCFjVqhcN T3VBynkL5o4p3jbcw9vbN/Zv595W/rSdw4yly76NfUQK8rXcQyQYG5J+U0kkUQSSQ10Kx9jm68Lp JxHYYTlvilRtB0qKgBTiKDgek29ER+UwZn4TAah6MyZFF2/S1YnvG/0HKC54wTQtlYZRelDbxvey Wjt8/m5EiZXmhnGsLzqig5py3wC4g6Lt6Vg6uXgVfqNhg7btLIOVcrvQOJRqWpGHq06tK4ORO4Wk JFBxlSSuOo3yAtSrO1uVJVwkiIWWovonKyznq3NuVLQpDGnVBdFdGprE9v0lZOCHORvFF9y9u8e8 GXczAepdDOnF/qWn1SNrDFHauPC1TclTMS18zmJAh0hDKRDdi+pZtvIkW/G+tAvSSk17pS6+Gl9W GApKmQu69+LNrMO2LzXdgJ0T6t32X9rO8y7kOPoSC18woSZNul1z2aLfHdRmQHLPiCrxaqXdhhSY OwrskDn3HsTvdQVsR+HtL2zo5XrmPwO/AlV3vax8PVBlyECXHpj9JiDWYxGFWLfCm2RAEtE3BUvv A8vh3tPYZdkU4NEEtHt9wtMxSs4KIZvf94pA9g4z/WnvjCeJdfu/rUom0LPUoiviiwFGox31CRpS zgkmjfkL7FWnxPtikO6BWRxj/eNQ49EQdKOFPGtenXejsvEbiUOKXB7sLDhmI7pR4BxKIXxEYmpF rI1eBUKmpwTXoxidZIvBwe9950KektyUfhtgQZHX47Bsf1I7WI0phPraCK2/PQ/YIVBmnEyO1cVm +lQAwMNnwetP40NS37LRQ12GZTJHp11NTFnCO5VuwkeBKMGgJAR2J2gEIFtDmJY+NNDb2hIhz8lS +PbekN4t+EOxeD3ELXcezF+O5YfEDm7P8wqZKEHfe9u+sFcH42A5YNIW/uBnOm26MXkjme/ZrXa0 oy9kO3WD5wCmEBo+E247F0oYb76BiRTQQtwLaDT842V2YYxKryrnVoUNhFd4ZrvkccBIuH0U/DWk EtX2Y8qzk3Jx+k9+hqcKCnySaeMikrpdzRpPOR2ds9+xmSSAVaLJoRaHaOTnLCzpOdh8XCYilfo1 wQ9+KKCru50epx2/YJ4KHLBJholtY8vCYuax280zRkmTSR6lP4+RkhAssmy+nshuKTLBLRRRRycT g2uR9zjeVHpzeoUf8GdDuuq07OjUdc4Z1C+9Q+vERvIpFSm9UfPl/2LB58RrqFltVJcKG63Q9Rlp ZD+dY9ka1VOR0L46CXArVQKOK4nkY5l+EfN4d3ICtcPIc6Psai+N+akteKAevQ2NbNY4z9cHj1mz eH9Mrl2dk6gk99acC721EglKwSgz4MfbwqG5scKOB/5eSu8Yj/fz6Ko9p8+hMnU27aqgl7V7P8mE W6tNbMnmZtWIaAiuxpYo6s9rN9nGRXJJ5WDczMMeseS/k8SINvI8xaXwUBo9UVMdHmssnTrNTBSK UEYtQayfL/7yODncciwOx/UI6Wq1O9FeN5jpcAyG6aKifDKrAM32ZHDksEegiW0FpxP2Fw/gcrjx 2xFUBepXdbPHcZaBErnY0iHrNWdG0DDhCNFwwlZRaC/6rvsmgnlrJfsr45gzKMJla+XfOxAcyIDu bn8bCdxUzx0gTyQCMkcq9uoV4N6nvDmkyXkcuW5ZckaPW9j+MBxsnDobaifGerqD5PBEn4qFEpZJ 5UnyHleWER1QW/zp9uhtrY1OfWIAKt05fJovttIaodTOgHUjyhVTQ2A8B7KqkYMXcxbCiYMqJsuJ 3twmZb6wyX3ekBmwWDJZSA+Q3HSZVwQe4MWG04876P7vD5vD73tZDU4+96KgpmYwK/PxMOfTd1Fk CHjEEVVxL78Y3F/7AxEoeNZj1zJHEcDZTQdHQcRoPLL4hQ62ahvNXY9GRg4xFCtGdzSOL7GYP+pJ +GTlVrZY9wOVJrQWXR3Csq5JqRUSAoBUn8WlE4Y0Jfc9LJRASi7ClClt1AXzRAS8YhiOVdhkeyQ7 eSaOMfwgjmOrL5TdieQnXZAwTCfTLMJBb7l8bo9lzc7xSwcB4l/caLYdOcfYeGyGKVLsLg4XVK7t 5fphepO5klttaKQpQ2LtDfwH889n8RyWJ+GcRK2ahdrMg4aRlYurN7W5Qxhmf9mWsuV11lv1+fip cOXXqw3kYX3MhnMS5FL+pjI5RZ+AElgAxXb/ii34JtYtck33R+BXeGroneKIKqOr2sN3AtTcgB+J IFToHO+2Q6zt/cLaD+gbAVqo6A6/IshjF4noakev9mHfcCmHbDHdniTcFSZFdBfrXGfpzroNofOh z8Oy+ylghCQ4Hoi6uWANfBASL4aoOkhBKK3PZkAU/Plb2OCbkEq4tDKRJSP2S7xkh5HpPMYXmURU sj5bIkyIfXJbwc6H1w2kKBcJ0lPJvrYlaKHCcz4+wJKLrIp7o4GnbJDRimYPQb9A6TqnUGlcjDO2 lrTJUZYb/H3dUN1fzIBedPkneGFjwf2cdxmCaeyJSUxxYM5qSQXnhedqnIsQ7lZpshnH5t10C6X9 Nukz++TQoaNqum6XQfTvSCl0HzSFV9EQPAsXWsiTNtlZhAdI8rqC8zs5SjXcLJwsSxzeerN12wDS WFXXRZ1huopADW6JVsNfcViO4pHr8dwh6Fqx8coWynDSeI6rGOS+kdd46QotABorfcgovbTx0bD8 3+c6LiBU2HnAoVQ73o2UKuvI8WIpZpb4NrEJuQsSXBJn9hsY/S++L6tVJV81xePaDcRR6JkupSq8 rPQj42vHM4AvTDjuyU29CtVB5EvtTdWyNF9XYu5Nbfe7OLFPRqpnGzOyDH2+8wsQajYmCpXJ9pZq N/xvuqJ+OxE5uFDNMSU1a2qzVhDm0qAIWAyBOleYI1DYjySa69mb5nkvW1nouBBpv8rYhcSJqiWS u52n0Ruld0lkb+Z1Z1pXjCyiEGzQ1RiUR0RMPunLcBy8yGC7idIdgpnIJDj6S9fdKvfCUvQT94NB iYIvdCp1F4wKPFhsKCgFFTvoAG2gRky1kLix7pufkgO1UvABrZyk134AWM/d/Nj5J+Vtn459YxHF HfdQhYEWanXsJgY0WB/dHi51cCTX264/QZ//J/6CN0f8byJ5nD4/I9IsqMXabq0K/8H//j3B5HB6 gQFszHO1CLaCKKOUUTqnT6bB34CatrHui68Ux5y41kDeSkn4qP2u8BOjAMHfy4EbnsUWcEenrnbc tlANEbHWI1Ii9DxoLd3qvkUFLT+vK9G8b+pVnSohjvqARQoHkaB+yxYqrcyznBNFM01EdjD7yGy6 afog8qEv44yXpM6vIyrllfoqBNsz5KirF3l7+K9WmOQGt/hISChongEvEqcV5wM/8Euz/Su9E/sx l9asTbMnycEbcvi7lritg7eCp38IH88R79avs5CPXdG8ELhh88ISXTvDpW+4ZSUNAPWjLYSZVseY p/pVYtAVKLTnylZZLX14mIwDEL5nPB4qaCbwWDGClLfnBbnTIloDZnjtZ2OBBZ2TgdS2DyMvATmd XKJ6I7sltyNIdX+bXba44+47WirNkY+Y9LKbiT00veWYArYGiFlXCgvZVqoohiR8fqZousYyTUyL YsYc97PrZ7FCz+OKjQ13AJktQ5XcZiMcNvP5E5LXR1lZsCftujv3iz9FEmO6s9otzGidbSyStVDb olfQeUact02pGuhZSdzi1+FLtDDBGjNYkFanBdqlkmCoQYn3uxZxh33JLzobfxOOLIEAltEDKKBf FMydIQSzT7rWvffBclPRk13MQkzk8hNoakmBmIb+mkAQ9uizVcBBPLuYMOCSCCWbBcAN6fQfBmlx 9l0710UDnPjTnjiiKed+NOt8o5RfaJYt0L7jzvp7hEsUyH5CwYnZHSOsZht0cIr+WA9FXanQOpWx Znggrm5vppjMrMziZH2cD0AlskQlF1RYZI4t/bUVlOBz28EzqiVPYOWFzzudRavbfB+6lEJruGvS XV1ZkxZsvYa+rMHqpO7vHh+KiXM/dJlxxUY6t14WkIDePDc0HHGv79BVA2pMvqUymUqoPDwgExBj gCk/Ff2y3MQ2ij7wunjOdjM4+lZ6Oje+CK1ugKgwB5kegz046uUvpLNCzfDh8fFfuOMcH9KUXdOB L4Qzuz/L83efphacmgXMsDggcZOhQz7dfdGkILzUg2fGimwRwRyIfvOjyj9phjdiFkQTWN19TSGW Qcr10CKer09LHdTmE5+sSR4c3h3AUT+AdjnGFeAJoNoeSevRvTdoTnPySqXhr8YvN8ZkX4Z78dEL X9rCYc2FMr+oYEyXaAiChjlkT7e/nfFBgaUI0CsWusQ6XeWGlPgkXr+d2aPK+UIhNrQhluZJ3jS7 jadb1H9zCNFHriVROvTC2R9fme1Tv1boE+tqonxRLu9FO7hFpLx88NOS+sL7O4z8vqnMAEDoXuxF PuLQIBEwClNsQY9ZSRT4EgGHfC8MdbheB94Y/7eN0etq35NgARGlTw+ExI4bpo5P6IjSZb6/Wal9 0tsd1bPBZLkfJzWAMcAEXPw+SN+SiU75zKEwHR2ruBcLCJv0faSzZRjT41nbVlSCxbLjZ31v5JgR C7HTmRDIWogE/i2MYF1438q0NuWbxnzEcbJU5uT6P9G/hu6aq0EjK5jZ52WGbOcuvNxPXEzHFato UqpQh2+zFXgk/l362Mjxp3JoSkO8YYrHcI96DTvJeasmkoyJlEz95eFFC0pdIBkXs43xPaT+zq3i bNVTtsO2rl1eRmAbuLsZXoK40y8B8EEKA7w8YBIobxRak9D60cV/A0teOxtyQpRaAXKubkEhOgJI 8rzH3CaO1SREhFkMxiccW6StbBfwDnbkhPTv4CRfpmp5ulX+OLCAzw5NJzFLciDo6ooh5kCXJPeo z0uldDo2t2gUh4EpKrmekqJhJftigIUUbhqmEMF3B5WVJ9qfHVff7RWIP78YoBWoAtMYefkAqBQS SMFCmdMvojCHWyFaaq1GTnYh9mqwdjmYAlCdM4JcqlFW8AuKVE6nfVFj7B/PuKX4FcGzgp3umeJ6 5oh2KdEH1DQyz2V51fQ60XqsPK3A2b8SwsMomasfB6zt894ijld8+2mJw5pNteNv1lSOG4qVZKso YeMPjtnoxf5NkKDupp52vp0w6JgvwfU3NSC6IODSkJUGAY4LrEpWghWiL29R7VJmJF5S0aX5lB+z MyJYpn0M6p6IDBxktQg2hLIt4f2gSjYpwyf/5wwwOrh78EvIEzv49eNiEN6W8e4hPZtbCmw16NQ6 5aYimGZkPi1PbJVNTDnjE4CnPfnhcJfGtT9v1jNpmOjKyBSwQUqUnBgIk074nNTS2DFEIcKvHQRG 1RXKNtT8I4vUumNhW3+JSHuMZTMpWGIoyiDjk7bswot4t72nGLOwyyFovn1rnBBIacm+Iv/2EhyX rv6mr5ED950cqL2c5vIU8AW62QkMWbBzBIjkukoOKEQi40Udq66OUY2qWKmSozT+LnD2E4o+vpDz nDFMIx8PqdL1gg+7e/ZPS6xyg3Q4rr5i1IoABR3OVZewcDCp1f32qFk7kOapSxAnORCxBZlxo41v +achb4nLoLxyApz6suwE029hR0NUa7w6djfXIDqnU1JBPpXColZAm/vSyLTp6ZtB+vXyQUb789US 9O4Lit4R83c7jfPaV7zD8tMQZtMzFJ+vXU/GNXDTiSWWm+MBhxojTKU7y4GPhvYEfo+6+EPw773m i/wyFMBJgi0uVI1sdhDsnSaDs6l7HvP6e358W8VPW3TUDIsf0Pwa5vQvRwhFaHqexYsrGvweiVw1 6fMnQcGDj7uVAoKJHzs5oKhk1B/C70ie1YCBXvYQEF5jSCcbkIaqTmCDr3XRDHTmDeVS6ylGNxFh D3JB0XROvL8VdGv5g+fdLoItcdNinSRs5niQYlM6wHGD3AcTYMCiEduW8YZYpsVlAn7El5H7hVeJ HBlTlccH3GcVhJB4Q1CbqOQIk4yvDaCiTu5nCEde1OtKbdGRRqfcUiNT1c+DrIahlrnQY+LQwcHe L+Ih//NA+ey03VzX+NKg9CVcrvo50GDSR5S7vnQXZdaK2fnX0AJSPGpPrqV81mHBom3rXJD00DQG UeKTBrA6QtXjfHraGGAl/oZoYg+ebV/wdie3MawDm4rjhuvqO9HTfJgC6icnWnOFi+xsD0XBRXST 7pWC8GBBAzmSoKzd/xFKnwhZ3RFrlqxwiXxPrIJJhbbWp0IhDeCRLIQYHje/f3694u1RLi00t0eA PU8erpHxWicQC2zE3PZlVTCBb2bFjdobiRPSbp+QvIplLvu/rEZckYUDrvQE118tGb0pduFdQcFi BKOiSQHhgyc56YsEiHcQUSj1MEJ2awQ7vh+W3Env8JRzmEO0XePRFFrU20/b4h/Xm3kf87it0ttF fSm20P5ZSJC5Do5aaVNPN65WIpKq2UYoDn6EkwzVsGAWo8wMup1Z+s5KmG6k67xJAcuNJlO2+dgP J3BhP7IjOeXVr0cKiF70H8RFU+ql3mf1u0LCHYRsSpta710Ut8x7YcqV2UaDw/EK4W1r7Nl09Wb4 71u954fjIql14sN2DD8rQAVpxEMLvCK4AU7XCIDvdARgmPfVeE3pg4psS/H5XtDvwWXxGl1qckw/ pEHoAutmnn17NkXu2TnAkCP4l+6l/1Mdx2xg37zc16OrBUmhcCojOQrdVhpTr0CCSZfvUKhFB3yx ZJ5BiXjCg8WW+3f2VIgp3xihn++UuRPn4mrXJeCQ08OYT6j0LXciUqzUs6NaFGDMkRiFFI8U63vJ P3lUexX2rlEbMsB+3R2ibTUpLjrQ82KBW01Qa2UpQLTPQsXrLrU3k6Tde3CJA++4jBp14HQLCIqu fYAG0tKY6FG4VQV4lZ652Zjgotm2sxJ9Unc5+JKgTfeSxr+Luv6/vw/5+5DP3fWru6l6C7j8XeUb n19sHX5xiitMg0nTMiwYi6AEJJHSyt64tVri/wIiRJcbsdFAnr0xZFJhai/CSwv/XkVpfkd9U4c1 tg5XhdpiZr1OBbvYL8ew2FPEmwo1Ne1nTms0/LZ8PeWfDXi22IvTKDhv92ewnNuG77MsBwteqcjP 4iURcOgJPtGax4nGApgds1xYg+vClc102TA7XOSQHeYZ4It6Mj6mYQ569i00KC1mIgjkcQ1kjcOa hgiQnsBwd/1L9L76bufYlCrlBj6mqTUDHXbBdqe4uuadAjiURjVdsV7Eczy9N1Ezf9pkizzA6sYR vsirTE8MD11MfEudpipsGw6Ds9oz5dNVTjz0cxitEwzPWaGKHXk5TafuMP0NCDZRtGBC2lbp8CPd 08ZURREYZ25ydDl8WV92ASL7pks5ApymBr0CNX5Yiq6Bn8brICQPMj07joAQgcvsxN0tIa+2bXGT 8j1AEnSuGWRob6+XtZPSJ1fSltbkWtbdGR4bar5q1wyUfKVHezpgWZeTEeqJp4mYgQBHbe/kzuJT uTqmCakh38K6KTVc41xf6SaISsCxWeQwd9Tf+cLqEaaZxidhi33tvpzaxxKN4I3OsEafdyX7FrWQ pAgYJ+lr7vpDAI0v+v1ZdYa699CzTxp6zB5kqUxEyljwn8hXjYHtmBj4PXqBLO3TRdEJ8IZabg5H gf5EmjPiO3q3HxzcRGSzftByI00+OYB6987vhGGauyQrPsJOzGBqls9M9dW1/c4A0QE0cQGALKfu sf5n8VLWlCGizychf5VxJxUE3xe7/Vw1DlcPg3+1G1lzky6zNeNLI71vzfWNpBGTsAWHt1/gfoGa ua2Ai3p62OJtseJ6nZ+Q4smKMvdeDtL4IL9bR2asglcVDNTtKXVnhB+71+1UiLy3UeM/+RMBkLAo I9K18+TO/MOY/3N5pZJqzgBS6OA9J2gyVm5Sj6g5XkQH+AYjFu3CjR0gt1LixRGiM4cp0dGpiTNH fxHWsYIJpttRerGGIxDCPZDFoM3YNuYULmN/KDHMU54/vdp0KWZvTjpV0J3mJhIHgMwGFO7GQdxO 08cqKmvjmj70ykeEQH9yMpCmkMCrB5QbMBT6vBICKBatxkqO6pD741diXRO1n29siFYJkQEx6YaQ dOoUiNJ5nthfry76ucRv0pPMJBwVSeRc6h6GUBs6FgdcNyIR78AfX5on6YoqcNtObfJY4MPvq6JX vGnEOkOubgnQOtw3fzf3D0p/9TsQJPPiMCldvtquHxEzRco27OYR2HsBwjcjgMiN1oR1jOhfRqAC dmpBY8nusI15f+mNX1C80qT20WjacjKyCQUOKmOlwLOauDYx0IiOWnrwB7syBuaJS6YXFe93UzbM P4dEl7cihkezZU/QhjdKJi3AK+MQcvx1nIYhWbFc+SxgkA6eJX4qSTREW1IVmmUpWCIaNyHHaFwA WFRJ65BTDFQ8jYKsDxLkaaxcW6fLkM/CZDu/LSz4HY8mHOiimdWiPHUzaXY0EoO0bqOjmznk3eno p05g2vNbzjWAnxoHeDQADJdFX0WJxU5hJx3+Ohf1G2Xi5u7HBvJbCD04jGCzjEJ4wwOUWuzOKGo+ w/bEpC5DKLBtXF1KTb5xfLb30djvxEuFK779ixdHtWtRungQDqvwYxRnxRvw5EvPPGr8WofrZBUg ZCG+PiggwAtaCuDZt0hH3qQU57191OnBiqoeynAj76zbOYoZlgNYbE983y87t6sKdB4YxaTcvo+k DjOqg3lvl8jAB1pAH65QIKICOFwPtD1Exicl1VXJ1YQU+1+qNLX0fR7nmfUIJM1jqZlhNaKCBOhq PEVTrNV5dL00/yLsfEH03BY6ScWFVQGpw7N5UW+FiXarMZ/1CudJh0iWM0DSi1LB3E7csJ/sAQ5H InAo+AK/uDfD4W6iCDZG113auM/5gs15rNIYIDBFgoouSmJxZ5UIibY7QC1+zxNmzMYyfHhUmeGb Nkgv4DJ3NLPFITPQpHQAPY8oFai+eG+DJoi8fOsvjqf5XjEcgO4Mcnanbo4leGETinsYBwxHJ8eE R4R/ntUk6injNf2Lh1rGrbqHUN2aSsI5dV6aVwTuqzujJqFeIKeXCkDMXpDD/iPtos/PkNm/K0Te QEtZtssjfvzFiW3CVvUVgWLDWTPWOLOVKeeb7KEiGR8pMWqBQC2yPGE+pOAU9xbIEzpsncF2oNF+ Um9K3ONwROeE9DDeU2lSXLHYxmswWncLtGn99535ZpjHJkN5+AetUB9WbjF7/lvQJ1iumcf7cNLr 4J+CTTDxr4i7YiFuTbjVUfvB2rhJX9Hs94SchaHYU43DMDN+wLlN6qemR0W208AhqXsoakkS1b8p ZJGVMVf2u9eejC4liAPqKNrlxtFaIiVXeBiIWPcjfamxksXOmVh7GXcELPyxZ3/3GMVikkeeLIBp RUUZZE39Hkm+vyFfSvAxfl5zo6fBLQ2pfek8Bx90hFQMB8tbCrj0Ti2NU6teMP88BSAFumfJGTE4 iVNOkAPQkQMg8YK/DLGQkN1pYXHcONoP23z38p3Bn7g0YKYwiKOHY4o6HHgKCwad8RU+ayd5wklA 85/Tza7JatRisEgzp8CcE6+4AkKzE0uBe8YoZ9p7nEN1+CM+8dGArrwhRg0P9xCsjUVRQ9JpsNwx fmUrILdUuaCkA+/E9VTp+REnxLGAe5JVkdOzzcbmX65tiDZ9jfm7Lo0m70DqOikH6MkCFSMKlL1A RocXQH8+Iar3ldEzcLeKgvVa8fLA4Hc/eY3MEyA+skSKJxlaG8rqeTXyzPyjJt0Cv0wzLDhdmlA3 4eAye9B1NZWkuxd+jxjOyNCv9tuUuB3Y/QdL4meO64JSjUMI5zh+Am9yq+Rlxx2srtENGdKnBZ7t 2Ki71NhBWhRrOp/uuM3cY8vK3sV1Pjn0HQiVHdOV7spufw0G50IW+pSAo9pCe0djBhWmSvtMPOJe vSUdKg8cfTaTu16K0RoF0u7pQzIJDlENnJR/tWF9G+o4DN1r5b70q28kuLgirzml3NqZFtK7RnIe IHYvijhrgiV9gB+zfVCInHPyhegDCNQ2mBghryoRFPSf8XxcrzobtO8V6fNNjaR2TA6sBz0/Gu6u v24psvr9T/Vn0ryTOL3UhaBH8t74yX0xGPBcCW78RPkPdTo+2PJMWQHZPLSJnz0GmbMQQ00wz9i8 Xkqs7nE5vOhNldV+4TKzyj0Op2h5br0VUOqVMlIpt//lO/YDgo38e5+P2yvtfE6S2lGdM39dD5QM Yzp0Z8uS0LN0KilCcZxVHKqYcLl4yTTPe0X+1++C/a4t3WhOpB4Dwgq66kdPMSpnujcGvsPFPxWU ohUXw6ZvP2B0z8ahkL3ZATPhk/HAnPwqDhWmAhZLLl2RezeI1uWR+Jxe2GmzXaK1rPg639gS9Vyz yP1awh9+JEZp1pReBfzoBeNf7hqghuCJD7dmt45DXJZSH7KxQklkwJXlITeURxv/jPYwMZmQk5u2 1hcY8cEwSpKQ8wRBJJ+/Sjru0yZthWc4E1v0ex/x4D54MBy8jcpv45j8MiiwL8qBWFJcF3gEmjzS 7u6cdkMgcM7QSdtCtjBWc5UsL5FqayNppu+7fbXCCGdM0li/EUQUzaIxz0/pusACPlod+KMYrGg9 Lag8LXIw9k/taHX6j8EvP7kN9SteeBcctQG+Iu4gl9IIKUQjnQMRKoAMXxhbEObeMswUjYMFAG7Q x3h01cYUN58WRNWgKmw4CG8eVrSGKcbvcsowqhEEIdWXSLXZJFjo96QH4UgNvbx576tpIVhBRTQp 2lTArmTOLLO5NS6329sH9Q6d/gKWRj1bERFOSEtAWFVp5u2vvmScQuLz4SUCQa4SCPz88z+mFU03 8hOjjw0AdgbmpcxUM1wiKplZHJvl3pMG4QEf7khkXoZHur/FpPW+IoXGpi0fmwx/KR2rpEqTJ8AX lg9pBycxP1pDKY677t5/PvJWtnJobxHMR4G0xF5KWu9k9bdj+QBzCOtrGd5865YBicnEm6NIfPbd EePDIXvXBw3jCu9ADwgVLWw6qhHQFd4FCh8Z5j9spnT836NGPJ/DFP9OA4hv1wkg7AgcK4zPjFc5 VCIt4Q/o1ojyKxM5LckmHBZ38ITjUpXc6mUpRFm7u+kvCH2B/iQ05Lbml0YH76FLvvBDALCc/VC8 W7X916RjHiVEmo2Bi101rZfFDVgvYxIyh5wGJn8K3f8gLuZ9rUGwUIJd7QgcBHxA2cip7CuCidcm 1nS0GJI4w8OQ8rOWTgPoH7rWsOOWdiTJX+QFesglmSgVg9XT1eAaGCiHAT6PEWegocEYPiVKjOCH UYEqmXrcUei3ZpTyCdl54rGnBKq2xM7n25OzVKTtOhJWIq8oSpvD6joIS72nhgwcJNmwt/xksyZ3 zOcXdfGU+xsAzAu2Hmo0Sa0flXfbbxazOdBgwdXU6XoZJ+NDUoU9KO3NQNCdpC+qufZJpQiigscZ K6dB2dxo8pZ3erKjYYeYW65ul9h11QmYD3UlZ1oLyUgExeIDemyiEasYxPr/YVUjq9tzOBjOZ6SH iG8ETp7ppJWZGbGyZcqCaECRjEqU9Et37tU7PQADzgTi1LozlWUgawQAPDtoQH8ahftOnFSp7yi1 0N5XiauLcH8xuiFhMhFaX2mQHELcnGij6C7NpQCl08Z0BtpaVl3AKaFMKbRRCJyMKPhyBn6mhv1J t+8xwRHcXDElO71OMXThZCMW/zHVGr5LEc8Sldmf4o+Zg0XZ9q9jDSK9kWqKzhOcwFtOyMIDqPAY Xg0l/NCJyhDFqy3c1zRuVUi1uBZvG2L7RQefuJUDtfUiUBUqV9LaHAZx7cDkgoF0dNCoX09y6Dft Q2hREmVkvr8nknKNFHc+84gbWSsaEXtgLY09fIvFM6U2/HlZVPqYKKT9KOC1XgAjOe0YBLDbMRAk CGMAxArrpOakEnbkUk76KvrtnN/8CKphFXBzsCH3WLmHt5UYDsUMsL0jX6aRYO28WlwBaNLZqZRm pPfRXcTzhPjayxxY+8HmjxAzTwsimsHiRHkw6apskLnl3fjiJv8Z79Skzx9HOIawx1/h4YYRf15g J5iqHF2OvsHfeLbZTpjru/Cs8hnRrl2b3JxmipdjCZ6MqdkqOR3h4iIt8+PU9IapQ4r5OYWVvHkI kWyY0SK6mMuQgRxw+MVyeKIlKz1Sn8fevUgBYyHjtrWbtkq/RTvwaMfWhNZBCmmicD3fCnjJrkpP zOeTWdZZTUx2OCkn9LfbdgoCbYLG3p7KU/nMTrEqgSlYHoX62cbJtrZ6m3b7BSucNmU9VO6yz4Ht ch3C+n3WrPPQ79vh2ZD7h/W0VUmISxAXadSQmkjMTHEVfMkkuvgA3b44qtQaWV7MlLyLe7XmHMn2 Tz4ZoG0h73ZbnEXmRKStz0h0LWUZ3H9r1B0ulfMSqHxDgFbdJD3QOjCrKu+Zt++VyVzz3zet7lUw b7DWdvrqtv9KG+dRiYijr47f6mqyBhSd8V+yUYRsY9gf9XaZoCzF9Wy2CHoWz2IX/WAaC2GSNp7M BZOUZq/xXVtf0PFo1DKrj+pKisEG2Y+o2e5Vc9U/0o/CgBEAKrslbbVi8524ahLLjjEmLH1cQRhy RknswW39D9NXVMIly6m5WoC3SeSzsEMwOPbqlwu3CitiOgSIguR+JzWvfxTXHiWQ77msiPYZEgQC Kuw452iN3smnTVHqcJbnRB0r/Babo9UT1jA6nhhlpokpzsZkTSX+5I/C/aS3CLVoql/4iuHV4CDs 7HDDbUHm+kUrgbeNY88V98a/cKsNU9XFYI00FQwo9nj4BvTW94nLvl7PisvWx/loPC84OzC1ysV6 /Rtg2suhR984kICnBQ1dXZCRHJ5SwlSJq6I5tmLHLA1hor7XKSl0WmHHuv6Dhz1wzP0DdCjeXoOP 0N7IiM9rQLGLC2ziy/3WSSOee3wMLK8VmieNIruLe8olFz8Yu0V3rPLoj388Pvqepqy2yQzNxNSk NWHYPC/rci7N/qKgQaC7Xh10uWgZRVr8KgIn7FkWeAJZ1IGC8zlw0HVAyOLEaqcRCSr5Y92GgHi8 i4YS+hLUiSdRB7X5+JaYJ0DMX29pFzB5bAamB+VnXtLjPpe4Lhy0Z/oyb6dO07qmlEFd5tRVzQmL 2qDTqeYKQYCu+A5S/Hhh3Y67GWSHnoXobKxll8+nuv3khl6AlKWyESoaC3W8Vtoq8wIcENikLixD k/zfkwhYp1zVgB3xsoEbstMDcfSb5d6XNKGvOzhQW+9K26n2H01pbDpU0zAiuX3a3dG1gABwT16t MQiPe6NtzAgprRYLolN9oBO2+K4A0NKjqwptIr7xTHEpZJxcDxGLIIpUaLXqJ4nrKhtFW69X+jt1 d+PoI43xVtTFMpEYZQ9FUBUogDffM6+STO/woI1gCGLG6EWvJndNiN4PB2MGxsYryRYFzdnaPWIK /ueP0s5TGspzSpBoBsbCNpjzYHe3NGAUEjt8KNP1PxRl8AXYtDugues12nUnGM/8t+yyowi+y6VC 8Fkxx08DBM3KoIGrxI0opc5kPTKN7DTjy1hrHIdIYQ/6HEVzIr3BhwBmBlcS/53//XJgqX+aUgVo kcQXRvns5/MiGSrsqMHhASgwgwd5EB3eCc1iKEnPDGFqHXbZSOFlVCXIhgwhyLpljO3nUoo8hxYx pdc2isTZq0R1qacgyP3eyFeGEMfFAHV6MIkCmYMyBbNmi2FgTdSNuY86IzOEnb6UpWwWe9TMpJy5 gZ58AG3c66AagGvYus5lQdqHXC2GqhGU94AvqAr+3FtyDyLHJLph4Pwv7+kUkLPRCktef08ysmgs C4noNsZq8dM2xlbKzKnasEDEbIHflw3NdaU1XwF8cUqyMhO5h6n/wHEPaYM8OaGqM0XyzDjIEj3S H/rYiJHw6xLQKtIm5UWkzp6BtivaJyo90fV4gZUP3azX8I+HjmK6vtQaC+eO+i5E6hy+jgli1RkL iF880711epteN7ZMQstatyoY9pIXgoQq2Y67l6xePWdysS21pUrJ47xPEFb66nzVg7+pxPygAXxw fOsP4JeZqoOxSg8vOqZTWzhrJqG3me8aAytuBltvhns+nWlt5R4FadyADkYxdRD2SjvDoSbv7ggB 3YpaMvlT1mPOoclv1nhgCiLLCDZwysW4xy959uuCVuTGvvzujSalbxnpua4+VgqDN/o+MPkOLneV mb7eK7QL8vdbHaK1jwCMsKZiTFP8OBzlaElTT8O83QLqvahYMnYWVk6pOuykqtZwu8c0eucpDULK 9LPVlUwu68gXhcS8c5QyrwIG0IIU7GaUOdACWdANLSO91rk8N3vAljKWk3byCDJPhRSVHJHO8c2h qGP/cSkE+ZwEMkEF1z1+7/4o9TIpjyMLbtPNN8s5QPT6RGQhyYh7cB2IdceTzJQU4AVRyYLtm43m eDKE+Lg5x2b9pO3gtckrZFcYIyIVuJ6lRjBTwMmEf/y6icV8fzp/Nev/FMQTQL7nbLSksDrnmX0m RnbPC9wKGmho2Ae9jS3N6uS0JVxLszI/FSlUZ1YX05mAz1HcjX+glghsxaW+60xxnM7lQmYSJAVn SU9+nNlN7/ZCxntLia5nk+JdGv7a1SKJ65SM+b552PB6vTwQv2Q8bTOSPm8K2e39be7D40VSVP4G wJ+vGxy1yx9VEonm6tx8rhqXbZg+8xEKTyNb0vUGqcWPul//zdbPvAkp+mpXFfuysKUdnxRgUCWD OLX73C8ivSRcKxMqRgBPOhXj8iyK+psSLSAjvNk91OtytIt7gyPRtgIrBzB8tDfrlj70j/zsZhXy wQCpyPDik4BOlkHYi6u6X9Xf1V4ILRGfar813WrzbvIuCp+EfRZXLj1YN4/mng97t7Ddk7tV81E6 OrlMqSwqbbJOGgD8AsYJqvYMu5kAehg7me9OyDHdgn1nYPg26cehI64jde3AfCRDjqA+Rgj2mNEK 0XY7N2p4aLl6sE0bze5EqEDpre0tWOPTk6KFlbf023Z/ItS95aiImv2V2he48/w56v3gx+/ALUZV P6TQuYmwj1slIGJwO7J8r/nNBETRWQQSNtl9HrRdITWYOe8re6X5JVp/UnvQfzgPlWgGyoIbOWEM RjahDUKlvwutlSQESm7uDJKvqdLJ/JvwkGrGDBpIi4pVhK1tjuX5T0lZM/Nn47k/8c91HUH1Aew5 fzDe3rtqKH1yh6uSDRC7pVQWOtU+PHN/qfXIWKRynuBgDs5DYmAMZukkFXS6D+kg/PBzKcn2g6Ma D0siKQdYCYhwe8GBRTw4KeJ8MFG+Oq9HBMTVjQE7JbyV85ue813kA+XMVVL1Jn7aKTm5WcSNf2fF nj+Z/+8iC/xJp20XbKTPT8qCW/UOv+ZAGFnhBQpytf0kFHf4ZbjVnQzA5Scr2jLynChS18i71Bqk krcabgBRriHeERXklVzQXKdbsl7boZA8MOfDzjPsA6/fc9RPB48xcPT8ouM+aKQPV8/3OaFvdu6a i00o0X7d0REBQl/wmwrSRVwLbfyZzdhsizuyoVhRFQylBaPNJaAxe0XWO93GGnwWmOcYH4S8hal8 3fMZzZn6OL4RBx5Yj5ijtfENjY+EGY+x3jcvqFsnR6y1Wu/6FscB91Oxl7VfcxDDVbsv3oWdblVD w4s1pHWhSEfO7VDrdfATi9WZMGEBr/9Cfp/SdObfYy1NDxT5g1MP9ztg6I29lf2qrieiGkepHffK e2KSAbSJS8mlNehEN9HZJ4f2vMIrUbKaf95x2rYDszboDy6lb+joT4scRZTvwE6E2EhrwnFJyrwX hEVTQI4lPx2L/EzWSUWna9oHr+ph4XCeTcxrJjOfrHbnIeDryL2v7EiUzrN1ysshIt3Z6IRRD4cI JUxMpKCDIQFQN54Mva8VGxKLOo2WWYVR9LG9eVUmT9IDvC7MucPcu+HFKzSTFAM5wUabodN159YX uf2rdrAZHeni9evrcV9uU1t/ZtMEA28fEApoNasns9m2ZGDVzb1znEZkvI9ih5WBSPAx8vTONwIF 6qOsWsTq30I3XmSwVtOBBIc8HjItXm6WBZzxCwuDUQbbaBX6zo4lcC/55vG3QyiEhACdjZeHK264 roNPkysEHOiSpy0BvJ1jmshNJnPqkKiDnGGhdYjX+YU88w3erYmBOw1V8lRnb6GXqq76H3ePDp9U 1pinOnQQF7BJitvCrky8FP1Gx2CDdVKx8fr3Km3+bMvAibSWu9J96nQtbCnW+YP5ZsdzqY9zW7Gj 9tbcL+wTaXz1gKP1v5U9UTd00kr3pGrD6CnC5mHCx8U7jX+kY0FK9J0zxVUhAZWBLZo6yWPNtlmZ 88vVJtBzdWdO312tki+V3rx6PIupz3xU6u/3jlniFD7jEtW5NW/vRbuFlcfZqn8F5BP8qzn5wKJs q0tnYSdxS9wLsRxqIF0EHcMXaRwsDM2Z2JOSoYUSmHHRLlufc9IrPBrrilITS5chBi/2MjnZ5yeQ wWMn15UUWI+L+QbbG7zloHNB89pwnSH54HYTy/HRTnc6VbG6CCYKXespLVy/+yphh5c9JMpn13ZS 2PD+g6JMlpLIfrSDfRfWlkeEdN46hR3NVaHlSkxkzFCjcPLFvXkaeFX6G6wK7a4knpOMZT3cJpDf U9tzSuI5nYJrr3SHYN0vFN3hlD+JnUUAMqwIi4cCo5c5OaLRptmeE8EbHtqzYD+ZwdWJFNIAMeaE UVfX+iu4rBmZ7H/3xWPG96698t8hfRFN79lDzVG37xeSHO/oyOHa1cZieF0cyLmgAbWqy2lmQ27E SPlSW5PM8Mk4IWnXWUSCcyRAxZQrSgvMuY1JiL2RkwTLejoqoLq5cimLALlzdX/Ufl0XLsXCwH8d bfg8RcbLGd1VRFY+5bOQiXiQpIxkEjfuE0D9CENyY0adCQsuNPR7ocY78xU1dcSggfdwz6Qn5EFA Kqtw+ss+KR8g9fKhizFgXsScfHGrpXbfbm/Sf3EM8BUuVtQAl+cgnD74V9EBZmwF6tSQ8SayvB/5 jZR8w9VTpLl+ohcf9ZI+f4ST81MOXzG8vJIAcw9PqFoLPTCxEKVdevrVodWfy6WwC8aD63/0ANjT iGFo2iijW4dCwJwadXHlPYa62Fu5yRaP+hVgq0Oab/sulnKAF1oo1Bg8/oqUc97RAx1s4rTd/J/U OugRx+zLI5M+kMyvIxjoSGwLhPnqQTwHZyBNRW7CwXtGwumL5cgktjxd7l+07hkXC2EduXkFjRnf XLg8zMnYzNxDNCibXkwGLr/7X1PIqQ+dRPVrBK3R/l56Enzl4RxRyXg8p64Y+b1y1ABIIpCUtyFu p54u0h6KFo/FMl0Fg74V54ViNQgZraI2lLhWmPHmMo9Y5f29AiiuH7xLRQdUZIbjv4ejQoyb34D6 47eFsTHyqS/2WStJSCZmLfnE/bvRzBRorE4fs7HK2qIFtF79/wPzzWB6Ojjuyv2E1g5xuCogbE9X D9LL9SSYgRtWdgeiMLZMVEfX92ONGhlu6sFb87xuc++RJuzaqjKl7BgpaCusIFgAvUzGRqgj9Nbu NG+EXFNrA3jK8Z/1Z0t+XtqxUdZwg9s1RN8zj+QlzaH7TB+C7Sux5TvmGjMYRNXYzV/T1t1u1nsw p75tySsBcZKXmjRv/Fwsfn6qR9jE0ZXAkhVfY0EZEUGK2Hk1BeXSIX8XLFH3BdeSa2jM6zrZZBtG tfbszkvY//U6GLrTBzwRrOs70uIaTFGU9WI1EcGo7hnr+PPa/IOWCVT03pNcRlpR+sSJ42itpl0k egIr++g7bcKLV/n4gKaL2WeOIxhey5Tn97B3JSaESDZgaHRo4Kp7AJtoKh4Nlggk1f1BVZ/BRXwM PtqeTyeOYh5ca99k9dFklESbG6tMlMsAzK012CnAu5eYxQ0SQyrAYFyxIGKjsaVEUMp5bLm53vgY BADw0g6O2lfWEE+bPnSs4bpAT5nRA3WCVj0UGsIblGOuZObNgfaUsyjT5Au35d4TNHdzpT5hm+Vn rj3iccEWJwpUeQyBdxUriVzb3/+IPlNSI0fjN2tQSqTr+u5lTGo6obYf183AxoovrtFLiX4ZygPX HqKt2rjtW5wTt50ErnLk7UrfRwmBbGtJ1PTUKvo1HSuIcPbtMkNmbfnRX3bDuf+VYo0tc0LNwSTh H1FCGi6d1qm1m2LbKVeSyyP7mLsOb6aHw67yGOUBaU1DlGjOU/c8IQxOCWF6heItq/B+bhFJdwa0 e5xt1NZAp/mynC1YjKiTOMtyuGXinN2ywReh2OBPqVXqb/IvpWqRX5muFbDJ2W/moJ5Dvf1y5yHE k94+I95736sPnhIgRev4Wo+XiKWrWpdXccAI9AIUULJIf5q8ZvtS93d7QaQ1H9PtlbAfD4hJ/smV kfhpJ8ANXPrKrPYFvBSsLNixxSxPLtSgI+0FDjH8pONzrUzs55waIQm23eMpoLJPQLE7OXwx0qod S56zXG6Xl5Wnr+R66d8E5A41cB3uhwatFPwUGE09FYpgV9i6PFtYcInF2QegSdhUaXyougfT+awq mYHG3bY6AcTeSsJZY8Fe1bKvjU3G16Buj5vz7LzOD9RP+9FjlAYlu2Pu8vlHXT6akkUtlKA9/0L+ EwFhUM7RFFyiY68osK4WM34ZOuCHtV1vzuQSir1+bLkeRJ/3o1xFngiFlvCncNB/gZxkB7skycBx oVmENy5RsOqSmhR4gT38kOMdx4A8fCIj79sHQj8KzXvEvcObkaNMb9biuHyhYD0dtPA1rWKRZUge MVbXtxiLAC6sTOdHO0OWg/R+YInTrbgTw61SxBIc+NUVjmx9jAm/haOoQMrwQkntFNJ4hsQZTvp5 DAtu5F/FlRzEDMtwSJ4DmJH7YQ36dbUTkrHnxCEGJmLMuPF5OUqziR8xGl6SHzKy6xY5I5rxKz2S LMX5wWCoQy1xuZFv7ucQZsMqHNAx1ltzUQq7fAX1cYT1rkGshluuDtQYE5hNNfqAxEY1DD17BMjp 54oXrvXwiFYR3F9NL45Mcvk2gCVpFlXfp1pF/iBkdAFCpDfqN/uKVIBC1XBC3ysMi56UYpvNSa69 pbc8iTQXjUX7QkaiexXtEJZ/owwdGr4vLAT7DK9Rx4qCAv4fjn618pSfGerA/f3asK400OspgM+H SjVGM7qmjbzYP3j4Cg/k7107EO+dTQ19KzxDHs6ytrLLLro/Vv2ascmPLGozdkRqIeKsXb9L+vbd c/1yY+KOff2H7KDeIFtjatuiNr2oq8p/NLPiqa5NOJmlEOyQ48on58A2A7Y4a2teN6NqcJg8Po3C Ayn3nc/7oFh0737TDHK7OW1cFJrPW3bRAf07UKkyZMf0jDFwNzyKoCBUSzejA5C7oRoRd+FpJ8E3 vlQR5GarsFEwhTmU6oMLaUZCqNqlzghDNgOg8J7mBIKQUkrVisF99eXKFYm0pkSe/en9jv5nNGFw Acl1Z6v6cwv/rIGmDuhL+S6as+pJigRQZFfFVRQYqmK+NuWQR6X+7RU+KSFbL3HotnDaPZ+6DAJF iutEdyYDUsSnIAVt56Y9ah+mdlOTkkq+Kp55+GOXqjUtI6QrAKw1QI5vQoajaR1U3Ly/zGYNcac7 T648P/6me14rQFRJhhIFkvtduMN+NrZXbkqwodutbTVNZ0mie+ODi13+63Q+cvO1tXxsiRR5ScBZ E16rWQrKd+lMgfgmcQNmjiK57QoC4RKTUemJgcvT7KhHDE1hjI8gRB17oLwWWNhGVjtrTCRWa8l9 f0qNq1LAxlUS8MioCQf7vXSJbvbiS59QlgTewiVd90WqA+PEXDujFQLb+DMuECL5vmqdQNIWbmWR fb8ZoFgAv4b9WwEQ0iUg5dge4G47YKtxa5LDgrcM8RadjkUclRZpqxXkDeCpwC8d47AJPtpy7SDW 81GQSvS+3ljKkROglI2EnqW7C0h7mYs5ndCZFQhnpZHqRMYfQrAlDu8LSX4qGuLF/6zi7AIKUD4/ 3iP48fG8SAS5AiCQxdJfR7toLRfW5WnC10JKz1S6WLaaoCqqWjm7IS/OINS6t3sa9M54YuDpsE1R 5yaVzyCC01RFNEawEjXg1Fayr7AmFUX1790wqraI+vkYgrLRtDDUJTrpWT7RZl1uGjBREbkjUTnB vkn25P2GcWuyiVib4x0y9k5sXIW8PCo9bV9VWByAGxlhnzza5e5iS+gk5PbSN84jW3VijZ24uL+U jwBmVnqSED952at0JdDlM+bviY/GDvk5j2C/mJec8gArDc4ouNLLKoy9yXpBNnnuysBgQQQo+VEN SpgkuAzLJmS1lwjPNklrKVRc7FqjMaW8tMmxOh5rA022UXpCXitcTTHWBSuy1ip4ZOnEvgymn96d zRmD5m/KbW7SuQPyt1/ozAMDHewvP6f+CArSs8d5qkq9u6xmPGI2lHYAEa6eglYcmd8yN9NTlN1p Kn3TCJ2X52y/yS5Tm32Dnhg33Ob1cLcIP0iTg22LEgNlzicuCi6eBhDWZbzjrpdye8ttGC7keCzm iZYaedra8Qblkf0bD3Qs27sgfHZ62RbmmsD3DV0IAyT8Z6PIu0C8/QrSN9LwXt+L1LTHVjyu4+7a 1bjaV63ViI/rZdNEg2EQFVM6ihrmHcqxKq6r7yJORKh6qWJoLKmzlWg/+NE2KtcHgTZaTswVQU2c i8C3j4w004iois6nLu9m+V5qRYTsx5qoGL0EFseIPOjt+swBL8HwGhxtOp6+b89u6PJiAUlE+54E i7NCAcxFalW7RBrMCOPljEvaqr9AFsZA2eLkR7VgTca+ASJQY9O1nbo9PacIUjAorlg000wCqzCG EjC4O4m/CkZSqUfum/FeR4zf3Kr/1uzJOgk8kKxHmLAmXt1YKFm6GYyv3TPrwf8p2N1J6+cLrry6 B6VjOXCD2eBhaKTtxxRqt9MHISlj2xQ2CS7n6fIYEgRKEJFte1sUGSZwXHzX59zTbo1cfaA232Th 8MZ4CZT3weHgP2Dg4D6WnSIvvoLlqquuwe7WH7/wqlTqNWOI6r2ofiiBYP48ou1o+g6+hLFYkrev rtTZkjQZKFB7OiN/TLctgTnkT+kCM5eLpiMZYVKcJhBnMoKw93IuHX3XW4SzNAhN73nS2W4W52w/ nVX6TKCAGm+TrZvY3DEvHvIaTQidXP2Rs8a5karwgYMnGNDOTCuKNvL+rsgLAuWKOPYbY+n03fKs /QnfYcgiKON8ApsOxcbLRViTlZmIkYaxHtysECq75G5G0Pn3QECsnJ4vRdZYKNu3XqyIUvnOVdoK 4wlY/ssJklZothowIo0ynDGQRqfwZK5bxkl/eUMqsWSGm8xJWLr3xWMQEwxj97UPSoXG76HK0y6/ 1NADOndaOQTa10fAEUZAbuXFbm9S4iC0J3GlqGlZ0ZkEUy871Nt1+raYF3DLB/e50a+g8XvBgnqT KZ/54ZOjGl/ERChKxLuzffh0y15nctYSNN8ntyWABxAY9RfQfIc5AzVOCuZCQcjQtUBjxGLkTPWp czfYZFWu9WvPI7i8l19pJMNg3Jhowq5/Z28bjdT1kNbx8yPtADzhODwsI2HsCevn7YWYg6+Go2pF eu1ROnq8X6G13MbpX2DwZmBHqzTn5hgVP+N24DQPVPye55PocVRe+KyeXZ9a7hSv541wD34v6zBd dgRzQJ/NcZsQt5f3mipoN94R7sFmXGDjMyv3QJGLZS6LVf/NdW3f+a29KPX62D9Ty4THU+Hop8i6 j9Ksi3GyqhQlUOm8ZYNJBXz7pxcuNuFBnKpUPFbGau7+1TxsH7UzHrqcrssbQw2VjwD683FVAeq7 rwGwuWAz3bt9QcCOX5y6TIvwhAmt8ejITDlZb7AcNORAWYrGRMVYn75aZaMUxQaOu/xW95jJTTTD oCaMswLtREIGPhJLoB40fURGZQfv3VdP/g3JQjRtzGu0krf9Od985jKWCb1MaX0V4/dRnmre5NTC siGI4+K1cNXlRPZgR9UM0V40+2kH4l94A13DVhGuuM0PH+HL+asrY4QKpu5kqHwwIOB9k95qo/9I aI11LY7SpzvzeGIlUkcXfEQ2tn8DNZvFkOnbBNPH2H96aNxGaOEbPEwvF61EZLigM3kl1wRd25cS r1aC1I5dUZlbILGHuC9WvsfzL7zaelYDokwkLL+eMSars6ebuoCACERLsp7mUZAcJC5AdI7q3HLT hqShjzy97HfThJtGcE/oMv0kdBOhQ7JXal7rn/O9EL+fRecEqX5aXuSK98je7yYI+u7r1kV+/QBN azP++wfo+vbjadqYJ4Rq4TSicW+tH2cGMwSumLe3yeNQetBuI7q5EmrThvuhR5ZF7XRizvj94XDO jiBXQi7ovsTPrMQQom8K9f5gmnu9qgaV93ro2kNdzkZqXW9roUssS7UocNkMxmkwJiLrQelFz4D4 Sndmm4TOjGuYGd6V1fSkNZH2imtmKB7ECplSi1urZndg1sTlmiwUowa9dhHsYhJZ9x0Vmv8r+rxz XgoGj0FkTiEaT8YpsVPas/GWp07hPQSrfGYYO+Nd1gW1WXXdmcWcmo4F5LmyiuWegz0mosXUl8Tc x7rwOyEZGBfwrQVQxXCiRggRnFs79JjP5Nu7//qk2WDZ/L0d0uWlTA+rv+LO0YnGUv7Gj959CXPN c3ed+oog5frqiVZexQMKUKz44fzp+r7vhuD0X3flGZ6a0pCVc/7rukZo3T/SdaNboRVR7VNtENIf TuqdpcNXv6aeMAKkwz1j40/Ii1QuIHOSjIZ/UO4eNzOk+5U3AVoKB4sf9QxJpHMh92kKf9gk8BMZ AEaVcazXs+0Lhb9YLPIZJpK2/Q6tZVO0T7eMfUq2Gajunj1HUjQfJehAEu+kjdl4c3GcaSOEFYiC 6w+YG0d0GoZlQcxxVQhu49ci84QQ+dEpSn7JpX5SGiGJP85pqip8KGPrB8vfuDGuSVTRqUYTomMD uNI/AyahbEBv1CHe7wmV9xhSADGn4dgTkluxbyFL3ODZ4cZTC6XbVPdxT10V30l2OojomJI0lPap +q0Sj3AoGPfd+aIpoW1/GYg2vuBZqCd1vJUwbAZMDG3kf1/BwTor/OA5ziRV3mxir+ipa0pTc4xH jjcxuY5Xr02H8bwndciS2WcJq4UBsh0kp3YmhJ62hCuliWAC8Og+OOG+OYTWCGC7B1zhm+eC2mV3 sLghU/Pyq2wr+4dI9biTvL8CR26aZQKUobmedsSMgiFSsGtrPPl7uHHl5Caa2HO/K0fohpZJPpE2 YarGeZEAnXbZTgl7IhGjM+14pwGO3bPyC1F6lzzg1HWW9t990pXmBny4HfeUXkz1DyAurRclK+sA Xmo+f4O6roLqEDdduTRSdRsIKrjHPmN8KDTZy4Vuds2GFNPmx7WYCshcClT+UVUtCYUfe+y6Gbrp 8oGJpmdRGIq+W+JUZtIMwl13BN4UXDGQvi08dbE/LWFZSwqA7Pw3hy4C6N+h9uE20NieShzS6jxg 9jacggUUXM07ijLRH6nM/QSVkSWMXbTt6DlYbNuEmCJj9tWg5+IxendTnaGIYOAMjniG1OZj1gkc WV56SxcdTQQqL6w58SAUJtzsVaOKnVLpRFjixpyu3069WNLTTYv7lzZo7yu2uNFqlLwzNj408M6n HJZxsGyZiynFru3XSOXk9Ow0OWwvoppZAlm7dDRCGNPKgSNsIWZAgW4kKwcM0DalZegM0KPtC8A8 8hsUyStwawNZhT247MqoD3jesrI29trd65uh8g9sVaJJksUVgqJyCaljzPDA7ZqHzrOWKjJ7PgOn 3hFieARzBbp6sQSdtp15fd8E5sf1hYhk/52DpOATc3Z2eeiiRi5LpnWxxP8embIE7sElLow+Geyf ZaM5EaIu1rcNXrSfJRjwEKEE9QzbKDQ2/LLlJs3cc/vetGL783bq4VgecOepsGePIkJSQY3j+HEY C0mji7wa9LQaQ7d76KRM2rX8kEfLIO8cJ+calS5HL6lUBMe+CawQkkXxgw+ddMOuTpsD3dN+Ljbf XEFbBeli72KScXHPuGAASXAhM8pMTm7Wwb9nrJ70lIlb/X7YROf9laltBoprNBAYF+JKOkIRgsWo mHzLpM8lGKAshbNk4S5PYUhjVYBCJQ1c6G4YmZ+gZFUI57wtH1o/ER4nDfUBWZXr7a2YKCfaxP/V ndiml+B/+qRMarhIuXENzsvLh4EdztnY7hXoxXTmdfcdvq5aCMdrTUGuWv9uuEaO+9nGn6yIfJQQ 0kq+JXc1Lmih5neExRIWK5Wah+zsyMPa6xmfdru7fmoXGpiFpEl+2LllsredR/jFFheNszLSQtxU gatFbo7kVhgJXCeLUgN9oKjPD2MbNLICqCaVTnX8Sgrz41JfW6DXogAEnM/myAgQ9mU60g42mNQy cOK7O5K0+XXfX0l+I6WX6tpYjiEaxooepL1ijeGBFbSSguNHYtpvDvFn0yvFmeP76ObIHyuo0yZT HG5l6KM51zmiVDSgV7if+D1eTYRJfC3K3a6k+r8qkZVKMdHkiMYqj1DytT78/t5Ez1+QSIXilw90 BMDsGB1xrtchP/KW+LgaT/1Aj7bAbCby74Mi/7KSipC2GT5Y7I08TQOC2ZiTv/X4sNwm6FAnIOqR wuE9QHdyKd0rrfxns4SzcDNlDI4vkSkp4fz3UR7Bhcxt8xcs05kpyZGEeHPE7Z5zSjl4U2zPT3/m tteW5WBD/JMU+98tENClxIDt5+yLyAQXvctIauFKfacq0vJR2xOnF39HyFBcSaUsj4oI+7lSt76N Rw7uUx8YjHS+ki9cybObgwnvHL6CPXw2ZbAHKxWHOTH8Gj7LcocBsICCftcuzprlpxraCMpdSNTH VrzkRxxNJtKUN8CKuNIYxj0OFDDo7iaOZI9r2ss7eIgBp4IP5S3sbHa/bRdCdtI/GIonTsjiWGyg ffeYYjrYY0hK9O9P3ipalQNLRNFy4Ncekyz7vs5uo4oyDkdwAhdsnPtYJW3TFNZzaTcnMA/ai9fx asb9p/03Mss1RK96MR2HHVwyfaBBoEDZ83Y5pCS7WOgJ1uhbdUtNlY0gsuuqtSPrb948loHNr/a4 nQxbDd6Ia0UlqcKZsuLXROvkFJTjJmy0Wx7BllwQrj+k1HI8A18xbSERySqjfq15iXYA+Z9F0Zg0 GweencuIsWrGaPEsMA+oLfZjXsUM2ZYeb2sfLnuRfrPvGynhodO4RMsNgtPdeeJCBJI8842/tHjq Jftdb9mSvKsNydfPlfUPGZOjwk64NP/bUDxJR9wEtx4nFFToS2kFFRwSIFnprk20Fu4yszmOplaF L4WOxG2AtDdB7CQ81/NnqKsRyLNrx5ZdDQAq8/tBMLIA0kzIMEP/l35OINk9NZm97Pet/ncgUIwP WMx42bm+3Ahn9NhNGma7qpEt+sWTbZcMjO4+ITlTClCKKkXslPJeNN2pmDBputGlsIn0tjp0YAXS slIKFdXvNduDg/YBmAhjVuqaNSKB+WVFgrgpc4RKelG/3jUwvlGT4TufbQkk+PuqscZ9n5RpJqUY eEqqT9stlVLQh5sR6hqpMeeppCbvy7do8J92b9DocoAUvArUbVj5J/JHliA8bTfezfE9dCJhel+b eoLFOkMRbnaEFcQnXTjZSpY2wTI/6bHOouHrb762mmOa+BZfBMFR368VPVu5qQvCxKeAWrP/SlMk LH2UUOfdpU4BAasjqoeAMBnq/pcEZzZE0b1r5fKyC0VW594+fuuP7ZD7ZNEtb38xCRKqsIY17hjZ P+vycKVDdUD3GsyoAp3Uw4MZaMwhIYrjlPqEauBZOCxmAkT9idX6+XL5Ydcsli/0HK8N2o6TVZ+n ubSTRucsbqzVi+ex29sW5v+6Y/oJj6ik0LvnEDdjgo8xzeyU95NofMNLh4WCCUU2qerFKfoyEl44 6AOemfXJ80PxK6fnqLLfNFDbS/Ejs5Gc59AwxUDBj6CxuPMmvC78DHj69HrHoTfOlIBgAq8rVTyp hflD/TDUycmB6SqU5zHK3UgTA5+iZIRw1Ap999W6Mpmp0V0QMJQbzUCS8DXUVqAkhGiSEt6qDlKl eohMoSuP3s90maSl0jUqmI6y8fT53ZkuNCv2UELRQj9dMl+2do0f0RS5mmlh0WPIJTMSDZnLiPei nj57aCY6JePd8knrrPtNHbph/Pcrqosc8A5eLq14C2Y6ZhVb1gSX8lRUhL709BsC8uvQyWmxqsLi tYMxWENU8b2HKkV3H4nwUlb8ZNh7lkMsouH8+QjG4nlfXoUlT9wA278T1MaoM7MkBD2/hGvYtDHO 7j/pOnsDsXcz6voJOHlzDictFNvNlhufiCCsQ4x7V/asqo/mPWcWMOhUE4UpHy4Z6BhVz5G3smRI XlxwSI/8LCYYXpQJ0Yi4WwbXiB5+pdfwCAAwNb7BUmABT6F+nYaXj7Y+3U6QffEy9rcYm1be44zE fSNSoQU4lF5NZ8HjSUm8W6D9z3v2xRqx0xXtWQPXKKe72+/842wtMvqWRNxv8eDhDcIjVnwfj/x2 EiocThH4fq0f1WPsjC5IMA+uM8QvQPVwqgZ3z12dQPO/12KYQWD3Na3A/AAVRUDEsqGYrVlum2ku MY4EiAt4w3jhYIwKd9/04NJ8xAB3Ln7oTrITiCtpqaLcxxZFDgKo8dp16pNMX4i5+O+oEvhAV+Uc RBas9XZUBEWVHe6gE8JraBXRPnfpVpBwx6yJQE0z83wfy93RYMViCzAlOwPP963yaZ+aJLFAPMya x5UPJ/B4cpyEAXvmZq+4t1OvNLAkSbmG9OCxeXZi2BvgSqRhEogkp1s3i4JSFrCnkyqzgecXlFJF QcByJumPqwHeSN+PLpMGa4zBMvs/3amU/+u7H+j6K0sMpouGw/NmIrrQQ0toNBshNoGfxQBrvJJU JcSdS/Bui31oM864flMnxHKx7s5eHJrzCaFvS7xXD6gGAhAe3s6N6TyWbjFYXOMvnPF9kVpQPciz eqKLSTZyRhI6EF4Psg7tVFxoQHHz5Yi8Ijco1i6s9mZdJWzaBX6Lu/tlkjK9+VPsw0pw/2VKG/Im fB2szRnUQPq91g/7Msq7qBg4rPg4o70q5O14avmHWMzEqPTHz2Ne3KnCNx/irPRcrOCX4k6A8lC1 pIqlNCy0wQrLDPmhgSid2yHi6zVriKvQHYPd/opJ2lP/1guy8chW227sO96yLgYx0aWw0darRauH 2QdVON3YQkaoG9HUgK02LJfbyt3OAKgm1DvVaYnQhup51oXhUQcvkWMOYb2iSVcXsvRknRd8gDCd F6qDT4FgZJAHh2n71E9+0NUM4ToMfL3ej5DX6xW9esvjbV1NxdwsAiark18hE0h4Lc2MuaOMD9Tk j4ob7w+gbE9Zk1ktsREfs2c/GWVaP0kPPFoLYFtyCxXS4dcHc4QZO6oDGlG1X7H2zhWhQir7L1yz e02+EhX3uhURGWe/x0o+iiR5aZ3BeWeNLX08LFCEgSo7MHfKvVhIp7loLOooTJsi5O2bFanzMzUJ 8nwVvMqZ9F60UGP/Trm+FQVc6CdCe7Yx+7sy0uAR/GofA2U30192aIKeFhVlFb+PoAz68d14fxYk tfJRUK00wPV6b2pz5tcjNENuDfW+C7Tb3Wha+xdplW7SLfSf1LskYlWI5jMHzPN+N3ZXcN2Li3Kr DHo18PlXmaJjkkZYEyv/3QCPYWnLl8M2g3p2SJ+6VVGOeTUB6zAQ5sBQA+oTzD/HjUiufRC3yguo 2TY6Ic6PJ78qMFvjZMZdphzWmQISmW4SvpvC8btCOFoHiB7OxQY+q4orxDs1jk5d+/R3Va8AscW4 sE8gWVtqnjNAWlyAgolOqs4YmHV2KsYVAuDTI6U2WbTsZFdCsj+Z/RpzhmTKsej9kmntdkjpFh+P ouizDVQjwRKm+ePc85gXI4f2uAeAZe8qYngSFtnxLasXNGjdElttpo0fywXtsW/9xPbw04099z2b QDbDxsjMzURi6/xiGnF6WXRIy8pj/2ZtDnsYtZcclFw63zqIwjhxR+2KEQPhGdhpX6bBErvHjDe2 2SOmHTSjfC3PLaw501I2nglamSlc/UNMjPJaEfvk8bfWau/gRf2gZH0klUhU7bG2UaBkgXQCWhgO E+11eolFuKBGSOoPjx8JHCivmH8QFOTvYCjO7DKkPqxIwOYFNzIBQPCZ1ULkwWAHJS3Ew59OcMxq QGxr7tm9zu4YT/GAIRNzdcZL+FCuhjomrAnhReJGgNUitsetfKm4hpmzwGVOjr4RY53U8zjXtiNK 85cdZIsskwPLvH4iD8vYlI7TpFaKE9ynb7bA4BWLW4vN07Vp7P62UH2aEofs0W0RAEhOKN3SLeE9 mezLGiGw12dOK4OP71E78F//y617201m9ScVYzL+CUrNBA17p3RhahyUWrChS6QlB1at0JhwBQuv DxLGQS5mWhMrzBxkVmmHcWr4vG6fCJgTFPjRhBdO5NomTn+rQQWbYZ8EvGsqh/FOBV7Z8nO055p8 VprLpbmutsZhgtvU0ZTiXAb+HZ8dWNlQYWAlj26FdCFiRPl1rFeSkqyVNNOlbgVttL3glFO7fuCv FntZWo0+zs6AmXyQyTMrXvQzMTeNJBTKLDVti8f/pKa6gMKV1u96yS+T6z+nLuenckpPWvCzCuWQ xn461e44pWIpBYLBgSyAKWEnG0cgkX8VaiVmRiGvkumHsmq2ggAEIAJN22oiGdXnovx8aUQDCugA FO9Ceb3nrHucpv6u3gQGRTKCIjL9iFaZc0movGC9TmV8x4bT/+OeXQt1Xqf9pKiepQ/0USkEpOF3 qY+KOUW82ygE3bqcchVsPoqdNCVDMpumVrcc+/GFAcooIK2LfHBWk67NF/FWKlaU53aaMamHcfQK vs9ZtIPqQN0w22gG+EsR4KGrR9rah3oQYU5jF5zqBQYj2int8A0cGlVmeiloJIJfrktH288vSPLS uKhAGkyMKKUSKnETSsQlu3z5IrXfCaSfO7OH0AV2wYWDmYEdIbQH4JCta44sXMlxtI5eAk0iwNNE wheRvbq1nNbTimQBa8qSzYvc6zPy10OiKSBWVbIJ4sBCfFO4T+Pdt19HVnjxk5rtSEtlv3gp1Eb1 jlhczR3cqey9XlbNNmZzut8FNjvbhsQFea/LBr4HO2MjVQTRSUrULERHpOdcMHKlalCyk/pZ1WKs cw2mQzZECIQhs7xnB3nVFRC1SKZUcZe/uelp+gX7wpaNKUdODIR6B6TuQZ+JCoJySXfy3AVBPmGN ISq6nKpkhSC0/TK7IwRERoYEl7ArFc42dz38FuHC8bEdBA3IEof0vtXZa/frVGsY2At/akDIZZHu 6LUkeaEkLisHdEXlcyzeXuB3TaH+x3YsEXu1SGqUoKgbN7LVn0sEo/T1rF7kS+Vq1iSdDvZqqPCz dBWWfDOTB6IaBom/oDjeqaWph8v8EY4WY2IXEpW6GcD7M1wG+FB9gBSzgHiKcOMzRe6yW9In3H10 f4EY7nxTEsQbCpHIEf1B2eb8GlvbWjV/PpsHYWuugLXvSVw0dgkzD2vJTNhPxxJe7aLImb8argzR ShHGM0f7OCa5iu+FSupgpE4vbc/Pvjpxlq26LfjBPKnAl32ttnckoxxiuYbxpXv0yJgsiXD8LUq3 o5r2St+0smgpEewm6m++7Ff/m0YMLEBGn6moTCHJZ4Sq+vKwWpXV4keJgVD+Dnyxbmz1UThG3E3p qhQVrNuKhAgcrhT8CDIpTGBWHP4x8igYE/EtJsIp5hx5+7tkx8fA2lc6+PKGJ9PXrkhDhrm4Zjmo vZsz3V5HGuyx7Wc2eFOjtz1UYSquQDsoFBLVCTy3XfiSSG9xjTjm4bCudddcpw3HjPBL2gUgsw89 ljR+ZBK6y+iXFj5q778bnKM7BMTabqsZO/5SUaT8Emx28eecjXe3tzot2LZd9lAaJ4rWDsUgT6fI XT/SusYe/sPzZ8yv/00pr8NI82LZZHR+iFycA5bkf5HT65Xi1+94IL9YNoaN0ExqwAAgb8Br6oAX AZyg0cf+nEG7cSktGNxHi/sHQPeXjiN+JLdpojCy7W8f2WRE+Wx3o6AYVUn72YXLzZfCHektnz33 cKtAHmLThmUxJfHyKpXzDH++QgxylE7XUgzkPCznO/HYpovzFlKBY1rE20LVvHStStg2BgVQbZ5q cSTzVrw/WcnfljH+qfAIcFzu67sYBxc3zlP8ZhC/mZlAa6+KZTayV8XDFUI/dXOSiurdjhhPx3ae HsBPsVRTtht3Sy4N212nJM+QKQfr0HX88aoi7u+ULNYp2/4da0W0ZZlZ6CTEtw5pvwJ2QTlW+nze +Sb0UZh1UhWKqPDcqWqY+gk3RYLeHtP134R79Rp7vWdCp6Fsb4mCT3m1PDUzhE7JgAR0An37iHkK wElCEU+XDnTkfhjjM4atazeLy1iVoEZSb9qCMvByjl7yOY4jbtE7kbW852FWwCcbiYlAa91sI/3d OgpPHpM4XKG8vdYegm1cbA/lLokM81xnKdbhYfUMpRLoIit5W4Z6wNB+PpdM821Das+oDn3ylxPL q5gs2czSnWp70zWUOqyCnAYj+tB06smc8u/AhgEtZNIvPINt0lFrIlSReODxqoAAJ3sc30HxvzAm hJpWAaBW6YbQp+lSGjeFR8/cNXfrBVpxGUbFSaUHsh+rWWI2NT24g19uMaaCD2cm6SV4Su+tMmFm yC0RadsrSZ9ieYdemPpi114mm1qCNd+cNAykragLqq7uhaYbKdBjQm2FTuO8WsHGcZXPfl6B53fR Ndx6JIwd545guQVUR65MQRjJ8VHXy4jyce9kIpgipx/9cC2v8tiixiBxIOw28WGOQmUqnYl9QiDh kPLIqaRbeqpfc2gvOgiPshbzHpYlYsRlOnHt/RwGFiEbnKlGcSNJEoqCrSBd95XtvGP2/Mi15Tzl pVZKqzMb/gN3R5XnnpMweJ3X4lJvIuO0I6br/AX1lvNoJRerHZMDkHPpfNj6k9T3mkzHQ9iRdFNE fJAyvz7AQ1bTtgVJqjCFDerFIGHGFRkKa0z2wK+DCEjowu6NTT5woRfGmRMSdZDQiuKSbQRHfUeo ekZuyUvM7gjb8pRZrSSD333wwE3yOPj5620epiHGGBYdRsDxviU6W7KRABfhkwvJyTBvU4ITBu8Z n4zgWk0dP8T8UHdqinxg0dnKuZBewyrHkXdDzVtgdSh37Mt7Olk1WWWpoQQUX/PISzUR11cC8lBy 1LnGnUmXfrB7Nl0L4940dQmb14+Y2BGdCcqRX2MJ4zID+4//5H9yhEyEgg6rcj3o9cRjlkiNOKhA GlxngpQ57nkft5W7LOjf8cDQM966O68uTCEhB1VLen7UiZY440mr0jwxZLA1Z1iDO5HMdekK/4k6 o9VOXH9SUqTRkoIetBy01tn+ZOBQRGxZjTwwhAKGCX5em5TdEKxGGnUqCiECl7VNwomrujnexiIR 2vzHAa7SvphX4nh0tn5DySmnGxxJJWr02aM5azcnTidLOe7/nz5zXO/fZ5B7fE0GzKH4dI9ty6RB Bm0A9QfUqlRdMFgtFVUOC051Wy51hiYPS/4lRAHQaLGwKCJab7drOLr4CqlFEOK9VSARDay3eSbY 2xnOfNVw2zyZV3dlncYQZaKU39ezKR7Qk1QnJJ57QeDS9PDHUlNmsyPDWjFrvTLXnU6cMxpoA+Cc FlyTpgQZn8pDyUyj4hAuu+krLGEl6h/y0mSgpKzsnRpSOBTU8eLLQYdDjrH5tJ2WI70CJlg9kgVc 03LAjcqF47mJzRdYKN6ssezSHe/Mca/5VPgrS2Hw3adbTecQBWj1N7Vi6QFYbEzKIGkWQxHdspQe MN1HTCqrVxtgKL3e6TBNr6O3zpYGFt6ppWM20ia9XhmJmqyRUmLpswgXmLezupNwF24Yqu/cKJbP N6JEqD72AlH66lwzjzzDXZVDzKA09Yz7zTNKRzVSgJxM9+bnSzGf7c63bMNESFYX3hhDZeyZUEhl epoWKMpE2vkhGFIV1UPGh5Uy/aUXEmqYEJ7pUxgFMu1BQKAquztNPSCnSuAX7JClu22Rw47j+NmU b7qO+Mozuw7jkeNLWNB6cSl1rMBHBkruVRIZTkbqhEpi6V792T3Kin/OPFC5zW5WR5QgcL38rDKt wmvIde71Kti0uRehTdAi52lJbF6dyuZACUBRBDF7QDerOhYTje2dq3V/f53GJd1dIXFFXL7LmFt+ e+J5yCoGGrnnDp4X7YhDgBP3V7rnKD8PnyjT9yeYfOqFSsZNIMJnQfd7jIN8UvhzbW4BpmKV4jPj 1P6G+viVZxJm8gjGc7+x9NAPEqEDNbH6+TayfH5XNnHuos6nfcPuCVdwHBkjXHtpiUKvdhvi5RNQ Q8SapYlTr3pJsgW6HpivC5G+Nka15/LPrSGp4y+dJfaeTr3PSnOFrEyhOSVEqzLvbTNFDTGVzdFG IhC3tQa7zFdEKa7BhAq8cKCotzAhAhAQa/bRFTYk3Sda0nk19vUWHrQ6QCTkilq42/ZwRnzbmPv9 NJTcnDFVmEh7YNbhLYGhbPqZHJ87d3zPG+EEEYDRuF2mt7P5FUzieyMPL7oJLyDz+LryQVi/OK6+ 60UTxjQbadR4C4JbHI3OViXIp4cU8aNq4tivqupXSjv4n+KOfij37ozIc6R5QoJ4XRhKdwsrttkQ Isc/vd3+9yNieUlB0yXRn1EBb2BdjufOK/Ric9XloNMJBP5jMMHtd+3zKuooDuDD85blIzYQDuQF chd7m9DM20sDsLouC7VJxpiIrw5wXv3iVJ13eVtMzyJ0TOsWV5TfZaCfi0LFovM/JL8VeBPttMKF AaDzGgC8hcThRvSahWOI/ikuvkKeo6J/OLRgFsKNtgZbVcK5nHNCLHmeaYO1Gpgr96oUlLeuFy2x FaiQHIvsL4oRnhx0rl2bapPOqcn0mntkcyqfnKguSIYIXnclUbCmMnERJ178EPPM6BFSKlPbVIeq XOqZlUXtIG7yGAu8QqEhE/CmYiLFZeptHyiozqVUTtl2LoD+nvpWCiD3OHVK882qQ11/VglsrjxD KMFm9j1XUsrxJyWEJhzT54095G6eql3YTBrqPsE/Ea7FJNKsxz1fIawxd4+L5gE6bXqwyOVkcdNY 7RX55SxkrakiBv9IoIw/ku+LU4zeBr1mNgYYjV2cYTKNMhGbd3RSZ3Wpi86TvuPL9I2d3T3XE++N d0UYnyE72nG30mlsmt/AFd+7kPBE95u5wBATOZNh+srh8SQDaolZTEow6n/tjDNOfpTosIhz5Z2+ 9iiRtsYdMSV3SyQLCif54Vjdy0Zaqjs+BLk2g7GXEt7G11Uxob38mmS6wzgbEtOzFKL0FUYPW1JC +GMMpeHn57mOmIhRHQ9kuIkDphKFy+hK4/+0wltsYTq979Uwt4R3NKFdZ42nMBqkL4IUUhA6aaNS 6rPXz1ppg4QMm+QwlAjJparYucJV4JZxJE0cUK+NL4t9cZhvf1bSIFoDQjMsVXrVHq/KK2Igl30f GJShjXER28qwaFEPz0yDwCF2YLxtN8UqPn16VNNjUWlrYRMGfTry88RypwVN8CSTJ7Td07xIJj6p PzywGfe/wRbd9ilWhBfFtKSFJ4GBOPxXA0Hb4rIICTk/KRQ/+vhKezuoBqoYf4YR94+3zIYYMj+L sa3otZlD6zDPCawfNNEshlT0eSx3615JbcPL3FzC8GnbY2BounosqFK1JfGX7KTOQdQnM9HGnX+g T4pfGt29M4qEvGKdhSr/uYmozkUVipXl2iziCxoj8q2LFJUepmrM1Zsr1K8siEsD87/PO+A4u3HY bdHgOOeO2HtmISEl0dTr+DF8D5CgPN9Jf1PkrABKb1a3VQfUycmMdISzGKEyNgrZ7PXKyPLra7Ih ktJzFGKZmH7VltZOpk/S8kuNSS0+4Kb47czRPWombTETExI83alWnqr2YNO2B6pbyhvmoe7f/GJB HKJxAsSd2wNSoDqRMRDCVAfOPzV97ztEb5jTvpYzdyvQfYPVTFIDuVPdPaeL31XLUNpcib1x2CTm U6rJsZosHgSFuuThj9aRbA7mD1hqEPoH5q2yYgOCWyug6MR2V6/UWXiJmSNFeDGs5PHRbV9uxDcW 4JyNR5EruNIbxnJIBdDBlkUkphWRLiigOg2nyIsMu72wRzZh0jYz+GhCSil5POmZfOKhNL67s9Wy YfM3/wpvipplsWXmJnzcMwUtl5I19qPOJ9IBxpjAUlftg2fqegBMYJYw5dOCs2DRaaF/7w7WuRUR miQfKs0aSZJ7rJRHL4/yYrHtxGOsF10UI9+47TRsV51CTd7llN296DEmyBHP/KqiSMU1WDbItNkm RHnJ9s1LtOLuxNwA3C3wA2OYl5d/YGElNi7x/5JvjR0YBAMT8b8Iu6an6JQCJapw2DXm09/HyA4p 1y9gsbJrCPKJktO48BzrUkUADwtLK+Gcv5/QEWSxlZUZPEaJv/kmvhJqTTX+5UUHv7jTXIWz+5ly Sj2ZVLpkqzYbzNx8ZALnEH+prJOJ8SMikj9f2z7UIujy5lTbdDqfngMCsowlsrhRUuP9qWV/D2c6 b1hTZK79Tna9z0zfA3I0eJ5uPUJHy01wyeMctpBkkec0lBJAbq53V0qRzf4dQqyf4O/nUQI+Y1zh 9r8c0N9xzkME0/28Zfmn9RBweOrvDLwIozG1TkyDEU1ClEJoqhpIBpvFp0xfB09KIsJn0dT+yBSF BvqwawSNLkQLAlak2AGKffhci1K9irpi1HLb7kyT67XZ5kDZYAmtUQI+6x5FVB3ydyp44SQoF37r dxOdJDBBYslkGT4ftuTFEEXKzMekCLlM2xvw1/sP5GGkPGISUtKo9DYxb2eFxLjE9Mslg6eRvanf ozFFhxm537gIh0T1LfZf/Gr91oWSZlsh6LdXVUxMqKySY3JoTjsx2PsivplSIV4az3RpcnoQEQUj KgHiM2GiCIwljh/eVLGBQsZ79FK0UMzlxrXhl8Yf9Wa69aTajJteeY/wF9jTMM6P7CSTIkGeBVFH 5OCUT29D11/QxG6pd28jdRFZv/5pQHOWX4fHYmnfkNp9Kk7wj6LZHrERd8TCuefKtEg5whSr1ESl r1CkSsyEgcaXDNJ+yFJd5EQrGc5/l+xqRoeJaoVSYNnHJPeIRthVoSqFlTJPdy5FwWlSWHC2KZky XxrILVOowYogdwwrIb21AOPg0cnYYEcsNODYPkoXhKKrL5a6HE9OQVpqpInZsF3aRyWTlVXnp7HV 3/0CcXFBvUfnH8sqkOedtnCLYDaBMbd9KXnUwiNCGkZVqZkYja5MKGebBmHEWJW8U6DwFLXyvRj3 hvjZiV49NtfsCB/ai3SLntQuLN5gF6O9P2ua98Lkjr3EE8HybbUaNoGXjQgHuT21c9yH6xbNCN/c Qk8Pn8JQp0U8QI1gGtL22lHU1UiQfQRrpb6cSPxzRg/4Fv0QhhrcBZ1WXrHFQfafnlK74cLWyTN5 qUBKiU/ISo2HUOBfDHj9YvAv7ZV8mFQ43CS8WmmJZFOO5FLcbzxHJGL+Qfk/RzqDTf01hBvJqNkY 31LxShnGybSLEKS1b6K2GGHIYb5O+VWgiAZDuoSRh43edMAme8Ryoi0wOfhqLO7FMgnyGgZ+e1Ic p2UBScKiABbtsBidHpZtk7iwOzcVmMf1pp180ieRYIZGkBKGcYiFOlrfUdfotOylMvH2YMoepuxe Bq38CR2JT/5J9CdgkBC2Kt0sUV4WsQa4OCx37+j9JYA7rYB0QMQiRjJ2RsIRc4+BoGDoSLi94Fz9 dTQpjvuEkfTeCmktclYc/Tqx6neRnMaG7p0jKH8jUocH4al4LQlcM1CfccuW92y1/fgoMecnG7ot Ukowylu9o9N3Kn6F9kNbvoTOWResK78CSM6xhtLF/UDTk4A4/g4yzlEpUz1YfXqnUMsyw7Eb6J4l dvyew0+XeSDx083oJsYuoWiUyKp+7poInEuqRyVUI8NlotCrGm9gRVqSkzBw3kerzSfQNlunhEvU e+NrrbzDgJblj/jgORfcuYD4dzAdWnvcqMSrIBykD23jzQcF7EELfI/52OklhakF/uixxg3wA7LV UyxO0oaXqHx2dgM6FMCZp8mdTYwngB6rK3Gz2qEVMIKGaaAmGTOR1ucmMTux71YlB+fBbYGdcj/C Jicro6HgZWkZ7IYAWGA4VkY0HhN0oDrE2auEZdsggW8WqHVuCXy4cloXEq8Hk7KQx5a1b7APPWfe N/FbYx5gevnzcLIlOpqnltRbT8oCSvlP5sMiiBOwDgkDKJA6K4xQWK9wAU3hZ/Ri61stdIO1tO2O iXzq9H+2e1velV6B/KNOAQDHLohk4RNGBTdlHCyQpWeAu4i1HMwk2cCRx+EQsZjT3eVe//R6j7MS HFhKkPNUMBY0kX8EgRU4JjuNjd4uYXdfUWek3ytSkr0ASESMPI3N051r3bGFgr118LhpAhNDKuer F7tguFzjjug1Uqwwx6rO3yZ/eGrw0Y/vS0ljqdVwD3erTj2FcW/1DqNu9OsYeoNFtRnYMlx5sJhg y7ovPY+9YwzKpVOcntMuj5INX85CagmdOXMIx27iiCah06tZyZ2/cls1qYaROOzvaL/MDnYoG+bc BJGofiuFtg/e+WRJJ3hsxRA/bPIeiGD595g8I7PJ2QS7Vm7KEaXwrpumrD7GBhZPsLz0YD4QpO2g 5RADq13/SoMPfB9Ati0/dCl7+y1o6+fZSry/DTD+tm1hq3Fw6zk2KOnMMkKfv44qjA0MjmocWBnU 0Xa2DJs4W8gjBTHUZ3OKpcVUHUcRGrdmIS4GqFHqOKDRpKqZq2UXN5YhClvokw+HCJDlrOKqRrS2 dBHSwm0GxNtYYQrbXS7XqsaCoFmCJnJW2QKIcLjtICjB3x7ygHsYhsoPpDqt22uvWAY+woqoNzJ6 i0o/0F3USSwB8oTcSJOlnKk4kbs14dgSNgk15+oK6FZ7px9yqFNxjVMeMC1VYwUB6VE7Dt4ulghQ NK2u8LpoFFI3hs5Yu30IkoG90PvNOjqshVER8OsxtgecpbQ2Y+GBKD+g0v9WLUwry6X1wA8XM62m VcFACgqMIB88EwaUXr3jGU98s+fDfxPZ1jJyIK6Sg+64czqCHr6BDGMQ/tkktDlGnXjCXSHz/MMH eDtr71R4JPnHzrSlibDi43tpcTht2cZ1b7juEX2i4C1mXo0TbNmGdaUkJSmscq7fAieb7BgLo71N JVqSwcKclzsoWWgzQj4FAQswOvPhXvgq/laHBEYaa+d5EkpLfhtuDKHGkWSIA14+z0JvwDFIEFHs oFwxp0Lu62zpO+9xsqr2nAf76/+zrgbCFkoM33lQoI8Zb43uLkvhC/Sd9/yzF5ICIfJAbQ+/8a0O JnXTDu1aMxoE3mq7rCOEX+/joGm0t8yC0I16zUVmYaDcRLuMbgumojQw1zzJMu4Vr6W1Rewf3+Y7 bSSuYM15Coa0Q0VeiRzh+0BSHYWhU106Xf1lJn8Tvpym6hzb/AER1u7YxceoA5qplQ6xRuHaSQjB KP4dVJwK59EvivjuuNjXbhlAxY4N8aTgf25ATvzj/nkeB4uClPrV2KZhky4tXgJiKriVfHXPwvha nZY8rGsaiJKzO4tAdnFssz1l6vLcxVItJzPGV+XG/bF2QgldwjuFUIGsodzqCgcWG36ZnGXZ18Tv SyAmeMCcCK7SlgPk429LJ+nhu1fLGcgQFrXT5z9TL0aHD9zIKfpf4yQxaI89vVSu3VFUykwYp38N EflSv1fjLRPBtHDq+Ekwd9tFfcs80Uqgfw8YlsJ/0SukvizU/+POBsa+3FmV4O3pzAkUFgGKRD6y EUv6r8qKKm7eajrsBGwRFqmZu3+ZKAmJC9LaKHMDcYYtB16fVaoC/Yx4qrm6N81PNmr2JFXDukyM hW8eWY4bVYKgNh9uz3j3bftW7m1CaczmkvGUCOweVMY1O2cRCw6RNUdXlSeeP/uaCr53ypdykm29 L3SJEvcCOE0iP5C1gFQ+UFz4Yx+ZnO2hzoavFbNgEw48979/tnAg4NW3tLi2mAqgxebF2saqxs7i xCB4TU+5GeKzmSnfHIJZgP0Jk045yp4R2fiqPLXDBzt5Bmv+vgVUslVTtmh57xAFE8wK1cuqb/P+ hSnlBmfjGi7PGilvHAZzI0cBC7m2y6+KpTvWjvY6M0m5nayIyh7Bfya+lE3UxtEhcbYiBXOvO3bJ IRjZzsIzshwrl80fdfe8jyUWKG9WqiTcG398YFk9HYuCv3cmIQgnEpUxic7WmqjEQiiQoNy5T3JL XIQ7UB9nm0BS5lkfEtmwmGCvkZvJvwfeflRPiOLChjGxH5OZPewm7m1AZG6vVZiWJVCB2o4nzbwO +1OzjwPpjLDLip6b3iYKCL0ygWD5/BssohZMhdQttY/7DTaExSPfi3fAIlx8TwsgG2VKHOFpEm3Q rj9tYQs4hDVoj4ckUJlRQ+lIc54FwECVZjZuRw4vhoPo9HqEumP+/thBmh56AGZCzuloZIETW0PU phEennGnXCIkTA0y/NoT+D8H2wvy9DBFvL9ptS7StVHqfXxJy1RT9SoFx8HvwoAcyaB/1BUy5auh UTbu0HtXhDHX3s+9S896VFByIklOrRMqFRHQyQ/aVhUlKegfigT0L5kActiR1ef2Y1O2L6b0iKDa 9EfX8CkU9Brg36n1G6oFndqXDlwVBWxDlWsr6lqU80kntclxiAFR8aisdy7YyfKrOvkwgAzg0GQO FZWagNKCNL2o3PzQ+aeEfKBk0k/lvtPzhEf+pI4wrKbpeXZLGwD4ACYdSlKrUw3DQgMCMgf58nhS vOaSAW0Yn0q2j7Zv0P+prrn5blF0Mu76huOg/MF4Z5yRu+DYR/R8O0kLTgY3aYuVhkw3cisunolZ MCqf+BOCTe7nKP4JoeZmPuSLAQTV1koBfBC7lyIswM8qBLu982CmknTx30Z3AGJGeBA55pmHhA0f 6LbPTx9E9c9mUf+OE4q7SvOAf1R6prkdcR70NFLpqdGfmvV3liCcuJznDivqFYCTxjK7p7iV9tZ/ MvzO7P2kGp7KngJZiiXTHGFV5WNc9l0cG33eQsuR8J+82+Yu7Uro3cAf2sb8SePhc4QpDIUQMQiL NtHocl6K8rObRg90o26nCHMgeExlZ0lxbKLx5UywLpwvty0+81XDZZP9bLk2JCkmbWlbaIJU9RsL XWr4h1K+i1uO2tApD2tZTLWwC3FKB4XrsNuqXrL4XnOV/n7IAkkKeIqfr2lIR9zexwMfzDgTY9gK vrbYgTLkt2vnVFodHwgARGxJe8P/zG6U/zWhL/ZtTJL5LZ3kCJTJD0ovZg6HTLqUCQay2ukss43+ jIvCu3mOkzfrTwa1LdXVO/ORJ3xCe7UavlL+aCGpVgohPkh25HRvXLE4SH6dz94fdXLWNgl+JN+j XY+nsUPWxVfnHv29U0cYRJqwSkXOx9CSN64TiGlOxQgPp2Z51oQA5SLPCpdTQpYQ8jH5HqS71bA8 SbxRELpPiQuGtvCsmAAXw9ZVPyWQDjMGRDYk6/nRdN8sghkwLLhlK9peVyIttgDNOhOoEBRh5eQi C3ArkwvtJPtE9jE4ZKPYvAHPS89oEGjBzSeiDgWR02OWJJ21cGmQaThpwNSYyaH+ju0pHjhnf4Wk ZEZJxLyftahto5fJMjWgScOe2tTRb/aT8gnGG77Wv9udIAlz8W2/lHoo0T4iM5rNTCqqx42D4ajv juTGlqFZ5JTRrkNMi5G9109raqgpjz37yQyD/qGGRP9IhFKHUI6Vzdb+Z45xOGw17vaWWOcSanWI XjQjkiNWcBhra67K0KbNyCzOPQjn40bp/aA7uD+B91d7L3EhTIpivERL1ykLX+txzphJOHKicrw5 nXrbGKrylNUq/g2HxuB5LzlgiXgmW0CFy2TGt43EuqgWlSc3QNZEwlvbIg9AKgg2uSU2cta2w2V4 XjIJCl+J+H0Rf5SXzD1o4wgXMQtgO2e3nY2b+IS2F96sUz/LhyijT2A1RfpRks4oXJN0Z4UJndzP 4TH/XicZa2v8JYtnPruSI7MidHQrgoYKMT2k9vxR++Eji22YetoPoMU8M7NdQb9c6NvmzdFFAPgb YOesXtjKkLsPLZJuauhyF/L35G+2fbMSuL0m2tHJsVtZUy9xHXWO/EMuJT068cNFqTvSgHdc4X6S cTuGRkaxa+DGmCKlqDWO0/Tiy3+Y0aJWJ7J53LrzuDI430fmQ/iV/4BhNqjDv0h4vCft8l19QS2L SNRd6Wol3AV1L5LkdZoWjeb3GMO22s7hmT5oCbwKCQuIeWPaGQkg8aE4UKCWMB6EDlCPB7mWLLgZ zHi3o5Yw1AmLZiV2ryZmVj9mAEhK/ZXKPyC+X/lS00oGI/QTQ/sIhZm7GMSkdRQCcG16QqpWthoF N+UXMWBx1yP9UaSRNlLu/cJMaxEu1+fyRmHMpN2nu2Y2g/AUzhNRjZaJ83VeaZVDX8e3EnOEkxE5 gtDG5kZ7UHpEeJ2tCALjvpw9BR1QxXahe3CN+QyqEi/xP3ZL05vJkgxUuzQuCm9McThUX9q4Cx0Y bxRE4y0wVJLYUD4BNeZSuBCxjGaXNlvhpXQ2xCq6FNPOzWNzsHC2Y3JdyCIXtsFd6th4jH95gjcL S68ilOjxapptmOKxtCBk63619x927OpRuBgsrt0WX7+crQeufHoybWgZjklJcRkkPEzQgOrijGO1 mlxbPacxO3b2ZdhRoDqBrIkMOgCKanOslGtdvspSdOuj9bQ8yPtjvZ31zvbB9kpHJz2UR3q21tuE 0r+tqnwczVo1PklJC4VNKEDrIKtfL7ZtNYG4Sg2uJEyIoTYUwlZMTU9Z2Zc2Ey+b5YTawuK63797 ajOKZulqqUZTRkiil78J3p9KKQYYmE2Tl6vye3N1zHCXcQngJRlnAKZzKWmPqEWT1pn5IoUv/KBq k4bYTYzmslJonqYu8m5o9BlFOJ9Js/Dz/hhxbuRq+vZPH41EtrncLr/T5F9qIfJWuGcjAeEWS7tI UZFn8oY6YBlW/QdUz7UNjUgt4mN0PpcJtDkUnG0yC1TyprqRVeqoPbgwcycZDzNvjYD7WoW0HSfP 6br1AdfrLfDqcqNDbwffu6JAhIAIGejkpxo4+Mt36GWZy3qizYYURpRzPv4eU+8kcbHIq6TuPbPS OJYfaiPmnhzMr8ZFVX6Cz4bxVz4BUKALRAeXoXdmbB+m1gRyrUEDRDlFffYEdnSyjKnHMkpHV6Yw DQm+4lcTgUQANJzhJrPO+kev4GEfY9KEu90zRhxl+1Ij+I/9co5pRJ+4MfFQlgDtKQ1D2xGeFnLg wh8aCaoMcIHbSZgBwR9D1M/McuzRN5N+V3WiFuSTOm4A2x2IcxtND2xICCGu/zuyc5sC4Bd4BVDb YKmX2wlTG0z/o+/SYpSJv4vgJw9xCYdGULYHXIhCEAInpWjEsNULXhSboFDZD63U5ttC8v3gC5dI KFL2jxhNz+cNgwZ8j/aUY7txwq3uC2AXJ32fV7Q4oAHRLdmVSyuSksxHcX94E4cS5JmOnBf7Rvym 9ByG6sjFVxQKo0M4Yz5DGR4CK6IhkASaeTMSQ1x1VVbgVK2l2dr3EQogdc7dOE4iTTudB2rOTRNa Fk63+vb8T1zEFQ7uG0W8Wwb4o2agVA9Fg+kKbdic1YhIEldDYRhr7e9mC1lueJ49kzXx2hk87jyl 6yzwpqocVJCQEIn7FICM3ixIok0CZETGdxAgkWX11fcDRSa0zEHwjRzGG8fMBy1ekakSRnUwJsAd X3TL3D1Vmuu+0G0sx1Lyg9pqBIKbBtSmQ3Q7mQ08A1jRCdG65U/2wxDwIhCH8Sml63fSHkBtBJ6J xsz6Y9w97eegYqKI+gnVPd5K42fhaHyfdD+l6lTqDjTLh1N+Vj0Iv36nOvUPq03YGnUE0DlRO7UW eTdSf3kC5CD0gbQZBc+sG96+8f2tJCA5LPbLyw6vVZLOpivmIfJzycxMJ6MHAiG1y4Uj1tqJxw3L 7RRmiHoRVobQOFsWCMOSchaRA4h4aHgtbsmr6r17RtJE/NOdy92xUZLiRFIGa4auWOqqZyk3pyCE PDzL9Zvl1fZOEoK2pRXvMvvP9Fqc6XCRXR4TOQ7oFRLtljX9sM7VE5CeEkcq9ME3yEcVIYD6D0mb OEItq1jBuNxTykH9hx6U8MK0Y4HIvNo83K3P5YtvvfreD2a1MqJ9MeWXHETbz3gCNulIAHXGVr4T KipNH0ewqpF2J1wkpNgy8fLRgN7HIU9QVQjCglqEde8XN0+eWFenE+YL0Fm/xU0WxD5lLSZF9mgh sO7CXUvh3pUjzsgoWCgxtTX8vQLwR2nY4UNyBByvrypwqmCDfMrald4lSITEj+fedtSmpjaF1Sha RX8TR0JnS1YgzmF7s+7azFyWuFHCB/DGypUj0LaWh5wx5EO+P0IKuK7u8aYAuwTfwZTpZBx+3ujG t2e/6a1rJh2vB5x0iSPwLrL0ejnYwUdAPT/BN+6b182UKY7ZKKa2aa23k31ryY6NViU92FH6efvr Yd6tKZiM5QAGXqhD0DHy6obIsjlvSIZGct7gOI4Yi6XbrLR6UtdPJD/Q0Vanhh6hXfe6NBFdiMyO FbGBXCTYIeShGidUIb+1iK/YZUGLHIYdGDMhmOElUlTzuIogWtnXzPoM7+q5vz/HH7NrK7Ne0Fmj mate97FnDjrEYP2HwmiMLOSIrVXH7qmeg/AxF7QWW3ImnInjM85aEp4xRPGFW1gGuZEnWDQy7skd sxV4W+QmDZZTIsJqZw5Wg9X6wbnH4iEEJ+AKcrseMgx4SUxOi95wBP5weB9LF/tSVgWVBeNJjow8 AyBGy6pGRzu+lcENVMxkt7WG9G2cjt2TBpVW/faX3+IBNCrit0bvgLQQLiNL+3q4mjHqPM0NXfOu 93CE0DhxrN3ju75/z2RcmVHfn4xvrHuFZYJ0EH4AK6LQZiJK70d1n7QLiuoR720T2XZGAItJktjw lKhncPmEDW5R2N/+P2VG80/jRnot34IpcuNECBsaTxeAt2WJKJZ/8WQbrWydbZQuB+h7VivvW8YL bhIW8+QLlzOmgOkwbOWWf1tC3VLx1d2dwnx9NwOrdc0pqF4k4THsvM8jEUqt3RUdTs9lr4f6dZrb /4xMSmB6mW3I81CEi45KS3gXE+FlU1OGFx+UIFNjZHIzfRs4I9z6jVFzloZTic2j4IOXIv5IPuXR saXEeyhmzMcOO6u1IjVKvm3XiSanxoKpddUomdHIoRg1X6470aK9sxl+qEu81K8vwG95tAAuKFQM Hd/apcD9PFbHOVy33tc4WTj+X01Jemo0Wn3fszsr+8KkYC+C47NDBkQ5MRX0HoH+c7OMeYaR4sOg snIB7uoelI0paT+XnYNm8+I8PFAY98ZR3phD0bpXZEmnNosMrQTBnuaxOlG7C0/Y5BMgr3rrYqn3 BTp1RG3JkNZPu083OkVdyWfWZvN45Je9IzdWdD0/rH9/hlrod2oPf5ZOBNr0XBuFgdAbGnz6IeBr 1tJqZSPIGPkE+lrj284vsDZMr0/ELUfxSeXLNNPRpdUsB4lBPVAFw9PpWncqX46/XTg4c/i/mPdH +ocHcLNiuzE4dDp2TxVhe3ub7EHxba692WurpsqrDTzp+rtuuTDQe/HmKL2l5SvRpwChx9jNXKLW R8ZhUcJCvGPrIq2faXyQTE8mFh1bWcHZZcQw0WiLMwJ0HH8DYsd0GCYApKs9gmu4YoYNXkmZ4iHF NVK6nScuDWZzZJBZYUHMkfP93WXmONwhb8bYeadxfMvWebvYyCxk5+tW1Rh0cNbzzuXvw91XCkjQ Kqy3163O/nQ1Ly+z/1/EXzMlm8gWBvLa5aZ6CJyH8ClidMsr8R4bk0yJwjZwbiIPwYxOFHNKsZvV oL54hYDZs//m/ihIzWrPupAa9jMUJuhhf57jKm+cwRKwMMIbRcPNdUcbOsc7ce2eADvrKsiBhb9s Y0656W7Rpt9Lv6I1Pj29o/o0kiV1bWeA2ctTe5K0qom6GH/lZYQCFq0UUK289qhGu5g+m6opuAaX nn4AcIArBKR82iozJ3WpXEmAP56jp9TK0eCTDOFHlqVZqoqI0ZTnOecqKJ6xNpmUY3Gh+CYAxlzn c8B+FEZY7zC9vCAHfazpd17w6R9/9Mpd8Ajfx1vieMy7cXKJMcKM4CVB0LylRxRodDedXN/O+xhq e1p1zJJVaX+tmkafhmXSOyVoc6kBHV4tCcXGJ345qlsNpTbB/yC8DjFxeOBIaF0GObdWdG9qj7Mr YQKvHSmbhhp1/3IZZ/Cjr6XWChvLefyzSoc0iawzDXeqsnUzMt0zbTsGUj0NhGAX7khcaOJ1GJkt lvwCv8t3fyYdP71d7HWGHlmil5n6hpDa9uWmgfmDhC+13kZrOAcB1xXA0n39nV9ZcYukrcLU0p1C C0iD42ySOXP+KiVtiWbPb1ovvGuc8qllA+rWjbHAKk+V+K6YOj9s2Bpto60pK3OmOla/8+eGYg3s ohfGDbylvSHWOmf12LPDary70Z/NrUwqahmIhvE+Ekm6kCHLYTs0zkJ0a7+2KxUTLVkAg4iM3cRB dK8nnqhLWJvpeuFzK13LlpEBwy9lBHs73Gm52rN2r34ICSvuVIqvhDxN5yNaCoqrIfdo3C2XLXbQ 4V3h+wuUEcjC3pGe4glHnMw1qkY7SVDF+ULsspZZKHvx8dYAg+Iz6Gn4AGPt3U2Evcktz0Fpv/oS ZJn4g2MqcXyJcEpDijRGNmifDKSStSklOfEQnsLVkhrpTzpB1cVG3Bxp6zmb4KOPKvUEYPD0zg46 2bK/Dz0t+D0EZWTCxZn/Kd87NVpdZWHyKiqwLgxN4dqZ4tN5ci6biAsHwlt2Z7msqpmacEmQhY/Q d+zY4NJ+F9JRfTuKSH6RG0Q1NLsQ2+TpNGrupMW+mFZd+p8t6acYBIg4fTpM43VnLDhVQhsIovBv sB90roQ0R1UXriFWqFktJBn2Dl/MGt0HFMuUWKOjNHOc9VtMshXWn8+Anvp7ZErcdevNM/s4aZIf wnAEJMNqmJckwqYiS1ByIMw2kkpF/WDE3JhkgnULSznSZOE5KOryxGgA/RuU3uic8QXzMjeeCEhn WVWJpZiypujj/PHLEP3Y3ceZPiXnUtwlCSFNI/PHJnBV8VodlPu7UbKq//auHup3EwoEQApQl5Xv CnX2oQV2/oROeUnmVthPRG8NeB6ZAgTzhSS6VRObcpa2VG7QXPfmE3RpCGNWf8VZS2sDEIgu/7fh L6KP6UbHh0319WvTol6A4ApOhTahELNOtI5X8MI4z/U/t+ZqDoMJqXQX+mXzAdV7D8mqd+BO8wiB gVzdvdgWbXRNHK+N0g/SjvCNuHCOsHeq9IWwpEJgA763IWfXzHmG89LHoytb4bOmBzYoWlRHQfQs bQ1GPA+1yTYcM3sGVUPYmZKpLZzOvRWVvhrI83LG59ZlbK8b48LKCiH4m0y7t7QkWSzG3h1sxf3C xKrkxCWvDU3lBOpD2PZmgT3W1e6KJdNt87o+zet11Ym6WAxqHO+216H0Ncs1yS9Yw1XH3YhdwQJX surY65uz4NBes0Xc/P53i2miPeaUCleaXF62tn+Yldf1FWLVqrnPrPt86JCQWmuSo57gGu9hZcn8 YEOsVnP5TpneRN8NKorHx8GzHmQQaQbmSWuH0KwHUSS7+XcoUwufbCJcBLuMQWvlPyyjzvGgYltY o0H5h8NKmCqv530tmOJrHtbOjF27WEVsg+ar42b13n/evgw6RIvqT1VFG07p3iScG6riVwzcRbdX uK10fuyeCYpXzd4uRouF5T0apaiN8P1TXh/jW2LTL7GfAUV4gKVzpBzqhHHW7Ojx7Xnhr+y3hgvB zLJElUucGWwZtrunYwGEixGIil6Jf8RDpcYxE3lwseph5invUAviOzbVrI/YlzSIFOW5ZJAeXtbA WcP30Q4EqyvduMFSVJsldiQcr1qbL5C4M7Z4QC6re1KewWPgLBrgcabVaBTlslQUiL0fxh7u8st6 hqW2m/GUI/G8NMoECNOa6KT6EeTvfwk4zEpU9pSRe4N19cCadDY/SatRcdtVqkcgsgeN3Y34cHdy CmqzcP/IpFLq25dn1f/eY2OlkwSZIAcUH172SWkokkso6GA8Y74VeoS533pt2W6syKzx3qFZkcYg 4pYuQIoBgbGcA+OZXjNpx5JNdN2m12x5DP0eZsCawSmmhdT80LI+n9ICWO2YPFYHhA1sQMGz8wmQ 7lNBQgEgqIEfyA9aHEZQYhHPExts1l9Rr9Ln63E84c/er/+3vKjvvHRptvgp4PFG39iArwLTzVg3 3OtD4mzER/vr3aZa82rVzDUxGH8FZTpF2CyyNr0Q3RLvkVGKTtb+DY7gt+3fWgK58h56GGEKK5yQ ZA2kU268zavBnavzKNWMVNkHQqgwaHREQv9fTNxxWPZ8tyP4cyXcuco3wVapjeTO/bghWSx9e9xz TozhYiszH/NcRHpdXi8jfzOE+ezzRnSVqAwdewRKJRoBHQEy8P27EI7c+2h7ENRroHZfMRZotd2/ ugU6U2A1f2OHj88mXuBy6OnAV1cBdmShfcdMqngtk1iGmzQPT6zGli53cigb2NBmqXQmt0Kr8xga mGIGLUD+EY9rwJqQ2Y6Qep8RcRoQPURmxx+UEmRMQocJyTuj4j4putKMe6DBbL6C2Y10g3pFI6TM dxmf7x77Xh6JISrokaAAxE7kS+JL9zWcT5bf5OyFWvwq4VqBghIZh7Do3LtKhv0a7gAQb7XsTOcT 396kbo5mYbGVGBwQkdTwU1W1BqV42DIFuK5VCcFJZ7gLaF4nem/FZ8snLprKlFEU7KwATTlOJANQ CaLcMdBIPMPfXBZeJNOADKG75xhHnAdMtRCRdLDBdFl7KyQ+G6+Iq7CiuhVAX7AIr67TqMytyDgo C+0NzgUSxKUQaFmTegthfMSpdj+06BHS9+LPhGdstadyefdNMwr1Ug4frzY1GX3driHIXj3v+hBj uZeQXaD9os8LMUMmycmusuUvyVHJYyhVo7pnSLIb8pLgwqqIetyKA/TSoEYxFEn83kV6Le5kjL8a Wx2a1zkQMVLAO3hs1QbAjxmHNJnkE4UsIlUNN0zjnhLvQ6Jur53jy3POepCB6RfD4L5emFx/Snxt VbWX8dlndtJvieRp5hMADmQxQZq1saKzj1sljBGQAba+7Pm1oLXFFGC6nnE5BE81VkznJWPpR8mM 7g1qnDXH/YRNAUtDOkWwTgp/Vhpis6i/9ixmkSlClv3AoimJJRgnDuX4zIyeMQgI6cbTWIisaAR1 kRBT4817sZxD+Xw/cyTuFoaLtairlPTBSEvsUAtIJw77nPutSY2fa5Lvn+g7ObmDCcoJPM0SXoMK qNDxdtWXVuIUDpBONvXjdG+tqSvkBPKeHve1xmdnmAJRvX63Ck/V36yVmA4bT72TsKR0BFjhy5AR 8l9nhT49ZKgUzOey9xpYjkPRXAoDU/GslO5NW6mTh0MpwkywFOLs+54AHEmt5WS9HIvizpII4jN7 T8x3zXDMKl5thDFmZd2mnF1pT56b/bik/adsN3Ziq2XZqOi/ImNfmOdbnaUIXy3vfAWMLfuznwdf Sd0DtskWpLbt59lV7Ur0ftnqvWCu3rrLmVAIscc/QLpKA0vPGjHFF2uHH1Tp6ePi4XUBqdiv4FCO w6ZyOe4nbgueK5N0xVXZXlrxB6v9uuFQieYnpwtWjtzmWPwNcwL4pB8mUlTGE7vW0dHH+ak/PFcP Q+QL0dcuLMWReG37uxdRzwRLMZ3vC8Ij59ZivOrI+Q8gMEOQ2brrmhzhKoGrvwba8nS58bzgC+Y8 lphpnWCSYcgY2x/T1ckMjILot4aSBknqxswIYPwvvQUJrWfmSs4OzzWXEbdKRm+UrZV1tZNdpcEr 0Isi/u6/nW1ncMFOOrtrQANu937W2Pkljxze0BU/9aWz/Cx6bdCfrZsGZZFgbNU4ZW7bsvJueW7C jv5iCBDUXQfbeaPqf4JC3tLzl1WfY3G5IVWTLBb/pDcMCXkt4owLd1fY3C/63+BQ/w7noowqcevd arrasSwYQMjFWmoLpNQT/v2H1QrOqe0Hwy8lCTvX4KLFjaCA9nOoHQJwd/bkCFB1Mp1EittFoJxZ znelHwipxYtbnbaCBdXn/872xeYJVcUj7hCRoY8L0gfoFPfACFhM0EpDi6KlFbyyfSEoMN7SWTBn pvXC20CS/OmOmtE4HbJeKStSjt5HI6Y4w9tmpz2wtqRxoLQGRoQoqRaeboFU8W/wZieqS/MXesIj SMsgIp2vIcUNsSFRcYNpgtqfHZWEcxqc/ijr9VO+r+7MlVAJ2WKF9+AHvj+9UQIBs5qh1be0V1XK qbIoZkEEasvKvSorYk4W96g+vwrK1iItf7RFuqLpfqA4akEDpB2q6vk2iJA5MlDhiYZIPAIxayeL zR/ff7ue8VFjXlgAivs4Q5tJghwsBgXoccT6semB5YvZK5g358gcksyqjbkZcnVAysmJijfY+18P hbk7uGf9m92tlIYUea6tFSbOtNKlR9wdt5r7RSgcFvrpwSAE3SG0gLhaAzf/2kG0JxYDPSuOkeem UkSOWPhJhHyLn3eoarmdkBC7ovzjDzcOpTxHtNW1IcweWTSlRGCAD2KQmX2PrjlDgeoV52LfQOWG iqzRtHwhJFZxhQiqOQhxDNRr+QqFKQQtxsfMskt4KPVHvkT0mH69mb3RW3B6s6VTyJmducaHETYz pZKKoCWJrRBX8La9J9cZ8Man9gi9beXrtJV5iy0aWG2FR4o6E/hU9JcTr0ALFf4NMFQzLkV2LQap BEAzbd6dUEbl+N42LLe7XSEoh8pZzGSuHW5VEcDY0QtMARswfpT5jYKIoOimSLE+vKQkk7J5IpfR /JdJhu/0p0U1BJlPM8/cLBO8HQl+zFSlebKfdISSVJ58wclEl+OSLFgUQE5E/Wbe7EgV8kZZ/zp4 pAEFv8wYkxyCn3JPnupz8FzJ6PqvAyj0PW0z+nHFcLqct3anAwU5LSOYGvwV23YlYHGAhpuQkNbS rjjKDCSZPcthcEgWOyAA+Z4g/jrGYpguEuM6oJgKHehKVjEfMhe+NYTxPdtPKYDZleyYDRGi+40y SI2w8RpggyJQlKy7x1Td+KA4EClfd9v4ez5GnTz/CFv8cJwvCSVeHIxsvlRtf2q5nDMuUu4TP2id eIYgDt0Xf57gr49l6TDHQANUuhNmF54K0YfvULyVtme1e8ZmABGUcXgbzDMq/Yf2Pz4WvIeq12JE HvH7PRqg7xpV8wh4VlVJWwQeQT714lT2BCesR7IDsQ7p2ajlTMNw9mgBzBfEX9HmWcbc02a7Ugry l8jE69Mps3TBSa3i/oqimUWpggu2OtUGmUTh0/ckdek0lRdHlEJQDhgv8A6jynkP+r/JIYYpg0e5 RRA82hJTSFPO4QXxDS3xiwsZMItLvMzhOADS9SWFupIAtaxTEZjYdeeCHLE6lcH75xR4/WS+WhLP 3Y+OS+SI9BVNiCILlxp/uZvsCImiBqanA/F9Yi0NH7mTVqwpv2eIXHV6IItgAEeUV8FZQxAcBndH kzqQRNilmSqgB0EnfNtZVSaDMgTfAF5lXcZbgbQNsXEtA49ntOROjQH+DfPEb21dt0o97GCA6gDj U2l9Ne9m37jUdN4OoV31rlsK/fsK1XoZqVdqdX2l+wnly86TnMiDy+E78Zu5TgsMlS5vgV7J6Tn1 0qHYSSJ/EnZgxAKFwmaMnbOYFcwESVocysqWhCDbvZHY4/1IsZcIJygwuc8VEG9sOAS8qpLq0k2+ 5dDe0iaftu9C+kRXF9JheP1nX+K7DVymXdQInKtFDTI+3Nx79U+ryQH/vSsUxOkWWr3pYKy3FrFN 2I6FxLaXH3GdFAl2JJVKu5AoAKbkLLvV6gSExideJRtuSmv9fvNFpccsSiQXC8irg8cVGsSTJ0YN asC5e9BPONt3j7WyRiENkOOWKlK/hdYqxZBIAGRyPYPwbziciCybUSBCl/5tjkKjBq2gjQZP1Qou 5Fak+VZPFis8dDdYKdLrz2vePj1UscJrRvlpFHcl66hhMHJ/pESZFceyYbbxVA+fG64GXhnkSJvo AdZUvcblsQQJq/6n/If86fgHxyn+VS1IYy2Hrf6mTRruZ6AmcGL3PEm7AhJSLxQAToHTqD6vF62Q kuMwvZXbMt830KsDFgY2X+2T3pDuizE7PF9EOJduXAd+E0CWrNCaKEMY8H5xAGRytJzreRSzRRvu lyqPGfwVhLtHG1oze5OumJ0qDchO7GCAr0gWchYhfyU4gx/BCUVwqtBUfykyQgqMS3Zbo9KxXQ/+ uh8kH8DVcASaa6pGarf2aX27+LIHLgHOno5+dBnwurcJIOHbFi/OVf0uz8+P3ppTaEU0AhXwM7sz xLif4duuP1/o61jvCqgIAZUUltLkrxI3TLNGXiFrGn/uSTCYY2tzHp4GgwTPTspIpgPAAN7QeBOv Lq22tH4Jbf/FMAkglbXDhrR74efRdRh+qyBfjnVlDzH61R5WwgihkajLIfZC3eaJVf+C//uQ66jH sxRIl1MdDMTro4ZWBnzn/l5CmYguJnv8amId5G0hnxjiXqGgTzlimwYqh/4DBVKLwVcZgJIOZXVy 5KiTXz7otfGTFAWJVGw/3pGcAsiLBOBc9A6684k9uRLoiol7Ckra7PjS8p42Bb7NRaKhr8wglg8r DXi9Ob1/XyRZhUvkcNeJtDR4w3uAZY4lD/fJDzf1hHsCjfYo1OjN6q4giU/7HAx3W+M5o2xDkNLu lACCk0dShIlP/K8X8gFfBXDvoJ7y9X4QwxHXdoTC6kxhC7uFZ+I1YaA83S9YUHZVcrK2GmMPU0Of ygzTBLKiE4cRmZkgnzZz2eozggH98Iytv/wegB4wrPhzpNSG6He0fWVmv3Wn1U4pkSMsfr+MrI9l kHcfzHEduTGUZVTuZhHz0iTyk/JHsBii9gaXP8VQbksDYMOKhfYlx7mE3b0YbRC0vD9RDxu/k+R7 DF+zMH+ll/zbZJvt53mLnI/kfKoxF3sxa8hwRNl3FDHpDiPsGCgSNG3e795bXM9An/X69U1MrbAd 4bBkNX/y730L/ife+TFAnuFlxziSQJaZSg8xDtE74119+uvgoJm2c8yDVsOGLCNsdUvKUOVk3GEt yyrpPyNqkUlh20zjYG0877tBW31uCRKyc3MAWq7HmyFFvTj0PEx+4U3UaeXKJrD6uUKzjZTuzG3D mXQa/lFxsd8iub0XyY+AJtmF6Nfl1ZsMx6FMd3I7DwBGzC+zMt4EballM+04crTyjyufrSZvH+Zd 78rvY4KRQPrBuF6Aej9jqoUtyEhnSu68WRlTIEdDZsE9nLfCjtHmEr4NrPXl0KBOl+QSgBfFyk+L GyNT6KMSfzAMJkMUYSRmNCyAnogyQmYX+jxOrtcPyoPpLeqIByuM4Vo5/0oRDdaiJXOjZkTyA+BG OZ2eSc4Q4T+U+Gpli3XsM4ChD4w8+kzZCYd5v5eLJTDDccFiGjNMxEqUz6aVW0/yAOTStdzStEW8 TnYef+iEap7Z+LKkzm3XbLkMO5UWpKnkx4qzW3JoxuTFpRfUWYmoUxPNDlvs5WHmZ7EZ7IarrFUd mmQPau9qTowoDLrTB7f9puXX+yLnNbLwiZDhylOMZfw9Xb9G+knsQO+mCgEnA4d+8KOcj8i3nlkP RZ2snTlflDdo5/uR4apXCYl3PmqYOecdb9q1BMhcUorpTKQblNhs9CsN49WH6vpAaM0qlxwH0+10 TrAkZxg/ffa/++VQRU8FDwTdCKZDjvRaaiQgdUcycp2FithIoVWWOlw1+1h7UiKkLAPY4l6/UlGe FaQCWP5XKhkEh1Ix0B7ZLcLzwN9nnuE55/mGMYGxmk6FyG3w6bZT8tFHkJGNPu++rHBtA1MlSgZg 5ediHukfKPj966taM9pnkAGLvso1JLIEivWGtFSHzTv75teiPGbx2pIRAWZtvQ3j+KLiIJC+MsnK tnOGIu8rov2X/Mskil5UzMfu+3x62E+wOiZwtHm/KiBRZcdfKNJR0b1c1g9KsVQ/rwfV83Ld2OrX aNzgSb/RusZiHXecB6r294otXHax6B59q0wZTV//8be1VMd8jGnKLg0Gs1jmRMbE0vZ1Wry6f3cq 9ZQfigHYd6mEv+PUYIbvY2wfESxscE5CaLyNp7C9gC/hz5/2WNd/I0ZKzxwcbBS/cWapkhq8FISX M2rE+XDHhvupT+4iLYbxhNpvC/Hc1dSZc/VXgcri6vRaQ4VI/Ljga8TO7yTSB8618pVaXT7feG4n e8r4b3ov6dq1uCLT8inIRwUGEIW0TkG+2tfmI0WqVt2rfZq6TPYcXRCS3aGrFG5QU+sSWQZjW3gO eISCYH2ofpbX2mGip/7PEc8hbJ7d9/vZ+at7RULBjr5Sp1LKDls6hReQ7PxkNkTYOOgPZYGpRbR5 vTGNcbNT3ra2WpLObNcq3lPIpiUiNluRLpfjxrnufdvpC18rBzHO+tlReZE2YJPDx17p47neJpeg 1OeYWu23HHpKajnCxdnKfSmUexjy4TObtXX/ZQxsvyho2QoDSBBmxRUiOLWTxOhCUDFCWbiRMC6z 0BD7fOkRM8nFZNDzT6zYTF7tmDaDEaue3pT+gYlma/M+7w+cE1VCwhYFjJL6N/q9MjJYdzX/FcyJ +5YPnviiiBP64EaUrb4eDTrlk4Pq9GmMwG7hRASDaKEBROF2V95QfEJ1W1mNI2Y+a2e5AVTWzHtb GHEo37WoR4vgAi1mL4hWAk0qXJoP64um3yb99OQWIcgLfMzZk2m1pV2aqEvU3VkWZYJrFMtGCtFb 6ahmBTbokR+97nXQL++Pi0n6mr6+ethAXTWXxk4ZXdLnxF2eWRKeKpLq89qFH5JNnzHCeotOFJvY EOIcz8gZakpWRTTP/RqcDdcI5N5jrEQa5kiirOvXUdmQH/mBPiyrSfcRAhWcdfRUc5DJqM4rbha+ P1rBzJgvT3o+bVZOBS2lwKJptYfH93gJE9DXA0iMtwpmq3U60ZvmApa8pF1sBBoKzLmGJte++bVC DGuJSF87peHiBBCp5dxTFJt3DSaJipow42NFlOclnauATMU12+MpvbtE4Vk+l7OjyyNw1kNP8joz numkEBJI3eD7zD7nQ+oLW5U8sbj2rZllmBfMz601XVFyErlG5ZHGuUcbJ4OHz9DEXo+Vuh8xu1cP iA9ObEOyxXC17zUOGRO5kBsRlCaMLmkGgUukJ7YNzbguVw/1R+qz1mk1sTxfd0wpp7EPPYmDHd6M igLC0C6POV2L4mFenBhxlAZ105MP8cT4m2/TIxVzFcABk3qu3nSZ0JXYHUw2hz2p7kziV5zByTkN PTgCald7mE0KH+FPFz4MTJd/+3zugHw7syU/9eYqG6yB2Y7o/2ac/fvi1ZF2xuOejfw8EF6WpC/Z FfioyOwFZQJMzX3tGDjSqgSfZmfENbjy9S17l8OksDVDE2xmxi7bZFDAi3bKXgjoWlmA55K/JntP osLTlUFZj15LxHkUsrVMD106cAEMh8/4WAV3sLyBLcFK/oJoICldyGnsSMpn1Ttyqd8SXBvgbGc6 D5pHZD0sTUWBuL3XAkLglqnJxeX4H9BXkRC6LkBkFTokwffuKySF+hIIjJLRFWV/WLu0pUqt5VIR QaJWM54weXEBzCMxJTJYE7B2Bm2tBSN6vcSqZQ3zyNYvE9tnebut4flzSURAWvcyUHrQEz7hmvdP gmrJeBrerv++6pZJg6uzF+shXnJFW0E0cSdtZdrLhYXibRmySAK89Ezu0oyWTFRlBkqVsT++0MHQ CLb59tjZGMGaUsi4xl3R4HY3iTcMSUjcuEmbTYFK7W08NiadQD13jYApbjGsVDtYysFvi6EW19UK ND+aVOjTqn+fn4URu5VLqvP8p82UNv+ujWi0EEQTwM813pNJDZ0d0/EKivXxbXQH4zeHa2pmyDj9 hnInhrlCmJf6eWU1bPBDB4XkMWrXNIFvO9ekzBEj4dF2LO/5Xr2hYO0Sbc0XOxorAa8FaA6hBoyf YTaZNohp4Ne8ox34dyV9orH2jyllU/L/Kq97+RNBfQWlU1LpdyyDdfKE1JHwFXfU6DYwfbbpggav nOfghSanvTOXW8AekBrjfn/WJ0ZzL7FqU8aIDwwZPWNej1kgHhABa3u306aPc/bt+C3TJ+S1SDjG H3KoIvQRg9wAHO06/Y57ud2gqb3yvBAlKk5nhhVBGZYYWxri8bNBB4n7cefsGi3mkI9UMYYl5wVg pbtfg0RSjRiJaGZ0ibPqnq0ydXBjbWgDWLXWpDobryDYfeVexipuuYa4KzauEsbe4EkOvMWIK4Ol VF7rptClVA/sy5FsYGHsNWEoBMJArE5ZeOlJuJGbgNY4cljzZBcvqdCxjeqfQpYJVl4Aiy3YNzlp 4gwgthqCHjxN0LQMMEv/PGfqCzyf6VJm8AW1Dzr9XjfYqSaqBQOd8mc9msDjQ3u08Tr4/UOo4pDq B7NP+Bu6CAud3hlYLOFugn29BD1/E3DjrxRHY888P1DOZNZrLLfU/QyMD9NGrTWtmcZbPzMWLQIs UpWx3bgkIO0WIUXzUUwiZ0d8MUD1y7U0v2vjdYWJ1+yFXwR8iZa0QInp+PlB4MpYqySgdXHtDQLC JITx4qyroHI0+r/3ygwubPPQ2l4cv3ZzR34999mCGZY6spWIMkEHUX4oRm2vpM7LwOfXL8sMu6DS CWLaVGDwKHZiPueM+XQqHEkAoOd56xoybt7N7/1JPzjWET/m3/iA3XSOqjmY+Ik4nDopNDP170ua LOSeDkFMhoa9vB4LmTkwLNkQWa7EAPlnuqrWNgN44QsxDvYuKt9FgnjQr/yaZJNbCyEHx6CIYjwH 5VHvjEzCmxxfnN8EalpOhEuVFWx25NE+jI18ue9QoOtbCT3BhML7tFy/l5YnzHCQv7SIOjGOey1m 9bzwOzmIsenMVvMvWzr38S1x+qwTJWZRFRhuKrv/5AxGeQztrPwD+Owcryj99GG0UlIO8zAgWAf3 0cEUk6+RZ3uQOH0J4/5URkm/5DX0gaVVWQ2xquKCWeMG9PDlqqBZrAxOKDDftby1w0bd0N552/KZ C+yLR12TfvIrFAR2ltZiONp1I7WdKH8W55VaAeZjFfrCpv0D6ELTyciXAQY7Mad+3HcL5U6pjkaU f3oWtQ57DKoK7n6H0VYC6mEUineE438K2MWG3oyJLuC6lvYS8sHeWt3y+3GI3VDr5Ta0mBtxWvcE jz4E9RO4gRlA74D2H3egxFQWVaiDWUHtf9D3qqegVVmd2DWl95Zptzk7y6nYTYUujpK+CJ9/pzlC Qz9iXPmsRM+P5dH64DTx3MBRqph0QuK+KpxFugvr7o/PzKfNXBm2xWxcofX4D/OE/bpe/OSODePu WuQhK8SrEO9AkOGh/jtYfHyo9OKoT3SJcBxkPbXLuJwDvROA1cY4rO3xOx9BVTSPklvcw6PQqMu4 /Bdddrtot+Z7txH8v5YKjpzS8Ns5oHvz9sEIdAcfijCQg51onHjo1KmqVfYTojQrtunWY5ClNvgs TlfZf7bJuudoaVDkoWpcIAiuCJXWfwJti7L6bAaigGkdDu8ubKQELTMjoeSlZViJPel6fuYK5X2l QCdt/ng89MoschenLCASC4oFZ0gtfftvLu4F1/LuFVaKiyTYgfCLRhStYdAmPkhbSfbkYCv82dIC IHqqSFVu9Pe4464wgQqZg2L7AopI44St4oz8kQxFHGem4IwXqjneVNgNiaplwejm7LI4+a0kBway dH6jAnPgarZBlf5ObcGELRKg4b+vSoaFS/pia4WkghDhGkbYzQK9yAuHeaelt6OlP2lVGLZ/Wu37 niq+CFbGibEVipplGjtox1Mn4ESgXpVxxsewEPwPRIO/c1abUHONVd4emaNg/rKSh2wRSqjHIXy2 ZAJW8uqxFRxSP+4W58XFVCsZs+AkWKMN/VGtaLYbfxN124k+BEJ+mnXYKJ+g6Uyd1M+xBK/rl8jW B4jtA8C+qfZoxWIygFGWXWoqel69eCtxEhPsTTe3GwYdZlcSsKnzeLHFDiuyS9Oat9J/pu+tTljr 6V1rAo6T2fFHDsIKOiitLGIftvFr+Oca9rlMFVB53nbI9OXEP8A44+eDxSTPvRO7ei9m13iOdnnH jtR2jfBPMVOBMlJ4CewbWw6QK8LjcoRAuadlZJ4VVKGd8qDRFwSinhVf5B1cUxrbedU77pYoV6Bo oSosSbScn2hq42DrPOjcbxWdQu3xpHfksH1517//9HX/18g8Cw6cnwRlNfWW5lvMv7f5AhcwgmFE daK6U4jM1SFsmBmSdDxZyMOiKQCiKQYWo9D2RHb6kAj8015aemH/JEcTK7SgMVysoszk6sko7E9R TZkkP+m5FvvTRSdiBD0cwTjE/mDA73PEc5BVCsErAMIyguP2QORKcROFVmMJD54xbM7XICXkLhOS Xw12ZpgqnPlGUp7M+YLzS+JDn83/lFYnRyqi038NdvnHSbHr5UEVWWXBZTYQdi+KdsD5jd+TsTm9 LEdLSSWThMvRNp5Eud9SznzPdYSzUy71wddo8qFZENAVHuZoCbk7U1fUBjFX9HPylOGiE3ylLJ1A n77fKt6cuz83pckRTueY72/6x/6maI63cCzWNFHI7VMTt3dMKsVTmtJcEUeBa6pt++zBAUgxtH95 xCw50yiYn4WZr3Xema9lkKW8HOKDOeMcOhgcLny1gum+bL+Y+uFWY1pc8zjHusonMI6gUmRhcz6H SoMOfnlaSGE4VNkxIzcaK9bzrkp5FdiJvHQPoE/jkksrU0SQOTTtXYh7rtE6+lJJEkzUwQ9vevR8 OARZ99XMJivldM8OdjAxc7ewbOM0qOSOVemDGgZhomkn6fN48yu/omrwlbSIcpD6AdgiWXhQxPv+ iwn8pHMof/YRTANrzhzU8UZDIz9bzkbnmFKbqVR7Wrud2Ou8WmCjqOfWHJIi0gkxWfpIUSHBMydt zCHHjUbdu5bPUe4+SslKXvOwWot0KEcB+jTuFZFw7hUyVKMSIJn2uuA9MOUDQI4K5+UC3gq2HDHd OImjpCKJjiX8hlWOHzP8N5FL8y+uIz/2uYAPpRdkxCrQI+NlZef1iyhqxg+VtWstXOMSzbRuDQ11 f5goNh7ymiANe5KK16MsQhmkPHxigIUPr3M366WI8QBkbPBtH6zWQNt7a0PkbcALsRTXeIdi5JY9 MM7XIg93tDHaITog0iUjjm372p2OjsGKa0DpyBk4PHTiG7CaGgjA9Id75H+RL1T1jOh0u0WQwFwr emjkcP4DHCuKISRU7SyS+IOgcbGQNxBrqJ3lJt4bE0wKOn3I0irAf3J4/URqIaAw32DeI8K+QV5E RjP4V13ey1JvixptAHqULfnIIflRQLgwaDkIJsGK51enX/eRT9VYoR+f9gGz1vN6HkWPyl35+cAM eqWZOgSV/Vtbl7GdoT+lQAzmA++lgDzyW1+CK843I+yq5Lp57/sOedKiIZpIGY3ZoywYomNjJX4j T7+t8u+l5iS0U6vPkyzAR7QxRNmxwoS5w8e2jqE/EtY6Vxc0wDGpss8vV7+B/0YGpzl2bgzUQBu2 jJ9IeUwxlOO85gUOm6BA8LNJ5PJS/BCT/FbzcYkizya6tnNdh5bXKpzX5ksCPExW3cBx4qjA7X3g LRqLhtVoEuzcNM2HRBpLALM/FpvMCbTPsp8U9fLUSGHrDj9gZSuOFar9s5BjiZrn8HB9qQk5MgGZ BkZ4E93326ZfmmcmAX6RYsbhFe1DgY6XWcjeoAydYFNZF6OQcUeLVw63uZdHgcktzriB2V9M4/Dw KbwTCqqDzw+KTnKtAEOEoz2SgwszKyXvokmFSzvZ7BsDK3N5UIR4n/XIpGDVM8ILQiOPpUUPri11 45QwevwcALFj6CCXsiFBB5QNWpNzUPUpvDFF3dbt3s1dIyJrlvgfn4hED0smsLVSvjoxWTB8bQdj ROl2q7qE1l3Ns06HWxXFskK2/PREP/XdONlOmTU6GTdmv+SocGOOJBNmmsOQZzCKlZTnG6BGsUqV GMncMHG5Mcv6MgRZeGCEAd8i0KcLx/qlQhgoE86P5nJeZwaPBE4KvF7L1PN1XmOlUC42mR2i7aui ZGVjmIEvsLtVkkUvS0RFnae1SbiUwyoKnqlmhM1ltwhKcZuTn1ob4YrflWMp1rSQeiIWsPc1sKSo TBOtIHKu4jtMQXM2Iv4WC1lzJwayG3vszAb6K2wREjj7LtwaU3VnNrcCon0vkUUOCH2dgiw9Q0w1 e7IChMpzvMLNoF8xBuTQ/c181hhaZg2E8afmDowazBhmsfbM3UdYinrx66NBppkwgE02M7Bywc8s iCWjl/0tntkN368FtqzLrz5NSKE6oPGoGh15O67FRtpmjyPwtaN6z18LkRUnSRWX1rXpc/5bMS+f mcGE2A4sf0Ogeyn8tnBl56EKwrGa24/pc7BarxUrUbm/8axRVQe6KSDSxVNXyU5bUtIDMBoww3n5 vm/N/hOOnP+dj8IV0HCb/4CWQUy+HrHtuRIQDQ0O/mlU6abpB5DtbPjweGE7yiojxvUBBY9BK55K qr08tFeo4oj7VxinxIyYxEOfG43dTDuqezW/dsf2JkNpjNIIP2VeZO2LkhZ9964RBBZYpUCFHP5S FIhaxaO5hLHYHuMmuRfHlLGfF0H1jlC6QhI2/9nz6rLI2cLn7+5sTckwdqPEH78Yn0tjg0ovnz0w vozDBqVhbiI93l2LK475CZA6vroInacF5s8EqfFwYKyoLQU3qddVJg83t4B8ehECFi6ajArgusG4 9hUBnx+XKDX5AEI6XNM1NM8CMpqC0yfnie+pmce7GiGWRxa6UFHLwgb0iPA5CpK+iiidxh8DcXQV TQu/f9Nu8Ja6I7HPzmqw/7UA4D/ymygtwHvIyPB67jod7s6ekKqMuFWsuEeLTIy2FzrTXboz46wP DUCHfxglpEDbkWOvcWCaLBwAoFdz2j8gyc8aLRq54ftP4tBfzvqJTpNpEqiDTOjtuGQ48/UoZ8xZ lKixli4XIzRL4/7T0/2Js0rumgz+zcXdikK35u4Od6u5nn+ZXYstb+eyMeCOgSgsfXKcdWfmrYKR m7hV/6pvEqfD4xR6fWWBFiZDXuv6nPlNW4/jYrpcs79i13x5ZgRB4q87YWMGl+AuCtOjzdnBMNAZ ZqTx/YT4C76imxasTSBFHQbP8W6fPNbzel/tQZgibyswigXQYw+5/t11/iDvcKf4bmD3273Wg1Jc 9ov95Xat/kuIC6ByT3m3g+Uw885csKdyzB561yW3ItqvstuC6eO4V8VH6xuZ1tiO72ZeysnbKLhB OqwQkSlGZbZbpn+cNXznQq1XwQQ2SwomddKJFaCHgqRbnecUGzF9VqJ73lDAo6ibvspRlkh9I+Ip XQ6CRZkDy6uqGuxOyqupofxgCeIMbdiYyFNlu6zRSJvtxBpCacPQut+ZzbbJ7K9dgnrJiFbz/EeF bUFZh4W1nP7NuPzDGb84ai8J+vTqKpFikk+xPXCBdDnfd2qOi2W/F24ULGUPXGDHLPLGjT7AY1XT j1Hg05+y/8UU7fQxTSKUwsMPbDMubofxzQbfvqWrLLmjvwGSd1hA9O68r9QPbnvAXKONNCXTC8AS 95z6DRkyk2R7QxRzvK7r0W8aCoCHO/09b1yPJRnmjIUaA+qQz+sFoZO14TCvgVFg3AMtedmgmm0G 86VBtzdO5zP5gAPsYiASALBIc6Dgq7Dfu2+SAX023XGkObYWMF06FXVIzxLDR3w+68rYBrlKsGGz ZXMf4lHeJ/mMz3/B4eYoto+Y+otR3MA9KLdCC6rFvtevqGGdKpAT2OkTtly6+t0eNZnPMgYbmq1f ZJsSvMr7M+xCCdPnYjZj8g/XZogPgvBksyfSN0tccuiu549NxY8mnlMU1rbBo+FI8qlPc5QpuvJ0 jAAX++G6fg0m42dvRQoeLWGob5My0gcoiAfVDoas+lac5QeST6XnhTUqNqvISe3AlcLjJ2opozD2 nXew74sFaXg2Z4p6CLFqllcfFL7a3ua4w8XwUrOMSNw1Km2HAjXG2WpD+AKi4WLi2ORhVy8VUx6V VIwAsTRRcugcaLsDHfj765z0PQTNfHzYyNuDmivChZsu3iCRQoo8gJr8EgIOzE1p2nGeJTHmtpuK 4y+aNuaeAFKfp9aydvj+SBdFWjDRzy7z2N8HFVv2iOEkJ6Rix/TcVQYV5B9UPbdqpGAEgn5Nfhxy wVv1NkRT6mk4CKUoUjlRC0Yk2qmljTm7mM6kUCpYJMaGeu2eDg9lsssIUgwlSm6UL/KfI1R7nXj6 QDLjiJf9e5+jOyL+AzsuYxAEssaQDZ6J3T55vQVRuSLeZoaiT3fQBsfrBFlHkdfdrLl1ds8sBj3y 06hZOrAEBQFzcAr73kySvbhQnrwsx3NyHSzUNCVDNmyAbghomBABQDD2V7FY+PeOM5AA9wk7BQft n0/DmE2nwrCb62VDtCc2IQfUe6aWaHzfC5N3z45BAatdHBlp6VDfQAM6TTBO9oKb9hiks2iMEw6u 5W/rYPlRr0hJSNrNKz1WLstY5ED5giXi+ifblHAH3Jt017ubIR/I+piwi3d/nXIGtLLuT0+biacF 76djP2ACQbal6dGUEswIbKEbW6dqWoPAHQEFXdL1d9zI9DcvvaaMWrT3JpA2KU8FBAwv7AOP7ywZ eHmh4cyCgFvCaGyb0G6bBhZRhhSq9X7ii0ZT9e8tT8O0G07Tk3axvrVxZTp/ZBt2DcgtMhKmU8EY zvz6OfuGqYcfgvrsM7GJZsk95DwDMX4unwe/NDs2OtpfNfiNB+1p36OuVFLzWmY8qj4+3/31aS3I ULlgn/w9P4FH5woYttsI6vmYDP+X0eo4xmWiuZoY1EmYA7bGD28mn839H3bn3LJgPWpOpC3CyKzd hOD8kzUUKbI5FIZqv/NY/ddwkhNVBVPUc0AITAqu4ZyA/eg8+Asi2PIT4YQCrZ8Vk9CY/a3VpAu4 BD5dqIy8FHFTwMhdWGGUnmAN5nwZK7IHHLDYfkdQzOk3ZZLH0oHDyLNjeulkED2P8HdH+GYClpLH o13nCFsSTXKagqUBlIO+3ipPQ6WlA7CeSAD9jfkmjgA3v2FmUnv9szAgQkGvGs8TSDl/q7u839ji EHguoA4FkISOKcWlpCttUK/oFVghlNhGT09HM5JNIJOL8HznWBjvs7rXsXQQnqlDliliAbgQWtwk KaAbmMymLNIItqYahdek8Q7rYVv6BjhXymadD8TwijNgJS33nenoGDoyRMBa3zm8Di7fm0fqQm/s 2muFqGfzX8m5N1TrGHsGgxnwvSsUAd//HA3CrV95WomiqaIvUQTJ3oZhKqsO1F0f1u2OrTQevfmF JgVwj3GIqdNCQYbl5bawY9ZMmmRcJbDyyswJ6HBnZjLio/Dyz8QsGd2QObOxRp7AUssUTmfjex+9 R+uf/xDXLEfXLBHvra6e3R70UXvdzBuD0UGMi8rZyO6JK4O97FzqlGokHX5brpltlKcX4ZDHF/iQ b0HZCCkYG4ljBbAfnTGXSDt5Fg8Q0aMueEIAWLigcwSghEnS2A2Q+M5kW0GtR5cXQnbG3xPuv7Ie z50hX0Rw21V9pb8Y06RI1n4JsgPkmaOght5i9L0RS8wyv5Ar4/1KUd0MhUlUtfKrxjPcrKT4wmxN IUpVf87dT5JibYw68XomAY6/UsxigsnWyaQTt/ofFLFK/dQyKCh3Okodi+InZsJnR0/lQ7i5FYfm N1lHpyGgwF6zoLMdkn2agz4Q91zZKK4QW1x4X0gnJIs1NM+f8jvtd7nCne+hbi0GANUXqt3e2tG0 1l7ti+dgOGOpnyKxQKxZi2e6cLn1pmkzO0VFSRmz9wM1yMHO10ROQMhjM6kvmqWAKhftbIUiGuo4 32jCkgBwjoYcfmZtV/w6TV62ZGPG35pLp3cpQ5fyxmpL2GqxY/lfVTP+z4Mq3WhyBYMXLfBKzd4+ 3IGpKa6WNPHGahHSGdBkK7RLCUJ5PhDf9VtG/BXZVI/yEmCP2TAuj3utG7uJtS03ywi8oH5yeKTy hIdwGbMHEmSqh/myDPOOSZ7fDfRS/I65N+nG4Xpn5YaT0JtL6TW8bCEgLkAc6ULsgJV0r7FxDTJN IFo/otDn9t0+9gC/8Nfo20Tr/57vm4CK2d0YKAy2VktFxMIDe4gjtMeZht8oahdOFlqHUX56hrLL INK5h2PxMDw6mJy90Ag7PIV94HzbXTiKkWOy81vX8HjeomOebcwbT1uZHjkP6oDF8EZas9nBqYUa vb86SHqiJPTerWIL3dmPW3p127r+T+SW67s/Tfoh+98CaLpRudoyymixJaYoFXQFoUaEmIubIiG1 hT7fUpHNYeazmwvzmo83nfxOfu+mxTspA0RG/7Dq9wNr4Ms5VfPYR3iuSd2ApVHDUxrcPci0tKWC cx5TwFfPg3UlIrPPpSZM7Z6rRIemlpsLCuuP1ubMdRvkcE596F4deeap/YtZGBXfd7VR0v1ITn2N J5PDIQeMemAXy077B0C/4LgAO385kYRKQDzARVVQkBrvlP5E0cds0N3G+1e9IIV4U2NnGGIcbv7k OR05lwUHVWzeusw2+NiAdvSO2qD6s/nSzKOE7dEjYPivIquIKF60HN5yaaai/suFIOzeGGqGdhkC mMANq6MUI/sNrPTlTpL/fRSQyHHjoPx7pljlIrUY00pYdWXaQ086NZ/7+vWKCRroaoipNntVUIYz zGeezX7AmjcL0TwYSdWMk2Ys4DGsoBZOgr5yWJw6rL+wLk9uzAIxI0Zvb2SLvGfiQdZkOdhTzrLO B+S07A+qxNg91tuEPi1DUkB5OoWjaAUqpQubgRzQWHvGMX+UAOVXZE4PfOzTr8P41B8GAjVeRa4/ TTC6rheHpO4MTPFWaqaLVe4MxaXlGRsY64cL5ZAnGNh8uq8v1zXcXC0TpEffgUgl3NNztdQYNzqi YdXaip02z3vuQkLGwxqBuU9zwvDjUHi6UuBTdDfNuJJHNTTSBpYJx1R6S56OTDRrhPPfgTnwOhXp 3/QYEPJ671dnhScbJwz9ZNZyjENPHpIC5Rm6U6e7pGfgw3uuaGT8AhdEZ3Dt9l4S0gYJZD68Vzg2 qt0BkdMAK7pGjddXAqAn2glLxZQQV60uMR+7iDfIcqoawf0fcAeHe1lvcPNoO3MIQMaNbqxeEw7/ hq9C3JInVm5o8enKmby7vUzVZx62SHJqmWTjDz5CCQRFs4nIv9kNBxjpCTd7x56NXTTpHv6Ukvz7 5bzZmV/QiAOeEmqFUbI+xdhlhjDl5bf7pB9dRsn6lgOLb7TArlHh2zTHzUc0HXE0pW3kzAFdc5MM lYvuE9l5cT099b+2s3ovIwef3J9ckykv1ZAN1TDznpQ4kmZvIdyzbLxxvX3573Sk6t4XopMYUFOW 0nxLY1W/0069pWLj+fzZ/gGZBT2Q+xYRSfRs52IrwqRjzfNe38uA7mJae4ykRckZuoGK6rBRAVJP 22cvfge2XY1reWeNbRyZCZSPKrhIZ8O3USgp7prGtTYK897wtWlr0cm4b3S28piuwi152nnRW0YW EDueuogu28LJyjjiZMfo+wUckZXwFz/L3hsNgYYUKU3JbzWyMMYgtZCdnU85qtgh+Mh2keshtWTD 0TsrtOn+kwROHzsI7qvKqNd8NJJhyOCZkQQcAiKN8jq7sxBJhA40QOepGnOEe5Kb3c3FZ+DAweKQ a6OT5GOkmIGZNr4Ox9APkJ60zeqNkkoDy7TDy6LwWR6cWD+f3N683LG0okbhGlqREjtkgF7mdHFZ zidDOcCB+5JIIEYPhKYzlwTBxIsAU6VChaBeLAEcZyYqhPROGUbTEaPh8IEy9gAgqSV9FiI0OH95 Shur5iq7TnQbHhwy+ysTuwWHZDhNX4hc8MmREchNbtAHdN+fSkPVc3sKy3EnPImc6ZhQse5iYvr9 lJXEnkAgA6fFENyxmmwUkjUqgvBqxPziPTKHZ0omiX1KgKQoXFpa43DCCgMzNci7wikj3Ge/WyP/ /EPJ5ghJCkLI53PDC3wIvLnCCZRr3wxVc/jIlg94+51KeYEb+mkV5Tw9FcxLtLhTbzKggwXSmy+L C7aJ2l8hmLmvgfz5HCtBt+MzJFcLmRSv5dIY6FQoFgCgEhH9Xu03HruPOMO5TwggrNockH8AIRuC Txi34OddjfGYmBsblsUM65Y46CEmdlJJGUbFSzEJI9jgjc93/4SkTEvsxBaXWNax9BUQ1nA8Rnk0 TmCLbtFy4EsW7+d+RGz5XriCbyunICo1JIRXQL0fHOZ+qkvyQ005E7IZvRKWcgl6dnIHV6vOsDzr RM1x+L1PoOpBCsEE8nkVEqvHpUPmFwxz7NH0Yl9VEVt6hyzWmdpiOo2THZ3j1MjjMR3IMyUHfHVI NPVPACpQEKo9e5MfL6oovCnVBiAx7UKdGO2Cllq+wqIayh801P52Qf/0a3u4jCABpH6sg1Hb6c4F wu6zp/qIc4QX9p+C3M16tD8Cl8WWN1QpSwRoH1Vr0aCoajoSSoolgbdcI6GQheXXuzFpG7zMVna2 5CuNycNkJctjPorQ8Oa+dc21tQegGwprbAds7ltT2a56UHsZk1rrlTbDdUsRCWAGybjIf4bebk7g KkPgGJTG/CmDYMXLvy7VTDqml4DOnTWiKpULH3y+JoqD3atLgndgKEXy8eOOa/a3X8np+PmOuQU3 vkGWEMFqPb3QdzJU9nnzS+/x4RogInx6x74FfOPLIyxNgzDO54NNHXGiwONytM7D0PslgAFVktrV U/75q1g/UMIsTcHIJUlbWTR99g7f30DQMvrBm1MV/7yoiUA/TDjQwlpd/vGST+SM/Cr1lBZxIBHu kyv1HeLrwfNDBmY2QopPGhiQEimw7KQcweRl9mJEIrBxHTr/X1OB9yYm/9/1n7MoK8iQUWIfkO5s 5qHCJo/Z9fN4S6fPaA1CK7XWcF+o8cI3u6cxWAb0XuQVM9lR+81OtiEMmxctBSjnB+7IFeVjTsyT Yl3daeAqmi/VYk7YYCzK7G9kpYEet1pX3bmchrMINCNtQMlxeQU+zf1dqCzGeEG+OjLsIRDVNQM9 OCj4UHU84kO3SyquvjybA+4SMfohvhkVNnsaQ5gCCe1n1ZRZgM9WrKf8LGo1Jxty4Jr0r/sBWy6k KORqHnwpekyC+4b9g49yPfnqY/d2IxAAwedxXSL+Qxp8PBOgXu0lTZUlnK6mYeXc6JBa1TQMOCJE 5lVhzw37tvWq9bWe5t9VmRSCCusp1sK3UChTJWWAbuWelXEbVbHfLFtI4MNYwkCg5/SdWvRqYsPX zMuPfFFTCVEtsxwyXZ3vRz8ZObEv8QC+zfJLwm71ffwIUewjk7tBlkqo1/vQAnuwENGIgSpi7jri iPsSvy7xGPSB7KE8nAoM44u/OvxErmGCPRCZ1C5hfU8GHS159rEVNaGtEkCyDjTCbRo4AP09B0dj UAMXrxk04PSKDhg5Uv0EeehN3OX7G1EIk6eCtVGqa2kIf7HPC9SSrRrubZtddyXHrrWoJorlQPS8 GeJJxvtj11iXgRGTJEJsO29Pm+697G5d63Cy3+1W9gljV9QaozzeyxYw1bDF7yFVCoq5rK8laqau e/fEfd5zADRuEJ7mLJgGAsciI+Hk44xAUMCIFVduRXnCcUWPNtTAFcs50cs9XLtKvPX+uNQ26Ep4 tM+yutZCI3KDT1xjSm1tEZ2nBcy8O9HCeNn1SMgJlxwXdPrtKEGJa337ZWWE65CsNH99XXO6DfMr uYnJHVW7Zq7eHgzlKqCW/OSdIFBbeS9XYEDeX9QRR9BkbKXK+O/sn9p4ZCBNdA0Ttxu7OtDxRo3f zTj3n5lNkGA0Xozo+o/+rYlTErtDOTw9NhFTXSz8XNgcOs1Pxv4FiZvh/BvGW9eaQTtw0g6bLCOI uCju4gRRYzOobNkJbYBGl9D9A6WFQtC31paFIBD9YlOjjgPLWcT37vdVSLmQC/sDT7Lm+1yyPzNT 4KTdypzUGT1eknGisUKChvLk67Tf+eJ/30jlLwIFYjIoBLeQr+S8PTX6Sylcni5AO1AqkiglhwSf 8KN7IBfga/5GT7VKMffFDUG7df1o3WhX5jKPNQpNAXQr8g4pNY9v+ehfVUqpp1Es0S3bW5oRWdv6 sjp9a/K0a3MpqeHcE3N79/0cF+PqIeWnqiANQSKQPqJivYAwDstTXi8PaWsUprZCOFx2IUygsN/9 YxUDqg6jUovbS6isWPORvXm3K7HRp/oNVhjbJiIpuhNbmuZ+LZPSHxA5agq+VjCbLjJU6DThYila QkiDdviEQ01XxnmFskXnuOycewqC7mr428FjEFde0LoY8ujZPD8zxoLPlWwyQ9AdSQO4GRk+wVYl PD59mcI9/qCEdgiMJwIYy9rjhI1QIYlAYeZLNgfPN3wlJr0mi81hlFb/Dogx7XuZaEZ4ob/19Clc mVD+392A14NM7AG4+O5iavBs+tDAyR74thsxmptu1Pw+vRZTz3F0SQIqI/EY9Z3VLLIEHguzpDCj s/5EzDCkI3prq+VI2g2RcQwqzQ0e0EwxZWG2x53p2pZ4faQDhNB/NDVCWNBz+F36p8r7ITBjNAqd OGhs31EIg+6xt82jxULwLDUANLKHPcdbk5/GHSWMW94o9PMDTcbdNLQ2NBRaB2MrOS0YWeT30tUc pKU2oo+9pFjVSVH+lEjXTMgbeTFKOwjkNIg8o2VPjWXcng9lZYPtgQlCBWa2lw8ijHs5E4G92BAP PclXXLbe+Rn+8Dnzj6Hp1kg12T7ZsjGDiKSYa7Db71Hvu8dCrX6yxbvNKZ9JVriDGiKikq26fpmj U15GiHZh+aOTVV6HM9zbhFu7b9XfERBZO9I1ALDUh3bb3nng60r3dQceSBQpO7AvXlrJV8YXDw1g WG9H4Cwp5cpgZ1VbLJ0UChQhThNeTU3gC+qWANZdvEp4qlOFqE+qwmngb1wfOuy9hHNcwZaVw9MQ YuhJ0e6Rckw6m+jwPZOIb9LvyoDZOTHOzW9dNtc0DBVfYQN3RbgnuOkuZYQpfzf6l+r+c9e9pTWQ W7W4rFGuXb5hseUyehnkljmBF5R0GmGCM+D556DZn270pyBkvjCN5ukGppBndTN9VdfCxEmQY2tO hiklLAbEuMCUEU22EGm+nMQE2SxGotd7Pv4Z5la9F0qWXEVJQ7uCSIKVY0g9YxOgYmcc8RXeYBwX 90u43HQSPfS6xbl61BR9a2sBBW0dtjnIPd2mGWWsSFKo151+CPriWmXlFWdht3fdeg9eniMqJBWM ErABM0VChvCqLVldMVIkdZ0lug0SWclsfBP1ylLcDyi94LcOtb0xAx9h1D0IhxXYSDhcenYmH5Hw D5U1/Fk+do8VKH7ZmzygXqXS9vAl68BaLQ5UN6GG3iozO/vacycMVWWws888cy+AZYl/xW7xqa3+ m8+J5LxMQLXk6jwLPozUULCOtRaE9bz0G00GgEXq6IW7L39IA8ihZrc5uUO9zNzYDCy6lnR/3epx 1PgiyyPzGSXgtFha6rgTeAfDs2jQSonMuLho9b2Arbh5qm//j6Ri6uLGeAa0CihQaq2f8rSHnsoO v4izw3OZ+E8glxM+lC2bn2742xg4KT5jQWNUzkUgA1JACb0EfqEDe6D3Zoke5GWCIntPuTL2ximc 7EkHsVxBtbMR0tZ30/tMtpJ2WlxlLD1Qqw71gwxiSuaxf5sryCOi/lQ3sefdkm6+ndPQ+Vir+rQi npWdhXiUEp2ML5b6T8leDwu3aeAcVhF8aezAoT2a8rp7WrTsVz66zXZtPamS2zFIYRTU9+Dn4TT+ RZiCXmXAelVuV+c09U5kVcD+HUhYODBil2MM9ZhqpMI5jeRcvGPpH9sIMD3h+I7TL//AOqz/75qa asG2T+o8QpTptAPfF2uSgx7ycu5dfOF6+xfBc5QIuuo6YmDatjCIfihmoVRDHFGsrC+PMriErkaW tsAV5y2351REsvqrnm4CRTHHobg9l0iirqNlOQybMeq6HYDj7sreehP4kYJFHKusFpINwgSUZRJR EBAFTK6f4u85X87/13Mxt20HQGBUSQdI02yhCPsiUczJj0xbbc2Q9k6ITj3N+g1GJvMM3Eutyf1m uvUKYvuSQTGj02WJ5xoIz8679WcmF9E7tlpOyGYzOXNbce5phkqD7CX/m7hULZxbaw3mGE4KLBVW 76UzRM9TpGJaHdrmFyckjDmMdg5csRXXoDxlYJhdJPorsb6QNBMz2S17IXIl3aBUImqARn/qGWdf hhi3lFpiJ/j4HwhRM93u0s/heHdBan0fBRsqNCVwo1iK96kngcktNmqMWwEGSji0xjz7r5GU+pvU XU4ylgXvaxcZ3U9XrcePPG+7bQ6068x2IHIMXxrL05q6/TFNGPuyhRs4q827cR2Gt8ksgN7GpEMC 8KT+6JkPJUoQv0c5wOLxPZMOuMJH0KtKbTGV62el0HlZCab5WqmgHvizbWlnnM6zanWSW+Nog1J2 n4UrLEgdmOqoHzvqOJsn/8BN0LbP6uc6YAutXiF9A2XmIh9lAHSS1aSvag151UBdDNpy5vYPB0WO +Z4HC2TbRNrHw1T8XRTTBThGls1qeklFdVvohbEgzGzH0eFXtsesxXM9IU9s8IZdn+Mzizua7qyf Yde3xtCsuA/Kve48Lnhomkphte82fzoD2WMhMhytVdXudhCzaCpGQreq8rtaszhIleQ8TV7cZu7x QtNYj9pGhjLX3ZibwXTLt3LyT2qsd9QrG6H4WLeQxLEzkMyKWSUWBs4ieIdJHb272v+nF4xoblS5 YSwv7THOvmDku2uAaCCzngV1jTSo4tfq8agV7C9/UuNzhYUT4k1fgLAR37TtttTxA1Og0XVfC1aI pwFeJbmrD6gWcKNhuFcIVr4+J4tVs1aVBnpNk1F67R3b8CVxKqm+chg5SxJi5qeT0ex6reFocmOB 6xeW/mdJYPwONtHqwwBMYIDEd9iXfczAJ3ojHxsS3FXleha44r39cgHGoIKfpkPKkLmGsw2LSAkE 99OECFBGQfakyO2VU+c7vwxkYxg5VL4EYvYPRzG/20qDX4pa0sT08/52jKrFt286UG55WCTvLNMZ Q/MZl0CVe7F+qWlztaddn2k3dqwns1hd9KFhmISMUdWclAzgrnVt0PPG8RVw2Ua4Zpo31N4bVljP 9kwFQsWYHBxiZzpg7UOZC6OTdVlzLoLUGIesLRDqxDJgCfbyehx+EyN2e/eshXAgNLJF7wTI/8yD HC7EzcYpk7ZZZ/ZrAhIxayC+Tq/NsGEXFy5PakAIVVfrVPGC7s72+uHT3zSN/MyvxrgBKscVDStH RlVwdNKyMoxEP6tpYVjJjH4kzXWnEGjBKgwhTQjM8hOM8tkGtj8GzZYBkh4CNN68Tc1Mr1VJI+0f LAnyKY02XhIOsLowEe/pH2UEyrwZwXDi0fQXxWBVrx07I6mPaFIcDO0MmxNwe63DO58exM85IrAW 5yy8EANceMVzkks6FqJZjZpopor6kivqU0PcRb09pr47iTk8ZWmapK9ZVBglzNAMxpL+sKCsA64g +bVxaTfldpX2FModRXw8cyDlefSQUM/Z8uJ5QxPMx+a8qdByUUoMgwhsel1tlzl+ISjYJOIk+NYm xc3Gk2llB7ozqNLSByhQ4hBhwmyV2Q0mmuazlZblSma/K/M5gzjbfSRaqW7TXXJNfw8QE9sSx/uf Z87pVpKwXMOI4raiJMnzQen0qJ6EeopMeJZUj4m+9ZFdSpCw8XRSzpCXdsRwIlCQXzjiryvQKbpW C2uUyM12z/jeCEbiRkebHXlwEN3XU6OkjwAbnl643H82aDzSy5idloLm35eYTf9BHLlLD8u9g2bm 00Sg1we3GR8XSNlq0z+wBnXE9nsCNxqZIqSt8fzzxnbWlms+9+P/yIjwxrftc0UY3h4YNVLLEHeg CbPwYKw5iLyiRReHlwtHhNendPrYnxhqRgd2UyS8O10LOlwuJ/6/XVGKCwG8ne6WLBpMDZtjDp7W OIKH3lhXfD0RPF1YXbVWVVY3tpA6aPXSAbc5UyQfpzgOnvRYzs1nsyzsnNF4TzNAjcPO2VqimN2l jc/aJgKC3LVP3TT8vLvqoGt8y/D2pdLVdkxTj2YMaRzIKUjxFmA0PG6pnci0Wt8IUuwISZr9jL6y J6JrT9GbkGtldRmLbjVxkPF+dF9Mftd/hLltwCCHW6whXHf5kUAXyp16xgs59gCXeXY+0R/u+P3B HQSN4errYuKvhht+OM3cyQ5GpLnkoYtzDN9LaPx6V19znzufOuVXZ6L+q9uRxwkeKer1x541b3UH JYZoVws4q+x0OBqmSJdSh0SJAubXUsX2EIjN8PfSdAoS45dpJ/y+gZkK/nUcZUq96ihCs+/HC2U5 KPQA5NVklIEcr7p5ZP0C1K2oVniWGDnNZBe8OInd459hBVFPJ9DGo32MvfNkZEkv9w/ew8Q9Pvj0 Dm+m4GoBNqTLK9/6hT5cxSgrd4j6DQa2DJ8ahG8XQ0WFZ2Yv4SkCMEgaDxOrjuqT6tB77Avd5zHX hKEcfjqEjp5FtndMCjcHq9CH9XjtYCSr+CV7GvOG8ykXoypyJRwKxrFeIatkdihpPXJIOdbyUZBB Nnt7uTX/pBP3M6Q6khltAxFUmWQw0Ji5wdEXU5xegBxdjLPVnvlWb+bbv93KtZ404IeHSzGSR2MO YjDOE8dS5Eq+JAFwg6OHmrdzQu5fFRZaGNx4AwP6AcpzkiqvyqBg9nhYIQTG+5mRIswc7r5MKuXV sAn2H0y9bOjEnRfi9WJnYSWqA3DiV5hDqwFXbGeV76xZ+aEL2gIB/LMHWxyuq6eenmMzwDBZa+NL R1asYJSG2P2fc4johyMXumOySlKYs4tFT7Wm7ppL3wGNtp4DN+JtWmgp/6woyBCbqtSTz4rz2IWZ wVrsY5c8FHf78I8fCE4EjdXRCXhcRjhfWlhzWMwZHc+YTaew5EzDSmNHEaIlO8JwcjzqPTy5DjVX KA6R4UpyQpFtPFlUyvJH6pmunhLKCc8V7niqNKFas7mQbBVaWI4usKH5UiSyEaim2EWlpq9gTqTf Nsxsm/1r9SvlkgJI5P3fYhGrHd6Mol5UWNqsRuLnfzNsdtOChzxfXvu5bue6Wji+zBvZ9pFfQYQK LTrwE35KwT2W3yl5CvF9OxujFSm7nMHNKQ/5n0C5WZ9/aYqWuoKdLJvmLauNflpldPPmNASrE37W QG8b1Z2HxYDUx+Yr78kaJooREPzyDx8xi99CFD6yGDGKPKz290vvhZ+6RVYtvgNAszGu3MvqGaZB jkRJxrdZ/eZplDcDB2QCyIrbfH/4V5x5mlEGnw+LB/S7eBd7wbCfiWbpQM2nkjMGqfUKnS9CyR5F fqv1P02LtQmKYjFM0NYfGHSaidfkndvUbBR9W6ozNhdZvk147P40upfk63Dbk/BMHv+HOk7v/w9f VXOBOcclaYqg11n9k8/F14ImouIyX+79h6mCLQIu8N1N4+/rYXNgUPRmOxnNEetLAHKh7oXC+Lrd e1rNBo3K/aLoKzD4zLvLIBhaxt4CLowkJb5DGKhrEsVo54HMgA1VVP94xLmJzYGbTfc1cg4LYS8H P6odV6IjlSvq7LV6CXyRAHJ6cI5Wamm0MDjb0NN6NhmIIEbS1EIASitP3V0UNbgU4BH3J7aib+ZN KCNwaX+IL5adBrdW7QfJA9Wf1IXlSnJrsjzlcUsWpJm3OsHFP5rIRXQDSwToXMZVXAEOR9Oz2PDJ 2dzIqB+75U9Gy3locPI2cMbLbiI9lxGphjHZL+ZE93BH7P5NUyAgDO7AeGg8UklauXNvm1j6J9IX iEk0pwCLWYizufzK9iKzBhjmtBCxZvgP5Y74WjTkP9pgDLnfsnGhOO6ECL3nsIFESUxOAGOf11GY YjXCshdM6AYVRatj9ru2o7AxQJO2erECIdbs8/xzdJm4CAnz+LQtQSZw/XPDhezx+RejfsXD7shZ +yNJ5Syin7sCILs+6UUIYy8kSFR+/h5gTmNa0ytvOH/yHcCxNPXNjpoodg6J6JRHdk3vhC4LGD4d S8QnQ1D5dSS0C8tJO1z83tcjMyxc8jSR0hsS1AT0as4s3B/3y4Imp8DX406C3PSzOPFT5UsvZ6kP eAvulUVtYhFzzq/9srqyDO6QGu93Y+1Rqz0W0SOshUvssqr1Zfmwp/tOagmE1U0xtVjrrX5QIcHN R5qykHeATuBV07pRopZ3b1zFKbwkGI0n625fM3mQa0yg+axSJVDo5G5pGlLE6qse2uLoeh7Ib8Pg afo8195nwjovKJO5Cxu3DqtzNn20aR1JqtnCdE8bQ3DWwZ7PInUcVOLdVHY+Gx1ZDBf3F+lv+Q84 N/x0q0U4jl7kcb4BemeSQq0pF0HuFtfTJEYZQUF2jnsc14gsT84FjNA/68unGzZRdmdn1IZ3PT/J Kmc/sNPX+uiagLS4vY3y+cCHADCvsI+DnI/ZRcmlq+8NN5F1i3FV1UvLkfAozx+IojXdc2ggqRS+ T850rbUQJeYJC76u7xzazaTHrWh7Yi5/34tOk2jrDvJcEVccdqTJtzMwYk87KkkkZOr+ZC6xX6F2 dJWHSmX0f1J7jtA2lQLn0etuNfCd+WAaWFbuEUwc+iHYsmBUhjWHz6Q7cjFPLurrm2D5kSp21Orz ZnCVWYisfNTfmeg57HF4DTmJZwa/0Mr3zLqDc1ZUH1Ep9tWzTAlpPMvvfHTe5SEefG/KaoBscIfJ mkheXigc7LC1AyqH4uYgcUR2MpJm2VN+o9go4jiG7vZCTZJ7tYPokhA4fBE4VMs8N6dEnyc0XcPg 6R6RTauodBRfdyVZsdi5xbtsGGRrwbuQhHOxhG4a+XfLa/btshRoD5DB4eClJDHt/n6ns02+g+jP mt84uEHJLyMdPDOeQV9fEHDVWpza+lDyJwHoh81zJ+NjPOKNfiqWORxQFFnvjLvsg/FxWZZAX73+ kTh8sS9Ta02qgFftXqH+Tc4rB/gKvo91MqT3Ht9NbOjJ1YsUcP+4xBzh85xTubmIHJiqEnDONesw XK9Twe7G6bhNSd1EwA/mPTZ8QIJGSdYHsEIejmiWrmlcjaKuPChgpOGety4sbWxQo/ZO6TVUwro1 vfpRuw6IF1x+wuCVGmVjiuRX7HI89/UCK+lFEmIbFEU78NyfNyDu+LbfmD/9aeSjkwrDXXLyOyL9 UVqKLda1Q8aYbycYv/Kql/mO0Alr/BrSWS4Joi5iJfoti/SwkDCi9h7yTB8jxxlEQNQ8W+15plcS aWY54sR8M+nyxjzo6jn4dFOiFDz28p9T5bFmiziRCZ8TFvoOv7yJFCKJu3Cl9a8+J0Yt63GEJrDR ZDZGmN8bo05UNpI3+EF5gzFWzuae5F33avVGs87TTC8kdZQrOkK+BnMNNvktj/BMyVL2Dv/kqtmF DKI1DetasvK+ervykjO9NBfowU7NqjSY5LJPJ9lNhvHpwIAXOSC85X9ibaHXHBZH+AkNDoIn32q9 OGSjLy+vzr8XdN4dUwUJLBejnRrrFXz/vvOL9Y8IyfEMdJ4r7zDh5e4zRxKwAnP+mN633BTNcno1 g2ZF6kjv5XxY6Z1onVZbDMUDfh1FAge3gYMGA0GOLuI+NRs1AnJVHurCpHog0altSf196AiDSEnM YET3X7ChSa/oWHTS7iPhlC+v6nSXH9Gapk/y+55uOV7QGOioubIjwOwTTXxDE8NZHfiL7jGvmAxm vMfFS2Jkt6/pa1YzsZYSKTQBUjxsCmynVb7F0icPccOE5z2nSFULXUvlao7nPBjOjCLkUtKTFB/a VYOiOE3AoF/nt4kLwr+KRCcY2aRYbhEpGhVtp6ksNN+uemHvCTEdwi1xYav8vJ5OqkAKd6i6/QhP Uq6nkAfcEiXrhohwVC6gyg2f/3N+AkJxqWtWNtTTKt/gvEPC5bew0uhwLM2aH2rHpLdj3XDuC3PK 806dYfTUgyw8cE12WGKt3bOK3s691/P66vqqNuvx7jixyV7Hmg3Nfq0VqcTFgMSMQhXzQ7hemAys UUkuLqfzwYEyDXm2a4lt+kIRTkLEZG7aseNAbjoQG/eq9tAO12o9oYBLb9TXldTE8TDzBThOs9qt LUQKu43FZMt7k/SW++834nBAAEruyJXEtz6nNyB0pztr1jX5bAAfWcSqrdwLJI2GumWQyGLFkSOl PBdiPgfK8EC6LwXe0SiE7GjdMxvycWWEO+gviPH38xx02CTfJmqskCMh2zerwxJjLL+ZcB2wrVIS btQE24BK7YYA+pMCN2OX8zc+x7C3LEZDj/jGCSquj82KUAJgZshhU99hGgayPjlx/EsqSYF7Lc/1 ABpOBrl6FRDDcZg33qfAA/LSn/ywktK6XViMY0qEvtZ1EbtwNcqNPZSdyVYwWlxI5BLipos8cZ3+ QDLi+c40wWuexg2ta6zSlkgvg8cnH/JHAu0u4VO2bLVHmXSPTAc8FSSgJn3YLKt/0wgy5oiEqQCc I8Z84hd9/jrrQNEtDMS0Ys6SFb4Ic1trXUJaPqilMPia5fDbKoYU9MhCis9FzP2miGr/0+KtR4hj fO1EP3cs35IGH9pAjA2rMYqaTg5nC7lRbWhJRDhN7fxYifs47qy7kL6Yzb5SWtvt2jceNm5m4ram AzNA679ZlT5XKLgANWuX/YA9KXxHd2f6nOHsWi4uzOcau3D+tVaWMRGrSS+F5kKqJbBN2s1YLnM0 VEyXTGr9DP34iPq6RSikYaOLvLZm/ewo667vReD5um6urfWHBbUi0THuOZySTXbeT6NwS9Qf7N8C Y/cdIdS0sqcIYNnh5IQgI4y5+vIggLtke4EX1NgqfO1Mq5axWVyfxcQzv/26ZGhd85DvyZ6rW9es tywPfCWmCFXH8iYE9NLnuxdQRph/9mtFqSb1z6Jw8NQuc2H5x3XRyiNlUlIR72BftjcAsI1bDYjh dGXUlLDrJkEEc8Eh3dNpvN8OIwH5cmXdSU8qgagUem9fICbL94xc71THN2YGrwZO+z2KSc6+pudl GHeGNfOA3yGT0JO3gsd7CTe5s47YS7Kq8Vjn7O2c6XMP0EwvFRmnffAl5FqTdecqrEQCIze+qtIC OA4Mai64Ghq3SyhwVgwue7/vmRTZmAh71FyvtygZH0mEW02U1cSfVD1l8B6aymjrcF9/pBnW/ojF buNbOhXtRDCBK0qwWBwo7vSmaiNfnze4HkSmbx//B0mxXk+slnAoWgqnMc5doK9OaDKLEi2rXujH 4IEgz94RzWneKqCT0WbTEPhdK7dy+fFI8q1nMal0gW52DYN7ZH8SbtJSLOd/xsA45kcAqtjMQEze L49LNNRws+DoTxTLPjW4fRvgvn1LLfi/RsefNSMHz6lodLsxLk9j1s9BrOikUGKM+SKgVkDSKyVd JjrSiO1ARoEK8BwpcIGHr80bNsJ0XaEFqd+HSAS2I1aqNmAtJBtXDaBhIo9npRApx6Og3Y7QIn4Q rAgb2jqHUWfC0gY1S1NWjmqoGg3njYpOvriBgpMwU6yvyNBY2hUlpbKwjTBto5MJqZtCcb0AHz58 Nxm2Khs/Ln/8zrCApJNIFcNNeDRP68wQBlH7uQZE28zxspPkRFbc8VluIxKtwTjp8VYYfbzmOVYT kLV3qi1GHosRE7FxC+DQxdg0cfCbUgblJo7TB4yyTfTqkq/znJMoZ6UBKoZPOYOjPDVp0tZgR2sW 6muzgA5j+mRoyX/C39Zq9Rd8XLcPoRC+ccmXE4bLOhx2H547wUN/S9dyNZe2DxItkU8vJXqi/Vel nJhVXCsS7wm5Da7lgOcOrKZ6H3mE4F7sQFPQN9df4zgx8hWRVGifAbV1ZEOaH7ZOFCBBbNEOD4pr asD6lM00WZ6SMkoI3OdvvHx/hxbvsCROUxXczNPW+B7KR/cUUf4cmOd/O5o8JQFUm2S+mRrsKOl0 Dtxmw4wrysfdtj/Sso+ofgpkg12VC3qmUoyoSlq/nMKb2UcFa5VONKfJc5sBJ+LpQtMXLU+X2aB6 t+122QmBrNmPJH4MrmFs4MIjLEpZrwjo68gS2EFGdjqgN2UpWo6ItMvToxBpEFZgy2hKcVmxAhSt gNc6yzdPQbjbcELcxkuKGk7OZxJ4BDAUHdbIwl7y14dUEOafugDiNXXhQkdmEczEofTIUiTm2Hh9 GsrXjX0Mwxu+zxNBwXCeXLfAM+Z276k4AW5zHBC9FZu5N8iOJzKUsJWyhhEP2qxYKsSVqOGaXCYQ DIHH2aeMOyebuNVt5X8vcBIlaEwFDpBp1KwUuWOFuJIuYh76AiC+E/PzL+Yp1zDnahh5rqlKG2LS 0d9r5/FR9TwxOvZPYzb7eANYFTwmXBw+UejBCYHeeMNBbkkWQMZdDI5lSCuCWP5dxEb2DVCso7QQ jkQoyVaidlNENKHuxiLTAsfg38hb7Zor7sbErqUZL/Qz8Fpo4pFS6sETZbh5uH/m/U0NdKk2qOEe C3mk772OUBvSAsxKMTzS5LUMrexJ7Y9cRuPiqPBG0Wga+8Av+xmCwRzTv8HvTUAwyxqfzP3KbPad 733o0OXuLbM1Jb+6JnZxgOlt0oEClqAgsBNY0qCuO6owuIlIwY3sqNtUoj56Lu/vmzkaGjBEJOsM HXqs6chjsSLi7n/AKfrsgf+DYwJMILq8M1c+FdLOcwgcD23A8z7aAS+cU0FlClDiD0TtSfzhCn5Z FVkChKtSXOC+x1DbqJFvNQH7JiBKVlqcu4I+Y6EWZIQNjrpjVfOZpDL72FwSz4JL8ktCZWnLnuWJ yw7T5l9ZzY7YEGneDFjRxnWnDwyRLvA/ZmGPr3IyXZuatJ/kcMWV+Qf0Y4onotXKaX9MEjxqtar9 93otoY3ht+3f/Ynj3W6dCkbZyGkXPpHpDdYaRXZhO4nAPNUt6YxoPk5pPzFxPWoQJSloN/7Dp96L +EoGhSJ3dvS4M+4zpmX+K/lUmldePgQ8W631zbyUdisuWwFYoOeIksmoumBFwwOf8wj6mWoQM/SS mt05AFmh7H77Kb4H8VIz5kWZOZ7g0J6AU3mFv/j3ulonSKdGzzZi8572es+8FMwS2yKz/+TAbGO7 UODc9SRbUwuAgIKzeM4nZfS9y3zcOVCYUrI0L+kkiDUQPkQ0c9s+Wff9fqKbgj6fP076THXbRSrY ZZgFc7IoqbJoFqOQ+pDMNvMmvr3j1uZhPe772SnAX6sTypmDzOhm65YQLSs6HTpMTcP4O8e2Kf7M QbdEOrBzf2I6m/L6IpKwhdP3oI/lDWHMwBCzKPP4ghanvnEVnPscCfb9dNn9JA7zMO+g2AunYgEX 1z2CDFogcztuJ+JXrG9waD/t+m3ELdiXJSeiZbct1+3Dy4YOApPm/GPsRHrO+b9SnrJi6HENQiwM 8U+gywVAf175QQdzNRoBKUXOlYP3eI3r82Qn2bolYppVCWcg00Y+X8vRXb7Q69SdX9Bvc3sGOPJJ B+BMBbNkOOLZw2yn79AkXh+J6F/APzSFqxdcHx+9c8oTh1fmsxJTLxMDKJ0nwG9skLsGk++nNr0o nIOHCTtU5TD+KYmBzHV69Ts1ViHzyWevdNMRq7rjhZzMecxv4aei84E4cntbJLelE1DjmPLr0LAy JX61GTMuj2rxTgebP8eWWA5EQoqjgyPgTAaB5aZRgkJdvgW2w5N1ut9ajmNZ0jVAJHUc1BJkX9ZR yYHIXnDzXbCptWg8EOdi0VlTG4xwaWiSFp8mEZehYFaIlCqaBsNUNBSTZBwm/WWSGLesxUtVkyX6 EhGV61mtHFze2JLIbU20fgk4GC8aaXQt3zcCZ7N6dQiqGDNifVxf3ybvT3En95Ze/YqN6cm8dLj7 gHwjjFiB2JAkHd+wTNgaCT4DjxPjVx7z5gvixsJi9d7ItJAZwIIEiqgVcfPoNEr+L/CP7D9uW2Va twVbZz97ImEf5ABDUNmRkX2aVSx/865fDQRiwPQPfB6CW3U6hRaPWyJLTzY7mNHuUwTmUKAoEBU2 WwjbAJbsQnHQ6vvhSsOlrRnGoRwZuM8uX5nHticGfVcAkdFa4SEubNBimlJJevnR1X7EW+aZTjHJ V3guarJ0pVoGja+F3S8gF8pUtxG+3hMsvrMEnRT4i0RI4c/7jjZ3A65egXx2cREUjY1vD7ibQoVo cslJ0jNS0RZHu9FdLgssCRoSBhxuFstASQi6bc9MpM+KqRJEhHZM14IaPtHHKC2tAE3ob4FmLEtC kmmdEZOICWw7iPZpkXDQXAFwdnZAOltqOsvCQSfhJ2HheLE01jHbV0f7+mXCUaMs6npTG/IbR2LR EUpggDpkdVOOrWXmeStNoq9BQmy7pWYJZwlQhSonphwp55mMXgOUVma+h6vpxBU0rObTnLABq0Ps PaAsAGnHEsNlpapxr/JkJJ6LrIqwDIYwtVXRcXHC+Sit2MmS6zGkWz8fB8BvTeZ3TMbcqhj5MIYD yvxr60YjJh7A/q+W06DW1NVjS8w/eD5SfnyFGSfs8BsR3p2BHaHeEcuDWKIYzH/ynnUUXw/tF2gD /55ikiw8HnU88TBpU2c9HKbepX+Uyl9QR5V43HwBQdMilO135c2CgdGhahq3B1mhDesxa9h4vjvc Ao0PdupoDVi2rpkkKhhxGK3mD8SwKdrx67lcHM8sR9Mn+gq1XNAF9YVu/niaTRMDPFlXXFk4Tx8J /pgcvqJn5UqP36/QlCpCzDBhEzSj5eurHTgNRNUH1E/e2Pr6xQRYdDdqxMFtHIQcgkJ1nJ/LXslD yo88QYggwBbDjPocST1yNFMhwcJMRE9ZU4NggeVEkQTp5dDGjaDdqeRVDMc/DGSSFcpyU9fQFjM2 AMEAfwyFSZEA5SyFsYPAOk220/0N4iJ4AnE8jN+M5ocn4dh1gRFjSYFxHaZdGcVWttZx6e4lUTVp 0F+9uifg1IQ42eeZWn224iZkkRfzx1rvgfGUdM4tjcnpHBnWoBz79dFmbrWCINgXAdwM+bnG1z9b P1AhcX/JdBUNuBODdrGpjEfyjbwIuYUlTcP4w4Nf5Yw8n8n6Fu6l667eqUTxM2I6WxsBJIT66mBq FZnllPUWi/HEQhgUXYh3FgQ0qpaOxTz8KkB9t67jZ2u/MDMZUM06gtBeQ4WIs+TaWcWc9N+bVJ95 X3TNzoaKM2+RfvHMhLG20jsb9GGCU2/09iYnkRj+QZnztYlAnygZ89iSoBxJgsrWRpIb0d2/r1KV JATKwqDs2NXRmolxEqvo5BMUmqbUkq9GOVXzcEhUErNdX4GD83moAwlNMKtxWJW7Gs0y+PTG8s8m Y1ogBYmUKqlY/EvQR4YpKYLhsUdhxEeOG78kkKY7v6jW5PkfdFymYc4eLaFRGszGs+eP10BrC0W4 awbOslNMGwlz8FmtXHN761x7G97AJbM4U9mMQ8M3m6aA84Ehb3i5RoLyyb6YC+QBEIAac0fdLdPu 1F3335rSwbrkdBaXevsHcXFleZLG9Rd5mwJdtZxpkR+owZoaQln8xnmqm8fSI/+wUZd1kq3r0D9Q YMSwb9qGfbz4FCznVONIDbaFnBw6f+a+37p4YwZWSVrMbV+OrnhFTRZ2bfcWrJcLif489oemsfTa VFwzd67f+YYEjMsJdb5eA2o7cu9xr1MyJqwPN0iogPAraV9h5N8uatOyhtZCG7rxrmdrfYUK36Aj lcz8jueaXAQ+l8i45y/Kfa3uNREB4U8XRLFfhWI+Qgxb2oppy0J3NmAenodU3CX2AsQz/28ZPCuP Vjh/pswHi6NHSiIWII5FMdTBgZUB3xx6ikQEGyYs5qgECT6sI4wdN7jZvIYYVj1TD1rk8iGBHlTq blX89c7GmKsVTEGpalKRkNF7Fivczk/ybtS5ePIuBocI3EQtaUBcQIlCM2wypJFR5Hb8fWdofuVZ bnW+s12nblQZ50zEAMFSmCLFWsVg9tbuvyl0nAuKvRuCoc4uprXFulUng3SoZZb2V01Tuju04RAX 17Gz7kYZPxaBR73eaSpzjdWodTTrNtVdcslGDrzUNkHkHGkn7/AGCGd5HKCJt1Utp/1ae0PDGuvA xuoFhbFDwBqPH4hyjOzr8fPXt2CKjIcZpWEfyXKwR6Mt8TgIhz9nJEEONKKbbrq5d3U2CTMj74aG 9wOqvvowLWb74exQDd0BxSk2MH33QUv7T5WqXi/szp0DtM1u2H1XLsokj5S3lX2gdLO94ULqoebm 2jlvhnu5DzX8bBrdByBbE81d+wSSk4vJw6EBfd3Hh/FTxQ0PIW5EKKMaraS9OtT3AZzL0k6g6lEF jkavbY6taR305WO9NWaKNpnfh66YWkWwoGGJT7MOfvgsIqK+n18IeogOp78H2YTBMS8QkDfr+i3l odwf1hA8QvGQdrM0dQgqvnNircZlbc/w6zw2JQgs/ySZXBbE+6vaAD3f2x86olZDTEau9rNvCq8Y hzifE5D36BjMN6bx+nWrhEZMKtV5kBIxRQzYwfCyTeo/FVxrIkykxMSr00S8yYfJFxA4h2ji1YXu rXFcubanT8WSQISR/rqyxFrZKiG8/MC+RlZfsOO0TUkZcCHJ85H0xKa+NouNtR0TsKHhcZl8HCO4 eXEcYyEIEaperQ2nfQ/KLdtG37r+E55yIj4N6p3I1e19WT2SZ/Hk2TAWWl+sdh7FnImVz313G/T5 xZ8Z2fczc/s+lTgVifEwWAu8FtY8Gf6fvEcsXzrjVY2z2aY/SoSWGPhheSkEFxlf6GzZDsHN5Rj8 aVd6/A6YZO5Q2lTUwlNu5jV3q02SYFYlN2UadY78mLlNmku1XB0/ggM8OkTfd/yftUrzxUyd6Q7h 6wseYg/xInTpP6v/jlDHFUUA2KAGUI5DXpAVxOs+vSBSp57XSOymPLZNo59ak/yUlgwv/TKFSx9J 8qWTcTP6No1a7g9sm1mNoSV2Va6oEzx2Bz1BfnFao7J2wcyvY3GJp/mCT1AaTFqMsUkC4sCwQqBd G0wYzb56qaJ7PWyXAtk9T2eoXsKJLZomH+OX9Ih/61ORBCjXZ4nWHfS6au2IN5zpyyAke4VprvUR UTRct0WtpZx9kcPRemKbkiNzxFlhCPEPZWEwjowmlDrrIjzvcaiGUFxXf6C8KW4releiaXp+jD7t +Jl8wj+YxyaKOM77DpcAqezsz6bp02fRs0Mb0tOtsxvTSojtX7kfwkPu/JCHzi9Ms9OXw76IVm+R P/wRHuQ+kE9FoL+f2cP4sRMq4/SAeQRbjQKPFe205nLYU6FnuGV95U6+GTcbPw0hAs0KwzG3eQq2 b9zTD9IPzuSLmmofPAGczTz3uBM3BVmp1CtsWGUPmCYYuLvc/59zmS+NkQMr318TzGySvjj/P5LF YJMYVqyWIV/TehwNIOeMuvObzo5MYrEkrtEQvseZr7+1HHoKgJSVqJDz+hsqGqvt81/3LMUd0Kag CD5UVGvAeJpEpf9DG4FuIwLtBHY8DL8hJFqKLZJyjifuHDM8UMzG4ZcJpA17zKMkm73oa1AnvWgt eLXbc5Py+gxxQGQ/+f+QkN5lY/b4e6xp1gvws2244UGCyZaigvg73tl6+xP990HQH68EPn6+2khT QJDsZ0891p4xr7aJ9EEg/mcQ5FGVG3KlnovO4lo3vFezG2VMr3WDOG6+3QEO59Ta5AQi40cQlQd5 xWipobuam4WR2H6bV0V2sPSDPwquJxsFyrEgv4tipYlV25wLVt302HrYqYXGVi1SKQhyQjjmIotL YDWp8n5xsCTxxVJI1Dyvy1f10a8Eq/lxnz5TCRhIlpyjuZ+CNRRux53W37Ho0I0mA6Nr/44rWHVv 7EpjeG9UoVZD06S9uPNUpq78Qwa/OwQuvOIUFEveLyryOVw6tLBoB0qdPmUelx7vE7/uvKi/KwQa 071pzLWVRxXBsp1vHnpku9oqnMReWI6j+gkqpTNeCtslWYDppNbhS/UoLxauXG0OkvUTCBpvUivu nUV3GS8j8j6YCKnHFJwm5dfH3i79H+ypWkiqsbff6M69Z3athYs1oj9GZBNsVRyaGkua2BG/d2Kj x5cl41IT526q/xOQJvppWwlTrMjDVwuOI/30QJuTBZj/WomGMOlcLziRTBHK0jKjnC14Vl1XDDfE q9kEW+GJLmPLKsE17vKKNo2wMnm84WAFwLOloRDTgMDohMOJ/E1kmlqMjY4dqhO9AO94EbmZy6jx s0lTqXJR6dW/3EtjIBamdzdyM1/qHoD0uqlmPYdqe/GLgNjZ3CNI2zHLWyy9FnINvCPcBR35MYVw WReOLOMz9kaNH7x/jMjGj4S1/kn5QvTJvzOumtbLW9Unhne4UDC888SzN8u6GlFV1Utc9164h9y8 YnAHF2vkxpAitWTk/BIMHy8rBawoTI+0N0v8GKxVZ/CElMiOLCe7g01QsW8WvvyV1rtM1SrTihFW GVU23C3+ran+sWvBqYJokqGf0OiLpsBVfR21q9UIo9tfdhmk29uC9TAud2+FCg92ISpLDXAspeZ+ a9ksfPWJO3iIQZyQoK+Zh8s+7BKzLAElePIG9kw9b18mgAFv5OZJUbGEp3VarC0OglyqcHnUG2Gq oP6gL2QnTa27xdukRV3MqJmqGcPFOxJ2UoilfhSKBPrVQvWOjdbnk2z+AAwNUq6lb+A855YGXBqQ aArmcBQGXUtyOxyIlwdoz48XgIHFJGzjA2TsXHBHJ24zKnxJmzVG6fU9M0VvOF0978Oz6emQVCqO Kl11Imryt+CWAHMJGkqgRtcUmlIMby7Sgp3C6jh9wbh5KsefFq/hUluoQZoMfeC3F7V1L6E44PsV YPzBnmBgxcLH/dDpcV5CRHpjMWuJYnXhiBp+T3fPA9kke9vOwqZWz426TlKPnr2cixsKBeX7LJ8d WmhV7gUD530D6fCHVDI6In/re3ruSVZYHFmRPO1iFH96oCjI+sK3i3FHyf1L0o9AB5TzWznQN24f XJlAmVkyjcREryJvWHtR22bhk8EAFUolcS1SVx50jdxsqPTSYp4onxa8Kth1sZvyhoYl+8p9evw0 T9UUGE/zz+rBFMc36ERLj4mdYQjQ1OOci69xCLIcE0HunPpx23rKOQHIL0/W/4Q5nxWsirLQlFZW rQ8S8jnWH5FMayL7Zc7TjsOsnCSqAeO0i0q/tUmZHQj0DXX5JpkSdVdg9R4C4NVkMNl0p4M4tKkC QDRjV/GAOAH5sRzRjeVWlqWUwSi4fKP7T9GDOhopJVwryP569GXS1najRNu5uO6GRDP6PQVDicYC oLCmJT8ZPLk32mKKgAqgxwb6dJrJTuoaSovdNOOrSXuvfSGTu8G1WWzqfpBOBsWogkpbFp0chvcw DbKg8wK5+ujk0CbKA08i6IfBsXYZob7Z+9WMhP1HkQZyAOZBuqcg7fcBUEHyCnNkwpILOOH8gE4k YlcuTb8AAJrTvDnK89HVqGFMDd9iMWwRhO7draeuIzQPEG2VrzXdWJIFg8qHe6xt/MJoUZ+u8F2i Jg6i7G5e0dUSW96ISAoKfJJbKTPzqiYDrkafLB0scQEE73oLJbRlsDSF3BLnMQoqBpwXwBsYLpmC igxb30R112aWCTZ0WevBqDLSf4w2CA/ta11rWZASo6QXS+14X6tT/hyERj0U7diCNpgJAYbhtlYA u+NXYO3RZ0rMaqU+zHEowsFEVc7CN46fZElStHJKmyzMpLypN9OOekjNBW5dnW6vpnQ21vVVP5cf U05IohfHGInZRKpo9gp5kLhNnuTQuf6XgfCp7My4R45TvgI/G3Tx5xsA+DVVgWLegbiGVWRzoQ0N QNLrseVtp72Dlp99UndjmHMVkxI6qfaUpFkDVognf4SgJ3yFcUesZqOvdwpT6AdK04ogP6LXbMny X0iSASv2v/YB2JtXV74MFacaUFSTGbCgqzeKsv+Gfv+EDz24wQvQfh8ERVsB1PXcAb4/pxF3i5U9 M0n4919lfSk9efPBcdGvxFfM+xeTZSBH1ADbPp0XYuaS5CvsUHnzCH9V5BxpUxOcBaXVu7uT7Fnp CcmwR0wF3kYf4iPo+gwG49vVsbLEWjkP100PySNi3Sw7nqxH9Dy+dIrJ3e1k9XWEm95EWT3zj4+B /5ZNbH/7JUMzhFtZLqWRKf6ULa5UI/ZuyPCHnYxBHoPjfIuksTqVmZP5Sb/aNAuCzkMfTGAo40XU 2jE2uabo+NTJ8cQXcJnv7CbrpY/i61kZ93xxr0gAmDaGrlM1ciUgMpXdUP8db+RLZEI21a4zaqMo 6O7hJ7qID5psWa/tzlE3VT5D9VRsSK81+LhScBn/o8CXBFt5Td2fDi+m5C02/9j5ltfM8dOuXm31 8Yd6CR+Sho6/jf+lpWxATFoRPU3aBDbwvc2pWGYdCeT28jMquF6SpoMl6SfeyLfpI4DK4UMdr/Ia sKNpufQ9kTxxciXu9dMYNVfCt/QZIWA01KEKpsj9QzF8O2J2NDlwO8GtbK4HUgQ54GFQ8JVP+jcA jaJ9rXuTnq3hT+jmhi33FrAE9/aOVQX8A75P+xHIXXF0mRvBn0ms/BNRihFjbrEIORvdXZrOQth4 sbOo3MwqkwmNgGb1Cpz2FO2D3FvfwBC2d10UnIL2DnogfOFEYR2QUdGr+PVw2YEEgdwa/ZXAILuU LjXLYEdtij7H7ppsvjQNm5Co6kmNlfxq5BeGqyH+74eEPUf4NG5oNG58hLYBZ/kejYSky77eBObI pIxyVpj30TYQeQiRUDz/IMm+Pjxf3+OifYiiP4xxb886BwW1oXekvUg2uDXt6TZhAnIksgtjz6du s6pWpNM8GoP88VIeo/sbISW1NQyb2VsrET9NoU0xfRmMv6sHKWA6KPNd+J4XwU4hq0f/pOJ66Ua1 Neucshb7NL2Uu0L7l0O/DE+QDgUfa2rdT5nNTy99uMwJgSzNHrhgpsdE9fVsBd9wv5vjul5ARIrG 9SlEsvI8xUyfFrNLsTGuaEB3W5GO8ItHu1Afyfi5tQLA3Jm8tHxokN/a2KG/Y1gpoPoXgCcnnDCu 4HmKDZ0ph1rQLgSXca403/p6FV5NaToqo07t6f3K3B2XtMk0admmbmSw/xJjMuNX7SsAolPgR69S +FB5MfIFwi/dTWv44vyAd20hL1Y7ivkxIzPJXScS7rodBAiBK2r6eHhmWiAlFLlXcqjV7InGJcHu 9Kv4uR5rD9wK4UL1lr9m7RpxwieJLK/LwqCE+SorEv9oxcz09wkBBrRjrrHe2CrcB1REhkYOTZ2E F3Bzj/VGhVg5NiyY9/js1zmRHMBIOsra/uiqdg0lqRuzhWaYPFA84I4WGXyVe2IER+cnIToqgZaO ye/VPvr1GMEmAaQPH350Qkl9AXLfZVI2WuG9hCLhZzsVHRYqAsfBMKtAFaSPqLGuCoKApFl7C2rP wcHcaqtiw2TlV3sUtgcNt0+MQ1zrUNofPoBiK0UTWWo2y7rQ+veltAbBGL/+wH8467Mt8lbB7Uot GqE8rkHtm4wL8TG3t5lcGGrX1uzoSvrnvOZdGXSz9k61XXZ05Lfczaq9UW7tGarHqfgEBPrbU46J WFuP99IQ9oviujBA+mf/w6XuK5y+gw3+pzAv7ReSG0pMgplvklwsXQD40Qp4MYAY0Pn41DFLbzA5 aUZCGPTD92blKCv2t0M+5pkVVxtvXOAX4zJGVio0hTPzge/hOXB0vVLRFPxKBEkhhSLGpiZ8fin4 CKMvLWfLvMWESSj61lU+ly7Z1Jh2+IoJBKW1RkTJWdxl4mer/0kxbuqOwsSaq8xETvkD0SfATg47 IKLJd+6yFOeCJUFVxNpTICOsbKVMcvwxt8GKU/yDWOUEzvVCJsn6AcGxXTAFyPsxVqzYEb7SF+AO u2fNp6Y1FSjJnVlSFxWcKOMHM9Ks1iRGe2SxyoeO56/VECOuqw4OxnDue/LasY3t9VflT9JKRjlr FgcOBcvX908r1cF1me+2ikQjH0XJQV7ErZprF1uxvcMrCz4qVHOC+j3Du81yd/mjlst11+rY08Mx NOIGx/v0Zm9OFONtNLLGeERe/6KBRHs+8+APP3TjO+YQc8XeXLGWbKeO9/zAL2g2G9Ael2S+/GyX WOyW4UKMQxWaWW59LMl3mr2vcsWaPM6Rph/TPgdD4owHAPhBSBRIVrCp5t/ZtIoqAFFf1G8rKo1X 6nOTCSiItd4cNPQXOLiG9jrThzmjJCsduEkqV6+iuYzbuxc0mNUt7BnDyRx+SaWzWvUMBk7GGeMl SXWa8AyHOlSG+f0R4e9oqHcEGMbRw3uEv7vOQ86vOfO6cruFdh+Imy1Zx5TK8IEsCZSmOdpNc7cu dRJOJBGiFKXzaBqpciKt5RpMxx3q9dEuR8OFxVsgHGSGgmo4XM1vpl/Yg5K9TUSn+Qd/cl/oT20M Lne8Uq5VjUYW3nmpVWYqLO83Filvd5Pd2CsvPoZ5UPMVo3iTBUxDrx/O5NU+PQMAbzAeWCYiyyys 5aYuu9QuNVMekfcSBh0r4rVxML7RVkm/ABaqTqJPJQaCMI0+vgxdxk3PH+ljEw8ZFNvRTZS94oIq gxJJ0xmk3lFc47zLAFAdX23C9F0a7FSRPoLSzVmXpqi715xgrtwu/oP0wudmb0yZaYmfLaBMivW+ tT2hV0KkjwkX0fCP2VO1NWrfxyNX3hoxm7cAlnrmqUuFe5pOniWFV61esuGMzCMRX2CzWVjOC136 jXzQI+1u477jFwJ4FO/57FqX0KzKbZzkGGEAVbcikgvb+2G13rQp/bEM3FU+7EaL/SaS+TQ2yfJD gJjFax56YZ3G9NJXjAO+IGxnMjV7tWcIfoaRL3/syjB/ySGtHrrCkwvsiu4N4xg5MXJM+z2yuxQQ okRHuDinhnhz3sgi2kXNraYLlZvnRrwCzV1OAqNQV6b1pGV/ENVj09MxLI7HDUe4tfmmKBEpvOYW jTLHoio3iOKbdZ+NU5BzCWIx7WFaahkhEwLPxx65YorSOzzFnOjq7ct+EzXFkEg0NdbGLP+02rhX aJNVJPkHN+jtj59SCXSjAc9ogR14eGwSBnIZpcZLZ5AUXbWMZ0GyFxvWS9A6HHsEM9GnXORhr3pv SjyVFSW0NR2HOgdhpLwi29eD8cIS/n9rWGLeA3onv7cZebU/W2JbOLiYKbIgTXVyZr9wmEgHwqm8 oQjD41R4r9QjqGePj7jMTGuqKo/1oQC8bDykTgxmfA3izKjYakLtSYjpkagf+64ZdEjt+NvfEbvD bGBPb787a1My89lERl4s5QgBhhipKOnuusteZEfiFFdrBfwOERQ7zrnN0INmIWXn9+77uZM8S+DY 1rXv+cgTi3ZNjc9J+EIe9eTk0hZR6ioCzJ7GVDsE/YNyVutAuL2iPpLy0+sZ2+sEcLSOG+hbOqqO IR19/lqDu38HYDtQ19ewJmJzI8AQASScjZVCL1hCifq+zYYlT6DiyX94Si4M4G/kCLh+QJaOvse3 7JmTjPiDkKiPkxyetEC8J+N9utSBH1+mWE37YIzBnpNaAH0dcLVjJghVFcCWUl9gPrvrpFZCklx4 7xlU/XQKZgFnKZzRUURpQEDI+8m//mCkctOnFbPzluvrTJIpOgzSu0YQ4O/KNcNb2E6caiHv22Ee ewYladjLbdGrkl7sNV04t8ufHMdxeqRzw7Wv2N0qYKyWEN/sy2GskfUmm8d7UAZS2yhBYDnZBx9R 0hS8O23IxFjc0i8xG0CJ6B6RBwan3Y8hekVdXnPYw6LIhnrFXyKj8RR30nJnr/dWoyuz3JRcqPiq WUEzYmOnywz/L0YpwYBgPuOqZ9A/++9m11RGRZDiRftEsj6NXZmBmJRKWkDkLa+v2uj1sgTNeUM5 Uf9xnHfLju2Ie8XbbttqzWDEEREy3jboecXwj2GE3lxuPavCNbR0uZeJqcaQgC6662jfD06Foqac MCUI0eoNXDOwMT3ry7FqShHgqlAcYnnf4MIT3L1eqZdGlh1nTuTP2M+lHn0SnUuZ2HelpC17hCWc GQAnM9G42mh3LbOiFRc92tniwEn5UlDgo3v+jIx/pBdrTXZ1/Osg+FKdM0amyARHTz5ypJtSOPBd 3J5y6PIbYzpd0kFs2ZWeq8KG3BqAa0YCWOWkzMYDaWxlQ2ibnFEBIuSh21WeSHjRxDC3FtfFZxUk HPf3yZ3xkXpgMUoB9briiwouuKl1cCewU00W46cxTgL54DN/ENKHwwq1t0g3IdW0TgMFumrZ9jYc /14W0ZOctpyzoOZla11QwdiFKe7xWp9OTpXC+2vPAKy32n+I3o2xVYZeW5cfirCA7Zs6BUlbNEnh R7iVsmYwoguC6cJeqXgFFZjn34JR14MVZilPOl0NU3KVDDuQMC79IfRUwitRLTkPODM87ChAlxJc ooo+bhEjbs0swmjvFrcMVuDWnKfsmvQxcxJy2VCX7qloFU4Mo1YfmvNoccTHOEcieAs1XtzGNQAa IRuP3YmEcu0rPhFq9hn7wbByxEJx/50q3fNLJJwhp8w5vckh8ROfjTPpol4vzE3qSkHFa8IrfE2F A4AT82Rn7K0WeUyuncckqXYUrwCWIfKzuUT6sWG05G+Hb9dj1c3DzxQP6JjAesyO35vCwyfacOVz pdoksA7pWZnreEzz+lGXLxEi5VLlF7GtDArr5XYKCC/d4l6/hPFNJN7a3t2m6u0+iquppqOpC3nY +UADLf3w1bELeSV3b2SbyJwh9mao3Ws7eQ9DlQCzY2OVL871CbFy6WTAdW3pPpANPzCjhocItPha wxppu/ZJJPJ4QffvsdPBhD2YYbYzK4ZES+38JJhH1m9BCdWywlBNCokSyqoLvIZBMjtZ2bTfKKHn htkwEkDFNGSgpVjs6cRfh57idgcC/i+OS2z1cqk7wN8zzSMU2/wO279sMdRLeJNhILwiJPJskYrg eiMB57Nxj6AWyaBKFS01ui2dZTziLMc9da24pFv9EE92OB0VlpQ+J8YPDQOfG5U3/VJ1G6rtq/yV qkYnFZEcYys8JUPo6N4EDmNq0D9cFlEe+Bis+g/xgCeFF1NDfG3wnUk0Wr1X1mC2CzijV6wlYtm0 jEXa5b0JD0MHIPNzTxkLHyEdml3KxEFUzwjglG1yxPdQ4mDE57z8LXA+RC531naW6x4M5T9XctNM 4fZSOXp/HBFgXXqaxzLs/NGQqte7qXmXOzPvANUutOS1/4R9FSR0Kn7ikRNtwOzgXcZzbtEJO1lB GGYwQYQbgtMH73+USQRR27wLCIBUh9HzGggEd32ghK5inR47CijAHxCVSDbD8BETbU4zvrPplkiv 2zzG6LuLQd6DX7BP7QDACW0h5Lwyylk0qWV93Xs1H88K2LoRwOgGzS4IvKMpV6jdJtSZcxhdXWLf bkjVWz+qjgIAP7NhwRm0O7ZFsMROi35c61Za6PFKQIIMPp3T5bWc/g83G3PjgXFSHTqdhqQeCLvY K7nhYyvlX82VRfYWFn1VDONCd7CcLytcU2Pwq7RQg5IUt/AIsFqB5rRNTsH7FLMwdjNceNENnPwF acbeTYGUfhj+dYwobo+e25VD/FXESm4xthA60yTo+VGnoe4DmhgfoOwW93cElBp1VkY5xrKEnDhU h0vEdzew33B2zR/bT/75ly2U86c9nos9CXp47AQYrOnJXX4fXEFpHTWFKQ/c84nO2gKjfGSJBlEZ 8ELdegm2UyBYc5bWuAsFY1cE5C8XZx1+485gyskkkeEf1BVhkeA6Jz0NSPOhDOKFsdljPYBsALXx brvDX3vIdJ4+JcRyi9Hy1pDzSuV3VrNMdQvgpOl64gLBeunGA6NvVndUpk7abPa01JjVCTbvZ56I QpTEY//Pf+67Z4Va9VlWswD3iJa4sxXCnOKiFbau1RqbHLPoxizEfzp+QiGsAp/Zx1b3GEeT2mhU LM4YLDSgHJvJ4KdPQ4DZidbIrJ4tl75YMg8GICG2RRtUlgpjdkP0M8pzQi+M/LnOwmh5YVJtT6vy QXmcdA0xN7JpJWtHCRPA467DOcOk3YKZPuFKBB0zf/ZZG6Q7Rwz6+j8oLGvMrqmKLe5ICilhRnN4 0f+DwdAnT5IRwKk5F2odef6n6utrUrn0/vS5X1l8ZC71fgEFTpsWmQZuQyly6YHWCAA39kwn/Sdx 5PqIxKJ31TK51kkJ6uak9EJk77QrPQAdlyUfFsxm8sHUza7s3Q2gCzwZXBn9Ytx57WvADEMzUnNX NAbnk7MTgQW98BT7Juiq5PjURv3mBowwMzYauu0nlJ4j2obu7zu0iYoP7DZr6vdNJ64Qcf2e1++K PwH0IuI/87gTzNHV40yc2vBw/NkJyUFMFRC5+TXGKslCo+kO1JJx7amHHOv4BDbjH6GXUG6CGynu tE3jnrka8+uTjcgbZ5iWkULWXZShWyINWrWvRpjbm0Nm+wzICShjQi8pvDJYhq0Aieqmfpmrgfp7 rojDumK+6S/wQqHlzyRcoRoViRzLaWTPyf4fOZredZzPK2xBPDWKP2SjtxI6cg4Fgo3vxdu2lWGr Bi/QfrhVV5cruNCYScIfiOKL7zLpx2ok63wTyd9iqsQsXEPzZGbZ6aTy+CGN9MC4xyfBPsdX8y+r RQEXIjV2B5ChAnQaueH5wpl4d9ncqT2Bn+SpXwngkWqLbgfgYQI/xjyR3otIgpX/weSOI83jZTNb 49kYPxpUIxC4tCVBIVxVJtJqFwFONlThi4KVrbjutGKy/WwvWgLU1OsyeLlVhWE2Iq8gJ3tW2raw r6h3XfdpWF6W0CScCOL6IHgCWT7Q+cV/1/ljy19yOJJIJu0iJace50U6Q96YQJ4cpou/1MI0NGS3 DzcDS/2V94IM3SEACRPj4Kw2aJo01P4dGMY7TEZXlDnJQ69Z7Bq1V2EIABBz1pL0dyzBTU8lZqyn X74T6z7lkLiMk+kGEPczCdwWCQd9/daocSu52upFhDjc/oIKu6cYW9mY+5U4t39o1M8yLHn8ilvu zmd4xml/Cwxa7DfjrqP84idm8x3eQQw3Cfo940kCYDniNJHQ6x6BUlyBcZirq6oq8Fd4azW6u7Tq nXJIyZBhSYncg2ugMYpaDArBD/f5lwqAWaQBkJtVIAqSB52S8AZr9mJUOWiQFUdu9aNWxd/KhPts 1RJTVs9Fz3HwYbbi3Uk9hIxgSxg3NyVXtE0pxu3RIrxwJd68QPrQ5NMTnJYkqUlJJ5ZRuiA5B/5E sUiiOyZZHGDQAZDHJOwV7nixZIcwkvdszmUpLlWpn0L3WhY2/tKHz7ux35DDlzk19tC4l7N3p36L 8wlyLbnf6SfI+I+HKrPoCdcB4igf1cOehxfA0aOBU48QNenbOthZkfvMUNHc0IjROSreYRAQUwax 64cZAv0YGxA2vTVFy01R+127VWHSZ/6sgKozwfG/QNQeMtVFj01baLsvmbEqX1KnC4EQjHsOkUTQ SbCXUFsCqu65tT/28FcBDVneBRSB9xt8wn5wf3xY0W38yhP/+q7iXna9FgTIu5ok1TfHxkBaoGWC Cr9qea0uohN1wuzOAUAVnAHWPW5KIFwwQPEBySO7jloUa/hCZuUGunkdf1N6MEoYLkjEvWMzKhZu 4WR1mKMzAK6UI0jQm8+g1BhrMWA7f+i/Dhdf+Wh/DExRQwhPLYn/I5XHbWvGMq04XAk61ATeD2QT 8rDinX2BewFxWv4r+bcMbuAqvLrjWponyXgF5ruA0yCDWW922k9625tnW1j+Msj0NQQDXCfR84vz 8XqBLO7pJLjv80smEgZNnyBqeUNPV2HZgN3ixFoSGJnEipPGiV0hY8rXeHi84c8AO283gNBTe0Ot 4mm6FbeMJJtBkzGlAsB3bsdaZx1nMLw2e/lbDgb743aJHGAR1XT4tPMIAtxzdMyYIP52Ilf8hBBL 1Lb9YdjnvdMQ0V44G1fAgNbxesOYclIrxtemB9V6AkPe2NhLc7Wo+HUTVaJ+RI5yvNOHLc38sNgC 2l43pNwMpYnJgvcVkHGLKDBDWfB1B7vjc+CcVk7rDDUW/bqj286BIwVjVBuqRfkYGnUDphhVv2cH tSv7/oA7WgbFph0leqX3ybBXS+b9GpNv6FfGQAaGVFRF8ug2XMKXC9FWgeLkpxUy1WTrhRM9OOI0 NsyV+l9vGEccTgHfa9Csv7vJwtWANm551QmNZLnKpOY5Nre2M0fh0HL8nqyUfHSr3UaZTvwuqk+Y GIMQr2+7BMaWxKVMsBskg64HSLaSA8PuP3j11w8VghXfh3Kw5z6iyfRVAiJNVOm/5tevsmKad5Bk bWz0qpbLvzNSXgIJva7xMlhYfYX5TnbKxGRKkuWrcQYRAe8BmpouENl6XdHdDlRF7EY5sb0Dy7d+ E84gAS3ehJnVbJdxMLxIq3dFtftST0k6oxBP6I0rV9qgdW+qNsmZ4Tp3/bCwkXjsjZGs0skyYj2a qHrg+xzVt2TnA/E7GkkX1SHbDskVMkVxBSmAAK4A6zcnheT4U2vXOp9aSFZFFm/7nd+eIbg62s+V xc6il16xQQobJdpX2kEA5PAV7W7cfgSaozqpJB8N5Bf4eAWAl/ifshhY3kei0l1T5RFg1NwpLBx3 ZTe+jNhSurADKznl9Bd8Gg+IaAGvKzEZNrzjySCvu2Ua/OVx11n4n7PYhEF194j4vw9mcHNgvB5Q tK/lJSjAtl+mNF7m4u6rNHqlmXKGfhsZK/e5uXxeqh/BwxE04vthAIQEQ45ilH3GIuooFQOVDAOT ehtz7XnykPwgnkXZ7lurlN2WfJSJkDrTHbsbMf6ahRDQYLfUPvx6GChxaSLrsCFuXsOg+MEb8y87 AwSgMpgc5sBUNIwVcctWPCiZMbSv24OAB7hPUXvoC+C1NCwIzjylIlfeOqZK8RroCPF1FeaC90do wM7dZQsPfSEuSbQUKzeJjrFrsiW1FePsrqpTdk/bnEz02wlr2H+P+044XeD8lTEQgI1KjPSLe5q1 uFTCD0HUInQOSgiV65tLbgLgH43YcLKnGbnBormd3rIC09n0H5b6LI4ZZo3NhF6o0x6L5jLmqtMV 0xQCWAUkS4CL57BJ76SbnzSqSkJw72RfW6YTcJSVD782YHAKapIH/VYsKGSuwQtA27xa13F8Gxyx X9uZxeKfUuI026djjmdvriEdfZ+Eh0eFBWlkjTiTawXY9pUmKu1zXuuQ1vHb5WPe1k0uTTlq48y6 hKlMoSEZyz2E7sjO+VmoCq5Kued3MdxhZB7xq/GvLX+1GMo2ri/NCqg/PsqQ1oBQ9e9Ye8a6CHzR uAcmGHm7n1Hv03UisozGNBd9TkuPsQlZTx1q0lY9om0Zyek2s41iYK4w2hpZCI80WIhelqa2ClRB TzAikDXcqfz+cQBXhRRocKM93zAE0DIdHcKs9J25RriGCKqigOTbR055K4lSLYheaXJ8LwXFIJtL SITqQJ5IBmY8mgToFptf7skwx5ZMxm7TvQytpqkg7bbI9clwGWqZkmOJSTh0wDqy1ywYuUnx9FRs K8PDdMJ6+IvGIWB+y23A93ia+bL2yb0wbpMuvaVuoJBJheviTnSuUcVwlaJu7yempHECa7RZ2Fbs Vfc+zGWYQoipKBDKP+lQBbr0V5UETg3PTgX5PYpmmAGD7qNhvLH/XsU6EylfMp6v/5O4bGxPVj9/ 3gNelpURRMixEXsljqnj/ZCwiDWBnoh53TZej9uIIOiTsoLdz+VBik3iTM8Y9tkZjihkEzlQFT4z wFgS8C1lhuSOBO836Wfq3z8bGXrXSfqs8jAK5iFY3Uo6fgcGT+w3M6jSn0em1jE62GYKI0H+PsMU Mj8x865qT0TDFDfCjvX+AQBDUSPITCCa2GqdsfkwyAV9W1sYntwyeIUjbzSyI6AJncJe2wzJXIgB NjOm+koXtdCtQFaSkr8zGmT3GgMgy5xoS0ki5hEvusBA6NYqmDMdjGG03LVQGTALSxl/ddneg4W6 vVm1mb9zMzTRfF14w01+8k6uQ4RgofFNakBSf9CfQQs3XTkuguI0zUYexQ7KU2f4/cyxlrUtyCSt AbWnSNaEA+U8VCKS7U1szSJIw4nZ8LG35MwdnAE49d5/1bsGLs44iP0/OXBOZOMWmlVKIxe1/lm8 9diHIFvdF6kns5V+g/KFB683KnMFL7+jUfA5TnfhQsCsKPN8V6jOp7tDJp23xVuX7L5yrgSd7AFJ t6Pck9tTeSr/kcE8Pt32Bed4N9cCBaaKpEpbU1riggth9QxmHt9VfrEPAGp5NHD4BIrgkMZHIOVT twgSfdbt2U7ChfW6DG5abjUbj5lt9JI29kEN5ARfAoNKC2Q+mrU58Rad30gwHanoFhSL8W0lYsPz ZJ5ktmLeVrdjMUZSBUq3OfHzgZ5cNHLJ3N4mjI9TxToVRkNNstXDBFyM6bTcjIIcd4AQOZIzTcpp LnXryLNx+N/wx8oKT21iLAc4zJ+b7C1FMzsKmtn8xhlUBVGFBqyMpx1J6lQ7KgK0gh17/jDlbSIO 48rYTUbC27gryWeRN7S5m7+nR4K0c8PDwfhDroblMcKJKUEYhcueBYcIn4LMXaz90ZGiB5xcYiw9 JFvWpzPYteoXVnGEOSansdmLM4ldZvgIYotBoxC9TUMTld9ccHLvmKH8L5gU8t/gIEpUn6ynSrg8 Q66I6aZmo+Rw64cZ87ekSwQ5pIrZ/UFwZtyD8B7Dv4RMbKOdxJAwP6j4tmgnR5Yvxh9Z0BRDbY0j orGhw6Ipw21J0uDlqtvH3F/BLo1fwdzr10HMmb3l5ve8skbjlP9jHgHyXER+2uBQI2jzapZI09q1 PluB6xxH/de/+HdmUO646EhOAjbFqKSO/XynWMQrKrT13jkBYsfW64KVzXx3Cl16JkD++Wejwuj4 N+YcUMXN7RaskJfwPBsZdjCuRtRRyJ26M7Tyw1PvZOYyw0FOz+++Xc0EZwHx239W1zBX/De9bNqV 2os1oLo0RsIJaeL1wEgAb+Z8fnOc9wERyutNnsdOE0pWvKzrsnCGgCKoe1s66l8BuPNc1uwMUDx/ ZT88ufLm+7utClvuoIb49kNR+QVV+J2Fpz/UnwePGpXf7egloFqNY/GGLhV2aXp547r/7OSh93qZ Id0zS0p9VaNQIW9FYIbV5UIGas4Dud6xu0QpG3pR3reeCSpBcEs4wIplqry56Re9crh68tTCDbDi CQhxMIUJYAMPVWqOELN3ABZAOm2gphB0DXbvSE8IUdnrdhQ5XN7yttkCzeeWZ1h6Cil32Mwx4Hky eBnDHWcyZnKdLo7Bs/E/kO75YHuYEiPFIv/NdEChHDs8NytpOeR3F9Js4xOyq1tNQcN4u+OszNdT k8pGXylyZX0hIl4nzqcmxNodsrhDCISaKG2GmGrkyhKZdGAtNrtCFMh3bkfBReM741SSlyeknnpr q8bfwLZKzm3bdvrzuSdU6n6PTy8MQGcgMSNtRpMDuM4VXcmQ8VWFOu5mjsMuRxBRmaRWMCwrpN5n kFzdB2G87HrJvvl5Z8rRyEu9rhVy6GYn9IjKcP/t7jY2Onm7DUqbKgUAI4myjt3Crh1kh4gsgv0l uSUQL5IiuxB1SHjyYfCgUUO2gYWi3uU+wurP20STaqUl+3ZqKtAcPT+2JKK8aOULkOBEsz+MIToe fuFM2Qy6yQSYNHfIYmdnshYxJdBLKp766fNKArVtzcGNOOEMdu3+q/crc9KI1H+Od7aAWD1CP/cx dL3Qq6gBsYysYmUqGFQtbZCVsdKj8BADEkZH5ZbC+a9nzcjTMZf1CcCDAc+7sU3S2/aj/adaNc2L rziEKTa6GjSvbXcLdU0k/Nsh2AYFR1pzdE5pe7nO+eCFWqNMhUE071BMoZ3poY/+/rLHt1miJVLh I5WkoRAGD+oO0L8yeRxllFl6HQ9GVxIj2EIc0r2XEEHKStbXGpjHJ80ejV1reZB+b+k/5eGDGlus oW+dP0ZejnCSPkoKxVuFc4EZc/Dp2em9HC5hEwSwz5rNCnQTJeTtZJ3RW6t7lUaZI5cdifI7S+uO NI9ZuokLzHTp+3aEsQnoLkTgs2laBQkEiOLMrT4l5PubMRVekUCZskpI/8tgmMwSx/QoBaGDRnMN Yf35MYMp/M4q0eSczUjYIySJ/7viQyL2gJuJol0cOkXhcP2qFYf/natZnhMttYpkQOHKUiWadYcT a2ZYpQlnPOcPNTOsVWdulM7MiJ+oM1JLvFB38lj5TJbjPKRCg/hd618ZkwqR/7SxQ9Aid2W1FjsQ Uq2W5Auwqjdpfe5ECkveS3V87p4avsz6LtOAtFFkHBrp22j8RR1FOxUBBwySFidsEIMi7oDLiq46 dVOgTyYpyQjJ1wPJKCxhTBRMa1wFTdmYnGThu3ogc4055YINPX5gpReztHVkVEH7Dk8wRIzrp2yP O9T+Z/+O5LhvLZ00SBDFAqysbiipPzvZFBZRDyJ7PY2KolhJHFq+vZc2RzRsXdeWpeYrkp0+Io2w ZDK7P3kZmNzXFbdmxhb1s584RTAbAIS5WfRiFpC2mZD5ULhYVDaSSzF0N+l47dJTjV2VMzJZOPRr 6TFqcyGtUx2L/V8DG0u94Ko/sP0go8We0Cv3eCNQmv1IL0ekMlh7l5GII61tt0D2QEvYJhENcVJM ZfXx20ZWfymkWEi7IG7mzPS2AMd8EvHUm/q/L2f6lQPdmsuPnQSIxPVlProsFAFBuhoQ+3BSYHmB AtfYgDhDK8Q9KTY/F1Sv9lpyUN583z75bKDvswK26IhiqeYMwjcNBwwvqQYm6uLRVsYAxj66ikrm Fyh6UgnmsJWXMjxHtGnfmBR8Sd406Tj9KobOlTAvLKeDMx6QbFeanyKlyyiHb6jnt13TG6doUAzE qDZ8apikipTsh9oc/dX9+3jhYxJdvMqdMZCUEBSPKSGNS1FlkgkJTANHbNUBy5kvF2Wo3txtgY5O /LkL1F6TLxV19Dr9W5T2H12+2HcmfMoi2HnL23dgrO1QCvRzTqbb8woKjhXGLCaum1zSeie2Do7v cd5VYaIF2HCmeS8M4nBb4mJ1HuAkgN4z+6E70IiN0QHaEevZzH/A4XvNEWLVRNN9sWMvXNMQYjnA xEXVFLiJOx7NNhnZGOUKSyZ4s/OiPOxxdZdsW/qmo+zS5OcgMXGEXewDavs+o8DAKb8a0N5oWCGw 8TlAfjYKiRy/77JbccHAPpfZVcM44zX/nlcsv4YtzvPIZUfSHLp888cmctPi4XesMMwJ3EKT8R2d gthPWZs2C/nwkbSndnXBCGoQvWxlQbO/gAxfCFSqQwXGLJbcHe6ZouNeUKXfip4QTZ8sDYlwn2kS uWLydFN+TvYq04nl4ay4dosC5xZxXsokCej8mfe7oDofbbij8ZQi/sifieZe96/ttFvv/OfVffCy 1W7K4dYleOTjvW8jPuoTnZDzvGxLBx9hDW8fkN+JYffaY4DwcX0RQt2YLp5r6pFwEs8RbnjXOwa2 pz1Bm0fCcZ8D58WY8moLFtXyFJQ1j6RNqBX1STi2RymH0HM+NL5ZG+fa09D07mh9OpIxqOMVYrfU NyREZq4y0TiZ6mcz3yDeAF8tvqspO449aCbAk7U8MqzGw8VCQxrmrc/E8EfquGF/i19KlKe16qPw jLvawaUyEhR48miXSJA2+GDTGVgeOCVoAIiQ0LoLzYiXFqLJUKWIIpJ8Po5t3zo/p3RdtN4FHZhr JeBOzSkQCVSNEKsvKKZyhlEcXLLeK507m0Pc9Hlyp81l1K08Ny3rJV76bWPVwroc7UUWXAm2+b5x S3ncu52+80NwMs1hffA7ZpxUppuDwbjoXxNAaePLXbZ7SkQwGix87vCnqDh29ILGhpVvbzIZ83an GVb8fHd/Ov/Rju5KMmfoqypcyv5glMNFtfT6o+baviwVvu0nTiorKajsnPC7S+MMuMamGao2LiX5 ITdbtK+UZg+CdfXoYzCylcwaIDzGNHmHEiJQp5vqIaNBztQzzEh5gjfzKvJXXkp3ubWktnh+x6PW h0ryEbhLVDznDnPdMVeoXuBIpOyeiUSyPPUDDQgYud0E34O8qtsLQIPHB+drGKp+cq6nPujc7hec TaMz9p0KcToriPLIWfwNodFCSrPQHXvWSdRLg4KjyfGbulEosIvg8YB6OARN+kYVwaddZXiGULuE FQNPrXDGVeRu0ebAyykap0zB4iO8Obfr2fsEyH0AZpKocfdcWhRZJUJpAXgztmHxzWweuCXv0qnP 82HYP+1XZn78TsuqXF5zY84lOwwwp+jlZf/PXB8MUUmVjwx4+W0Fq/be55/t7/wP5EpmlZxnhSFQ zx9YjtjKkIBLcFOxSQeY82LbLclJyO1ThDV91T02xfUmNmI0rL3IqzK1Fj93AiC5xsrN03kc9L58 wAjlIQ1uKIG4dM1AHWzURU4BXLxBbgY0nEZ2LSv6M/r0UpMq7hJAbCXsN6vtZR0S0+ySupI6xa61 buMkxd1kIJd+n5dlSWoU/VRhpITxTYVW03fo7xJR2JGB6s666BlmzTXEIrBnw0IEDjeYCxOTnEy6 tgLBvXtQiujgbcUsTgNse/39nGzNRF+V6ChcZxYM5R5DSx5tvFL673+nQ1q6kr6Fv8rYs2t5x9Q3 DGT+JObLDb3pDYqoZ9dBtlD8usUAM/gOoFwFWOOyVR9BIj9QlQvCq8Vh3/yH9zjJu0oG/POmcdtz vg5+9BDA9Ng4AutDzu+xflpxHo/RG9FEEW68Xuli5anJBNv/8YPNOrnxD7bLnQggW4JXr3L22Ucw iDF9k7AeIsjo0KYHyueNb69TtF7pEu5NEilsLlTYaE2j6dpHJjhX1h/P89TmG7jRKYjd7hX9IVhU VQA5fTfgugP4kFHQMLPwHiKzLZOMC4oN1AiljZ4bOEKpGZB3RM09sMLbxf8crfiaY5zssFoJwe20 x6ViNmMC0h0JaLzR+Y4B3EUnCbg/hhpnirYCcqJbKhqx+VlpBxye+qkNXeQVYBw6jD4LB/tmMztW lN6/K8mBT4mKSLRgs3Ur+rk+KiSlmKzlgnhhSENj8UySM1moVta8yaDt3P8v7TGZkeQJ2jxPn/8D fhsbAj7zoFJjwD4D29cApt137fjrlZaKOqURIhpsYgdvizeZo/gC1dsxTb5TMcqOGhaf34MKv7f/ CPDWTRuCOhu3QlWBkogs4nozBtNQtyoWy6CuK0S0BHcWjJ5rg3a//0UX9b5NI1Zi9i1s7Imke4CO woLvETBN1twguXvqAXPM2MbwCJqqqca9g6zHyZxJi0teHXIJB7uE8JDDc2hOfn+zsaPbICskeyM7 B6vTtUPdNFLAAWTKPdUPbT8NMBuOiZJmpDZLSfLpzcB6FyNZbWL3unLkr+S5oMLwbS8VK+loi6ei DgYs99h2azTg6j1v6+D3kadeTTZUxnRwmyjg09ZcK3FZNZ/LqmDrpxus5sbBK3AYIIla1T9BnxFg Rx1GMwymxHz8CjOlStqA0QtJGeyIChuPV+S2HBhuiQuB813lmr51GHaPRUrI72hMYB5kEVHAXBBz 1nK8HN4mOLn1rxd674vwVFZKk5VG99kqkFS24xDTl2TeYHX6uPRFMH8r5Sz+6VQOMm+t7X+c0/NB +7xDBAbiGGQYYqjw8wGkfvemVXOTh1wwHDblGEXHKblUYXf7Fid/KeHtmZSBSo5VhXrzOEi5BtLV j+Iz/BYscltdtg5AIVyjEumwEzNtM+Q0Vg0/36f1bHFsuEaUPEBvXRAzKkHXsvPd65EBFgpsWZoC NmCCY+NqzoHmVRAqg1/Nt0Gv6y3aN7oclo8OheiGXUWqWAjYw0Mmb+M8z/WvTrHUzHvjdMwUwLrU FBVyRW6MPdiwfZkFVNCX0qsjSxXUSk7re9oKktW4WDRnx83Fs8AZwx1+nPTXFKbjZamiPHVEDqXq lqCdCqvPs/d5lPtRg9rTr5JUsPrgXs+Gwm8uMUiMJrKMAPTw9pfMNsfpYiHwHajDIXHx4+Q0ywvT Vvpaa+rtiJ3g7oADBLwSGabW9GuiDm9OLl0DxmXXAwahxkmHvGhr2+s6aY3HvhjoQ/+q8KU1gzUm FUasF+jndAHrSay1U1JwA6r1Was/4zxSA8O2MjjFfhqlrpDga6ofKqReC8ErM5/MSDaB7F1nWFa+ E15RQyq513A/TjionavGJydaxLGm2ACpOGZlRImd4dhyCA0pvJ5J1wq2YekcslNCBmCIAMb2KmmU 4RmqipRX3l/zZzTQ8fRsup9wgS0dydWDrFXE+ZhQseRZXTEROPlgcGvESo7M7ntdoqcZvXPcOzao xJ7BaR/mOQnxzPVJFD1KxbJSQhaj6bnFSl2Pwk3U1TQEKRiNNrxcK/PSxsNts9XuCMsLuLWJDTZV 6DUvyyjU6+cJfi6cycZdyUKIUHEsz9m8jrEoi3u8w1okuQdDNXRwoyx5vM1tWnAKp8TA/Oy9amcw uUuJLRKnfAQAYw46C2uKFWrIhQXFT1lJj0tbWdTTDuOLptbDJeyGpHUiHcaYtrSc5CUau7xXe6Zh a+2Kj1YIkhH2JgGZi3M0f6dOBbXdOBxwXO0A9pnW9L/eajH3chjm2OiICqXBBkBeM8AQZJN82+7R QF24XoWgC+fOULa/ct/Gup6uGABWdy49X8ynDn08acxhNLBcPiPx2LVz+SDlXSRnFJ4RQDnSMcGN eufc8C9Xm7nsy9gHFHdEy6qYKEio2N6fZcaL98vgYPGqo15G4j1VWlgb+YJYF1O2MAEwHtgiOlWm lxlvv9sbq2meu0aPGXUAPaBSCLKFRnKYnyaS9miAwA6dKTyzR+IddlBwt8WXzZqrQdGu6ZURBNQj EUcJJ/EB9BUFpy/iRljhOtnYXzOPQ+wfpbOPEwT48dS+eQkm3LKWFlr1E16eu2gziTtt/X5X7LA2 HltPZNsq/pXdxhkLTjZ8koChsDaZC+XxtuiEPytTziFJjzUWKR5sneyt7yzH52uRG+zaABJOeoac p9m1q1NP+BT5fEzNg8X+8O8F6Rz199QYpDIsXThUECj2wuqKBTvnIaXSSJ/cF/DIFINIAAFd2tfK iSPwcY0Fm+IwNx78L/lFLYPKqWBZwV7gKrzSVbhgiG2KImTv65nvKYxhU+bUbv3PNSJuWBlE05Bd yIevshCVI7C69aHTAFulzP0Zo5lgmSlL8T7r1WP+LIbnliq1z+ghn76kJ6AE/PStyBFiNwT4h/NI YUQ1MWDb9fJaNRW5rE/O1auLMzKNNumKz+qMekBTw553KtjOozNgMcrnaZto3jN+KRUOG2XTfgwi 4pYLr77iBjLINJh9Q/Ld/I4Czz+bIKr7hLM1R6UZ4EFLlwvuBRxlfgljqb5c++fYZYpGZhAkwksu 6ArLFnZuU+doftroaZvajfHR/12lrQs4/hwkxUamwpRTqNFqSbgtolLauxmBCwSvX/a4u5+PQ5Ag XNMlR7h/PIHWK46Qrb2E8rpQRE2f8AAIDy8oovLpYEdvPWRfPbrs/ma2iIFBrAhqUa4Hh0efUEzO NB/cwfIVIepZvlwJGUNf/mnTXOCD0u/45YpqeStUvht6qOZ5uGYyeslNW/SZxTAwevdUEOIJ1siU b585VQJouhdJA2tQSt982Ez1QjL0iGCUf1cZx5g0KEXjr4XU/QjzqfzpVw2T+tsE1cULPKiW36Ni BvhunhF4A1pInwWe2B4EttPkkxMoB8jm2byUwPT7TO+1zP/EuhXEz6Dal13+c4dZ819SbBsD+4aX 1btOhkCePwEYd/oGRvU1yk1RooESf4os4lqCTt+K5v15EE7XtlkoCzC+hqOpLLokfmM5m6hjYDRF r3laO5kuhwwCudt8Jnh5ENodLBttszrghpyNZYHBGERCNEicCiLugdmSq2d9HT1oACrV1gnQCyhK AGfUk5qoaw+qkh2mph96Cx5fkCdn3fkeJqZU7Th9or6BOdscYuCI/ArZCnBC1PT3xUZijPLz+hPk T6ZxaSo5MAh63bPwTZqvzukwVCKq92xboLwusHCUiu/OkLjq9DoQfj3jgksJsSkt/psZoRegFwrR pOpNjkmgKlyR7DzgxRxG7sRmTXKIdOUjKdQTF+Pn95EAnLdoldrH0JNVfExcvXycCg4uZlFTKxKW CAhqBKh8vU1LxqUbcQXoMvrk4Xj7Fo07FXzaI2TMTNMusL0chGoz+vDy7dO7Vvb7xqOwc6fabzBP SRxGqgyaRxunWlhlvAywNVkjfIXHKFBsL6bZ/Ya3Y9rxZf391NUrmAGrGxssz/j1gatRXnfBN8MV LyNLK9OL3h2P8WjmZ708TBVKCcqGrCtaraRAssFU3P6YcsPxsXH6dV9NVEgq4n76LKPitnV7x6M+ /zI+1kpGdFeckRAnEQg//7sQ8hf7KzLiKcVlGR7K4bqMFP4lnL6H2+bZSCD57b5jAiRhwg0XLDwJ KzakmNwIrLcWhhF41INENHYMiPVpiOQpU6eXF1fc8ZtzphqcU0vuZeL2FbMUsVTxoKjz75SP/ams 0TECPvxxE9bti2f69/hG7A3dm3SMTJvqi8PvgtBu8DAtRu5IntDwP27ZmlZxFv5GVI4OghumWPrQ IHwqeSaAXECWmXScWSRLERY7vRpTMyf3UjH4HWr+cs9McEi+21k1UeaAuQLUK3g7kh5Wrqv3UYc+ LXEeKCzS78BtH2sudfjnKscmaq8r4PCCnwJKoE7pL9PqbwrLsnAbdXs+ppg9On+3Ze56ahbJR9Lj 4aXAfB7JGZggx5eU+pM5JBPGexixuGlxYCB1HwVbGF+9MmQSxqSYvI5BgTinMlg1CHsZQVHx7WYR VbgY2t/nu6wDcdV2OduWCzb2I1GVdWC6oIJ48nxdsfOQkuVeUI1RG6VZepfIXb7l1DuVCc8+WhJ4 /t8BJKm0G7KLRr9UibBM8JrQ2mlfgOrtToWtn2Oj74RVlcnA7REWPsn345e+BjnhR/49PHCJfR04 x5UzY5vHyUjMRcrvHHzl3gavCOutMcvrKtuMbzGwScT79xgTOtXdYWk79eifc8sfcB1vuYCIoCGg +NUC1nW+a8tpU5gHxna/U3IiujuO6Qed98GtKwIxZmqNv7re7AHlex4VqpXZEYv8bdNxou+0E7UW vR104/juoeDWNY8XkLWZdR2BmeC1qli2OPtDa3HG6it3f08ry3coASa2kb+fMRXRpTJ2ALT22fFg b9+MnF27x958DqV4FBd1Jn5d3mrYzYuqxsKH2g6FdgzPxdNDOWVImwMJ+HmPiF0cEo/odLt+CwXS Q6opf0lXovwMeMNTVxUu0jHyDPbqhfKUIC3cfUdOe61vbHri6SbjOGpmPH9sRBJNu4X4fR75bMc6 9hh9aGtht0RPQ/7Puu8BoOm27zc7HGqIOR+oX6WnQ+IgZ04fzOvNoi1rGtNC+3ubfOEJKs7i5epv iYsidsEuNrNe7oOxkAsp8fCbS/oT4Kg+gc+J7obVtsVWReY3AoIkXRox2uE16hhAmOpSIyZVqHLr pAPZpOMVRZ14zKHxMGU8PW2anZ3tgjtLHdA/c924AGrbEMUVhUYqmJBkm2WimI3PcQEmZV0wbUgN jKwyJKTvtYQsjTIWhzgIMGNXGtZuefo0c61fFcUtwaYTWozY5PgOJxCrvb7VtjsiyaYDHM5dDtHr b7Ll7KAAHogb+74B0l3A/fyncp14+9fV/Ofjxyw4WiJ+isi5fSyyHJ62csS+C+jf6ICm4n0dLu+s aYwmBoH+Fyb41venvNOf0U4GkeFJeUsc1caODEOFIZPu51lJpV29JemJWTU9cMG3IAufl9C6QG+D dtxAE3QQOwYp251WS1JJ+hImFLDE3F7jwsE+ylhHlNbLTAvzejWHIWvIbEzF8j+80zDeEkySkf3a 1n8hQDm6IJQguscxv91NmvuuXoYVGW0T4TZckimFLGvcxUzkXsZmLNh3Zur9OBHBjjFqU6kUHk4q DwA8Fk8gnimCwSsZIFBus7NHj7LmUc79VfFiSzVYYZMaoUfZL2hqg4UHCP5grDmh7hqA18MJB1g5 FM2Irp2VpmNFqY7SUK5XkqxB+yUvjMYT/rTf8pyH63qO+Y4yEWxFqWg3xEVUK+IuS7/G6qZ8Axu7 aFJusLw+1TTb2N7f2zAG2koaUoskCv+wZaCYjYpazUitpPW8zTtshVKprHTbZDoAD1euH3sqaP0x v/LoRSjJwJbV4BpZ5kMRp6qHJ6CviuwJ27mOn3QFTs6TCR7LLU1j/7c13C+vk1hDpnqqmL2GivIG +NrH1GieeHVMcJu2RdotsH3jT8vSBoHMKrCMKK2wmTILkin3YmdV57g9/Bp3c685wsi6sx151twd U9Iz2KUZdeodRheLl+IDUZcvvbGRo8gx4JHL0W/mfDIciyWfCWYQx4fnJ9TQ1rZTVPU8IAyT2P3L h7eTBdkJxvGIYwyKnFN8jvJa0BeVyVrx6/Gu27WjlTMA+U28r2SZx1DN8yiA6bBXrKub+sMkb6TK jOIDA2lLmbcTqIlMRRhx5mcpYWbMFdjM3eyJLoDveeJnO44jOazc9H6UioQd00uWasflvhEFgX92 YJQoHrXDL40DYCVpsEKejJNt4poe2cwcRmgJoeTSQHs3fI27N4YvoLFrOFpRsCL3UvV4n5KNzv4F 5L18ohe96ncpJp5COu/hS4snBYE9NB/esRE3jOZmbTnavISGpFO/VhFc3Qo0hH2zBcjiW78BYDcz KF5cGSJRZO0htCbuHaVXoEHSQHcRgAkmgI6KgsLNL2npNOpAYraEDf1W0/bSlbU+AaYOiI1+6BDX csz1gUbawFQGF6T7Juka/QmkJ98r76JX9rfbypJ/WxdXx0FNj4T+aHXGZRLvBZJ8jiByQO8PbWZe tc6ucxs/qifz3kn+hn5MwAXXweJKPb6jAilrHf3riL7mwFUiVRLXsjDar9GUYOe2PzUpyC9NrKjn /I1EAXAxQQVHWKL2uEBO1+WxCjUaIC+xeVCWx0VXPaivcJRse6kwMgUhUADcWvjoEI8lmp5Xo4ch xChD8RbG6wTRAgAjUfNY+Dg5d+WqIpXbeb/bCaLUp568rUu+yyykWv6Ue/2iehe817PGqcgmqy9v TSfrIyR97xhP4ZrBHS4H0dJ2VomQblRXedi9FPU64FnKn7CjFVHLuGXnVvUFFbSA9XR7fVIb+Lq4 6HTWixxdG1cgTXRAZ4ubdtoFdvQOQyjdWvDBz5o42rqmdWnu71oeigEr8o2d3WTNwIrIjUiH5QzD zo4VObe+K1Aahol4aNwCm/fTELWK5ZM/ADk7L8DrXSU1tUM4C193TGaOtolb8naSCoU6Qf68L0+P 6bvFRNWsGkfPneeBS+vB3GGXcp25luL3f8KtNUdxBcOH/FJ9M/feXC31YtC4M/XFx9CKoaQeKC5R 3yPJOa6PS5j9gopznuZSqL8Vm0UxmbtFjaec/uJ17v6qsuVvQfpNXzPoBMBfcU1l7ounIeCyGXE2 sebiMDtwkFf6+xe9C/tvdbRmd158gPDdMd7nucuEiD1jbcZFgpPkUyF03LAiVrupk8Y4cEmRQuKS RSYsA0Adckur/Z9OIuD1ZpGTDl0oINyayVotwiKotrg3RxuOaHY5wvZ1I0Nh1mqAjljpYJrs+rPg LYF0d6cndrV98Ar9UGOT2WPCY+l3h4SkvVnqOs8Ix7Gc26HPgqV4jYkPnzLTvpZch9ub8+jM6iTT 7WjC1vmKEILTU+Fu+hDP7aJdVw5ttbc9mS4f2wr6figI1ls5ZJelCZBLMdX60Xsk8aKqGAVe/NeJ gTsT8FdW8RzD1C2m42LfFTgpHgojq0y+YZ1f639Sk6IBp9DY3T/FdFBMbZ248TUBVGaLm6PeR0ou obEMtWHjx87Xr/n0WtuUz4CsglyLgh5qH0efgCA/iJxl1HmJnWy2JIPhbksEYL+XApHp5Jl7Eta4 q5hsGYVHNd4DMWKc6r9mCwd+Kw4KROghdsq6wQSBCvVNaB67m/E/3Cxm1N84rfYrOntnqudghyIA XT02QWG1eikPGoqsWqp1Eoo1NGsq47sR2X5YUhYCGRuZalhKskaKx4wh/AANRacjTEmviyhdz2Wz nyQ7SRqTUPQZiKG19TOaOtTBhCHaB6ZSPnEbaWWfJSXWFdv/ZLgv80xaVpMgqd5YWY4IrTQrL8tc SQwZBsKz36zAnRHmGb2pXfPbaaglS8tJRmc44gzW/KytZJ6HUV6WfFDWEPO4G3Ylaoy/CloJvQDT TPe9U2AppIufLb94gJa9qCBjzoJxWbrPTkRG2HcKHqNAcOuQJ4wuycQfv/F+Kl9lutB3FlIbODBi qfG+JjBkbV7qp6JTV8xLvKPbZZaLfC6k0+k2MmtjpAa/AcbXaQXaAQNClW5nn5JXT6UYcyG+aPx4 WBtE8Z529TPZd7z3Ps1cQ9yNN/tFMSCmZQ88kWKKmvOdj5uFZ9q3C2oCOZxEho6BwLAlT8AUDRhp e5gkm06uwBWO//twBhd6yBAeQNqle2y3Fl/CIdhDqM/i67NQzVC9MzYqIygKHTufCgHnwctokr3b hXiJWe1SBhXatdEy46lSAtXnQ8B39nLbONfUuOIrpPMtRFy5wVSLygCANb3CuQFNgXeXmMsqclnk 7FkzqExUxHf6Ju8uUUsVdoVD/n8f5wfQ8nZd4962W96gz6xmfRLKiR5s6oou7C+k5BpFpveAmF6c ZnXDrlSRrCTUVeTlkmrLDe+vcUkRTe6rTHcDaOUfnkY9gK5O1OHnUq0XayGFPLuqptaQhERejNAD 2iyZIvX8U755ohpmlp7h7c+uhB9YA1PYQbVR+kPNfqYXGLnVSCM+QX8zXuTNevUXwgHvSBXt+G1N LJzp/DpVrWzrlNeOvRsxIot2TUQlo4oXsKl3NKEyeCg0UggqvtPHxucvwYKFzr++w5lV7PXDm8MK IvDgESdDDI4aRrC/axb56n54tn+mm1XS5HxoCL42GITAg7E6wLfWEjZYDkrqFuO+8VhlNNBa6xIJ varxH18WnzCiaDRWhdm66n1T+j4nEhSZYLznCz+6/GxGl1Y7shrmnj380XSmx4I5sLA4fGSEWE05 xGS668LdcFNBPntI/2hEU6nPB7zQY5+qyhvK+S6EnLU6jxh5KcuIJaeSqF5wp/UderrxrEuW/0g8 UZNj3A6FAUDsKYqPgjrpV2JmyxF1syIWJ/GqckKcKuLgxpPfkGUZ/eG5g0ih8b7UfXAW2SjwKeJR EtY3tRPRV7AQgyirj6JHWXLn2XvMxSKfGz5Ogu8JHxOpEk0wGeKUwRWaiOVWpp3RtKwHrgK+tRpL KD1uWXJDFiR0jkkl0+UR1BXmuHBf88fcWl/f4n2RaBCedeOhNmmcGjKWgwdH2J7pbCAMwoSBRmTZ Se/2Hr1EOjYcQqM+FEHRumxYFgxElClSSQUJzySM9UrOQp7gU+Ca++c1BHUUZLyf0OGa3Y30xVzx RSlLYEfG/Fnou1fggVIdLaNcIMQLAh06lvZCYSUi0UiDA5jNm7NekCXoGh5g+ZgOts130A8nANTQ 6NBbJHOKGKP4hUoQE/kO1c9Osuooiorcyd+Fgl3u6dmHpu2HM8Ag8lZdBRI/mUfDPjx9H1jwjvMC ga8R5e9+Du0kB5TKkyCMy9iE9vn4ZedULS1mthNQ26quaCcHgDmoSqsZucbtI5ToEINhrEKW7Qo5 SS2dB+5J2ShDEIayzy1egMBVuNi+wOy6lWr8l3aMJuVxyxsbMdSvTLvLD2X1fbmQxdaLqWPn/tzN lTTBYfcdILkSxpEOX0fpD9HlVHAEVvRGaBzRKILibAsv7+Ht6Auum+b1fgbYcsRrg1EU55MR1Tz4 y1gHMlP2qJgqzSvlH9WgTLqB74xKWGRYjbBcFjT2DjCC4XCZtfAFuBTa96XIk4gHKXRgNusuoN0N 2cCyyMk3/BZgyoJGuSICM3rggcovCu60Fz6jh+QE7ajy/92fbGgOGrYfYTn3mjmCrgYaCqmfO0LC 8KgAl2qKCEyzhLUGZ28t7tUFfYf4gVZ2EAIKHHx1U3LFj+jKSIUvnz6WjgWK1CeZbrtjJ6e9Fy5c +5/08hFhDGqzp1rQdStN+Aae6XV9mVTZW5g54cJdc4GUHv7AdG0IC5FXIE1JL5tPLLPrE5QKdK/6 rA6DMS5dIqklAniH56P4cMzYuw184vP0mF88jWI3xnjk/As7x6jP+CZ3O/PXfChfhHzPieBfpSVR IpIK/1yaioFjNLLBWygo5jVC7o6CCXHvh87sRmgnJsHzy21QR0uT/E3eBTLe9N6/PWeVS/7KZRG6 vGBlDq3Z23+4v6FQDa4+sDS/Umkeu91aBklI7E/RiD7ZiAMhS1SgZQF9IOcQBO2YmJJVTmXycCpm 8sGRGbcV4IbOsZKjtibahi85KzLYUzK7PIxqL24ZV14vLL6TzrUU/lG1JsyrOPtBiKQxjG1monRp PWFoROaRb4Foo7gstzYxqXWUFQHjvICV3w1YzDYK8s+WH/GwWcDSh+rbdNKXv4mtamcsxEX8g4Xl gZkFY5r5CS1C6p3kzoszQR52EUI4QQ9gfaXZM82MOv3NpMSZHWHUfAbjz7r7vyMefyVMKgxVeEJn U0na8liTsu44b0tdP7DpNJKBkWcZiCpSUNL4eT3QXl0S/eLEhXkvcKYIb083ASzpCBqXCT02KNwU RbjgYpZn3IWjYBo8iTyT1isNxumQ4Hti8qZ1H2IbAELJvkkBbn7N/M1fQ6Us+m+IATiXOrqizoR/ N97AZdcjCnQQY4zsaabpMnxykyoJ3Kw9TKDKFx0tIiMrkI6OsD0DuYWJ/3A3ujgJbIRv1cxTvcfq wMQSJtwzjffrzOX99fp4qyqrRUwFHQMOPOUClXCGCssggAYYL1F+8lYDMcMrN3JIQTo1jB/fHsbF BD9tfLQxXuV7ZUMvet2Jsigz67CrJiSvVDuhVOyzFDhzVrf46RaAyF2menjV5PkVix5Y79JYRiW9 xSc8gXipMs0jjOqw54OvxeZr9Z21MssuQTCfdrcJMN3ROg5/Y7JVh4YDUpsng3qfh1yMWvl6/NSd ibx8gEiL3ui3tSav+L46gi/1QTgyeboSvZk6uqrVQBFZHpwV2ZEDJjdzIVYaU8NVZOF1CS8CMTW3 qlH2QyI1IG0Z2AaGksAAFPg7xLwJoyLven6AJi4uSfRKGTJ+al5r1vzeRiZs8aOZ16tmNYeExYWS LJxJEIa5rQZtdZPdWZUUEfj1rRjeL/C8E1+EI0wilqNAu4yZFz6y4UVMaCXuzDVH4iMmbyTzxJvq fiG8cdduWrYA3WpTPoc8ovsfVJg1fMIIzG6zlZsmvt6+L1SSJBvgqgakQsIdaI5H7gARrCCgEI87 UmY69pbOquSVWTj3ugpZjqJyyPtAx4ZEIgmVhEWIla6HvpPXN5z1ebGWrmSFuSqYDCQbvqXc485z TuBH9dib+FkYBPhGXAJgbjK6QwXHCtT17x+ffOOBr9492GHotbLvZkf0owOnzVEykCHUR1RrtNnf T7MwL1Hcs1Bk72RBWxnbFQkaYqCp+V4TFxalS6HeQFvOiUa+WTeS/QrvY3BdRI5MD/ccct3rlGos vroaTTQgiU9/a1v4976JSczdzoytxDwhHK/9w1FutMfZXxf0GYLpH2P1K/wTfBw7pKAGEne9j4hR XdCUXwbgCLbfFmJYibqlr8A8frrgSVR4gzqwkdCl+uZ7J7phfIh6OIt3hgb86ggUwUFPTLDl2wha jJmMY6Qx5eEvoO3O6NNKROnLtt2NeA0Gcbv2iGsKlRWy6OAEkI5MTUVqeApyiD3Blm29E5prU7xN tsWe7hpuIY3mvyh3lvnRC8LDSmyor1AU8awgk1Oqa85sfjwazFOw7nZYSo+7fvGCG7ZtkSIDMa5S fW6ACnbmrBlSnM7kLcAlsjuL8cAW5cPk0LGd0T9ksLSdFcP2D2AFuaqdfEac6z4cZ/DF0qLRneT9 j8mY2ZvRefrVzUKY/8rzapo1cJAJGcQGa+zTHh7yDlZ+p/r6bNLywTTLla74eF4G2dg2TjX9sWW8 24RQDtOBeLvU7Y9fYLMHoewA9XdWP7AlnUT0+vZg6Y2oM1KmT06fw4QwsTrDV+33fSSIf9X2+tqp JjXx6J5cc8Lyx8tC72P3w1PKuq4Mj10DxfzI/hz6cD3/GgSDKq7T6yw18aKs8Q57n4rYfgJ+npbU 0cWcZ8irOlH7+alpDarfOE1N8/Q/AnvuOFmCC4bRH0TCPKHuPjA1+BWonAHQWOpUASgYSkt+6YmO qTcXSHOSuxDNPNzorIy1Ymlt36mfokaD6lDHHstCXJ8BDJUIloIbsfdSF3NEJUFSLpUHVxd0ystG TktuTxmg4T/Gktn3Q2zDDWXeCK1SCPRSGKf6k0Gb/hwJYX5PP/Lmu0MoV6whcZaajxBGYNpi/XXv FIlRMrC0o5AP8+E6jflspcmznbRQZaVbTuMDKCnt4c995TYvW1mlpxqUce1r8wF9PjRkbeGlQXD+ YEsANKR4AWaU9Z5HfMdlRkyLBUrN2DLtQAfYYdJfog1XglQir1FcNkPR7ZA9m0vGOyP1dJw7Z/dv kgbxu+om9MqqBnpCX8/z3A83LW7XSkuYFIeheQ7ZSxwmzuUqgpG2rKmbHSsUNrU+ZmOeWp92hD8b STIkpq1G3f/xZBYz0C/CW1KDxG0Cr7LAQsxWCdidyZIESUMbH4dHDDOcAUvnFYrTmk5frcCw8NkP kkvcscXHUpi+EDwAxiO2sODbW55oLWbmQUeaWuCTPUP2iB5NMcBKk8Irq7erzcYKUoKdI8oKWwTq 6TQ5hksF0w1hcVNYPbiGgJd5zd06QJYcHF77gUy2h+G2Z0mV/K34Mb2uPwNVGABToWz5RKMoucbS YGEo4r7eSOHbJ9NZWdXW6E8znQ1SujZuF8UYgEigDlaBlzYK2MbkiJ44lAzxEQjljYS6BryRY0Gh eZ/rJU1n9gOPRQUHpSX/9H0rw+i1sQ7wQ8e+arOka6KieUaObiq41bRQCU1HopysFW0eb8c6Pxkc h+3feEuxlqTglORFUJMU9hLgRI8w68hh9eLY8kNRQyRlljo8kzeR+UbwlECx+pSfQy544jpPWp++ ygu26Fq/LvpVJY8qWPTTU8UAtonVD6B+/050EY046bcTDMeIOmeEkFbi4J/1PDztDVuxS1nb48Ll ycVAGV72HLikIju+hy7w+UsusCN1fihdtTxYNZFidpy+eyec9XYmb2D3GPrxekVgqBHFeN2rEpGN NV7jhzWB9nXpj9fojo9dCVUSqxEKDFib8uJ2qNsgm3FewuAavm88xo2Lh1X942XaS8aChQy5sMf9 113eVRswOv/MhFKObNeW6lMEG8PbCuGLiQFUHkPVRy5FWVXmCpAGB8Qu/CXwZDG5Hk2fNoP+X+CE ch0IrwOUMUmzFx7oaGtCt8cXPcGeUXggX2TDmPy3PHAQP1cmcrJ5sHN6xWNkKdapMdwj/wEl8zwx Ge2dGGEz3J6//cf3FIKdowPpyh2kc23Ny6EkQIqIHPaayBkLEK55Z8Wb4Pj+KbA7mHKZrzHwhUfX d+Fx2p0cYOneZVs8MjwtxU0a2UzlgmF9PG72wg3iy3Tl6OvWhwK9Wgf+Njch24Db3olkxo1eFdFq dcVVBdD4PcVjiiPRK3G6aFJYEeQeXF7SgoH1q+fLxUGkzrkBaEV2ePgSN78yoFcuzFwQ8lbVL6Fc BH2nuhVjtCTKgU3y/l+SpTqiE9+eMXhVxLKag56exrZdpSAG5gtjnxTLKnOWzTDx+jWepc7B9aek uA4aye/4kgahEyERG/Pw57sWnTe2IEHtSuFPiDlIdyoyc3J7qorMc8gGQEgNxiHmNr6ICD5hC6j5 tdWIX/buFg6uRaNIZN5J03VolMNVKjiwRnQdSSiKtt17PoIqWYbzhQSOGH8YMUaBp/tL3R1/M5ma 9Asc0AFHjMl2dxjTf4z1ai0bfwr0rrJujoJoVFhMa8h6syXRt+8AukZpjAS9vOR7yZiJkH9YComt wUFDyh6qE29sbdb1Su3HwHpAw4JMD33w0DybEXkagAu5GqgTONeJDypZmu/WTll8GjiagZheVadB ck/futkPcRaEtICxgqIJc2szFQcvJBrSxbPGvzQ/YDFGy2NxT9gIHk1afaBsE2DmLCHyjMXTnpuJ YeqK1iisH0OFktx+wdozYyLSuOSdw0Py3f7g+VPjnTnBW0NG7EqbxMX3tVRTAHNSk+iPgyyEA7LJ snWKcfZhwf19AudyZLgLOJB864s+vDOELsorEXGuSrJtDdUtv0oIl5wGJx4kQF1LF6xxDOUrW4oD R2NLyVy+xxmnKY2LzB2ONWLrfWue1VHykILS13vaaKLpOI8LFPD/XEMPgQ0lnjvk0wUXtpKSc3kf 5pS0EXJ0oddOiQ/SZWq4C8fxRCObQ3Qn+OeUBm3laEbCeeBz/3DihHrRUTYw99wX8TNfXEj4mIaG luMRayWi4JAuKdKQKZKvTh1yDvmcUNIhZS3WfGTYM/F/vucJdfy8p/qeA929WFWEsr/CvvmHy9uc UC4RoMiWfB1h+JTjX9Xk0PU+S3cgVcBDvrwkFlXD3aHdL65v1mYF1/ahKfqHDLIGcfjEcqkCpSy8 q+YN6rk9ERA0mnCoXUXxlq5lKoIdYqeLAhAFVS2s2oO/N669SAzMEnz83U7sB9zqwV+Tf6l9UDcO 7PiZEhKFqyqVHaDCWOTtCOYzCFn82S9yjHjxrKtAduqHJUypCjSnW+0RacI7Pit54ppShULD8NZI x7kc8BN6kvK/UDDEZt39pgMy13W4VsPFcjZK1+u7L7XwWb6g8xkrIUBhG57Es47l6ft7GCRFFMjl 5kx/ZOKdFL+28fn6ZKZzUfIYLjAtuKG8d5OMThQQ8Zsi7cr8QZtkbFEN3xFHGM+DnHf6rMH2KYSG PFkp7BoP2R9iapIZl9q5EuN/c3QChgI1zRBfPhgZDALz2zT7KKDjBZysptuKJ+nGWvJTV6u2Kl2P JS8radx5jWrGlExi7DqnBV+J6ICLqUV9INsWXfD0JcKRvdduY6MyKL1TVtdQnx0sUNkW1NwnU0Y6 Q/UCH6jOO1qCOkQvbmkCs71JN6siPltKky8zYsvO3bYOWx9yae5ZgXxz9hlh478DQ+xMrB4TlUq3 JAFoQzppBE+Da3RThCJo7JNfb7Wb4RdesCh8fGeydW2CYziu5j9ciD8QxqEhJjNcTl374iLzd/A8 F9pSfWfIVeNvQ64pXQ8VHFwRo72RBqVndHepcUIYnDwvek9t4wCD4GZ2shzXeCMOHA8zjP5R5ihN aq/Cqf7jPV2gigdb8gKxa38hqh/9Og2DeU7o+f8XzJu8DthXQ2zXSGbMkA4BJclmvr+Hq/gaZYSq tErR6TP0mrqhdehz9uWUATDWmYMpK60EJw48tXv97QkhVzsA04m6uoFywYYjZm9ZzWVRkmeKqqf+ xPYtf4iPPqXnAwmtF+hSQX5Kwmieii+5UOrgcCyR4bQz1W0jGgkztBwNtOr8O2zb171MHyDEwHTv xJwH1XlkIHy0nP9FDM2CBJDcAX4SKvXGNl9p1YwQSnxTs1K/bTrFNNYTyo4kl1zir9/zu7yc+pvv t5CJ4PtKo4gyL0ZbE2eB2tr3b9D24LiDlkWHNPk/cgR6azfa1VcRWXWabbu3IkzRlntKmTf/trXc djhxe4G9QwDSol7zYtHUKSk7df2VSot6FwJzwzLICCATo6mDlvceiBIP+0ieObCsEdDmDHJtkaj1 oWxqUxdQ57nRoq1f+8WUy+DnH5WqUxudKcnkVA0TvCep5n3sPHL/mKLkkmR+yxfeh6EdoGqMKDhl jsKJK/2mWUnQ1waKNU+0S3MlPNiJLke1uxKv2xF29ozhR/IHCqWQBFDjDnO00QqO01Dxcdqv0lQ8 7kGr6i5OBQxkb/+N35+M/eO2Zl51MjimV6oZnrEpOI+Gul0dv7aewGTtyAoHBqDk+tUcPyvEmIn4 rTKXO3yV8/hSYTr4N9Bw3jaPya/9uRwCS/AUXe92bd0VSGBjAceWKyZhc+qM9WP73kVm0vhM4BuR LkpcfaBTpleEyH25bhUNOYLHcy2kgLZlxmU5fLd1HJa1BW/Ju3jRwq+UoQoXSM2Lcd6YjD1FZmAE WunGgfAMakyqymUzj59zJB7a7dSST+D+idrBTkCWivwK+mf//6AFIpvv/2ak/A2l3hjcdpnGYx4p qSkDHfV9EL/sgp1Lmg+zKUBnA3xLufKPyf5VmxoB1GpVCMTnqPZAPIKfkaxD0Y973us3WRYyBeNj vCunGQo5HFBHBlq7ZtJc+kJKFL511PXAyCOcAjLzxxUmpCc5e4b2Y6VKEsWNQGUR9vAiFUsZb2Q+ yNsHDl1ycvAWssPlUASb3pBiYYkTMbpbrbPz4T5SC8Cm5/TThfR+rG3LMI1B1goTiOwi+ztZoTwN LeHEM+vXskpTFobt9V5WhN1hmqBdwVy7K/jYamVJNMHCGax+ZeG5J0dnAOu7ceiAAifIOFgKCAGk IrjBQXMxLvnBXrsn8NR4HpshrerUwzT62Ji3HCiOZo7bU6MgVE4gF1fEDnLFaTqUSEtCc3rrm9zu Aat+uVvO/3Z5Aw1NAYQoEUaChrnUqmaMdFChG/Iy32IvO4v/FNbs29WwDG//kyVr5FQPqkrq5ODc F9ls9K4LcQO5JxtknESkbZSxp8UxtjrVAAlq4DUbVw76H73IcftofNcP0GspzANw0yzAhfUpLWcp jqiVtU5EZvWHOmLZyUBt81TpQv+ZhRoxzB05LFWfmaY2N9iRfjckh1ZS4Qd+K5RsapF8KKsrF8PN iJCcrY2+SLdeBm1sUKroJztgJo+uY+JzWVv31Sjn8cwQ1HBDTpS83/gpiiCTQcVqodJExLcExl09 t1+ebgCaBhjhoZ1mcB5ZWlqdKoJ0KnAusg6zlVWkdVHpj3lNb5PIi52mAhwF5RlI2VIOI6gfTolJ CjPipQHB7yzcNGRN6C581TqsBEdaHmrLrDINdp4yZlvIYTgubG/7sqZ+6rO1gH1hnTykSn6vkZnn JjF34yxd8j1xImvjJZAbAbUbb2LTVbj9Wwl8zZBJseoTU7vLMLQ/6e+ZqRVtgp1WZIJhZJj2RGTS q686ONDF58OmlSyBSvnWI3zG1PlOtZYf7jVSFQR+5bFiF5+E+s0wpzOxNC/0BsObYrey9K9wFYCM iXjtz44TzBLsZhkxkRbHX0sG3LzYHBT1uG3HMWZw6yh3WkujY/FS6Hyr/5zsz30iFTifb9l8OQBI fuKpjKQuajmLAXRHVEW3gDPnLmfP6esg2b01xxHN1723lRhD91LeICjpcSOBW6SgxCPVM16503vr +sVDRJ0yn5Jr7Tx/lskOrkMpJDw84w+zMkyH/Vxu385UUOMfcowlMRueJx9w2vUBeqdrKZqwz5WO ygFo732MbRKoYWCp1/gGyAD1bUAQb+L1ac5OApy0/i/RVeiQABSYtqktCHUwjRqWq8CYwz4veves ZiZjt24nnM5cZLRKfpjqznSGN3tQYcS7NR1hgm95jIe7xN2P3VWQhquEPcTreOXugtpm1XpfRAPm KQNeXiJx6NP9M7Y0Y6W0TpXAWxaWegXyZzbL3cPf3PieZl98exnJbAIigTDIZOpT/zLLGeQzP93j FlKu8aQWNcUjsin24lplhqE6/eCaT/ypY3QYM1XTYlfqlI5QZZ7QhBn4hNTtNUOIFc9snVO7FjQ5 SKNGJGc/u6MlskqgjDcBd8MJxnyBc0P5ZM64Inp19WQE8XWntqvVKxwkKI3Kf6oGEGXcFjOAVzAh oSHJkG0sPzI0IqZmL9sExWn0rOiaGa7hZ4HP8bQktuWVNEBIGY2xwxIOm+qpYJEPsdUcs/BoHHjq koiVqBxeEx6YW79+mE7rsPVxufp0vRg0xhWFq8jnFLgHFB0mbxK3CogdssbzYMV3MlWGhb+n0F7X 4Ue+6h8ipEOmYEKYPCtlLvHruXDCEU4FTy+3TK+/iwmt0RWCIwQUPcGJjNKK8IynAcTSrUbFMF50 p+3Fyi3yC/3sDQlvsi/DhH0yx/NkOXenILqi0zYLbFAW/NzmRAELKLFtAh9Bd3Dk6EGK4+g6OTxV hBh5T2GIAQ1hy61FsjjKzBlc77B+ew/+YPSAPmLDfbr3Zg+17EYHb8DXS1H/P73bH2ytKChvYKii fgN6eExGBH4As3ygW662xApx/XstoifOkRau7I9wFXfpeM8hoMC3r968pPCyS3ZUw45CWUM8GE54 Xzfp+HZysqP8r06jyjlVxXBISXGRDYKSyAW4PNb2Xrc+9CmiTMjmMSmDnlqwjsrSHXh7/fV1KGpP RXfDXVa179mqVrNiLPvAu9lJkmf1EsiKzMTgFZohOCvIvrrFaNy5Jizxi49Kqws6nr9qScrQAHfb JZyAsJ+LrmSm1AJg0iE7N6Iv5ScE9xc1I20BYI0YvxsedptktXQP1wd2sMRTSvL4xu3BYcV17VV3 3vibnIIBSU/BSZaYNtlVE9AU+8NxuWD/7zdWnwgvUU4Me5mlNrFMJY4SycGixWRhapIh4bGi5EsN Cfmh9YelyUmkhO2CTgKfPRy/Ge0N1pxbJY3Qv0lpF6HxpU/rvndLVG9yU7mlbmWvDMphOBBIrkUJ CPq2QWdWGiaZMlUkfNXgsAt8Ac9R5Totc5qTrj6OYI0pVng6I+HDZoGlUp99YRyl+jssxifgCxRB 9q2T9C3kYE2mQbUtwcCujCTeE/PWSFjOS3s7jX0YyLAedCxOOOFsYHMKLKz53+f4qgT666wycZWq hkoIbxcfUg1jHpNSfDJ6XddkgYEQxrKwFH+HF4anuQTPzXefKZBV3DOrH2ru0hpan5Pyz2JlXskl DvRmuP4MVNByaXSet7iDF/1PFbiBQcghz9c3p+2os8WLF4uyXuDt7zdl6jaV/tW+/WzqlvnZb9LK nWWIAHqbnVDkA9CpwEGaJJO7jEDwtJMpuu9D5kkOsw5aDC0rebgr+8VONKd6EfA924vPuVX3UE5l 30P76nowmWf+LMyMX36t+aUdOtGRjeep5O6QvVE7ZB1CKHlMunTeHdk5sqka3nXYEtxamSpns99u 0gmssXbSbtbu5RUB/CB7efJIIg8Y8BAm8vw4UFE+1txvDeq6PU7AxSDQxDgNY0h0Mi8xTnP2Mi+a 8ynk8u1CTJmzhOrw1dLuLhD9dOWoAg1hP3J/Me+1d+t+pVtzdloFhY724mrDvjLNdn+lB0p4vOPt BwrwYCU9av8tbHx8uWU/qnHtRCILrLz2K6Jfc6grnhcJtNx9UmS7ae9/TkgF5FRsPjBp3f5kWh/H w2lVVSoQ3ujfZXmoEOgUwb5/VSKZCchuWi8eqqEUZOhXZadC/IVJnM7EApZkjSpS2jpBBSa20Tar swzrypxof0c+2/4U41Ln2Jw8NK9oqUHXBvqqhfpgUd3eLrhrp3Vkwx/Rpsd9Yo7uS3vudEM8nCC7 UH4TYtGvFDv0yuGEOuTlGJPkdyT8YRoLF3MAvCh9XDkbRlhU5ovIS3VdkdjHdz7+bVs8daNtkWmr qKgxX87cSimnS32dM2ImQm9iD281LbG+0b2MrlKg6PQkHmqLAOFICP5rTE7eH8pdCNYKXycQ0VDS hW+GkONvjnKhNb/crN77RyxNpavu0PNmkonttUdpN+ZryOin1+EuVO3goAyEnQ9rmWSrCd2Vym/O x8zBRYYODmw+UlO4L4riUbJfIErnwCC2m9i8PkqoaVfEi5J5tlGOBF7lNVm4jyDgOli0w/l9GMo6 gajx208z3dFQXw8nP71Yo7eRIVBUeNsk3ZvYx3TvyA7RAI5bBj0uNe6nacj5EDCdrauQ3snOAv2a umGyIlclpIzUEW/p1cVKWgYa6mELGJVSafFpyPmahfxx2T2N5/xeUqI6zoBeT++6zd8A+5rfrl91 R9p/htOlw9lWg92C0J+izgRFD7fX/TT6sLFDZjip7qVB6nw4EwAdzKyDqqqZalDJ63Kxcie3+ISq lV/sK15GRGU22Xa4arHn2kRk7mcUaxxrQFk5jGrSyFu6G7+u51uSyoAP4kNVBRrQmjUV0fXe5+k6 q/b3oh0U0MWf7jTkEGxpTigE8elMftxKAc9FsfpV9k/a83LRnHcQtHcVm/pZdD0O/QV4aQVtjEtD uNET72GZMJ4JWZQ8tfhnx/oqs5Eueja7vuZLc2/Xbzy4tF+BD9MIi5MvFoEVoew9NV/xDeHakF78 n0wdigQJC09QTsxJT2I677AHD0OnN3JGhkkG6vA8/BDXEPavgzeLt8hOr/vef96KjsWaQrbM0DsA rrYN7oWa/Gf9hehoSs1HMaVy4B6mWeBuwROpSF78/1QvjQs3YSatrLbRjLnCJ36rbxtXZ5Unnztj KIi3JxdCH+oJiy9B9EUqDCwOvXuzgvHiCnsLBkopIrFH9+EQ2vBmvLbBiX0Q50aoWcGIg0Z1O8XU LWQAVx1EWJ5pXJnhoEuILEAw0DCRMfjmoOUMTAyrVWpnPdCbP1wk3/74Bvek1pBkd4MIvyHWUS7N yymJw/jDw2n9loHm5Iapj9m4tbn8VQH3Oc3S3XbijY7xQdqnuhShGY3oW+24ffpL/4kAMT4PUuW+ 04hFNfEylDOYCLxGHZqVBroeFboeItvK5IZIjLB0FGMYOORV9B9rwGLhFmQP81wExvSpomoiPgpS ROXWKALM+wxhiMU+ZbOWRqHmcgVv8f0pNgaSWd8sz9frpwgIRSSk5nJiYyBsM2wSNPrz58D87a+K jNWwAyUyyg+i0jc1DGQJcRnWRkQKg03h7WnGBQNrkL3QQ1SRvhREmxYQgUU0+4jZ6aoi19hsERc5 n2rPtGVImiLewBz+dHczSwwRFa7wQV5ivH8+zn5VGKnajhNws743R4DlKUdl0jG6yNw64pkiKri9 ClCKhq+LnmBesz8KDzrHV+jzfuM1Sv+QdpAJER7vlVdk6cOiy/xaLdbrXp1J1QgvSJR6KPXT3vnX QsQCTFlBZzfDMrJlW6PWwstksZmtOaE8gPxnBGLnQbpo7ZSlIeTeosCivCRTABOuWMvOYPtoZYFr GNpZfURjeSpCCXxIo1RgD+DhPdVM4nBiJHcIc5MB3eYl3QA6uDCA3OjGdZt07gLJDLb3AiC+4AZe qNw5s1qL0/tc1w8ghd0utQhTQepMIG2fQBuRY7iwppsFjvCZW5TmDCLYwchrGp1nwmEjkcG8UYxA 9HDOTW3A2LhSm5sK1q+jA9oIqIhYOwQXOCMzWuC20RG9Mr0V47chdz8ZYRpnb1O99s6c/wwK/AgE oSvcJ7f8zOY2c9lFNeQlG1cwPZvhJZLXfYnYv5IQ0Vd1RXqpUgp5d9eiUnu9kcbhzXW0QKRYpDUd D7b3uu3zBIvEYeAX4KczjKdzhJkDG6drZ7nEM0X8bqcLd5dyNyHGky07m341HowzedqSOOV59XhF uYT5HQKBY8R45BTVsnxNh7z11Ox3HHhtttL0Nz/GEH4G5XFh4Dr8fBKSEqvYydAL3FUd5VuxnP9U u1Es/cPxN7xujR0wqZQgQw+ephtyvY0aHp6m6He3W/cxLy2kWdWQzqnxVMRjeKDralUH5ZGwOm1z q0MGT9kLjUiZ/OT42h2MC/q2DbLukeeqss/iFUw9MdJhhTU5P/xbP1Q8sZodCNTcBUsHV8JZ4Unm MIVNRGsUxRxTL76RUrX72DPEU0QkeZuyeImzVXlbktkNrsVfdaPNDlrgOJO8Cy2BSy8SiUqfmRL4 I2vMxqj8mI/p3EDjYyEwOpEvmq//CaaS6Z94bMMcwnafM4rFSPM27mTBKbgm0+zeN9ip+jWNSwdT oe5xYRgme1TWVBsh4kOYtXHbQANUvAFnotZMhMKZBVNYjAnrcTzASKeMrLAJePmMFTscmhJu7YPN L3FGEBd7uJbovM6YLjRjHUUhi98v0Y5ZpVZkJTQ= `protect end_protected
apache-2.0
32709e6ccdecbaa111479a9a3b051a10
0.955679
1.810099
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/reset_blk_ramfifo.vhd
5
38,790
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UAGl33eV1kZYKmI1EkF+sL23HYyJYovI5Tt8xtrxczXuH1xXc/bv7fX3YEg0AI+mzFwJglfhCeqF 7YZIZb6RVw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GSg2hlRzoqva1ZcJLSU/keiLr7EvSxbFep6Qy9oAMkTEXBTJOmxXlOLJ5AkJ/vm10i/bC665rZEv zBGMrGa88I6ngjoj6I/UgTwGu1T28NfpRyPOO+sYF+KQqHdbNOGpHmyshG9Wyykdsb5+ERVd/6gV F3VJfE+4+ZLg0mar07o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ombO5+K1OeeNDLt+fcM7+k4zIqcqRFbF75DVKwx0GkUmGTTeKpJbgbwapys6S2bFyiFXzn382rcy kzhNWPguaBweOaC5FDcAsXSObyI4aBj8NVQ1a5HHkPxnFmS9SG4nwUHDPIP+Rfmc4vSXxS4eagQ2 lQKBjhti+bQ4DYHnDkjIv10ora6jGoBG3/MeLPKa9PZvWTF5Vme/i9tSenZl9dlCDp/1EW9jGhUB eY+rAVHQfodiuolvN/MXIvq78ZfB2cwSca9Gohb80XI8oCslPOIO+8O+sfPeAOm8Nii8qwts9giQ YlBKwNmlHU2iCv+JFDH6Hq7qEz2I99d0Nou9GQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PbhQS3Igjtr8bvpjwV5MjRHwXvWT/MOf9aAXJ2Kgz1xsTyk1N8HAyQWBEAPnARGtnrn/Dsvo2yHR LBp39xEOJNtb+dFsyvV1IV9yl024xYFteWNtDbS/aEiptJWoH3AJCsgu+D+x57ZPmx0r/6S89QXv //pFa5Wa6gryCe/guBU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GbTEUk1DPMNGS54CCuFM0CVb/kcC/Wdr22uVUt43n9Gdqd+vA7U7bR+x5EcCDRn2Fy6E1kxMEMhz HuDNxDdAUT3DimgZ6nE8kj8AbGI+vut9wt2GD4P+yyunHze+P3GSivZGjaN49dZOZXQ2iiSKDnl0 GPrjTFcyyVa2F7LukzpfDYpzjjmGLoP7BMdUkos7AsgVtLhc51aLkTQ45H130UYKg4Jjy9nJDxwQ 5wUkyz7gPbv70paH7QD3JEz/weJclOlbhknBCR20KRQfY9yRELflJtebPhgt/0iD/21/xmctMzva dMs5CLIl348604+5N1SL3117Nf62Ud3Bi7anRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26976) `protect data_block G0LRzYdaG798oBar01J0vgNEJmTee1/K4CPnT2QQ1fV1B8nM1GJW9HpJ20gyIfXknFBf9jZZlBP6 LJqBr8gETtgke6dMHDV/JIM8bsVgs61xIxErC7w2bB7nAlSZFguKqUWdM4il9vYUkXYDp5CbjEoc 0T2nt4QFqwp0cvRj0v9sH0ZQLs0kJXdgsxjxNiFiBtcaLWbnYIoreXCoUzPpyEcqKhDSerQ++Ybh F6ThE43WVpoNqn/MQrLEosJ77WwVciTaojMyST8LRRiLR0tpGbhIkOvzYRbv9+uE+ggta8E2rfS6 Sb/oYc7alQNm+rzlqXOXq6dRfHn2NE0WC3lN4iy3fA+3CvJuHW0j4D8Jbrzyz8yJ0fYopA7Aub5N 3upKl0VxA1Hs0JwYlMWvWZY+/DozIF9y+OoFHZfrJy6jrwAOpM4k4pLQPJgIiSRZI6eOqWnEM5r6 ENSnDNgfOQLR/JKg65NNhyoye918/QEUMDWWdQokvimQKNBJju+zyaAke5Zjz92gXeSk2CRIxuHK INjgOsvcrFs6ZmHF2EgPoEbQUK+H3z5Rtf8tKgMdXFS7qo15bYNRYqAWLOSHT3QkiUlSwugQ0zbM xSM7wdiVtPwxjABWGGx2wTKFD2CLkTiliKvKUpwZVvsbRLAYNQj+EbT4IJKkqAd3xfM02uznIUXo E7wSrie/H2my/BM8eflSH3HcFjel/8on6RJGHmXY6p7N8yci43TBT86t+/KG09mKlW2HL06o4GTe xXJTRKXcVkma9HdMYyiBxCbKHHRsN4lmQnwI9dm+p1J4pPJqFziQnOd+/DHaxM5GCbzc7urZ/36T 0FehEblF1SMGOLKPMVW49s7b1G9CC8EMa/dNQYutvfmZUpkC5ZwruuBEUkcNuC4ljUAWmiLMPYKa nlsqI/fVBicXWxWtLz6kXlmVkLSqBFEgUApqtuV2BBKMjZ9YKyjVB6sQNui4LOPSrXmAjdU9kOAX ZY8ytzrSoYaHicCbpH5vOl8GnNz41DWRhr3PKmOMZ9Momvj/wXVTQIEbVuWfbCgKNiJBmEKmooiw Sw1NqdeJp23Dms3E88qDKRu+WTzveHvyoEUTn2+me43Rtd2O7pkrubr7eE0mKgs4VOWyefVbGDpW qQb4N1XrCAWFtWz9cA9MShY7k2tjYGG+u27Q2vD8/IKZhA7IwG3eSePJ+/GPWor43UKZpCplF2UL uRl5WdUWlu+VFdY7zOK5AfRryXn+enBGD+KJrV39rbLTQgpDk6lfcP0wVR2MWiZR7Th6Hn7hzJ0k ctNCbJz7/Qdq8b5Glkp2a+2MHaXCeqjAQev1ip5H4cWScpCTnmgAduExyzi2OCK3kTnK4I5VsnN/ 9zy1jmnFKUMlQRVemuFf7dpK4Cyb1iUqxcYPp1DXtdeblooGaE4OmPmRSMH+X4OPe9jEU73315l0 OtA8k+ylRy9Mkj+dqIWOizN77Q/+vku9E66OsjEsPNUVfC/2GrU0m0i6RON2lRSq5IOQ2MoAsQou 6kPsccVtIpX1qex6giDdsPOtBoWItRZirfpTTwMRDZ+2m0q8Vv1K7z0Inh8W6vP6ApaqRSXiTWpV rHphOvGKVEXtLZXUlp0O4se5lMI7k4/EIirnoNebPxyf4xliDrTp30XSNX/o8R73WZg+OoPo/3YU u0aVZtfnWDOaBlw6tlkfn75rcpzsrTFF7ge41SQaKAbf3LGC2AAplVNluUG/l6SQZi5zvoMY92li mp2DN4mW1PdhQS8q9/JDAcq8FBNIPjFHdOAhjze4SQtepGkV6VnBlTDRyFfY0V/yXgy4ESkZCNPP I+fSxwyR7ZALIqYMdYQnz/cVZl+WOCQ2JWzQqWxscdIqwhxKfa/+KstV9ulyk0npgjPKqlszpf9a aK5TRl2niXXTa4KYcGDKsh5b42RhRuWuIrXPhOjw1GXOmOsxENobG80iaYebuHxVbkWn8TsRLnXd jvWuvfiOP4DWuymJ2NUHi7Rhz9vGIoGnFGsfEHfpz2lERcwOQLaGebe5Pvn14/zXhRlrtPg4xTqk ACxP8R/6uMJ1BT6Kiry72Asg0Mgazdpd47cR+m0Y1Cang2eqTyvNBpEL9WrFkYCa0SENU23ek+tz CTLFxUfIx9Cp8CLplab0Z3N55DahLw5Vr2Lohj0jl7PlC9L/ij5//JKZzKwwZ25AV5kTU2RLl2Gv snCCeEIDpP8OBw4feirQTPQJPDMkipecI0blwNVmmCeG3NG0udKro7jZ73vHkZZ8KJ6+F6YMpevH jH7y/ZQA0nt5a+fBHR83b2luKI+60YNV/AtbdkoVHtcV+DDV+99iECcwCxECw5n0GaxsnQiI7Gi+ XecV2J+5YOMdLYBdRuxQTFjeDxZSva+f3W5Au+oRhQ8H0SKVjhwmpJR+o/hZECfCBS8qi0YRQHjz Q0cV7YrsTi3K4AxJzeZOhy/f2ACjDS16czTP6OwPu5WzJ002QTP4q6es0UT3HnOVkqm4qRXnnRzG CV/niN/O1sYP9sEvUcWQFf8vx8aG6nAlUhfO+iNhtqoE+1P0OA6oK+TfAQmoNKelj4nY9xn0ATvo 7wy3AhknrPkea0njsjT69pvzWIHQ/90Bvw9lc+TAhaFIMiUzIonX0PLzlmVi5dll0dGIe7kwZLUI ByOUpvSODozw3wcchv8KTq0znbRkTULNGc6YlpgqfI5zxvdU6uaGhdlrazSXWkwujo3zbcvGfr9o rjcyR2tZ/bhfIhsBvChVpMGRRVcwCI6DVw9axLO8DDptO4u3i8m0pO7kr5/zxw7qdu+Eso1/OTBA 6zCIUUWdcw+SzN8DGyNvysJfNaqbdNcYq4fI0EJZFTMZ0XRAGXi2yq6q4UscNfSSi+AwSnPVal8q bjm8E5/QP5icQDq0oLNRYax9IQqUhm0OYRvi3HHbQV1n/SEqPO1uO+vH2Z+Aj/BknTkyDDkNERLT rH3+amRJmHRMvrhoaI19jooUTcFeBkAMCUivKNhJ77E1hn+DeSRM2LXWBIKzjZEKWtatVBchUG0Y /levD0lt+hVwIafGJEk1TtlwP4/cIioQKzkp58Bf9b50GciwKBHhPZtkiloY8xpEc21d9DZH+Jgc oTUvFIYrPThD4+yEJ+//zCU3JTxJbyrjgpTe4AFSy51CprDRGv9vSNGn+wQMQM8+T+C6vBRh52X7 41I5Lh3nREDSkHFroalI9CL4fbRPu63XtwCxr+260LqIX1l0CXKt/HmcM4FholFxD8FAd+FIXw3a UqB33E7aQ5Orr4EypNswnrmus7F/gXuq3Kq5gj7dpwN67zwElEOanvpRFhd0qjGPfuTr1nyP3J91 TBCRe3c0Fr2O0+m16En50wR9PbzdFSnr9Ute5bw43Dmm+JPWrY+3F/gUeA7UIYFW3iDeRR56HLPu 3HiUuHduZXhw/jqpZTAXwS9ja0LryfATuDp8i7jbYDLMob9uGtskYvAsAs9KXg4SVMA0QC1dWC/d EgK0hwluVIyVA4G9MRcPr55vQdQmGFQKpI8AUPRUoVgfLYVM7xV2CWVnOvQnJ72LS+4lPl223CgW pci6RZTy+1yD0n/0FtN0gEaGhSCYk5j947qCUBysxLanBgn5C0RctP+CWNDZwh3Zag7w3VA1Enuy F//85si4g+BdmiKo14I21s8fHRsJei8nEoUHNYU0O6UZJ+mNKogmsPaDphqtX22S++bmb9mg97WS w7ACda1gXg9Cp3bNFDvELUOru8Glg2CC6pkF37vfffIgectcUsl7oxqsp1iAGBSzV4gBMWXz9hKk ygc65UieaBetJjG8NrZ5wnqPxWHNbPnElfnk3tRa+FDdz0pJlV6IOilKEqKUdX4Xlxlg+/FsSMI/ nxf/Y9lNdDcgn7YCwCz+zUit26q2/5uQfNv/QROuL5957wEsSKzTD2VoMC9G8tqx1crjTy+tiNfm h9aaN/ucWSjIc1XcpD/giJWqhU19CTJelcorhMpeV54rjbpOd3VDE3ABjSw3nlNkKkXaFBb6QBE5 WgTvdznV+6ZcVTmzn4nPPttF4i38YuBy272VviVF1OuS2TBBVGPGFL7tWuZCm/jdpQFQONF92MQQ OLzPjqCwQJgAaIeheTJha/jqQNI0JWogVS0k2n0gzt8y2qVZSEnDoZFTu/Hg7r+GAcGe/wMv8uvS CvwYEhai/0p/I9wbqux6DqKeylsuE+Qj74P/kSFZC7iGgOGB2RrfAUXFtuaaFQtqqQvRlG79Mzsz +YF29bUR0UZXIuydod/bjyU3e0QgK3pvlBI5d5FGjsHOOfZFBto4rX4ltjs622l6uXEe2JfZs0LO D2U8gjI4c0F4p/5+UDozchBAzdGQuHC9F/JhVUa9fqmZJj6tiVcn3z5cAw0xd4IpuMymE8goe7zZ bTty7CIbsjYjRCXcfMXcFbVPHtt+nrDcPwT5vW+pW5C9bkXVFWYw9nust3SLdtSKVBvfR4Or0M2j 9ovWAt4AiRndS9E1pBNpkkzIXESNnr9xmMrn/WCLcrXJEIunSe+jhu9xcHKJIGq0eRlXyICpxuik mLwC5lXo6DyMIrdjKvVI7CVYrddB1WMvITzkm0IgDgLxRFdKfur6k7kL/+QSspa7e6QyoiSyDnQj 8u5DClI8JoYo1/VNKLOf1cQ6YlJtSbsULhoIkRHeO+syPrWRuszi1scgPQ03Su3oyyeOFMAKi6kd BhttYFL0sNh7QnHcGXemwJ2B683JQ5dEBlCYFyB8BHHy7YZ9bMQWTHwM0BJwaMdxl6erz2J3bDb8 XZQ2ovrLl+RgK+7I0HTyJIrPkzNRoibKYVH3I5dQRzCcHwBbYtXDw0Tz/S3J1Q4IxY/Yebz7myw/ H9IkK2VVdAJbIj5UxJWAA/V4Z0sVAUpIxuPWvN9zHHvbL6KAFt83gvPj6VSpxTo70Wd5ads64Hs9 8dKZ88G2a7bHQAiUn72uwtjtVi7dteWLFPh2lvTVkW0nqIWNjjt5Kyi1LHwP5frKVYxmkSg5EI3C AwIRHbTENYmBSxHUNmTWQYV+KQLmcUDmrs4EF/jkDT+9+05taB67SecgQYRxFDaaGOmGQmMsju3e ZsxKnVyFalS9V041De+Whb61p97NkZ6qLrVG+zN6maZf8aA5Y8QymMnmiJ0/kcbvqoUcBpJjMnmj Dda5rLV46HrfAhCr/XGEkdEy3U00pslanpg2Z1xOE169ZLtn0+QSP1KENQVericibjCuBFu7mOhv NvRhlR5wSIdTXJ6B90dtPEysv/anIg4IswDT0qFthsEZlIfE4IZbYDEgjnOnGDnPfH1dzh3CB+Cu bX3QVAEJcloVJhaETqIRMAp8ILGQYWC19/zPmFdGWUQEFH3Ug4T5zT2XSooHI7FxFZDBQa6Oc+O7 PSgUNoLHdutBEXZQrJUmnIIQ0KazBaE0RK0HYtM7TdLQm85qCGHL79rD+QB9KEWe5X+3RT6qjOyo kLKHqJFpgl35mBzFwQY/F+vzi+b0ZvGX+tn0uMDvKcDzm8QYyaUcW1pV1srbfP1zO+UA4RfGncTs Gh6FZ1PkX0oRELkzjYto0WsDQg8olvuCPJf3Vn7ORjeocHR7PDfLXs0vtdGcOkwRw+n/ogVbvH6T eDQRGCaUr2hMNrbF0mWdV8iDxTLnQj3ChpbbmlBypbgKbsykUetsDSe4NsmDnUruEFRcwGC5YXl+ ild74Jg8RxFDCrF+O/EepY9WnI4h7GV8ijHoAd9IWL3ujmCT7Ug9++MCAOlwl4WBAe1//s+xbwaw aC81hWpzxnVN5as5tkX09yghGiyKMRmbn0Sg1L8sVO24aNw74yG264OsPku/bZ/5Nfl4ZeMiDsCg 45akVENkmimM+jHxwwL762+MX606e0ciKFCmx2JfHczyisN0GI+QOXl0G2RKxoDM6b99TEgfmqzW TezUW8sKho8uNCF5YgaKIzNdXXnQINNliqHxZaRUMIxa3fnq/C7jvl2gfHmTi0kTpApczSf9zG0P AFfV+ZLUWvxoKSy0np4strX8x/srdxoIeXOrqneMbVMdGqTpAP9BCmKCTTcdI1VaW2iI5JgR/ZsA Dme4MZ7dfmOyGxuk6U8skErpZPKC+nK5Hbcu6jyHF48UdwGLxNsGdxT2w7mJLD4SyOzziOcEHSwq cbMtJs25ZVX6Kt6GEICZndNIDsw1A4LciLz19jywemjHCgTILwkpTsYPQum7eVdCz1Fbd/MB6FuB xOIeSTD8qpxwMN/aahQ5SwQ2J3qALpMLVjYDxfTcBkiX1Gea7/XeX+gj5b4c4Und7E5KKeT5nHLl muKawiI5eklQbRI+0fzz/u3KhA0GOOI+2gIT7heVX8RUuNaNOry9WPkWfmRAoE/pEB9QBDnPqrq2 K8EZUnE4pnuuwjvKGbCmRFLXEnj6C6p1kPBxYjh+4GwBsMAsr8W1fFzPXTEADbmgXcWrWEGNXI6z /5jrHnd3kd5Me8ALc4b5z/a+JUOrlwJwvCDCazSmCNGQb3KvnS6vIxIWSxIXKHC2rC1BxTSR/5cG 7jo6DGMeNi9SPQfhy5M4jumKqQy4TQizB0gXglUI37FVDb7SxPdQGed9SncuG0i6v0VI9OK8YKmm o5AQx1eHnmcswaC0hC51L1EuG3iDsiA+5DuVdh1LIzsa3q2od694i4jxi55rE0plzgUC2XHIh/r9 mB1uefDRVkVDkR8s4+Pqk/Gz8SG6LEmiYDj9QyuwgFGWeASGuinivDQ0L0qndq0CU625PV8nGMce 0BGR3vEQ7z6dGs+u53t08PmxQrF/Z1WjYNQnoI1ZnUMt5Q9G6tNO2Ufl3jE0B55RsdH7UKq5oFTb eDQVmuFTvRXs+j67epKTKOrh5dRuggZAbxGyMZuVowOO6adgMWsFOZW6DJRBmVORHDKYFf2Qestw Rusdb37M9O3c1Sh1Y4n8UQKKLOu1slJmc2mmRPy4DPxXMkMZsYVYzc3UOUYJHygp+Cnj31hKGX8L kicyUHTFpzC/ielN9JcoyQJ4CrgTYB1qgY59RcL/vEpTOxfSA8EK2NIzagwYvmZmaNT5JqX9NdU+ 0MkdDWuzPtcfDiWPEISTS46OBnfX1pZQNStCddkQgm3/u6HndTvncpyQQ8gJqa1QOnWVCNx+XhvO jhczzITg4GTV3f+jxK9OCjsXgMVD8sZPU9BwIHJkxdG7NNLqTJlspNHaXwxuYHM8oZbjnQ4F1ziX bWlF2k6Ow4LUmHeNrZkl/mnNXi4q3u4KOKm7ZskwQuqGFaMfzDCJSroeNBPUJRm77MDJh6GZ+MiB Vk4DsS9ilwOKF3FdXAThFkq72CZGN2N8oa27RyJguIeGrjZXNu0fEKtYsbINC5cn3Sl9KIyz6auc oWr31Hq9QomVFZQrGSLL3dJob9XCag7wLmOV6p+CK8FtO5u8+XiTHOZ2F5bs7mIaHg4ntrJfC6vT pMuSB9byUPyUCl1cnuYaBl9ZCXSbsgmUrripJ41PK9yoTnlOGn/v1foQbGgzR3mbsmhptfltvh/T 7GsosHTymV50mUxLzMb4tZFTHLloF+c9k+d4cNUjbY/qr3zpC5Gx50EJSLMZ5VC1IT/cUqu4aFvR faVXIBeJ0ZmUVJdFFLRqmMSoG8cLsy9bI/wv/erCDFK58aVMjW8GnW98+n/W6FDTxlH5PlXr5zsY aqFwuL9AzLBYaSAzA++n5W9JDBcPwUV17E9S9QMrAH6o8D6bVNmkGsa2yOv7UbOpN6bxOHWBOASU xdK4uF8gG+n42uF5bzWEsLYhREjA/3UuwGDL7ibEFCfHfUdojNXUHGdhQcSdrXsN3mZuv+T2jMsp qj+A85Bgc0B1AOAr4K/xEpj0AO1Mu0EVn17IgO72ihAQmSktngHESdWLj4kAuu6ixAMcRI6DJ4QK AgFdxeSf3OT8mQxfX5GW+Fpk2iqP1ugucQ/7ua6QuxvCi17LuOfYa3j6ETNlM2ZLb+lVx86GTRm8 XiZ/m6gdE3df6K/jOzpQIDDr+R/F0RQJI8VjE/BBX1Q20m0jeQT5FnWk7hkfkhNDOP1uWKDkBszQ oXXInz6kccrHkPmgGIa66hFfoJgsaRQhLhJgBUwWYX73qyy8zZ+g6HTWCOI4osVEdEQWIVDRQS7O JwOU90sbHhsRLMDEwo/9ZYqtH4AulGqJd/LjMXbzYPfYxQu8/PjAeMqL7lskGZ6jQvVoA2sp7YdA FfvEwnPFuufPzDZOiMpFV8ehvkZIucyoU+fw7nkKvNwRTbt3Pqk8XapioPYvE5MDe+9N5034L6PY UQPSXD2JWvDv56zAwTR6Srf7Q6VhNSzcwHBQ/ePCSvi/Tt318uyniaD4rEEBcsxAODNgyY2PHpF3 t2OZph8kpmsGYb9y0f3juqXpbX9VEE5/pfv0pdZxapMKRkjXadBXx8mCwVE+y9ndLkbBISfLlPzX zq4/nh+dGYti9KO5dBXVswK8D0vn5hciPPLDBG0b5xn7B19PUGHVGg6UwiqCFnuY/x/hgyO05PfW N2WcbRigXqtbSGWzCswkja+PUX98r62m6Fu7vfwY6QQ76khCJskAQQeyYSldy1Ez0aG5gCq0KUmR yoZ1DQ+OTjDLozcIqdgDRtEIvsOZI0w/XbX+Pxo4HuzsZMGKFiHmrUn9U76V/sHgbX+2srkCJ1E+ ngupV8GTKQONIsjUGVitBUqSGab2SpG8OCI4n+4Ttfm8BrDE1vdzyQrGJ4aE2C35JC1+c++XQB1B 25r8r2e8GRCoCGZoPjkYkv85D2yAwsZg8okdD6Q2E0GxNPTuuG+iL83RZAisDSWqMweRsbzjrf+v H7k7ID3PKQuSmx1hoYy5rb+X7z8HW5T8le9znFHywWiB1Q02vU5lWWn4Lr2dmnS/FNHC8EB8lBUF jZocmDVHcCklZj6tps678OdMKa5mRvPWHlkVKSP0Y/OLryzDSHTTeEPuXTXKeuv7EFMRpXw4hpoR sMit68PMpOyzVxWfOeYEpvS5oVl3eB30RSP1xGGKZ4ek+Tnsarl6JgznGWzSdKtiYeGL6h37G8o+ 8O41l9MFgWBSiqU5rWluGX5K6xGyjZ7KjDp8n1P/Cxd2PnYSwLpEsJruWsoBwo/HR2MS7GfSyE1S f+LjTUE0yJxkQAJhikuauBvW7VNowcf74jQLBFOH1RhEZqBRYgIHLQRPLh349CBQ7MsxxgDNxJHg Mr67Qob0ZdbsqhxNIytXPwrVojxU2bCzmYDaj/R77pAe5X29EenVbZxRB6u5ko30cfNUZxX/G8fP JoeQRQkvUOUDDjeSTQOv04dujWi5HKK2sXxNq/IhcRcPEtgdSGQfN8GJ23S3f9BBSvucybkyg4um k2kHE3WSmA0v45R1znkEBmIrkN6lac0ND575DnK/ZURsl/I3XY/qd/jGZ2bum/XzREq/P8haQGlv hODtfdu8gPz4VjiqefAIeC9AEyGsZnnbFg8Tkf/RGbZKWsQYKHg7u29ugNgXhCWIUEyr4delkrOQ N6xrNJ5JYzIR57yEJ4dEZN72FTledRig1IZAMFSqbVT47tYY6ypET/tZVUUMUj4tcsB5CCRkp0tL sNwScHKhb9uM6MjSoMSbtRs1bi+6m5fcaoGKxwGa8rI3acnY1GjIVIagY1HGfmdkAdW0QErUSIaI PoQqDaxJN+UdeQmsd9nUaXT2oF2nVB3nEDTx56s3EJ4dc1v2f2HEf4taKJjHneUqgrWu5HeIHV1d EWMlGbrAdNPnoXlEmKWVb5CAtEqKYhPPNrhmZGAzgfSLaiuo8TRd3qDOgOvfaIoLaj94HHKMdUXK dqBfd5hwM3m8lBu3JsufgGo/ZGSuQYC/IWmhxl/Ese9BABYhnZUl/Gb+StB/ovdKa0f1xm2sbJCW /xgS2uZZUKRLhrmhOAwpYUb0CmVhloAFTvgEJ8a0vSJ5x3A4N2p304Bq+Rna8ZvfmtSBiJvn6pKc Lz1VSk7/lKrJ8F/DGJgXbl65IP7I8AV6T81A0KruIguiJmFsIZGSCPEHqIxU9HNvV68ZATsRwAEI 6N9JaVC95vcxUrJruC8CZVoLr81hvS3gAfSAQD0++4+0ZZHO/oHk/RUtITE2KD/LbWnjhGw312zX TLRmie30O4r1yS9NDjINvYY/b8+eH8U9L988p0LcwH0mScrxvbtnON2zIQQMB9lm9fyzibsrIlm0 mCj6+pzDHBlQGk/F468azGwIM3+oUJxPTzdQ8OrqK6BcHytquUQHZc/78QWUL8oV839dM/S4Cqfa dFmjIkpNzTalY6NLCr4/2H03UVKR9UE7tKjaiVXSIklt2j//T3A5xiHjDqLQ6EkGN71iUhX6ON3R KwoGO7OyB1FN8HnUbSCkpEucIQ6ccQttm4GNbXXNnI86vI9ZuePBx1Wdq7sFN2QL+1wKCNVpsyLR 6+RN+OFRRWh8xpRBanKkzWIvS6MCaCbcup+ZSrcpEWvllJ9OVDl/qgee3C4kik9c2SjlpVIUjC29 PzC8HQOIOrB4/6/EELi9px5ngBlE27TRIISQOop86nrJW5XmnPWIsMo2HdNyNMPGA0tw3mvJ+brP gCOOjZIh2g/Qv5xrOeO61g2a8sYPS1lxDjZ4nNROnAg7+mrVIEVouqQPIF7TpR6iHn1nkMQ0D74w JDmwb5A1lM+MPyya96fAAkuXHWwrfQp1TOwuenwQid9o59HUcK4hB/1IWSCnpheVZKzMfijmcNSD Qaz2yyjIsDZQpmQ9IsNwNlwObcP7QIjk4AFW1N8I5PT5M1YCeGCPbS3XEsWI0zOrLqojoPzl/C8W ugqvzJm6NJTV+QuBptjGDJS/MBhnmpjBMCj+j9MMU21UUF9syZvCx4gDsiahyYXXZQlbNj/5wOkj yppN3sZp4ZPm54HzereHhHThTtKf3sYhJKgwpLf7Zdjjjqf26LZYiWFpf/baVmQmVP9KPfYKstjw XKW1bbAiiioGo3KsGDhe7bmh8bQaiWIgZO/pbRDL5PPgXuv6CSaCWZwrxTDcMAU+XR8R5z8K88K5 jgqRU6khvMFmul7wpN0Lm1oqbXVikSrXIb4RFPCtY+0ZPdsti8nr24k6g9V8M/Fln3sWGRdy6Gi3 CwwkwNYlkTVA96JfFpiMOQIs90K3jvpAwcqmZoP+aScXfx4iL2v8adU/NidkY2dwwOMEUy22cBqJ 9cA12ai9muflFAbWZ4a2K/wGhGQdQ20SKhgHx9touHgpWpFHd6Yx8Wkxg0K634vwVKVlFymWxrSP C8XGx14OW4HjmIwjEDDU8kjqKuRVoJIxDvqIVC30X1eBAakgPeBHV3UCYj1sIFsfud87GTmFgbq1 ZsN7J2WlCThIgtX0PI9U4x/uPFz6tf8vhbnn/RtuVaNHXbwsSVdYeerCRjwkRNydpPoIUPQwqGCD eOAg3SiWwPoiWRYi4doY7rV9Er/9b2G2Pge2S6+PA1lztkL3alcuInv/hWKWeV5wfgR4fMPevMS/ k7tVtzCUT2e8VWPNvUsVem6JHf+a+loQDbi/EhH1OIfiVNWEYzUfy524htCgpeL8+FPsqEkjZw+h i4cIcFP0F0tyzJs3JJPacJoH3faEJOVCy2IMgL/tgyLSbc703XEkpqgTAz+3EiFYKTQPLtHA4Z34 Qjsh08D4f89fkvGRuc9Rhv0nI2+Tv1dkKx/1Td47UJoQA1UvjtmikcHU1fUwKzdQ9jeW8LKcaDLN gaFXgvQaHlVIKqYdYomwHs3kKuh6NY8byWzlonRouVvLKfPuznomq1An3rWNEJth+cNjafUxVaxV FTo70v+lquUF0/jr1D9fG5/OSSce2w3E+YzThRUKc6diynZwio8gD/ia0BcyXlTlGK6xpRI21wpb opQSQfs5S1iVLzc3IpsPCruWrWRLbQ9vuYpPWbzYbR05GmhbZyMJnm4u0U+iZVoOVH1GmpLj4Kv4 gjXrdB3oE13l0ix8tlJ4GO8Hhp3AZdTZ8YeajrDc48I5z38pI8Ur0q0wKET4g4JDP43cRLtghTOz jqkRi5t39q3KmKUwUL6f6H6o+QhR1Sdw2dGzLxddr4TrQkiwDijDHWSC0vMJC/nJWoQ990tpoL84 9Y3GtReizl0W/jOWDw5L24ROt3f/q9tVfluPkr0CJUwgm1ulEv6/TcOBvbN0FYgcDwjANFwnosql MiwT2ahwdQOIpbIYMxjDfQ+W6W2AGpeDcruzNftkclULEcRpSmulxlwh1/lKFhMTdO8hnB7zNqpe eHnJw1HQRm1n5up83DxDitqeh8JMEMW/rjP+NIhjPKljty6jkSf7+mOqASu+BOmyzsat36LHaPQg Z9jQ8CSCTYYiQH4cHy58f3AUjFJLMrZf9bY+55tLU8JIgF9VENP/G60XGNd/EfzyOWYyf0HCLthk wOLQ+eldJP5xCt+BaV5x0JwGPV38kt4I0/vJjDq45681JyfuqAde6yoi4Q0xuAHrXbdauS74VPWa URf78vw4sOMdRFoEteX1sgVMAZBP9hszmtz53eRChe5Xq5HSNAJOtVO90jin0R6MX6Sgai32EeHj H5qbLrIc2S0gYNHxSdZK7delifPd+23QqFZMX2cIPfDlRxiW1qgH7RqeGIAjOGUhJinl9+4c/Knt 5agzGfD9e8ke359VU36QnNy++GhTOW9Ir2EL9iJEI/MvhBaOOo+B2IV9PJpJfP+54vLrT7qrmnQm DTzZOFlX5G/TDd1fzILJlzlCU4J094ETUqvBKB+Cfp9LgXfXymjDZ9rDK1nxLWXpkAt0Iig72DHX kyjDUq2gKJqlb1lLVD+ltNdYlHOeoZGahnB2v7W6QktcKMLUaA4q/kxZ+FNcPtKz8wBnBLKFVoQZ CdrExTKp5dLdLhUb+p/ATLBkWnaw+yxA1dxzy1zhKSx6MvKvb5Z+MgxXOFGoOVs0sAwp/L0E9s9C 2dgwWQCeJpvXLHM2XrG5wvsxlI+7fIfHwnRFdDKwI0xUu3vCnCUlnUAMqDVJSURTiB54KL3DTimT OgN5wCJ0cj6O2tyV2aLev04CKnJyH0Rge1roy93Jh/uJDNsjxWE4Lsw+OSyfp2nHP9QUEvXhX5Bg zNnjEguh3iRN5DiAAebhyWm5LnuB/3d4zvGPYM1ORiIHP8OqkbgAhhhxhSp9qTB4RhCsS7LBmtSX OidFIrmompz0M1lzBnSCsMDcWySpgUCaDw1JXBYUr0pt83hY1WWwUar58HNtD0MB/+aG1RJUR1yN z903APoWc7U58BIQyq0oUxIMcRzWYzfvTa/YyZwd5yMYGTuPbpPkfMXgr69PMS8sCkIsczjM7Cph xkHVZl4MtqmRzMaYd1zBve8HXxZscygBKsTL9C8geQ4q3WsWQH/PIjHVNZ7u2zG81lgK2EiOcH98 Wl3+jcmtj8x/DBVndz9eVnw/sqrnGIZCHsPDY4xClLN/Fwuk/SnW947YGpNd8mSOuP89YTu+mdKG 5dm9N78IMg7SFHapn0zg1kdQbU4F0Sbnj/c7PrP2L5zCW7NAJxbh0InQoI5q4Oelf80qJYl5mbHg Umeuz5nw9AuRUwQ64n6QHH19866imCepWIsv9BfM1DbL4+hCrMKCwVowKbBHUm61FejcLEQ5+Gyq Lz2dChHqCFZPB8cnpn7vQ0qIpthM8/k3WSBghEKVXOGrM7FWq3V852Zm2AABJFF+blMa+XRHiXAa w008GylGyrCbIRtQPUgJrMTipdGp8X/sSX1Be3jCsyZIpDN/RDlvbwwPizUWLuoQ3z4ftD/TG9Nc whq4qjhK9/8sg6xEjSe2VGbX503LJp+Gh0HLByYymQvGNuZdCCe5AnyTGaNBEFe6jg/jl/jh304i pFemBUNHz9Ei9rCvquh7+47JXLLSxRQgqJmReabVr1+iE3BVVK9EYE37DoClNy+YU+upQRuQ13ZS 8FudRZl6vLZWj4vRAqymPCnrgg9YEkb43yZlqVwKJEosP1q6gh7lmPATxBPmiFYAJKLdvEA2P1QF 3AcnP98BrTR9pL6297K8IuF1wPSiAwnCGT0dy9BuZWeRSojsHRTudPTlFf6ZQQde6Gz0unqPQ/Tw vW3M/dRlk3JUcZSEYHZA13Q9ZDlE380Y3sb7OIVdQIhpcKt8nvXrh1E833sTIfl3efJFIfPXZ2WI LNA/htlef5E4qiSZQRU5geSHwBLflijOSoYdk4l23tgO/BvFVLw9v+UFNdXkUIDnhcC5v23He8O6 ypE4Wy4AZHAqddeksMYLSlrZolgkl1mbKYYzBHpz7wq5pGUf3taTkceNXKFGTLOcmNehb1PG5OlM H5nWS7fG2jV7WGinyqVUIkveHihaggneIO1c6DkW0T7+kAa0LX4Ws4JOGnIdZNzJWt991Vcq7GfB tlCyOKF3pPONrrvLOMJxLNI1J4oTS96X6mL02/u0juQcMEF4bMBDBflFrV4fjDKqOA1PZSJ/+GWk w95lhoOUlR8BlR9t8M1jeJaSdJfNXjIWqzBpzLi4iJihkd6LVLUrFd7FxlDJGbfz3iVVCp4c7bcy O3n62F7UYME3NeQwwXRIsPEI9UsPrWzQQEPln9EDj9tKDL8pwqYj2gku63cDNieOJhK7oI/3AKFj iiNfkeKIX3zPz98A19fPP9EEEen8Wr2pCVRUx/v14RdRXipJjUGoBdFZBIMSTxgbpjSQd5o4X/+O Mrin749UXg0JaLMuMt9AJV7quNodytBnRdDtfrnrLqPucGUH6GyZiqeOI9R+/f/6rzuw8bbxt0B0 Yt9FDucq6MoYBzFCwpu896Nmn4dfuYOrspLDxhcvWi843Javtp8rjk4WazrFxycWKkq5Xn0PAHyv LAXB2cMWlnyY5fCaH7oLjtaldYufaSXzSw+jt0XBNnCAAMXyUnVg9zGAh8aRb63z27lCwDKYrE3g arJ1gVmfN09CwgZynDQ43H2KwUB9KloJTxvuLAaTIAKkDAJrrM/7HMmshA01cXxEPCvksEu6h2EC EAVc3kfwT4mHmI0Y681hZUGmqLy49SnN3aLkQ7T4Gm6X+wEtPLdPJ1AqXJVU5Z4sBmBWfF3oNfk8 pBtlt6cP9iqqghrTzEeB5t1/eGKyC7im2r+TY8p4Y48982iqXTM9YOemQtxTIAVLyC0TsKAJPG5q iX/4U2VFPEU0uuVSBa/Z7OCEoGFU9Be2CDKcHV6dFTbXJKzwTz3wcaVYRDbQkrrvxU5NyhBbfLXA oB+zIm7iiqZQ8Gu5dq43diMmIJrdDTj4CfH/CASPGKzX00g9709QCpszepHMw6lsYz9Yr5FFq+su s6hv4ofNsosTRyyO087AhjUIww4m5OoZzqbryH5LHMSY5JdvosTD4/YAHdVAxwml/GqdAiraTkFc nr7M3L4g1BCH3QbekijpxIZVeNT1cHNd7TlANLXvOUKPScPu01ofPKKT5DQaYLJm0NpBo/X3iSFs x5pNqLpysfJIgo+EYdl9oh7QXFPRrduYrqBr76uMjp7653AMZVmTc6g9A6pwKKgT/ZZSui/S/kqu x27kVUdZCBJbo+sRmuu3ZM5h7jfeOQ5ZIJX7rOM9BOM71czzNwJgJQcGvH3LHzoxQLlZOCI5n4+H HFcasb1NjJ4dHS7F4pHxFln7Ukr8WyNTfb2O8LE5h3VP2G+Q1U0ReCBLOznQ/Bk7lGNC+kkub2c0 qQ61njovRQSTug7slof5MdAB3Wdrhbcu72bV9PCdQ0aLU/lCUdRL3L3dE1qfBypYeX0pby1shgaN t71cnSHRk1uIUsa4oEZXxgWfnb0iQTCjPbHzkizSr9HvMOTE9p30T/nAGZRkS6gjrEzr2UmZG3uo MDYCtLgaWnB91ak0pLvsx/es+BgJ2e23lTQzjQtzYGvo4f8Krc4Cc2zHXKp4iFDtZXMVzT5f8AkR XqM3Q6LFQxoP5aWfhy4WunS5UO5ynLaHUil40EeLcn2ed3EMsROtr08FnFDNcRPuGDSKUxhBEDve HATqLNmSf8JWPorTS9zbz0iqAX5T2+AJ5YQKh4Cnr2bxuP/6CqCszWh955Or90O24MunmpL8YhGN Y/jJQ94wQD+pzHAluWEZFPnjHzLQh6KgtTWm3zTs9icIJBNyTnAE7Jo2qX9zD8CInNxpWGKNNbxH IcM3734qeX4mQVnqpfHe4ze+Zl0dc4if/EmzTrQIx9VeY453maWggGp5UBfvWsYrXuM1gn2FdkXb b4iVY91NQE7emLIZMk7En53T72UcMRqFDFRSH/TNGJvuXrU35Ygl31oHbCS84PCK8pi1BnQLvx2i quOGiE/iFrU3mcecEkzkxtO7GXiAtPj87wZvShehjhb9AK3d/y8134/uIBqWEIOEX+Br1sDRFHlZ nlOLs+BRcquZRzn90kl2CYP1Dl4QNYRMKOW17whWYQa+up7BTCu5bZZeYbtZRA17gSIwkYAWaN2a kqjELoXOr5M8CYO2yQV9dGjvjQU5LdRmLuwH9ELySWAxk5gRz9ozDSGIZydmMgI1GdxQM5J+uCTO 6lNdWEksgqTetjZsQMdRhiM1q/tMhzUdBTbILb4MThFjZR15xas2fZjqNQH4KdZGLLa+18KRM2s1 u1H+D04ThwUyQL9MoCYvDHPVGOeMB5XU4xpzC1E+quxRYoH0fmRi96jOP7CDt10V29y+oHfIdxiQ Hf+UMS4dOWfLEdrOsuZmOHHV3vnwdJ911JLu/45HU2M94A+nyjcxpLc+DvswFgaJb2r3t3PF53Xa dqgT5TW32tzEe/2BUjlW8V9Dlmv0iGJGp2xpJeKjPzIWRq2jr0ZHzEarhRcIgR1PsQNttgn5tnEB Yzf1OAnnAUxmEnhez8uZh8r9pPTJ1M3gTdpMzDmRR8JVy8XIfvo505iSHzdKj5kjnmx8bMFg8Xvq k1dSK6I6Cp7PCgbvPTcJ4g474kzqdOfUqn+kModl2ouEOBvwnpAJj+BTaVd2FiEsIF0rJ8OVW/Ie 4dfF2gEDJGYuOJjy9q8VGd+KyLFj53oCf+OkfjjdW/lLTNmSNBK39XSYBPlRXizTB/fvN13El3dX kUT4+LHR6GOn36LvQjMnEDu9+D59a/H9mUx9x8g3Yx1sI6ij7CuXr6WQleneMURWQDq0Fgj4MZXn I/GLDveqw4q/EXaE0cuWtwARbpRGAdVq3mvcMhLG2W1W0JZzLbn88ykvDCNc3YSxOPqKwXZP4kQg XgzsrrZ6eKb+ccUixlcSMlKAEw17/3wz6gvFWSsw/pBZXvoNsMcawdbVfFnLfQ80GHPZ6eyrq1Up Hvwdw0Gxu05/bCba+MrPSK38Kyy1VgPQ3C1CGJYpmfJZCl6EpmK6SLBlZMbiXKi/Cfd+vgUX5Jfy jz9j96FJ1bkvKn+P7W0ynGZP9NaQ6Hl2dNa4GTCpcR2EOHBnTq0ixgtK2RCyNarFt66QtEJrT14J MoV3PAOXbFavNC+KNQOMwT8c7TvJIWUlHzQsHmMkPTKZXftUrhpIKVdJNioKAwjzV3jBgr7fNSjv XIe+xO0/F4dGI5/zNInEwxbgvo4UL1tSXZ8ds0z2F9JrTjGk7Cq5gt0sbnXSYEjtIE8CUis5jhFM iY17gSNDG4kbcBKqQwsmdAtFv1EQbdbY9nYsJNG0ZamxgSNdHnEesAd1UZ4AQ556eajm14IjMzY2 Hlo7jwaf1Yhui6bXAIQ6Nb/FA44N/aHijI4VZhYgdVr+XS3mh8upRsFD3af82e0qwrQsCZemyz4M PySZPaYTy7RETJpLk0f25t26efwJRMgGMvIMxgIFfTbq0FsQNMR5y7iHw4sCpYqfLdBkUfJMl4H8 mY3G75XN6shH7ABiK89caTwQMkwQSFRCAbvy8xUm6O2m03zFXuMiHFDRkxyl3bYbkE3yAa0YJRxy 8OrhLE3q1htT/BjTcsqlCdTqc0vFlIAWeGfgzglGVdQcaR5j73bN3OFLVlEicmTsgpnrZCuQJQqN +eAc4YZXNliOHzyQaAllbRT3D6Nlm4Q+e4+hjynayHnJJPxwQtaq0Wxfit9ud5/B3WCJUlhi2Hxw WcgC1cN3r1fR/jY0rVUU6w/RmG4y1cNWqKIoHw1i8fQtAU8qzvUiSO9EB/YLyGk2N+hIxjGm2cIe b0tYMEd5+oVXZyDbwNxkwHRziPqO2EV1SJnLUFRdoJWMbPLJAtvwAxvTLS+WM7BE3623yqd1DWlW 9nN7dyYEzqrx/t0bFanx1D1X4EprLqpaFxxiUUi1y7MBPO16b2VOdvow16hDy0wS2TcnUDpySZwY obKJmqOMp6hE4ra12u/J/lXehrhmi1p3VyCBaxYWouCK+I1stkBeUlovKsfrsafLDkz1vANER3J0 pKauATBOrXTDtNrmKZG2NwugztbeaJPRuIzQh4P3GsXbJJHJEKfnBoQKtE+arLPHAa6d5bBvkHmb JWCpkwKQnFzJ8SSY719AWU+EAZOxRZ1ht93z2C6Jn8mMIQyo72hdEXmU6m6gYLdxva9ETyHPTn2w AYB5F2ZoIMQWboMaS024/ZxD2295WCSMf07OSssfeRrF84rIJbWGskA/DSiOymxEP7OdarflSy23 4wsApnMTYJ56d5kbvDh3B8vkfW0dH2++yG3/04wwSNiAJ0QKEOJ15ukEPmtFrylPodOhHXkwilH3 VRDTv+RGtrZAx7+Ta5vyjXu4X4AN2BFauxOVyOj4h9n8VEQyV8YeOC7J6Zv79kzaMp+pfywt0+Ib 5YUAlZALJF6/QJoKCM33GxEs9oayUNxseHmzi//gOlxCNeV5yuHCqHBTU51TRbGk+ncPtG/7UDRz //fkwEU9XyyLgA2WBoDzcahRqyqIZNZNu4SZFXOAkOzts9mUNVGO9NWx0YyjyAv3slNOOupH7Y9m f4WLxGAM2BWnqp6FH0QkZXsgRhvbvh1h+Wj+AVvhgNQ9kUeM1EAo5bUadWaC7JL3zB0qJUgD3IO2 CZJPiQ9NTEBUxNFb5VsXnx5tbaU+5TJYauuw3B6m7D6/K9xCE8A0JegpLaEGW8p8bE4tMLHhRllm +ag3y6qK3O6Xi1mMoY7rbrOBZAhMOa2n0NW6lwf/e6nOyyLBW9r4RLsqmMjwop5Xfe65r1Oc+WGd mOn6JEA6W5WMcIa/fLWomBBzjdGRcQo0BJ2vkYH1Cez99zR5CUxgVFajorv1e2xw2d58UrzQbspQ rQ2hscPX4qj5pE4zxGCq7pOi/NUEvLB14VZYeBsbQ4lwEQqQegvvW9ULyy+dr2vL95790MPMwXUZ 8/kKuKY9iutRO3WAz7zXQ0qtuyUIwM22CFOjxpU7i1nNCHf6qKK3QsoGhqaP25PJhp3yvjNB4UJ9 LBJro+RhyxhISBoyQ/kdDCwEvX/8lI1C4gqZiHy4dxUSrXBiCOlM7M7hwrrs9k8E1YgVruNlHnQm cmtokJNJ4orsuVo/pCqGRfQyokFiXVlA9hK4BT789nE2P3MRC4QN3vqCypRB61ba1O9oo5FKpdrR w7lwXSDurRwVNbv3UA6vFwZ2X9tR+woyWP9IyYtZVflvpI3dsbA7XNAm7PQBqM5tuSueZlDi9MFl n87QDgaEbKUV0ufYTJWB5EcrguYVfQoaI/PQF8KgXPDnOtNIbWKl10A72Y0hvlDZtUtDgH25yylc bUOtIydudDw7FxMI2lMIWkqe3m2VJ2YT+ISj93vPNd0AeOHyYqDTBexy4NitMLjIS/97QcbE8lRw WeKU4MPNjQzujX4Kre2MP9uYl63qX6LQNo1Xj9lxCJCPSSZCVwqnPCC8/rBUxjjZ8Y8ZcK2/oFa8 K094jEDvNayVn5ztbA42IjUmcnQvDTOxFVQVvZ55exuuaZqzJXx1oLdNJM/qxRA95ivyFjY7hMQb 8sU1+JYRh9RJ1to1dufoeVYf2al2P4sbY7PvogLbBCphERkx1vi6bkF03lcKqGlyF7m+KtBfADtL DWjt/+0ScEKvDB+j4M95nKhopU2B00PjKybX33hqfoa/qkpIBVdCQRA9EFBdPOj772IMa9VNkS9O Qaq6MHc2Zv6oaX0T5615h9oswdMnOEXrSMwYjakhh7WcNvszRZvgUIEo3w8NKS0Ql+KrfROanELW v+r55KT+4q1LW8qCQQS4O4rAs0QI30cuIlfG6mcF/5Zf/NSDrwY2PAbXRNwRnyO45e7UiBdsKMPt RCwQgkL+zoNwqtYAu6pITJ7/KR+tgI2qowb5FnUDrOGYRlkOP5BndjW8sFU4KRTY9pT/NYyh//kL Y8dsROlAQXES7B7O/15ZAJKYXZP/WbHRSQ5ej7Ga+mervM/Ws9+4XfZpPg+KkWDF+xPI6bEQUjAH 6CopjvbSgoQ7ZCDYRNrtcYH6pro9T5HgmvLrFuE+0CFcut98BLF/q5CutbDPNFmK+/VURTIIgyxh +tmNl76TOl0/IMEghkIRsAatmYEv0GAnJYePwY4bgzvcoaAn+1xrcDVRxAOQnFTT+328IOV4BGOh Rb6MUxlg1bay/cTORGx3ZaqDcVEOg0R4wEC5ZOgRzeCE6FwaRsv91nPGKkdLzsOTmr5TCyalRrEU df4TWMoMfmSkkvieOJb9DhmmO7eqrUGCXfO+I7ZdSQXIuQ5J+QzbvtS9bO+RPbq/s9LbXGjFHdYJ tnc4KaME9sG9GYPRFEpgD9wiYhMFmtS8zyCzzWwnBNciHWf671ck8ZWEs90+jvsIpglPo8xCfyJt X93YqJ0kmUhanxVlwW86fHRYDMOLuG/N2VMQNup/IpSNKE3bgnlXXqIpPXCSg3fJBnzCEHQ/W7H5 J2jlcAwdQny9a8TwCTLZJI+flWyHc/vlMPHoB49Mu7ndx/pkHuOHpzRsWbARLna0bCKnGVk1Yqil avNuwycxN1kvcIROLsujyu3D2i5vY/9m0WKRHXM9qt/Azh/ycGwWbo+IrJNqpm7pNbVejuPGwTZy DT6RCJfBqZupmrqTmYTnFPSbWqlUMB3Q0LqrTrXg63WSHi7KpLuqzGms0loghGarLIJttYdTfEDo 2622c0tDAiMyiFMEGwbxxl06EsAaARrfnuImHPKGr/gDIhneABniQULvv21Dr2NVMlM/8gF1iKpX UTxELTbrRC9ofd4mu5dLW1+YbVLqz82wBzxFEJRXL4czV7TaeBFZZwfBFbmbVPZZYXqtVEGK8i00 Ma4vYM40AEgJL/Mgqx2tRzVkvpPrVtBK9M42yIvCOv3uQFWTWAVHtBaYKB6BEVcMPhQUWf2tJYrN TUSJe3zA4/t5rHi59xb53q70/7XComTszKJlm4lXJBMQIbTHj/5GZVGidWC93MUok8GNxk8FYxM6 Bfopj2ZRL9M7WvQA9uXPCeeOm4mZVJHIAz9Ax3HGoBh3am4gkZ6ZZg3lL6JvRvrxLMOzpOJFENCu 4PIBHQWGqMOle+8+HtxzKYlZbCHeFbTQBkp+jNFDuz2TZYQTUpBwCYtkv+XXaN5VcuoJNJj5uHax of6dRgqngWGkGnkyet577kV1rDB+VQmHCdA7cb2TaagZ9Oc6vRkDlnQBEuv0PMJaXsKeiLE5/C92 eZgSBvuMwvvOf7ofPdi+njuaqKM1RLuAzwI+QJHTmREopEnB3wqxhd88MSaoFsW8iVasbRoNPY0v TbuktivkPCH9IygtG5XZ9QoWlMJKOJhuyCdKP3dVMu0iHs47gFtlxjGxVhgrq837WxH0EQGkfOEV n4vf8Oy9y5F9fuB8ZrMXltYMZCs+ycvlkHvy3DpdX3GksyGAjrTGD7wGtdk5/PQxtzbx8G06tld1 DtpfO7oQhdtfKdTZpMxor4s4ujhI75asUxA4lCpHeEgGeJw3oqMLPuxOGMdqkGYaHP6DzQib6EFk wPJJaq1hk4rFg+M4VAmmQ2ay/8mQaUFXp1kc8mjSMGfLuXzalZu0YnrZiz2sTZU4K+EwEYVRZrV5 eqAp5o1MTzzlocP+bpeIBz9I8H60BupGOdxArfpErv29ydPcy7ojoFpm5qSehOlv79NkonvzzJcv C9r60rjyFCxBhEaY0Lp0bfxOgyaOEcLDFUIxLWnChiNfa38aBVSaFwn3LofSd8rZey+YrIjNTr9W eptIENn5ifhEWGOYghxFsHYBykpIpo5lUPc/dqMylW52STLwIjMTBk9wWhQGcINKH/umq5XjApv4 8T8F2MUuzilC+davea+HwObYawVYDhmndwC4x7Zl4ec4DCAftCk0NjfkYAIfSp6Ek9IH40DV+W2j AeG7mDq3k0JTxe9e72cHPkf34glisvurVd9GhCv99vKVnpRE7QCinV5qRGasI/j/iFPPDWK4gkW5 35f6XZ5RzAaaOAnDjhXlIQ+O6MA9soOWcMCIMRsMRi2HWHfXAdKjkDOGXKW/k5kDGcOGQxEb8/k3 CfyA0UFDbjAXzAE1iPXr4jx+s1OG4d7Uj4tALYglz3RkUP2MFA9zgxm0s5BC14UFhal1H3xoY1i/ AfvUlqFSQHV4evQ7m/+TvC2aERlbeUIv2VCpjAG/lQj1ckxj36r1y0TzDETqQVnhUhIVnYsu32bv TdXb62nuh9sYa+onbaZSusJEdpCzaopFUXBlUhau4lut8lL02XLtFzqeNfPkUAQ+LpO6oOEZpKRn BdFrSEI+e6v4YBwWkWAKTBCcMmDDjGBsoGUP5DYbg2DZZ9kAxM0fYYG89eIto+Urnj5h95XLlDfW gzRkmCqUNp2wXbJGgMvsSQDX00ahmhWV+VoosE0sfd2VdjqB1pio33nn/9pURvCPSbzV2aPHx100 yLmJUt5SnW+M/sF4H8TOfDOj1nzgUqLQg1Obm3sts7N2W9QxkHQGNWGw/sIBjGFLNYbAPV5VNN9l FVSYiQZ0FBv4/ixd/k6ulZ0Gq+7UrDLR/bGxnM+G6UoSUspKxxNWfkOiNGojdYfD2h5ok+lbz+TY sOp8gjF7UVemVRj5RGBmQsV0841ApLRquRm1STQP3z/fJcMt7xp9FQEIv33uYcAeFhF55/nBj6I0 hVKSvomj1PDoYkJKzW9MoOqfpmIT9m7CSnQyTRUYEolrCcWVKyjiE29Km78WfA1RdPzt4MVxo080 lhQG5uOZuH49FI9AsroW0Ydj6tVAffMZg9PrbLMMm0cDBMjTHTphQW1QjSQSEJ3VJh4zN3oXrKVh tI2qCFhI2B55QI0f8ULsEdvPCN5lA8CwFclP++1o1HkCmimf2BI0v1DJ1ppgLGjvFQ3fEL2vYTNi Vbx61wv6CqgvCaqaC/X/14qKUryE+X90kiM7jY5eYam0DVNyyZAApfQBswzmIP+qTPd/IdOni1HG eB33l8WpTAZB1hn+9sihzKD5GPFuF33Hr2dnkwZXy5tKjucibyYpMdEGRbGjHcGNLeuR0CcvL0Sh hgeoV7Vk+8tyH1AP5KOxj8P3PQhHev9dhnOTlZed5ojvVxdRXHPJ3TLyr2ofJhJ8nIWJey+adeNv chEgA5L3P83/ifzScUt4NWVSWAYUyLKOFQ1+hL+4mFivgIlIl55eSGxtA1EDDCpi8cc5uU9fyopt hlvyodHwDe25Y/NOGAgQeWFU79noi0DLPdZWHiFTHZOskCaZtK01r/eZS3FhkqE830fnzFdO1lBl ovJVcc/1QC1V2XnIKGKWiLghXJtUo7TPLSJqDbjwPCSiRpP/2VAk3J92/MinWifYesunbH4bt3ry 3vtNxZc+uB9CsVN+vFnnpX0Dqwh+zSEefVMgykIW3QaeaetDC94A60MIPlwIeWjLc+HwpPNIUZ4B hu62n1ppzzyaXh3Wgr9I+CJlcyyRMFeIGOQ6K+v+rIshprNHELFwZ++rz3LjteLcQL4wlvg2q1J6 CQknPARNI6Un58zbACmeHuPfyLqKiguUIqJcgRvqL4rUXJOPZtdcZ4UZR1VGmbWlARcMGPAH5b6v QQjsr/XmukfrN1d+D6+1TBPHcNwI17hIDIYD8zUhbcFuY2dlUK/N89jT1rsMQLFmEluViszJeUch aKHg5UOBRe6f7RaES8jckLvykzZ/luDrxPYaD4SZBLHFPAw9Rbe3EN1y7Ip86HD0+UbxkK+RCVUH 1+TCIi9BZrUnWelFGJsaJFB5LvXTm1YXi0mlWvaktOY0A/Blg//enEpfGdbuznFSq+5lUurJu2Ad dR7Y4ui3rOs3X/eocoA+n6kBhiMyVVWQALAEr+Uq2KEcIBmTSAJKlsX5oQ+eWQaaLCrFv5NabnF3 g1NS365AKar4pARdzOLOgfTbp+0eQqILRJdVFE4QQlSlGQbO320JlbqBkmmA4s5ccVthCbV4a7gd 6FRiyIyOFe6kdBeRvXj/MxU6s8wbUSGypu7rGl3lZ+ticJqxWN4U0AGV+S5gAdr2dpxBmKd792rF 4L+pWI1DhGWmrjk4IJqSg5Wz5YGedbUSBsYtQTV6HMla7IuZVo5yYU/HiiMyS6vbZqm8FIzP7Gl8 3zDk1/lGR+PVAHhf079qw8N3mMAFB4vacZdjMC00JQp2ZCTWi6qwl4S1yTXVsoFPHEL7mMhv8zW0 xMumyKFlm5D6q0Cwjk89V7JhR+4YUf2KIHjbiVc0dhTiBtSvae6CmhlgzQ1a4VbfURy3QmLw7/xt gecr+2OllSQMNZyv0m9Xsyed3iVqttm3ji3imAnP6ZgJfK8j+HWtncnEYWUfqSRdWPc8EwaxAWBq GFYliLx+4M8DmSu5AR8gKT8UnmBWowzYPl1UIOzSqsDtw/i2Z0zvUcBzr3ciYGm5NHWsPL5GiENV bXSvQeIuX9wybRKb7s+NFZdgLODdWpCnl6WuyGzPoZcg7RlHOGd0kk1g9Pfe+rZdcViIcZYHNdHW JxVpRPV6d+ATs/KGuEjC0/iw3+EzkLHUsrcpk3/eKG8e1LHWzOvKxK7TTSOn9JrgIqKIy+tRPnjw 1np4VKwbbYd6Od3aRwtp7lpTAnmtqkcBmKQ56Dwl+d7KDavVyUXzviQDLxv3r5f3X5BHuIXsGv12 yGFCqwQPDBz4QtrAtb2gFGKohwgmVMkOd2XvJwOIuH7VA1VK4kIY/GJYkf1sE51R7feEtj8LhlvS J0PBlp22TVYO+4YG5yCTVAajEE4cy1oWtmuON0Bi6vkVesYCf4eTguBImKzZTd34fNTh96ntOI6Z 7wLj6ObrwzyP2nIY97s2lTuFzHjlYW3G0eMB42zE26pu8srPVayTNwCLx81sw5zmnMb1N+Gwzubt Pv+yCAnWZGBQuhc0bL76+cyHc3soGcZBEBOVvexvHSAr35S+vzCppNIZeH03YVCidIANyAe3Bp5+ OukEfec8sodoJGoiEb9eATpF8Gyxhg4Eq8ZLJc5YTtPx2DdOeLdxkeTtNIM+2eCSi6RkkYJeb+VU 8jAGofEJ1Egz580yZAlf87adzYPwH35ptZGDDkTEAa3tfeIoFVj5Qhn0ybTWKUqp1dNlcKN6M2D/ 39xIyT3S0qcBfjJE1SVEkM7YO6Q7FN5B5/YX+dVvfcRijB4Xjw5oboDV6wd76xIUzyu2gg+Xo4ha YHEaPHeRK17HL4gY8vadE/3YIvBEn8ZDANB7wkMd/xkEQxw68L3PdP4zI6kjaI/QccOBcbg3r3HE +1o1Y6/QzJfmZXURyKHaH5V9Fo5KdcfD2GqC+j/ECq5MOr6D2Ahldhr9TPkQ51vKgTMxowNICtaj yk9KGx0JX0Y3zhO4vCjfYtQWCwVGsq/jwmnS3lYmqO0PwfJNE308eM8wrO28xddDnHdgtd34Qd8Q PvD++z8WKBSZQTF8vazxd+s9xvsbUH3ApWZqE02/0Zay8m5wndrP8AGLPb0RgjUzwL3ImBqVIvs/ slW0dnamnQSgrsBPWxHv8WgHRwNLHOeiLmZ6ot//yNfbr3nxhdrQIlr4E8gGvs8B54DPr/4XKZgQ n0P1DQ00HLGO8WGUI3bed6iguEh2zAm37Iu5tELEuXEAQvuaGFPwyrgFLvptFyL69c/2Mdl0HkAb bxdiiFwld+B4FPc9yDJtKNRi4AXhFiIWCq8tNQ8HwFW35IytYmkVjFiXRO9utONLCWOiNfIJ4Vng /YxrS8x1DDWNtNY8Z9cSDP9xXlx/5IZbskL5Pl8cnea/dsoBu+WYtMeH42wrtf6TeF5hWz+Qem+W AhjJTTpbMAlCMtY9Q+/KchIyCTSJqDr3q+yx4U+5t9UoK2kczmlFkm0HKx+6baMsO5cVWk7Bnx53 Nl8lKNBNvXgh4MzPs9uoJLNz77QYS2NdeJDU+xx96A1ELSC0a4wnslrUAslDuaU9U2jbpiifxWxv bNkQhYTdwy9DAEvv17B8AtvldktcckYg4YOnhq1PeVhAXmATuV78I/3Fo1YyO69cYwV/vCfZfx68 fb2n/vFxMdw87qWrGtC5kAFlfDZdyBapS5XR3PUrBl8eM/oC3dGJcSoWjYb1wbHet+EkQclpXZQ5 UiHlQaooHwR41T4g1jkkdgH1ARfXiCREuloTe4pE9rt+0ORTiJs4h/bWsj6u6WDvj0Z9H7vy2Vsq WzaJ1bMkCice4mkK9jH24WWN74PmI7kN3zj/ITX4b9gIMZS3nmbedcPy8puvwQdHYN4Pee0s8kAB trImRmRIrME3aXmt1DlRklkS6sl2TB2jXRo7Zsqm1rGk/KCW+9fx0hN44prDn67yavKpAN2elyqR bCPvbTRSlr6pCHKTNL3vaWDgcjgbSCp9hsniaaS34RqzDfzjcEvyBpVK7OuS60tIFEPPrDWFkLX4 AHdzn9cr+d1XWOiqtHmCNqFIcdXpe7PDQCNvQfm8awQ09a1U/2LQzi6C20KwRV7m8abmirJOC9In IOP45j6PoMothzCVgmujVjg6QNSQb+l/cyY9qFJJSpOj7NbnRlsmVgz6qAItSp9uYgwUAQkIiBbL gBcGDQSL0MUX8d50llcSL7NCJf/lqVMuElGATJZz7U2mUOK90s1Ux0ofh6SzLhgumk6JrtxdhXGk 9sxDNcqa7dV/6zSFzU6jDLS6DqHb3vBH8/hq1GCh7JO1SduTQNfDV2DKkXbH0VUdi8w68gmMVN/n BGtSMpAWXuGJsuluxKt0wPoZNI92jgXur7YrHekGyBla5roMBauySl5f9SWxnHl9mgX9kIKpKN3/ bCQJszEyH2rDaNLcGg1Jyqz9QpLJlVzDWenCqf4nx63Ua5INDnGudca02GOYF46OPgQcSLaEyxHO 6IvaGJ0WxSTzA1A5Ootxgo25jDR00oILKXJri7R4iaNoZ/LBzu6Xfhp0uo2W5FF3bxakG2hn23sM NW1FGs9y4PVdEim9GYubuyO3/5+YD8MJmxwaZE7wOElsNSlrYsR/ltQgh7+qNxXfKM5QwuBCE4Cs zAIppKEVvQIsMWoxDCpqPqeCLWucLFRUzDJDzs5F+hLNFXN+RMfNcTNMw3nSb4up6ip+ZrgXoQtz ggpe6bKHVe23lVAFFAmpbRgLo3/kA1P26oend9KfMrrfFzniGcgOyBd6l5wnCgZi374Z8ipzE2lo X6beX7T1CMKpAtq3FqfF5CsJb3bdEJodeaHfqQMR+KIaKt9rhzKi0HMXZTHCwlx978jOpC5rcUJL kCEElQjxl7LKk56OuwNuy3+V/Cc45PwQ0kQtZ79UNiW9AnjS9qe+Xf+f8mCXMaq5leYfhOt3TQQU vY35fknYOyYQl41Th5DiEJz7lGxm8chFoyM4AosJMfaDsDSLHqKsQgUxPoLA4Rw+PLjxv3mTezwV YD6TA38Ry8xIFtN2p+FljgcOCYDdMo9gkVvsO81yi/y7VYKbeNxI/FMpNsBjs/1OLLvIuM/J8qAO f52obg9cXrYM6idjky2v4dhk/6GxB1ARmG3mk32Te02anRC+2Xd6GPNuX4PZ3YWOSZ8QbLM58S9w NHp5qOqjo05zeEgsmstGDroh9NJhdJ2QN1Z0g1H5bhN2tVMeLUmcwLH75hHGwPDk1LvcTXhTI9o9 QvPtlyw1WLJ08bg5XKg1cp+D0wVUwfO2byIbW1TgGxw7rGvch4+Wfd4Nb5JbUTrISmNrOXrSNTLN vYCElSf14CNkzOvmW4U+CpimNVn7zIBz8m82/v3zXfD18e8+7b4Zr1Nhy3Bi5jLsDt5xVVzDjuFQ JtoYu1rdeDL7UoPAS6VeRlzmcAudiY08MLoUUaIpcKWtAd/IMWBjTIEtm0cXXA6N/hIsXXB0TYDc poJ3DfW2pK5NjYpjRT8uvx+uppZAzyndZlqAGsBe1/axsgpOlINgnOi9tN0s3y/eaCIkXTlTDhj9 HurgXLdWonD3RnccQYMPs8M9Rwe+YR9bso8VRqAjRGcL82oXVFHPTonEn1fCsEuQmu5sWn2GjGU0 uf9460+hNz8hEAme67g+du7Um6Mfs82TAdjm3oND9LsDy8tk0eyym0N1RbnXa3ZONBCb/nv+tBn6 8GLwAe1tgD5Dl8vski1zSDGR4DZWMZVck9mjo+z7TDPTxYJhlsKvt5L51DAkROQxCBrAiyScM9Ch 5sEPd4sHVDq+zNMw1GeA7IFGnhDBsjhjfDz8If7yWwJYAFxCsKcSwkOjwVGLvEmH86R1pCMdScgy czAxfH4c+VU0WGnWwZgVkghOxVmKB8EjPhIOrd/m0m9dghlz8/Z2eIjglSakMEpOQgA4bIAzBolD ttuq1H2Cq464hBT7p00JhaN7xA8SaPRt6nZ2plLLvIAfnnBvMAg+rTWJX/pcfbjX5S2vua6WdtX/ tWYc1DZi/5Oqg2uFwDSBB71UwbvvPDLE07zXn1/94IvGrRc+mBr36c371zduL7sJj2TJlkXE+vny S9NjLwe5a/YSY0p5ppHTQpZv03VqO2lGtSjX2s/Q7bJQ4dMrKQskCu0aPXQNqq2rP8cPXry9BJXr h05pkAiQV4hM2jqSCfEF2XfzV67FMtFgHBHCQa1Y9nzzCWA0ruCsjHDXNpGw/j09uLjhvCLYOvjU LaA3NVEZ7b7E6hD0ITvbbh0MwXdZUTd2CRFqqphgx5l/BCxwg3V8oIqZ8fLEshF6+eu4tXaQ/baE p76RPvyplEcSqRFHEo1JgfNtETbYsbYuAvUV1YU/+57QPhyNge0/yXt4QzQQRO4RhyV+qvUM+8Pq kCz6sSdEKVcY052QfrH4y/DDdbdD1bacuv5tE1r/e74u6uPRPfFQdn/CA0uFaeWDdpNsmZKx6Ryx 1M4fiyA0piPiZekODu8FON7L3u7kI2nt3rQ01tFF6UX1spVw6UcTfk2by8HmRuAVHUOMm5xnj2d3 Znb9TNvmY/cKk23e37GZgCxYMbkph68I7V/43Eo63S8qPYpIH7bd/bab75kSP9piQaPWneksG0Qo eYCoiZtq+a8HLRcTsEPv6p0tohCGiOJStSwbkfi3ysmJ+g/guxZZdwtvGfJvFjHXH6WU8ynrPjK2 P2V+PpQ0kPllATb4bdXqgk77ox1vSmGKtvBXgnD0ub6ujdJNrUmu2AuX/82gg6x2eLfI6lAeAQ5S uoqhwUuD2H6/9loBeQHPxsU2e9T6IJG5/idIaQpJhnNjPTiG10xTO8Pn87x0jvuioXnMOdRD8UPb TRkpyHl2Ri+TBU/bOFL8h4EFPw4Fda1tLEjWqHMkeDeuBvWqkRU/U9u0+1t34lypo34+CuM6tjw3 w7gMYvWjsvCPZF7+eeMPMwDdj8cEjlPAnLCOV5zoW1YrlpCxo+FXqX0sX1O1MRvU7unQvhVb/9Pv GBKE8lcFwoZt+R9Gok7P5bYUMF1wvAHxw1aovOO7D7T6JIfq6UmR+r+GH7mmjVFIjSVPIfUMCeR9 SZpLJjYr2puyERX/uEnwh6ts8AC1/SBM/qekdsYAmJ3+yT1i7oBLmCJPN99VieHBwkCn5EO3pCmT tkb2gZhuMwY13N8VLHrwOYAxzkv37/bh+A0Z47j2URJ/GQU7JBAMCJaWWECsIfouByjeVqa5uKbz C4yqe7DVdCN3HcdIQLGxhnpcVnTEgDeluzGegMQ3kOUqIwmQQNDVDdxwseUWXE1eu7quKizOitsX 4sJ/TtJe40U76DFfP5EhO1HxN9W2j2cpKHZk5QS9ramZupoCl+6Di2+QrljLELwveCplf6y2rERX MCDFtFcJDeJgR6bvh3JEhnJFWAmMJ3MhhTUa1kOGV0lzkCf/ucKyiDQCI06Ek1nMCPD9wecXHEhF 1mktTsEMuFeXoFoCAQcZKAanNY2CwifgYhYqKYNrzc0k1c+kpjiwTmo/dxtbZnpUkqnXuGSY9jJW Ayjsg6eeU5gMHy8S/N8CXJVQ/mg8F4guKyceKf97CPVHZqwgzji1LOOOhhTisfvYjfh41l4qIXE1 3ZLCDYSTxWGTYFNpWzzRnwBkEvm6Q2gw0spXe+L5JTMgQpHYxRsAyZMAOmERnMxo4Fu37Fbe1tSX MZYUIEPUCRJ7YzocKWdsDLoNdSJ4971K1S6duunsgni2kxwC+68c1cUp5tuiBIs1tsPgfSvfHP2W 3+NX1r9AFrVF9TblT7426xsTGthDCE6ljlzDaiJLOIlV+0tCmOcODW/K2qh9k04N54Z6h3DIJGyy q9BdvTNbBObE2BlQ3j3MDeFahqnlDs9CxAgR2vK9sTKCeiwl9mjpVK8TgGDk5Kl6ZCS3Za5H4a0n EMcicOYmixl5ifuF57iYTwL/RiKynBvsnWKUhVKeH8UvY301f7hp/qPjeviKnzlxxM9IycqIWAza pcJABWQFC5RAl0q1GV/LmeZk0w9Cm+YpToZ00ujvmj1eXxJfv5RSrh4pc8Kfwb+ZVozifrXuzdYN 8vLqugEuS1nTavyEzefQyXqYuGeN4Npq7uwUg8nP7GyauKpMTiMm4tL0ADyd+Bc+7hvhJ6tIzB6A 0qmMmSy7R/USg4rdwt4eY3Grmej7TA15NRzZllUCR0OwbdZBC1i4Ti7Th6jWMKCPq0sMA9MI2MwN vBJJXPtcIko2P1ETeFvyM/zY4L6pyUundJat+dcaj9pnjnHLQoJDX1h3moxQIM48tFKHJewxc/Tm usygPOhEJjJ19eueugRLlfbqR0YBnPzhZFtMIOLbKtUdrztPliRkXDpxudWiDauy86QLlA2ApBDg w5NDYGIym/6jL8z7mrEYRVL85ICA65I/gj7lWnVG66n/a2C+SKNYlwueRfrjsLQ2VfFj/KSe4qOE WLgZp8yJXnAPoWUY3G9B51gvn1H7wP5+SDvl+8QFrfygjG4IZiDFx+f74H3N4eg7iC3mNh+ijnAz NpvJrJqlBmx2INUqhjnoBp+n37nN4Io/Ls0lOnppQ1yWjBUUI+nhXU5/flo93aZQv+t9HtXzlLES cm9oZr8Z+Erq/9Vy7kaEsarfADvQsaLapZOb+XQjoma7QbK2bfnAcCTInZrd1wA7owkK6QVO/5Mr hlN4ulq1iky058A9XiEPolMD8hsrsF3WCWmOyN++IbCuvC9ansQrqzkMu9k21k5sWAjyW9BvBYEG FuWxAGghHvwviheuq0qw+ETzKHGC6k5oGClKxnq/AfcqT/ioHzZeyeRCr8RnUzch/eIgfDiEeDNW CPpu3heVdsbsP0l9wg1b4wU6x+9sSZ5caADOVBXhvL6Np5V5R9ptfwKIiaw+jQYpCW42nGZq49Oj anaPxz+ip/lW37BExyPDh16dkDsDdI4SaZsW72k6V35fFl3cAW+waYcgMEjCFGywzDXuzd70VNIr yi4lrNtm8W1HBXL/9+Cqi1vxTHUKBId6awyLGvvK3cQFRY7pSN+etXgzK7/12tittDI6WYsNegCE hHvyN520DxxNr5vaGTAXOJS6QiYUmsCFOgUFBjg7DElwaP1OdbDatfpH5wxyhntHciHSTC1u4WMO bbvA5tfgcycpfeGPpemUWa2qRKtn+L6g9MnDReNUTfJgv2Hc/8GlDW5qVIi+s4A4xiK7/Q+OKaiR Jk+1Ts1S1+cpMjrbV5HMqHw16DaZf/7tvMunur6HtJtLpfLGD2nM3wKkABSXqFy9JbAkRZRBedCF mCE+au8HvnDylxE9CbXQyAC7REn8V6JhXpsHJV0XGJg0wCKn8+V4pl2Z2ME7iRLjiCq81ZVioaYP 69B/UGHdzD9r8IjZVbbxZqU4HlHSXhk3+lk4h9MCeP1k/l/OfQJ3lH78kGGmQgWtWd12suO6Su3D Epz2tEIrXEXC9nfGrtevmki4ZJHDMtST6bAvPKrACmzi3InHOrGxioXbHNW57JUx642JwSI2+KyX E/wb682/4x7iLrj8TCJqJiHzyYu1LXOT7/PbNMJ/z4s79Qzy/DRjI61ApRBZRQNa6qMKvjHISa/p UJNbxxZgFWL/uZzzU5utVqGJSzumGe3uuaXcGgbmL7WBQj+IfsH0HoNqS+QsDHeF+vlNxVw0LO7u SeD8t8wglGayUNJZxCrO2a4zu3YK6AN6UXhw6pwYmlMTYxyRvm5VAjrONN3xEmt9bfeoUoxEDOUP I9X8v9j95NMXYaJYYWY4wS0UjfyGsPqBCiBU28wD7Xz+0jlp/FVmEEyABqmzcxZHtsRvto/Z7ZOR 83WhaLK4vGexpoTB3UYbzpPsDs64HphL5IX/TgeVLqBP1txnNuvtsnT9/ggyTziRAKSS2NFlIt1G m4S53KgxRVPAgbrsG4fngWO2TeiA8ejn9njIecHk8vTohDqU7PwB6g8gQ9qoMe2eZYp9c/nBNIPe zgnICeLI0vTzQcUYAeEGmc/ccgLQlvJ8CZqBERk3Qh3P1mXg9qsYUaYqmBAfkg0fBv9tjQeajdhg pBfbmtENRrk7CUK8FeCZaJiw+Mt0gVasXdPctkAVMVISEVTImqZYLptXADurm9FwTS7QEXNCBmyP pnL2UURhusWMCkszv9stoYtT7NUAt+xb6fFsDwopFbaB5K6zQ+kDXy9IqIzfQSwXqeBOns3SbAik tQdtKTN/J6gGTkwt6Ul3fVqH1gBSmqyH6KvIW7FwE6S6gAx+hqZABkv5IFIJBn80DsGolA2Y4xHO m+szNTDam2fL/tgBKM11H8wSbxWpmujrXqRv4Rfv1JPWRXNwBqFMK5acSzV00M8LJvkRPZcop85A MHMel5w+3jcsOhF+SqeVJytwMyi47lRFnOnrMhOoiftE1kFP+8qJvyRGB/LmCAWtwZBr/4PTxywD 05A5VI2BjjMiZkKGDjluxXB8UCJEWlHnMZcb9/EVGb9eg69Yy0x75GPdNYtbssjbknvPJzFBHhBa LdbivrlBGzj2M9gQ+kQ2tldq7/iN4ItLokPPQxZOkIczBDsyOKeu+nAwKNUMBVWynoJkSWSm5MFG Aj3c22HOFllM97ufoIc6b6rE6+Jh0G+kWEamhUJn48jwSlfkv5lI83jGXbCVAaqc2hCmNW2IruVC Q5CAWmhBhZv0+HdjqNwZqQhOpgh/V3v6nHDAzvM7tppO8F/smc8DUGJbBaMUTOjS4eBc7DzcY1Zt IPc1ZNmt5KIjoaz8QT6ZWev4+vZook+QvndXOe+VEJ+XTGOfr1XDU9+0upDyPzsCjOrnZ1fyo8+L rG0babxTguf5TN1QwLzpZoDEs2QV5etaO7ZAYh2IO+Sn0A7VXEiIKHgSgqbTyThoFe56mNWlEQoG u0R4SyeeJMqtC99w90KVmLM0YtKrD/2MUiI93WyELYI8QgAFm1Zf9yuOJUT5i9na3IuGzk2pksgR 79a05wupDJMuBejINtf8sRZsSqDl9CqeUosbTRlgexbblfo53l0cge2J4DzBIkbC9OuX7aVbP76x WjgGAALXomoWuhGfGI3LjFeA3u/sMapMpoI7C9mA8E//TRKoanFllleGl/7Uqw8So/+5GM9W3D0o 96YMfeSVUxprtgDz2ClS86nDoNg+mss2wJR36TYpWaon6wbQmM1Z0tCKklUE0pRrjDfYSZ4S+KTM Uf2GIN7D7ducrRTanO44OqHAMYdaiWmDIagCav7jhuCojXX2tITyDjMPcdV2e4eT2Wn6q20EAjnQ UNkKJegJ/AInQn07M5/WY4FlHy6ULnK37k6j0LNBtcVwWYR+Dt0kmyHDEHlxpAq7Swr77+zHUv3q 916FKZZC6+2+NdxnM8rXu5Y/yUp7fURnWKI2kym38ZzUn+o5STIuHeudYrbGTiI/XfCXQsNYbJf8 3d6tDpELzirVfxBLgN5PPZw9QfPKmgov8rx8qmxWs6EQFDZjHPo3OUs5WweiyGZ6E4aFJmKmc2V+ 805wD9CEISrs4BWfu3QTaqyhFRRhzxanZzMBCIuYWLMNKziGKlRHoDw7riiSa+Xs/KwhSdPDU45x D+Pz/+nW2NP+3lVdLp9gEfpG8/ZYnUck+fRHcMYfrkyVOHRN345WE56/rV841T7ih+YNRvgdZfPa vESchYjcNmJQoc5L8xooGSXu+p0Vu/KsH8Mfd0Fd3c+KzoaUAtMcfEUg159g6xeR+Mc21rl8xUKh fTdC639JZBbq5VV2pzcZQGsHbvOGQI3lEw9M1NLlzE3Bah3WqRx0VAmmRVepa2MbBw0mYznGbiYj GFjakDvac9XNhKrA1hvI2cg0PcEn6Z7ZSrCW+tVmjVxYoHZVf/Qd/dY9WPEC9LrcNvVUntSTM9lS c10AIlMf/DS8nKem6HZuxSN2tu+XKrN3d4q+vkhcbvBX5GN+4xl2ClvBONlXYv9ZKYQnVTmZV66k sgsSv4dhH7Kn6NKjOsQNhfjFwnKt2q3gk1CqXSjl+xeKrSu3GvRiDsIYj8H40MczkHy12WYjaRF5 hIxdMI8m1cmX0IoPmWWzGbCep4FWR+0d7vTwyTTtaVh/u9LNa/Itdg3YJ1XTHA/PA1aCFHD0oHPQ k8DJ+XbFHu8uPkerqWLN4+uIy+XaK8leh5E0+WFLfAsgfFqYRfIktNBfuMkn1DgFlyJWehzHJ2mE CIQdQEaCbQ+KgWRW7m8XVMMwRh/xC9SwtAG1CmXQymsm6+Dn5quETnTawK+9bNqs5+0qxd0GctDS x3VCQNT3/Qdezem/yrxyklaDbnmz6XR3N6JlVSKwkuZ9/ObrTncvTWOGE3dSS1/B4E572qNFdFzx K6TwHcuxVwv+MLW29FGoaUtCVqBLuMPFPy4Et2aOmA4isYM8SQCnI5oPsMT/tM8w64RKzrGTObuI +pSqD7cA8UmifYEYTwnnlv3LxeQFXI0n/iO0yMlL0oltVqATfwhtJup8ACsi+zBvwY6po89CA2hk W7KwthnX7vG04GGf28WGg2fa0yg4cZswttGPVuSnsxhSZWvx1dwZwVaQPuSnict6iJ3ngGV/Tdaz ZbNjh/EzeMJgi1+RtMQMp9TaoH8uXlLp3KWD22H3sJz1JXaWptb7odGzgrAuScLV4qpaejzksc4A PVWE79lS7Nt6Tfq1XjD0ovbLYJATL51IbLm9tBlFGKMp5JxcoKBkaI/LvfJIW3uUsainxhQhCM0w 1A+YCWB6aSMu5oywznKWoFYwjseXtwxfd/K2kaxuOL7IzoHL5PWkyAI7ZSTnHRUm7Ha9vTUwkbR4 EhcOzeA9qvZaT4XyJZi+ZD6oVzE+p1nzBT24hkDwN2tekvNl5F4oa8ItX+5YFeKRLe4m1hbalfvT NvGUB39TagX+jt+dXRejkgUkQCpfaM06z4lYZozwtbDFclDA6j24QdRrD2TWCT5OHf2naePBUJN3 BHWnkmfIrL51LPQAy8pxCTFJupcMmF6SXfKFLu05BG3udYz7GzueqrreHrETrLlTTqnmxKYPGhx/ /Y9IKjbO9kBcopFTXlXqrPLr8Hwgx+tqc9nmd7mHAbXGby93Z4ELEx96mUNEdHplF5evB9m5qMKf wkH0N2/ZFb6iqAZ4flAoszcncJ6HRAuCZwimXQqSdgK3GGtsYqezxyHEjdusc7APkX77vpIIHOYX atWMt1wPDFZZgELqIQBPqqqs74f1KePt70EGeFcBDSZSI8q3hoG9csi99Vq3YPnc1ld01tvAoTqX L8tZkbYrYYV97iSi/m7mtdzO6fljeQcIvQIPu/o6ajfAtbmJ6IiCy7YpE7zPkuxXEFeDBjIMym0I H0ud/lfe9vP3PaNSEiZC `protect end_protected
apache-2.0
b97cd254da764da48cad91095d944d61
0.947718
1.827045
false
false
false
false
sandrosalvato94/System-Design-Project
src/polito/sdp2017/Tests/Tmp/TopLevelEntity.vhd
1
5,787
library ieee; use ieee.std_logic_1164.all; use work.CONSTANTS.all; entity TOP_ENTITY is port( clock : in std_logic; reset : in std_logic; data : inout std_logic_vector (DATA_WIDTH-1 downto 0); address : in std_logic_vector(ADD_WIDTH-1 downto 0); W_enable : in std_logic; R_enable : in std_logic; generic_enable : in std_logic; interrupt : out std_logic ); end TOP_ENTITY; architecture STRUCTURAL of TOP_ENTITY is component DATA_BUFFER is port( rst : in std_logic; row_0 : out std_logic_vector (DATA_WIDTH-1 downto 0); -- First line of the buffer. Must be read constantly by the ip manager --PORT_0 data_cpu : inout std_logic_vector (DATA_WIDTH-1 downto 0); address_cpu : in std_logic_vector(ADD_WIDTH-1 downto 0); WE_CPU : in std_logic; RE_CPU : in std_logic; GE_CPU : in std_logic; --PORT_1 data_in_ip : in std_logic_vector (DATA_WIDTH-1 downto 0); data_out_ip : out std_logic_vector (DATA_WIDTH-1 downto 0); address_ip : in std_logic_vector(ADD_WIDTH-1 downto 0); WE_IP : in std_logic; RE_IP : in std_logic; GE_IP : in std_logic ); end component DATA_BUFFER; component ip_manager is port ( clk : in std_logic; rst : in std_logic; data_in : out std_logic_vector(data_width-1 downto 0); data_out : in std_logic_vector(data_width-1 downto 0); add : out std_logic_vector(add_width-1 downto 0); w_enable : out std_logic; r_enable : out std_logic; generic_en : out std_logic; interrupt : out std_logic; row_0 : in std_logic_vector(data_width-1 downto 0); data_in_ips : in data_array; data_out_ips : out data_array; add_ips : in add_array; w_enable_ips : in std_logic_vector(0 to num_ips-1); r_enable_ips : in std_logic_vector(0 to num_ips-1); generic_en_ips : in std_logic_vector(0 to num_ips-1); enable_ips : out std_logic_vector(0 to num_ips-1); ack_ips : out std_logic_vector(0 to num_ips-1); interrupt_ips : in std_logic_vector(0 to num_ips-1)); end component ip_manager; component ip_dummy is port ( clk : in std_logic; rst : in std_logic; data_in : out std_logic_vector(data_width-1 downto 0); data_out : in std_logic_vector(data_width-1 downto 0); address : out std_logic_vector(add_width-1 downto 0); w_enable : out std_logic; r_enable : out std_logic; generic_en : out std_logic; enable : in std_logic; ack : in std_logic; interrupt : out std_logic); end component ip_dummy; signal row_0 : std_logic_vector (DATA_WIDTH-1 downto 0); signal data_in_ip : std_logic_vector (DATA_WIDTH-1 downto 0); signal data_out_ip : std_logic_vector (DATA_WIDTH-1 downto 0); signal address_ip : std_logic_vector (ADD_WIDTH-1 downto 0); signal WE_IP : std_logic; signal RE_IP : std_logic; signal GE_IP : std_logic; signal data_in_IPs : data_array; signal data_out_IPs : data_array; signal add_IPs : add_array; signal W_enable_IPs : std_logic_vector(0 to NUM_IPS-1); signal R_enable_IPs : std_logic_vector(0 to NUM_IPS-1); signal generic_en_IPs : std_logic_vector(0 to NUM_IPS-1); signal enable_IPs : std_logic_vector(0 to NUM_IPS-1); signal ack_IPs : std_logic_vector(0 to NUM_IPS-1); signal interrupt_IPs : std_logic_vector(0 to NUM_IPS-1); begin data_buff: DATA_BUFFER port map( rst => reset, row_0 => row_0, --PORT_0 data_cpu => data, address_cpu => address, WE_CPU => W_enable, RE_CPU => R_enable, GE_CPU => generic_enable, --PORT_1 data_in_ip => data_in_ip, data_out_ip => data_out_ip, address_ip => address_ip, WE_IP => WE_IP, RE_IP => RE_IP, GE_IP => GE_IP); ip_man: ip_manager port map( clk => clock, rst => reset, data_in => data_in_ip, data_out => data_out_ip, add => address_ip, W_enable => WE_IP, R_enable => RE_IP, generic_en => GE_IP, interrupt => interrupt, row_0 => row_0, data_in_IPs => data_in_IPs, data_out_IPs => data_out_IPs, add_IPs => add_IPs, W_enable_IPs => W_enable_IPs, R_enable_IPs => R_enable_IPs, generic_en_IPs => generic_en_IPs, enable_IPs => enable_IPs, ack_IPs => ack_IPs, interrupt_IPs => interrupt_IPs); mapIP_0: IP_Dummy PORT MAP( clk => clock, rst => reset, data_in => data_in_IPs(0), data_out => data_out_IPs(0), address => add_IPs(0), W_enable => W_enable_IPs(0), R_enable => R_enable_IPs(0), generic_en => generic_en_IPs(0), enable => enable_IPs(0), ack => ack_IPs(0), interrupt => interrupt_IPs(0)); mapIP_15: IP_Dummy PORT MAP( clk => clock, rst => reset, data_in => data_in_IPs(1), data_out => data_out_IPs(1), address => add_IPs(1), W_enable => W_enable_IPs(1), R_enable => R_enable_IPs(1), generic_en => generic_en_IPs(1), enable => enable_IPs(1), ack => ack_IPs(1), interrupt => interrupt_IPs(1)); mapIP_3: IP_Dummy PORT MAP( clk => clock, rst => reset, data_in => data_in_IPs(2), data_out => data_out_IPs(2), address => add_IPs(2), W_enable => W_enable_IPs(2), R_enable => R_enable_IPs(2), generic_en => generic_en_IPs(2), enable => enable_IPs(2), ack => ack_IPs(2), interrupt => interrupt_IPs(2)); mapIP_17: IP_Dummy PORT MAP( clk => clock, rst => reset, data_in => data_in_IPs(3), data_out => data_out_IPs(3), address => add_IPs(3), W_enable => W_enable_IPs(3), R_enable => R_enable_IPs(3), generic_en => generic_en_IPs(3), enable => enable_IPs(3), ack => ack_IPs(3), interrupt => interrupt_IPs(3)); end architecture;
lgpl-3.0
de052399d81d580624e6ff493f16999a
0.597546
2.495472
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/carry_compare.vhd
1
11,255
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HnhbD+n7yKCA2avjS7baoO/dnVEQ4u867tMl1LRBcPNgka+nANcd7+dIdVxR8FHsaJ1Fxx3JE7Ix KzbNd2nbFg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dZRzmzidt3hElsF/an5Nmo/Us2fNJrTqyRxqgsYOwTv77grA/46oi8850HV6XdZR6BawhQ2RUnl7 6kV6XUdyocHokUKJrCZtOWkRgjx6WD3qw9ma1SXCwnQma7siQ21e6r83PLYOAYJi+3/WIKMNgph8 654ms8LZpS0qbjYtmCc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SOi4Bj8YXGne85H9zPc10JZ89GeYVQiqcEP2y6x4IE3sQ4W0Ipy7YzAs2Izq0615msonOPxnKqPK W384PC5Nm0Hv3+oS+/eAn0OdSlSY2OTJPxZPR0KyQKPWwbgKEXyOwzZaBcDDJMwMlQXrUEfAgLTz f/DLsCILI06XEhL1p6jDbkqCvanU+JXcUbb4F2qNv6mgbVVqMKt/SqQV0SJaisbw9p5UD8Oirz4O UUuk2YAbNIzdgMJDhdealCejwyow7G1kiHGVG05gNNYSTvxiUymTVuKblANnfJh32FeD7W/2ILX7 /WI/sckjfhlYK6jwLULib2XDQJDItf5hkkHcfg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MZAirO8roE9OJDxPnz9sx1daMsqT5e41T7ALEfR0bIwDGNiMhIdn9imo7AfyK37G3dLqQHFWtoXK Dl6DSktM5QDUcl767yRBa9qfRm8RPktTW8mYbNykCqzpHo/IbO1k2fqM5KkPfTEro98AN8KQADSs L1O4Vdd0R6qZh3sQf6I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q++zO0NEK/fdT3Pmy/fa0D5i4icMa65cHsksjdxiIQYfXvj87nt62hcVjn/cFyev4JVnyX6/bJvU CPTvi0Ay7kKmbOLiNfvdNO9lj2t6YevRecGTnqlf3BBWOnXRLY6+NEawb/eCccUg9LLVpv57e+bF lHjIEn7OFPhCIEXgvlJKmTBOxHAbRuglGGYQgxOJg9+Yjqked3l+HIQ5lQ09yFaA0LoU2O00CNmt Q4OlEsXpSMckCvejfnAlfR9bk69DxeOH+eeSQePi8Lr890JkKDIdDdC3xlci/50qdBysKPGjScNA hFo9p+AdqC+QfUFSeuqEkCiqdFHUu8tpeDl2hQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6592) `protect data_block /MwbIRXGmJuCS8T4hzYB1LnGAlvkCwl+soaU97FXHR0EidvWCsedo5JH+dZ3FRISV5iGuF5F5HL7 STaduJIaaF8u+ZOtRvzC1eDB2z0AXg9igmB+D6od/VvG0841bCbSrT6/TSzCQfcMJUdwN37H0Uib HaB/lJ2oohUW6RW3nLRxlDUAxzjxXBNT3+lj9W5XpxWQ3zRBBDbvBywd/k2k9c4c5NRxFnnT0S/3 wnU+YjsTlXvpGuBgrQ/MVWTVgzDP+kJv/kxqrzTK3c9+i54dZnx9vRO8EYImM8O8cK3c3NdVN4uy 7/tHq0nd+mOzdNC+4M/gUyOprJvbqDLk4hY2jFrdFsfeqXaLEMOwjD1wXF6QahMIs4LQrrl29Oke 1b4Sr0U6J9eyV3uhS4/VuqmFmwD8ZeyoGhxJi9auiteMxFc/oGXLxhJsHgcnJQ2zrbqT4BY8+Zis GNX/QU7WVqTN90xQwhCqy/sMNeT5HzP4AJvS76lkvpHcufkDI24lvVddqslHRoR5DBdJE4Zb1L64 uXyJP4ILxWewn+H1/aFi7OZ+NmBSXUsK0N5w3IG8CFerbnlVKtc+sMAn9WQqdKDkG72kPUrbYdQc I+H1RfxMzF8/lgw3AjbuZ6o7jLNZSkCzJ6JkcD9hILfl58hznfCGhK97Mne1gSPWRrNnFi2P4wY2 8UQwUZXRgP3N+SsPB2rA5/YVVtZZ5pDDJG3ueGPya3z4Y93yeeXJ2ceE8rJvNCnB81F99HUmkMhk Ma73zdyDZf7Ot1Qf19FWbrnvZJGnswH6uSJd/dxYhoGDP+ndfHYQN5Mot2xShhM105yIDMF7ddgz 2h7OLDzFaZax3Ymie3nUN+Qg11xqNUVwuDtwrTfFHFT3q+1DsBZQqIQObe/NOcGkdstvJrJOpkgj T2QxzDuD4c3dO/Hp9BRc1rNrMwEyguRPyIE5dviHz3g7Z25YuN3Hvpm5ebOORGHlUDBzisawevzy cGqdDLZnVFu/NIIaqUuBCdeYeDRjTFyq4fsMmqoJxxLKX1zX5xdX+EdPOwNm2TpmDycRG4AfXWiT RHAs+B/9WbvWl5j1iuLxNMqcWJavHvntONtZevCnmw/z9V64M+Y5sUQYwBd9q6O569TD7j01F5sc RCYnuFRsGYWQE24tlcBpjgTiikp0LaKl6DNI9J7/MI14+B8nXb2Pb+hLs6gKgbyy5V0BUAM7fYPf NNaAeps3js1ahki1bdD+kpvgAixHDX0mzrEEUqfYC2FSPC4xkLMUzXOTkdnX4RvVedv6Nh4/r4q/ FBH73QnxoLI4JJ7rjEmW25f7zfft/ABYi6pcxj2BFJPZV9ZhZdzh9KHveJzKRrO08f+CFeb25Asm wdoc89M0EgeFA6qglnnaqw1Ylkgrv1/QmUafhER0D4LK122mSxwqButJTwmd6XbfEpd5cDE9Gr2t j0lp4fFpQeNV79CiFq4LJb1eSzTNqPBVwsFYEkrdC6j8dWZuyfQ7kaLmng4eirkO1eqk5g4+lTqu Z4IBxpuIrsLNZYQexQ2pEbps8Hn6CeDyzklO1KlLDBEie4aPgzEghKrxw45EEGUxy15K5KBQfCbC qwtVHiX71qqbKuWRHSLF7ujWoMXDL0LMb097+SgWGZUO3V+RisdlRzXRPrANHsd1JqzJR9Hh3toy iJ0NAT9F7La1xLLStjwPmiRNhly1c13G4mEjXlHsqWM0gZoRM4Omt+6dApPkxYnmViMmT0WHhKVg pjQ12qrRGiKwD/brR3bhCMRDekLjHmyU7yqGX61HeaC1QApu3j7Loo++yiLdqyt2BGKVxe77/zMX 1XZiui8YU6PA+1h/cBG4mTUSavKGC2NDr3X5YbhcmSMC17Kjpyaok79u1/pqGECJZ6aDyVK1K0Rg tsfXAAkv7XEkDiXw+9UTM6p6ccSlzgQ3uO/VrMAVAz4ALftTBiej/moCMJ6ojbulPw1i9nspv2+f JUo3KyX3Qzi0arXPgpVLvebjC4DEeb5Qi1H+R15hpU49HnqCEDvQXAK0VA7N8NKu5pJXxdMSTL4F qAcjx4VBd0F+80dmX2Ei6DCJ85W1diQ6R1U5qwqTtDKE8+I1z6JiK4g6ISfIzW/13sdycSP3dqrM Olr4v/Yr9YXei5+f0ninjc+XhnyhmIXfML+qetYFCw2zv1KAq3zJ3DtF5UnF3cKHUaQ8cweOFf4z J9Dg24n2289P5l7gn+sU1RX7cz2xdAVrQMYCiCOFL3zG4HNi9mjhy04FmhbCzdIcKeONeFLPp+Sa 73fFa4lNocdFPSENnjD5cHOQvs+liiB7/0NQXPZSvPIHULJFImLEfbYJfY8IaFCgMilXIlEdppRS aPBbDoPUT61R+/qXPg2SPmsAYPuhHzd4mhL4JQDuRbkg7MDxEl0Ya7aYqL6ke6dv7nORoxhqgf1y DEbjyVZ33uzexnmiPVQEw+tn1M9hciU7Wg497p+KLcsaFAnusS/MkAGt3Vs6oYXzi3LmlVR7FlGU l9JAAfoYdbZ/QffNtpohZLsfPZbHEaP1/9jp1Q3DgNtoOlWbqlmGoCXoDQYsXd3//0b1yTo0FfH4 qcAwhJRL+NeVciL8kro0QHScehpbvB14aCoaHMaaDbbqXM9ewBw+sdIyqzqGZXCjW83NvuApKD+4 O45vHiuxeG1tzioe2Q9EMWYHr77vlicFBKY0GTynv/k4DZdUmkOpRLhcWV01Qggpqg/uQL0BPrit 1ocMiKCEaZV+9Ht9a+Jmuh4/7ZP+pFxFvlqgNNC61rGIZTwcIV1rthaefVJx2ucPhXaPx0WIque0 4qFJRpysi2jLOpMnctPdHG3rLGykieDXNNvKYpCYHphVPfB8ifIu/T61ePAxgL0smARicRDVMFfS Xtn/vg/zSIZHy+fIvVtt8zwrdyMLh5kk0/PlW3Lqqpfhoa7Qmq7shPn7aJV7slq/6fRVZA98NYQw 5ZpSrOqlr3P3UCZNLLHA2hipcK+Yias7VtRM1/XbpATMkKEXXfRhsGhe+NVwkefj0eT8FRQAewhl YXlck/R4abuX00+3Rv1PQQyZUI3XUvf4i4S3ZPZFGUevBEQxDF6rbu+5nAyfXItHaDn4CDIx96wc 4aSi988KUE9ghMcyheA0U/4iPXLQilbgry8PX4dgT/g+0JWiPIHfjH8nKO9pfNhLfgzoBgGk/XK8 aMY92aEMvYw2lC6tnwd/sWKvQI3U1VxzIBoRxpcdNkINzpX3TtK5VOeE5jfZ+3iAoU83P5be2znj 8W2pCp7TjSMEgvXGwoVPCnBd7xPEGmoTqmOAVEtd/1WrG37WPG3inofDjyaaXQAYo4+aD8E4dyDz QjRxZ8Q8hbIoNAJM30gaNZ5jk64eATDzLVvHLtkKEE2crWnsLUNOAi0rNjU6Tr4DcBaQ6Gt/98Qp e9BDfL71z1wqMwNx0iHVNi76/ZJPW3bpQhKCI2mzx962jUCuwP4tBKdzzUT6/vWr6s1uyWsevZnI cwozooD+lB7mzjIEgC1V/Y7JsDxFv6cpJNZDSe0GWOX9bVTqY7mlj3fW9h18Z8GeedjHekxE76Yq 482ksGUmfPl0BWSnatg8yNxDFCaEGIRUqs81s5yInK/lF+M9Cv0FxseWkkU9ogRi9nW1sHfPgFS2 Pjov97EYzC4LdWB+DGjBCixuPSm2yljGTRqVUY4MZQIs8g1DAOEHGQhXBbMdawEo+z2p42ExU/YA Age9flHMBpeKd9LeU4osk+3SKXL0uzbzWk4kAKAlRSbFFVNtr7tWXo7V3nZldNU7FlQPJDgtsqW4 /+7F8Sm5bldprPpcaHh3SIIKJd4ePDFs2J8t64Rw1Z4/k2botUj8dyNlXbdp8VrzvNUVulXoD8+U MJelHfXZIt/Qk4D4iRtYBuRjuM/XCedjdP5L5rYvyTPbCPGogbFhMr89ceSblPpO7aPFOg6/RV8c wHPZY85u8nomn/RlWnJGjTSKhCb6iK3Y1lcjc4CzYQHSdkCLhk60GB+YFNbyhMphPhXsAyuis5CV Zx+pVzYKvyl3mwkZePdcpsGDEVh3BiB0lUawqpKFLATwzzrEuwjKt9YbifTTJTPdnReVVMdbLflQ qdXV5yz4k17RV/rxzS+2UQtRO5NIWYfCpVng/45z5YyX/khJ8vfVEOx8WPMg07+99NCgkMgiDWM+ dD2+2geRUq3oaFLFHWnlr6sVdPet5pkSuVEsFH7XHHB/SMXyKGzBAA8CSEzMZrYdp6QAFG/K+qkQ U6baK41XrMFKA8OstDOyheLfvGAC4IIdx1QLFb0hEzDMjQV3Sm3W0JZI93vRLrLV75FTssrwXz4V njwe/2DS9OH8zjSb7KD+FgW15eBo35pNAZQ3epQ/v50O2V+/F5XHbAYrmi4SG0Yv/uCzrxO1waS+ LRG1gWT+97yHkJV3cpamYD6IvgWEL1mlMbuCaZwCIUDJC8qGTFJgKfi49vMymjrYqcGoVp+WM8Nw d05UGBU2opxRLc4TIfQF8OxAgrGtbAGDm4pxkO7t4ww7Vo3zCkM0lUVlCaKv+lOG/veLY5stN71D 4SEdXA64MsyOfK2CemtVI0RxNoD0VZHs0tyGPJF1D6wq0h7A6i4AFPVWSzoSv+Z++R4DGWFmzTSy ZakKn4+eGK9NSgECbwvQlUVfhphoSP7dS292TDFyM4fuZFUNkFM0xjsXLbJqLEj6BLxlnyd+Zodk fGqL4iFnSzDFQmlxC6LTCdh7Gccn5tbogmilg/l1oluKeSBw6EcEfLmRV1fUKPmuT81K2ldjIf9d H4Vgu9BiA6+6fKF9yXiJqpxyANiWxehAB+jZQDKrjJJABYWbFBz6KBg+RvcWTfghJmE9Am/m/ad0 ASQ+LLcD+pLZiw++8zJXoq528BdrltLJ3nidm88DgZQb6pLNBwzorlskuyyT+Y3ccAUDUFE0p3qx b8bC6smreibMIqYK2pue8VfQibWQX8SGavP3bBo96LhiwbkEfKb5afoOhmGVL9xlpVhbXfhF7gDw QWFSAsMHs+nXZOs1uJxAarcaZh3e7RBTtyHtYChTi5BPwnPUcoQcB6Oywa5vHJBf2EC1kc/09GEU BRt1qzYk5MNAVp/OKZAg9EGR4qei9IQ+viVQ6Kw6WgAmzmFYiicVWHP+lucMt/5me+L/gcGpIqVO 3VhgU7hRveJb3tnVYCMYKmqDc4OoREtWYDF7GkYEvh2zQS3faI5LyLc9PIwvwNfHM2vJS+MgBMK1 qK5d76pvsmfVf0cDi3Dy8PPdOrKAxOG81VGo9RNHlbe2DgHqyDV71GqC0FOzc+ISjy0cw8LMUXH0 Sjpl6BOgCbwg432iSpZX+SeCxoNufKMdGBiCzX8in+XCje2RXCqK7fnHf0N0XvbHb7v5p/0muIYZ QV6O7mg2D6wKqp5iaj148wIAUz+JWQ8IN16Le1dxHdKF9lTriCh2TWFPwWXx4nbAAWNp/uTynsy7 RBiMYRuysx5nz6yl2YArzpV90exLiOCp/g49+G694zTflRbtmIOEegfv8JZAQ82fCfuSXu4fD+9G RXKM67wPhFSAvmUNzWzOvnS7rOyr26YbRUpvTymdrLDwy0LyHc8SG09tkZA04blKBmQo4IBO2OjM E/a+xC4dVH/aLOdBHohpjCm3xCebvGUg78jpFncPatgkVPwMEY+aTKTjx5/1cwsfo0xSb64S6xlK uzsqAyY2gSSicGf9dM4O2OPGd3S6/j+4Vs3q7GPAVrgWyWmBRTQLokl5p4f5Y9u1SFf7IMAnw5QK vWSWHxFVVrPPRXBMHKz8NAUxuqbnOVA6mxoL+cHSb9GRYS65FyRE0USGRMFWPlTj0kskV7T8KfNc QHUqZAyP+Ttb2nImJJ5IonnJo629L6dmzeHAKYidPVgJExKgIRJKtqzhVQHCFSioeiFvdke7pYwk 6axtxAnaCDbmoIstAFxtaY5H8PBS051RN2JEtf7yjhDsXSsAiG/4G8kUgMeuyasS1Zk7Rez/QQ4O C6WF0Tjn1slWNl4xcwQI/ee/i4GcDLGR8XfNdFYmCsbihjnhBCa3/x43ZxOI9oZzgcLLKhcinZDR KFt5MIF14hjB8EvTzbROKAQWN7abVSXJvlRSIcLgWmuOlAOyX77vG1xVW/gNcAXAbDZ+DvL9jk7S EK0xW6V53dXjE23mFlg4Xeay+0iBjfQBjjAy5YnDaLFfDsDf1niYzoYGFG+hhrDUQNWHY/XZKqqS pC49X+BnSe30rnuHRiL7I+tsAzwTEENtlqbR0yQDXa3loru2REup6JCzKDAAjiMRvjPUl/fCLPy/ 597/gneM9U/fEY4AvCBDCmaca276LPU05HzuBG+6nP1ecMwuOrQ//mSPmFBWbVqlB8tDrWH2I+ZZ F18OsmxKxFNmuKeYwlCpMRyuF4oSk0wO3rMCHdmaQ6uuKoT1vc6lRLmDrRB4XTF3v+N3rfpHM4qv LZtngfPPzlqnwaif/DALx9mipPQ9EogTyIG7R/yujjon5HUSO6eWt/QMpD7cnjlMF4v58rw4J+UH p3fKxgR0Z3oBxxJbuDT3Uxi6diFBJ9sl+TSdBryfS1X71rYi3bm2cec9aJqlUmjGYY7nFhdfKI1U fTHaR4SOMEU69tiNtukxH/5Dh0TLX93mnZJ1s/LJsWtyoSGmlho3/tWAVM828drqDA0Bc939CGRs NFzygPYHdhOB3Wsm0clpNyDrFQ11RIqBHUm77wwVQvYGH+QGZ0VbpGpaOM2jEgfVhpp44z2vAIQx hrV/LycEZ8RUBRowiGcNrdRE+giklDqDDunilezeZZVQbFw8Gbb+18207jaQK8iwMG0dcr6xX5BT mwR6amry88dPlP6sOO5SpaVIoZxSh3KsjX6p0hAO4pqi3xxZkT0avucHAujVuotnacHGndxMrtBx Qw/SnL7aQkBj3y1fJDV90/02Az/Ydm+Ie6rDSGELX2C/hj1+E1LVQ8UTvHN0kPWj5Q4hfPUJKecS 6XvQzPLSaYQ+wX//YKhXiOr1Za6Jv/gqT5i93umGErkKWzeIGcpSAsJijXy0JmRG6Rw6ARFpo584 XaLtlCqOXOwUpwZIyyQr2voBCCwFQiMkAqj2Aw6W4XrWI6kPoHuIjU5I1JzQ7lG4FP01APZ0PIvY avs2vC9BUYVTb7D1AOF0V3+xxutubKaSFr1yhUEiQA+uoiy+kf4iA75FbNO7ewB8ygP3Zswl3MPj xaLIztMB49ItS4ZkUkiSndw9W6EjU61aUQVHxZiboic6jQXxl2GIXJa64oX7x36897TQRuX08xl3 U66aYF1j+oDVLIr8DPKzqFcKODLhYlKRh31zavrCI7PRwFALILDSqawMtoazIDwUaG3QmlZzQc7h PodrQNE6KFLjX3TvK/JQD+6w4WZRWNLxMx6pN/+y/env8wbN41Qz+1jXt+0jRvskznv3n+fWaFcZ evqAz6lrFVebOFW+oS0PyRgnKO67frXJSptxWWeU/+EZPQAXS+s9K1797piMdyCJxXxPzDUrEFiO UBcELvHD+lBq+OKKL+F7XhHuTlPbXBlR1E92OOg08odkg0bwdeG1I5kQ3SADrmxC6riOv2hGyifS EHKdoF+sZVmq+YsugjPZMq6P4HVU3HrB6CYNdBTbLBmugEy4Ggn+1RgrZh3n19QAnE8fCeh01AMj aTSQbNlb6yZAmGPIJjcCUKL8P36hwOqP0nKK+Ne3AGnuOCzR3QOKhh1IRvy1PGEFC9Z2j3cuftHv YxNrGF2XdgYF/TVx6c5gbc25OvzxASHx4N78SLRQ1Xqp2F0E9IQKIo5IMAaHAFEiEedhGi6gdtfm kgrRlUhckIK2FzF08RgfNcgEkl/9Cqj6S5K8FlT9BQaEPNaf8najIPK8IrVUrpRQCywgOydibXYI BAVtRrGS9mNggLotTz/r+KJrmUFdLLDilbfn89fG+X9Yj1NOtb5eZCYe1vqyy8gQ/DZz2VJr/j3R jfA6svKNa1KefsFalGBpnPWWl7AQ+KeoTjIG/ibdVpQtM/+iQfwkwIVPbVrgaFgNqPWUhUSK+BmH V9OTTZjBgVMz3KcX2NaUNWqWqAKzAroTIhXVex8ZK2m+slZvix33kkiKSzqWbkFEhi22bNwbKu53 EI3hK01zQRCAYbivBp+x9C8a/FMmcKFvm3oGYhRv2aZem0fYz8jdSlxkH8YrJdfvlpMAP7d02s4+ 1mDYSTiVLg81Pr4Kryn/BaaS+JCuomoxGuEJypr2KXQAj/V425kTtegFv1atMC2azOUQmfHvXt85 SitDKjfZseLeuiBPzXWlPg0IqXbK/V1Gw2Y0eIbA4lrMNHa392qZmbZhv80s6ciVu9Ck2dVVdskT bVLlqN0AWDWMuqlUqF8YnIZCk3PFXNSSD3S4jlYAEeNbb44K0ZDb+x93ntMSIM6KLNENJ0KlJ8AD 5S121NP5UzZJzdbActBxXnM7wUSyRrudLimwyAaNNhOTkkBjI+4TN+22bKVvtw0AHHjmH04tLzuZ 12XR7KGKkgxrFfAO6E66X+NUzpfavG67tkzeyK69+quF/KXV3W03DzEMa0IB/IQW1OGMl2tk7fwM CedAyQDPLgaKBa9frtIBVsxrXosbCBRMvFiQAeDXovKpKvXZVWsarbGp5HXYf3PS971OhyJQYE0b 7EhZ7Vd3N7ZBIJ4qLpglsqpAKjsqBuKTNlgPYHTOIMoSJiFTIZo2dJ74UnBM4XHytFW5BvE5vlOk 2Ej2SorPIsptmHZ/DCSFFYDkMn5571diDPN/J186aNSl0l/fiA== `protect end_protected
apache-2.0
c1359950ff5601bffa4b0efab530cf0d
0.9259
1.900861
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/down_counter.vhd
15
8,398
------------------------------------------------------------------------------- -- $Id: down_counter.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------ -- PLB Arbiter ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------ -- Filename: down_counter.vhd -- -- Description: Parameterizable down counter with synchronous load and -- reset. -- ------------------------------------------------------------------------------- -- Structure: -- Multi-use module ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 04/10/01 -- First version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.STD_LOGIC_1164.all; use ieee.STD_LOGIC_ARITH.all; -- PROC_COMMON_PKG contains the RESET_ACTIVE constant library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_CNT_WIDTH -- counter width -- -- Definition of Ports: -- input Din -- data to be loaded into counter -- input Load -- load control signal -- input Cnt_en -- count enable signal -- input Clk -- input Rst -- -- output Cnt_out -- counter output ------------------------------------------------------------------------------- entity down_counter is generic ( -- Select width of counter C_CNT_WIDTH : INTEGER := 4 ); port ( Din : in std_logic_vector(0 to C_CNT_WIDTH-1); Load : in std_logic; Cnt_en : in std_logic; Cnt_out : out std_logic_vector(0 to C_CNT_WIDTH - 1 ); Clk : in std_logic; Rst : in std_logic ); end down_counter; architecture simulation of down_counter is ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- internal count signal cnt : unsigned(0 to C_CNT_WIDTH - 1 ); begin ------------------------------------------------------------------------------- -- COUNTER_PROCESS process ------------------------------------------------------------------------------- COUNTER_PROCESS:process (Clk) begin if Clk'event and Clk = '1' then if Rst = RESET_ACTIVE then cnt <= (others => '0'); elsif Load = '1' then cnt <= unsigned(Din); elsif Cnt_en = '1' then cnt <= cnt - 1; else cnt <= cnt; end if; end if; end process COUNTER_PROCESS; CNTOUT_PROCESS:process (cnt) begin Cnt_out <= conv_std_logic_vector(cnt, C_CNT_WIDTH); end process CNTOUT_PROCESS; end simulation;
apache-2.0
e8e7ef99f2306b13a423086dc4007381
0.397952
5.624916
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/fpu_sqrt.vhd
1
16,983
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NWXGYfuEjLFj/mhtv+EZzDSCviQx7fwuGvVjsf4A7kVlBVX/bFXFbXTrzsUVVb1ZBe8E0NYfA6An WtEDJyLjPw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LUVG6SGVKSjqihWFxg4IZDp3SEmSzmEF04N/gZ8aVE5J8bsnHSA94v94x9oULG40PN9YoNGC8Pr0 u1pznpeX3grVfeWYvK5midnSxKsCYaj9kPmGBZ+QDBpIY4cSTfDOX6TgPO3DZCE1/yQaxjPNy2aw RdvW0XSYHw+b0GQCLFE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LyOjFbgNb8/nL3jdS72hEZOwqsmQmX9qWY+zImur2pYUWXNuMIi0ypR4L9IDCvr1N2CWQ+5ymXJG tkLUImMclmxNCXDJdw7QnyoY3paqtzBnxwn7TaY6m+tGpAC5t+504pnOJsMLX0axazOuibGaQaX4 8Ate+ObWFBSwZRwJIhMsk/lf+8Ey3BCblocdwmJRBpRsQXlCm5CgL7rX38T0oof86XJCqtWHQ621 5ZkPW++vTriH9yC/k4GZfzHss9NOXGRC3fsyy8jaNnLF20gTWd2GsyBrz+ll30qrxeViSAXC5ENr MBLBWWSZdfNGiXBDOXizCMefvCPTMgpuF8tMGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iLhiW/FpaawJjTJfNW4lveyAlzdj5lrZ/z+Whg98uKiWnOAZBgPQKRydSAl+CVg/3ea/iOfB3TaB zz3o0WxtHDt5pR2fVbNcyLT57jetlAPF/I9SB5jf4mLBAlXLV2Fda6EWzo372dsSCmakdE7HsGxk AmM/+JyZ5r0fORcJvkc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XdIz513to+5JpIG1SGkbkQjyV/cpRzMy80ROQko1CjtnRmwB6iXqt0j7kcTvY1LijPMWN0pnWiIZ VACu8Q7EUdNPQUHccKeIDAjbvkSt96IFyBtDH3Ws0AKHY0N5DDdoYyHluchsnahzbe8a7liCQSwS /kQdMTnygRgnU4egADRPhebhC90Odng0y8AEHoDidGwmWiJBxQEpKzTdbS1eXlLstRi4m8DfiUNI xH129a35Ul96umJgZOUpXt+fji5uJ7kfIzKtrZyRUAPE6VGdWoidqGq/ysPuexpvc8+iF4/1Z1Jx u7Y7erRBBZOQSa7cB2KME32elnJX47irvXMTDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block 6+YuRX8G5aQ0TfKgD3xxqE/aNRUZ+y+N5HVZKOh64O6aQ95HN25Y+aUv9CLWGUho+eujirgzkpLc lw1y/AFbuQqFv0cjtRm6iXj2NbWZShvWKvkpyO2MJ1N5SOnGDoXUInjSTZ10X3F9tqy+x7+SCUNO UzNFzobVoCWGhr0DvjaKNgb+4ai4+sYfV9WhLynz68o85Co+0rbBj2tw3gasIXYS3Zlwdvm52OdA oYgaxdTFY2zcDw7805uQBikY3KUNS5kmGuFvvVyDGhhtSzrbLwy95wPIIjnpOBPzGNoVWLaiQHFh WwMYaerlcdkbgEGR0YMzC0bmvLOIfPrSWnU38AmrjIg7yPXTsKKKndxwIneWGrdGpDtTgGoyVSl+ ec35lJVdBrNai7NDnaBind7NCoufWPz2Y7jQHcZvRSV62q9ZZBH1ElRbQE7p0kiIlhcVNUxyWln3 h2XF5LxyRQZjZEtJ0hR1TrlS2mesuku5LmoGus0C8uZ6RJT+5qny4BcY3ET8WNlelyEt1EX4oPvT gXSogvxrpyvCV4JdUFUD0RFKxaeBhXDdP4ESU0IpYgv5fplJSCvQlM99nGVbda9LvjNpEqTViouF tBsuG33G2xBfwRyET9Xwei/GmuOJWpBufl3fvC6xwZJQkQzTV04SS61fteDWbH1XfYZseU5q8Kzy fj6EWXX5DyTXDl3T1hECyGg53J9xiN6js+R1Gl83MSfDc7NCPjlLOPx0ksWBmTaQseNeRIm65Sgw lcOc+ZXeE7bs1HpYaFqP9cULvB2RFu3rzaznep4rlCI80/I8WjlwegQtZFfRHZvhpW0wAyX3oJTw gbjHQyZY9gtu3RUYfpttSh9RixK7fQ6uvBmZZSS1imF5PiylEmfeb6KhvKTN2CJ323ULP3dlsnrh v/bY2Gy8GmDyMj8dyCTrTwwEOV10wWPS5vFQIXnFWDEWsMUmu0n0yb3FFKwXdwlU0se/e7vKq/Ha NYlPuzSsWRW/1eE4S9K97cpQ4FxlxTG6hHR31T0IEMCcCMzPvK0HYJUfX/R0rBY/Z1p6rlvVvJ0M ZAvvG6zPDDYiCPq8LdIVP5YjQ4jJJvDp1EIjBjfSKup8p8GSyzZnUJnvwcMaJEvZeV2HZ80SqyvD Cbm3fZge653WAsWdLCQ/BuKQlep3y1D8oIlodSkUcBbl5x7l+JlexNNJLQeBeoANqvleuem76vn+ 9UJAthwQBnfOkfVEbGZQOjjVN+KgDPwrJDx/Rwf1iAc1ua8u2C5jaLEkh2zMLHhq2GABEofzbEeR vki4joXGU+MtDa+IRC8flUVLRspOiAC3aiGw6uSTM5FukWqL+a0StibXE8rwMRXjAVHD6v9fnr9d Pq4sewlQHg3ibsWZ1pEP7+iMMgRLy2fccnbrEoJBb4t+OdlL3hmFSwSTIdmofJjGld/BsV5Nl1Dw 11xdZtkpVQLesFY0m4Je1cvjqC6JW52Vo7Sx3KL/PXpd3avQ4262/xjAIRyzvQVJTEG01cT957GP j6Hr414Xqzm4z8WEVC5Jq6bAXo4RVNSQwuBg4oDd9YYDalmnop3xW9C8imuN10GGvo3xhEHmdFHb YtcPTSXJzsy/9CbrD2zki2Z2Y0yAZBMNybi51ckqJoqgD87yk6nsyg868UIyG0FbLydA8mJM51MW D00mixLQoOR8kx9igtI6gKoNRwfBHMkZprgODzCWKu+Om2rQTMvdw51DTog0bsIol6B6hvK/c6eW fXHVedjW8WdgN9g3Of8vHGgRDifg2Lgt0g3Myg7OQHOoPcPKoQ1PqW/R9AZy6Nap/F/uZlBi8ALg a4IC1AxWJmpy7aHfzLx7p0jttg7JJmjc1TJiu1EdlRzvHEK1CdJBh7bQCuIGOtCHWvHmKsI2uBJm urZwtPqWglagHzJFMZUOzH/CHlK80v9yTCem3GU52Exof0QdRngg66t0TubZf5ziNgeSh1gXuepA dwNytrwd7OVnoxY9eARhHmrl9abnz4/+JKyH3lDYTLMyfq1HoDoG+zihVbsEJznV4xZfkI/nkDBy q2s//tWngu4bk3UWiiAQPQYwvzhFb0g/V5LrwpAxb4p0L49MW605maEL8bVCCVpHiMsjqYw+sm7S vIZiy5OgnV5etTN9xwv0WBUBgcIwoWFAQhniKhs7/J2iyg2vT1KWcweOxJbx/JJHiFtoa4M2AaJ2 Htvy5WnTjWn6Zl1MzJZzBprvBw5fezJP/CFZOdkrcaT5hRC9cZn8jAuMKslkgMU9Lp9W2myaBrie Q1SRZnjh9tTC1zZuJORUPkVDPCY+AIW/1FFWoec4vDsCVXVw5TIsvg+5+c5WoCrgyM11y/1iFaYA ln/Jk2NelY5jpSlYkQmLtLCgg6XM3uUkkAkR3D0ZjojWTRtWdw5tFu0u5bvhRjRyokhUkk23wkBL nNNrjUOWqklGW92yz7AU6zMuDowv4KdHbkZLcIM9QvA1aPC1WphTkW5tpWd6I/vHP2qFf+JoiuHB tRnCoI+ArM0TqMp/9gaaawfxmZcG0jmFrF8D+SUhm5DG1zqrs2Q5fIqhHrqfqCh2Pe1TJdX7MAiP nNpi6w4dWlkogAQKwpDQDTFI6mqa/Jopc4Kgnw5aEcf7JgDPfOVMlZY50GK3exL7mirh63ju8n35 LezBwMdE2M85Kq/x4JgJ04f11LXifkfxrMlU1McPJcmNfLkjza9BVI5J7HMVCB9RdlIW03hAu67Z T1J7IFt0KosWpOQdlhWE5z2xxLB7lcdTSFEvkIPk8q/zZXzcvJ9SIi2KFwAdIUpSAYTOCkLU0CcY zr6dXV/mvlFsUjtY077LC7qhl0fprmYb/3SimUkDwjwjkEMUmj4BsAiEI4vJZ/YRa+gO3mXqIu6S T2I4+DPTrnIgSjQktlsQnR2Mzw66WzqgXp75f4+UH5+3h8km/VOWwQRuPEzbi3Iv88UZiTLZTzQN Hgcoat0wkyvVQF6wovcD1TX67FhIkPAYmi45jU0UcnSfhK4v72pKN85caHFM5FjV/whQFWU9ZgIF zC3Kp6RvqLSXEQDHUTplW2FuWBEDNhsi0U0lPdESWLwMQuUlJLGyzvh1J9WHReMlw3aWx3dCN69n OVa47bq2B9sRF57sXP1RMn72wr932wuUsszBXLCCeuJgp52/92XD8Fl45D3creKxuf2JJz0XyI5o TSKBYqF9x1tQRKFAj7ZYCyEFWBBdrAHXawegZvvgdWCBV5d8M0PNIuzS5POiLDcNkSb/FZCvK6OA IlhcYf0Y74+0+f6IzXn+swUfEqH0KE1LU+8JhM3zOg/jpbsJw8EGErylMK/5uQHzJOiXpQZd6OA3 wmQyy8JWWpFuJde0pp0JIwyx5tteyQw6LD5z6CQtFeIM9A3JMOQAVRtePAz7OoK57ji2OpJ9xk5H UH0eC+tlAGWn/2Ew4S3sLTra8wjzvmO6oqDqnRWg/WB4jLGmkhNyFsUYqx5uYNAh+LeN6c8hgHIx rWOKw+y2qF+P6kYpcvI+m+HMGu8P7Yn+b+ax8HnDCeVR/5jAMjMYO1kexBuF7ovW5mQug7Uehyv9 UOTUEX8VYxPRFYXJXR/TdaT7LJY3ZGAePmTtMsZvvmj49YPxR8YSqkkF4mR6kneeXHOsvtrwqxT2 PlL8RR2w/sLldLVROkkyFCZA9Fg/4EqeVgiCic+cXH2cbkNGmwNUaHkhHEU5RP/wdOedvVNwegVC bmmIJdm8DbCsXAUrq8Bzzg+9E5YUfejRLuF/pkvLMl8DCmZVcK8DNsEuD4bf1obRF8hXuOjIdFgD ZeAYYhTJhdtfxnJ9WNn+0GkqJLfnsO/KEkh+oWz4hQnyHQPp+5o5yENxBlwNSDHsZOp/5piMdFWW ZzYhFG2KCbFCdvq9lFPdaEKpim1YcOQiBHeeNxgeu/nbTZ0SRF4gSd4F4L0w2QhrPaW9MXisVqLp Dbp4ZQWGfgRbX0mUcYkGp+r6L3udfVVmUUex3SJwpAIsw2CTMQ+iC+Z44N33wZGaoV4b+zAJm0ER qv4SFKQha2E4s7FH0Wrw2jnHfFIvseLN4tf2rQzWVXW9TE4DLdC4f8r3ocGBS+k7xje9Xv2k8Ej6 1fkvn9sxiFyFasWLXSrz9FrOkXr1+t/eaB2t8OYKaOAi/UEd8EXBRr2gN6Y/yN4VHt201lfc+iwq hM652Nogekogn8Kfh+LND3nLOd4OG6jl2WuldKak/UmhkaU4q7UQdvHMK2MqlLikqgH25Sm9UnGM e/nIO5Wj3PByOTy3nGuA4DIqkccqRBxPcTTpPxCJmexFkryz30q+F0jy8OwljZoXI+awRLiuGO8h Ha1Fn0TkKROmL92mW7kMBVUOyB+OSTq01pWCubKtssdZ2fFIUBQNe8QzZMW8+nPpt8Kf/6uWyFLF KgE+Gq3IaFOL5j6EvmWtlxe2/p0orfhP8oCO33eOVYNFkQOkBMBzDmvznjdGftjaSkuA6ZLHhhu+ LXG/n91fKaI6oIND0lKFZZSRvxetrRnwwV1lrLsoXUpoZAoUwQXlchgXK/gigFTG36Y7E8hUPc/T u0VuNFJ5GPwp5KOFOiRQ9KodVbpvoIefLAcKYdpR8G7rN4KiIykOIaFjpIWf4/en9QXLkOomfJI0 hW1jZGv+kdJIFvrCH1GTXA2cxOCk7aber9Se7EJeCZpSpy92HLWbJHnUw5cm8WECF2rI3mGRSnLu 97GS0fG/ASL/fvCNuWTdDxcIWWbU0cWZnzbPhKTGycg3SJcaH6OuPgAC5HeXIwQtmGuE40vj1GqE qpfAfIWURM+Yc/ZBuUInQKBBBvCBNQD9OKgW+p5zNNffsLumvJEWEMS/CpsrKI7pEzKzxVVt3cOn v/jmXzSGxExGtme1tq1Qz5mDQnrBna8QGxYlLLZR70PGBTGS9THk5vBvlGvinGiSSJEAlKB6Yf+h 4UCRRrlEOz4UbiTb5+i2PqjBIR9R1+fJGU7+YtXwnifXv7lBSQVrOuVpr43DVFGNpHs/0uopm4tm MAn0e4s0o+kOaR2KR2/Xs5uGgFDQXBMn4wpCR/ogMkc+n7Z5IQjdqmYUbC7jyAdyRlHc5mwLpXBj D5kPekRMAoZQnkld8ibZsrbDQZym40fZcTittQ09GpcsD/xbvK0D0N2E6bJaXiU7fBu4XRJixmjz QFdopCeQpH2N/Vc50fBS7adv8J95O4kuWpNSwK8iSYaAxK6eMjbc+LaCXzAE2Adr0XmxbRzK+1Ak xzlH/7V44uFFZ3bWbenIwdcccnUpJmOv2/IvGDtLPLFYnTibpZ7sn6Y76RHsDYMrQfybUPtqoKNG Fhjx9+8hSPyZbn/+6KEXLY5ENRWpx++PGZndoo8rnefvDvVSX+7ieBpc4ZIgnI6VBqyYNo0ABjkx ukYn64X+cLbiA7V/nKlxvcUfqPmsW9F6Y6XIT3OFFEJA1izfCFrX71mXL9zx/4cZ1qWN6qLy7LCB rZFBw6Idj1l+F4yhV2+VuLoxpwG50K9g1QKTRq9j7TwXWaE7/MOyGZc3vtCMfssVRQvXVB0AjWUZ s92fDq4E8SKn+BcLlkcHxAbeSTUM0KO8Fb9u/bZCEGxc/64I/IMPprpJKZqYmEUhARkLm+TMafHW HN8RjJ99jEKB/wT2DLezuUPwzo2hDReMJPdVsGzCdF/fQhY+cJ4N743bA/sMffNrR81CbrfiB/ea PTA024oKuGY+yCwT+4o3QCoXzCuVk2YlV5p8Yl7q3gH423icW8oJEYOZwuSMRRSbVzxlXJ4c1T13 zpNdGpih4Tk/UkvvA7OOA1LA7Nd5PVZDoSlq4pvWYTy5dF+GfQF/xAO7utDnuHsDN+kBdpo5yvVR QKEeG0XgTJEBVwXZ8yZ+Kz+nldqcfvgLL4yJcgAapiO8SV32tsUup7uK4nvCLas/VpVeAI592gd7 fguDYA7eOwcTSDYEo2KJwR8wdb5J8O+prM3VXG6qCaX6zunj09P8OU3bao0n9MTOBVYYAjr2pETM SEtzqz87f11k+T4dM/4Z66vhYoISj+boDgfs5J+NYutuiwWujDlivtFrZsFGUnDGdkj7zn10E1gc Yw7gQT+q4xPgTr5r8mDCURXNz9AOv67/207OW7ythupPnuhbkAXSZbauYQWjMqwYQYcYPjPM16Od V6STH3rCEufFxAdDwelqCe/v1DkjvlCQ1ANfsTI40utznlLEX8Z+5bCzeKyKUYrBtVoZiFrP+QM9 D45TkUGn9dIiaX6bGE/nkCQ6OdPebMTRJ4EoL0r7GagktqMfIIYb6xu2jVwo2NYA5waKPs9Wuadr G6oC47SA8uUAAD5v9R1eRiHxP2Kz9nWvdXy7/dR8jQ7oPX0cLJTZLEx1rdvyq+Uvipe8+5iEgwb/ 56tDbtba3HpdwLyihxCzjPoFq3C3Zd3VlxawKauGk3imMBB6QHiOOfGchoKEhxppVWt22Xr5cySq yCDJk0ge/YQEM6uf/OJEzvlZAF5lQoGd6kKRYM7RXgcNdmPnzc4foInbh90uIn/PLli1+jIwy+gO Cfomii2um9qSEZimgIhtiAZSKOHCf7U/p19dH+poy67raUNYgBTZ8tOQ6jT+sqWKq9rPwcMrzXtv +7Q86he03KdVb++FWzTz9tJBnSvwF3gNmq5rsBOjUcPhz34xGq5NgZTN8l3lQrquT0jjJEZNVJtu DsBwL+KNc2uqWnD+PBzNrDTKpTttn15ectzoDebd8AuG96KfvZlcY1uKU9EWAlbbcFGUrG4pG6HG L+dNJvO8+l9Jx1KHQq5gB0QdyuiILW3vmMt1p3/BG7nHoZ30zEnad+3+mdzYMK7lsSNztJ5e0moy P1tNQtlpiEmuzO9BBBBWasILcWc/P/lLX6VQNDQ6LApDe3Tatbi69rczePPaYTf+UWZyTuLDbar9 prZkMcFeB8xrDE+jGySib8hi8CZFMfHmOUom9JMn+JHhgvY5LMyzkA1/gxAHCvGVmyujP0swLJk3 oKd4s3fMS0r5/70MQVWFrMpdou1gL4Y56itMTCF+7uN8ST7yKpYtm4rhNF1liKH+L66UkV+XDGXo jjRMc7PQrpV+E9j7Llb1PF9bZU7oXsYzkcRvatvfxM438XHJwx8PxIzM8D9+tqHKP0y0riqiVRlN MDctVNaTdi3ONc217mSaw0WsTq/riowhDm2VETuG5B2DCvvKRwCfj41yfZc02+JahBDfE9J6s6ff qLfpZ7JFYTXKLOd1d0p9UWEEMD6B2XQNCkCcI6ns0mxd4bqIf/uYUAS4fSU/V9uSpMo/QNhvCauN eoloQwzhMaV0cKNkVbq/cmkVDQPu2g6Pvx2KauEOa5kvzViycwAyx1NFuvTLEpND/4WpMStxSG/4 dZPFgzukUR9op8Lh/LBqDE2EPeoI7ZFFI269gixGaaiVrWrYyjgehZgnYn9RjWLUWqGIF5f2G1qx AK4DjjHyOY7bgwBBA672Fu50Sm71jqT0/Q08+C7Chg1ll8KYFDTWV/lbaVGqhTvUAp4fN1LbAoei TsL/XiOcle/fLtzXZijM4rpKxwHGJlGRWNlVXDpSiEt22Smou9bilu/B5fDxCsr31oqe+nAvcF8j HgHfjdtTcfzfFxDhEHDkz6lp98pTi/Bms96VInI3SjkXHwEkzVue05cHQIub6lc86Y0TfEeYKwdo Cc88dw6PIujY5GEn14MuZsDrCt3iW0Ioyr1tj5z177ptZZnHt8N2AHmD5BgqUIzIaXq7iVdaneEL wQeEqHTpGNFoKGbKvnlFPud9AkIGMgebg0oUTNmibroaz9wZ2WxOexpdOnR2IzwKTmSPlO4CyTXz G8pAlcbJqEUmAWa2nHJytSJc0ju1PNlhgHC1GonhFgjZS2adekJbIEWQ4L1QNWRuHhpWELoBJOl3 3j5ZEHMYYYvhU5xB84UlplIHJ64iApG0z47lORSiaPJcOwsoPYLrvSK/TlQ0bGGjteZuBg9apjHy p4Y3ExAgdUEij7woEaCwCVAmiRmXcplvvHW60gGN2fPznjlhV3LwDgGn+Gr6Bw5xnogU/+X7WPZI BwRTw/Lnn4NAHJjdOl1ObrS+m4uvqETlxcTZIiCy1hM2gH41b3QWY+DCA6s/m8mJ+UZ/i763RiyK h44eH6+T9SBnOVGFBhO9r6d6AE2U16OFtQr2pcRW9EmGgeSb0hocIK4a9bcq68S3SyfRx7TvHWEY 162/Lypes4Me9Fo4vIHL+4jfWNnGIUm9VOkZplXhyT2sUNYNayktR1VtxgnqJazq0Tp9x6+TTjkI qHZj38RN85kW4mUGqrqmpz1061tn+SZReNVc9yVeX31bRSIvSJEsfx+ciJlzWNWYEUvK1nMgOCuZ pnpKUkjAlKaoZ6imoDOpw2t8BJQcSA1sePHmZgVKMXSjKJ6z7ObWc5NBb9peQgoEU8RjdoEDulZY pRqay/MorXuyc6H7IWrtfOJblzRG1htNTTydwNgOXwdPnLc6zVQZuf9JmFxo5g6/BKihHAcvhL0W fUCOob+FHvgUvhlcLX8YzuLEn1FbeK6V432XmHKwphhSkfsra551kOjIbHpBOeNhf218uXQ5xhkK GtYHyZr7Zul4Xz78eC9K7Xg5K+rbaziLykMoV+UosS/WMzBQHmT5LRaqEzccJFmDTDNnVHpQhXfJ vLfqF1sOHa6DFbuqPG3zDUqqA6Ltdkr7TQhOMNXPhXrKpkya67FP48x3TQ4c6HYOHzlbv2PH/cPn VzTGS5tFV05o1UH0QCIAaT3HTf+2w+cVPUGGOCdiRRpALrlCMUYVA6lYSloMpmJUEP7ktF2avolJ 4lzg76aUS96ep4iBRkbnVhbz+b8RN4leBCYv5q6QA/QQvNpX/oJw6ve9NB7CyIqaR9MPjIhyQqSM rAWvUjl+MhLqYpjPICXJb9tdR6LWDGi3t6hi4IWT4/JL/aweNnIMWUWg56cX9Oq9VrX5WV7O4GBg 6/VtqobgmPGDGv+N4lazKDk2uhrK+1h/ebU+4IH8/jompqugXlG6a1raUmdfx5HxVJlPpPU/9OaV zPZsPx0HZt2MTk4szzQpN6THB5KHnlPdRjUg7LzemkLW7/l6wxdQv01IxyYmCGb4lw1zOEoTtRD4 7qZ6GpLb0Cm1q1LN70gtlf08ffOs4bcer2cFfVOUnszjSNHAns2FBpWX1L0S8mWaMIALso7v4EoJ 3u75TZ+YABHkTWcWLG2/y6q3C9HjqEWwr6+4wJ022aDjxrmHXRX1DeME4IMOT4FkXEoX2J1U/vXX qEpYgHX5KqRapwFnSxmQgsEJeDsaqmxuRUfZsAO8mZKm3pfKECkz46dttP39klIDRygC78rwlQ/U AthvnT3s9qW6tIa5Lnrv68QPXXnRHnT7k4MiYaoJwDywTSjd106XTcA1o+6Goh7FBRBbpLGUCYUW 8sPyvnRMg0VtmrMWAlUCeD+oZGloq+TLHV+CzPPEP+F2OF78+Uy1qPDPHttaLewXUN9TKyEpnckI w21RK6TayKSPQfNenvNDaN+8+1pml5aTOnnbvCCB5RvsqhzNxcV4NYHRUUWgDuxhq72KpQClS7bR tr+UKM6L/fu2jFi23O0PSjn5+9pbi3y9GE2QbW5tmMarXGYmf5mhLafam0S0r2qw2MduoLPPmwGK CxLBUhF9OK9cc+Mq0LGvvwZlF8TgrHxJuLZoxDwj7ticRPUmj46OFCyKQHJxVo4QBl0E5gYJt8XP Sh8U6mRQkZFr3nE9cuXyS8wJtqMjz7DGYjOR6xFnSsPDJtfMu1+X8dFmUNMmNqUKqCJGeplLguW0 3oGtNvGbi66UQBF6J+VQlba0m8fk7GfIImP7Ji1rSt53d4WczwR2UWkus0tKaIZRRELKzLtClSDC EshnrHFKjPz3Eu/3s/5RvG/YKoX9iMO3CXO8szbC7C1GZZ5jnu0K40JoU1exBbS4e8oB4+OSBLCZ xhDRAImVYgK/B5Zj5T89Kx/HJSGbZRDehDpV0RoW+GNpklZOzvauM1uQgRGMExaDHSK5Ne+tSBAf 96kHDB0XPwweUhlhqDdrfWSPXsevy+t65LvBrNXjIUI5Fu+MkfMJuqRi5wptDz5wORE1SNEXZxr6 VDDEpGzypAqpaUzUaUe0wqWQMykqzUHGHU3BJCq7vykSOhsBPaBNWBVtI7b7axwJ3KMhbKlN8axI cj6MrPJekbzYbgEy940vp9Mp2xhVrpwl9T4ZQiWcUE6QwsProCJz7hIPb6QBIwkKT8OUK6Ej0yo/ WRBmIVLQgI5Jr+nHmR02iGfNWm7q6QknTEoRqCgTph/AugiUnex/Q97+7oNY5Ef+CRhr5sgXqrnk Uc8LX4efbXDiUdc5F0SWWADEQKv1VBaEXY6cjOSJaXKtkgGN/ugwC7QneGHFppglzg9hLHvCMl77 MJ9+iQl/WuTRFiatuFP+7ga7CzWUftiU69HeYkdZLzsz7TkBobouaky7ZoyjlnoPwpbv1hEOojGZ QM5VWEDZyzezqJR6dy7E4tYVfc9O5hVjnTjfPEiPJR39m08j5w/Ahjaja9t0rUwNjLZOdAyCQMaz AKRHm6DndQFk7gerViLSIyG95QjEZOKTqKQCI9opA6TRhLFjUR3XYnGKzd2wbevc3LFf5hSP0dLt 3/nyGlGKvtdBiD7Uq09IwHG/CAMYHNIpZzAv+wLNY4OrJ+rWpsEtTGvLkFyay7PuMFG5JhydcCj1 nVxPckAH2Qfk2rbWuslYfA1k1Su4I4hqeosgqX1UPudLOgiwerJwmrQpvmyNlQd9ZEMKqZd9s9u8 ozpqa/uGIuqYrayMK2LGaITkaf/zCIgE10NqUn02ZSFO9rzv7UP70SAwR6IFoxczT7U/9+7GTh7O hPOiwpvfk/Rqg+wqxGmSnXGiq5Pnqp4uPy8VVxJCyPH2op9sOhXjwDTqCmk+7+xRkV0wt+/xDf/g JKbs+UAve3gLi/q/DabPLTFNVKbBABrDPy6WOWkjsM1MwK8XcuEkBGLgItvfjgxHDNMzaGV9qNtG trhdH8aozC7OI1novgDRDYUHJYY1LsnBw211HltHMjYJEQfyFTGTfiUDRE4pd2WqdL0qlQRNfi+j lCBbaOt+s3sDSoUmVFNi2cVxAGSEnxp/0Ron8iyDVneWSy/ulWxfJCtCsDjFGdTcSAlkckdmJNxI qK31cDz/oifRrgzXYpm2qttUzsi/IQUyUw/N9XlqH4Ftee7USvIN5QHdiyxKAQtyXgo3qVVkw7RC d3D9f5sjNvEg+viOjTl5FDMt/vemqip4ltdLiA9j0VzfvlcaDKD+ZSC8ObrgkFmfgRdVOSNPoQEq Kk9SgHZpUpVOshP7IdvOdx9XzEPN8xsQLbjaNqRn2VwZ5HoNyvpbMdnzNQ400d0DYTF63HHGHr5R vkcnN9KZK2DNBMk2vR1AlkfTeLmR9iC7y5oXsq1pS+Ykmkk506TqfrlNrM6GQGQNJxUTbxG1uWQz tFPhnRbM9ueEirlb1tOMjaY/qKo6giZkXSzQXkO4wzJ7lbY7NNXa0Lscewqsm5Jh/Mv9irIOi8Nc akwFjtQSqyFBBqbwYmZGPcGqFyDh/SLFyiPqSDt2OIZVE4L3ONORpgoYSnpphPbrIzJM2fZOQzbT Y+QXoZFYfJKrGB2S30gVSpKnF2ls+cfvjSYe3tiTrPMSIH66h32O6bW7K0SdaIEfiQE8oIF4i73J HGhNL34rpinzzpwuCCxJD9Bype3nZrI05Fiso0GBZgp1dyM5B13ZzPEkpohgomlzhenJkik23oGz hOgSAMIWFWW8qd1m/6ndgfJNeCHR/rcYSDraSqSF/gZeYgr0XWuu+bqJCUh5q+PXbTjoBL4ndx2a ZMkStdD98oXuoFmOCktQwGsQNJ5Xf6DUK0kbuHexVY3rSKtpCUH+9QU4VyjnlqMtl5F5vHk/hAl5 aqQrGmcuYe+DjMxZ3GIuccQmNev+wXHdoDniUf2nOeouuH4GcEjXa5OFbnHj3ntjGbt0W8NtDRSJ bb/PZkjNenPRedYIKZFl7BiEP8EbTdGq0BW8PqjWPciDudp1PVuf45NMuik+i3+kexxhBq9zXQfy nukAt+raEm4NXsmcOaTM7pRU8C6VEqbkDqQSPe4t/0dJt5zzyAsuUaFmpNaSEo2V6MgDzjmMC6pk mgP2Od63viScpRohRdxGeymW9Ys1yaYp/cVXHk1omOg+l3TYfD7+9fwbzy36uLSdAy4pvULMvYJw ycRAa+GokoWSV40B2gctOlezOMV0HuLsRCpkUlOPozqElZ2ynUUm502VUEFKZY7OrL+2xofB9yxg MQD9ChAROj9GaeqKl/cpR7rHBhA71NLKyw3NvpaD0vRYcnKb2ErmTUfOvwd5Yk5dglhfXyeX4s2Y KH8gi9hCaiDD9ZQ5szyc2hERbUNKGWXnzk8POBKo4j/62HmBXXG/9AzzmBNHfDUPdAEbl+i72SlZ 2J5WtfckENueDU0JTn41v2Me024MVHlJFxRFAKxv/ZsZtMUA3EJgSXVuLS1sgC/tCmDQbYqQH9Mb XCmlRbGseK/DZU9xyW7FT/tg91rCVtSD+Udv6u2nUnoX6/xSOHaX4W4jspuqWT7kU9nGGer6U5yf z4YF+4HFSWGbNvgvA+a8TXtVWKpm1mGmiv1kg8dGiz67lIOUKAO9nnWeiw8kH1KsEv3lcd4B2V2K PL/PW18DyTwXegHSm9lidwGw28z0WPJMo8QUqVO+hjmWfvUfAwbt1+MGaNCYzYuAeGkISO7Ydgdg rxp+Se6a4Tgxj9gXHl3/3T6lWyGoxTupEp16AwxqViWPODYasQ/nT/Q4i4XhXxjQIQ8mEXziGiO/ jK0Ax8dy4S/RwnWNwBsve9QX8cMNDoXrYRu/rtmfOZMTYXTA6aycCZkQ0Fj6y/mCuYFAYThH3epi ipnXnN6jchjG1Cqh1N/AMdwCbVZk/HZl2OqtkcA6O2dgvwnP6u1Ko8vRlzsq0Q7wHZHKCXwNHpjR zMzuFSRj3eO3gPHRFo7VdJ3K1MLWw3tk2DWHZV2gQ05Z7we0M7UuEuLMhhbVheTZ0ywh3NyPr9aQ lPo00oU2KLqAGUrxLYnN45kUuUN7vpbPkcnsOq1Kw+0RW9OUYwQtOXT+x9Qf6ZUY2HsbIE3l0NuH gbk9GtDQFIZn5Wkvxd5maDkXPytmsaINBXK6Xo36gv0orq7RlWvs0RfZh5BblDDSLM28/myVPIE+ qOrHpvxudc9EF1moD89wTmj/3YITfeFuDaN6tnO37XE2/KSOtvTPKInqYS/Fzp+xhkLwwgn8CBbH MXXsHA1LReRzKseFlJ2lUtzm+zraA0BdNxRKQxGDjZWLWG2wzVx1RdUmiJrC25vG0cB2YYGCZpqH Trj+dvTV+SPR7fPZRjBHyBoOJgAVTH+75hdux06Oqw5vIJH+pa7voxBSw+UcAAp1YtOkEZsFpwDt fKkWTlmBsSG3gYMWkyTUyr48ChjrCqAOIuLmDPZ3VcbyTiY5AYO3GTSGeI/N8pgvkgrYDqX6XKkS ghU2d/+AQk9OAu1W1vQ1p2DZwyh90lck/Nz3lbDQ3TEH+KXRD0Qf508T38G1AEBbgaWb7A52G4Dd v9KFc4yQbCUwH/dFvf/NVYs49B258/c8lE1Ui4duIaIQYVcQLSTwBVFcTgHybz0tSMkLr41gmN8q RL6RyU6JSoaPMS8GeRfHClpPm+9O5LrpU2DvJ9zy3Ar+Alk75b7a0QfGxVYVn7GoeZzG6L5QnW3c TRtKOvyFZc32BIhVGhzF3VlJf2Dc1k20ORgMHqbW0icgHoXBAxMRXiPZxkz8vEar9hN7EafRI2Qz I2qfmblXlzZbkUU0zka18YlB5ABfYKiO2N25JsO2z4EcAPCbVxg+CxcV6BcNUQ9gXYBGEn7kAIvz wrjTsXPKdWarX1qibs3Ty8AYoEod3ft5VbhvYv9YE3BbdcPYyLkB8T2lFosy7xybXp5Sz//QI6dR UxsrBVsCetccUl6vdPFTa8gBOgi1Fvk326AqowAVEymnmcPVmMbacLHRDy7R1xrzaHOwD4WVjoeA h7Lk2TkkSo8eJGOj8xDlJ9Oo4VEJeieRnohekyx2G7lu5RPbMrE3kRYHAYWtubkHj+kVu3O6E+/U aHKl/MYqRE76T8qeXNKXvb4aS0yjbCVn2v/ThU1bI8S6jJtvNtuyM7TmA4Jo/ATvj0glUfb+oWMM nY8nGn/7X/M76CZoo8Bnw1UL7R6ZMiz/FQxnmSwNrbfuD/303DvlZ8rpt7rf0Bp0mkTd2fGORjBl HdhYChhT93+s9O6VmRPCFzekAmEyXMDIJ4kPvZtB79t8e3cK/E5DaXp6fSIH1zSfWIYNwJe1P1xg ixGOgC9sjCTWd9lKyHd0Fc1Rvgpcit1aUq6HX7/j0wud79zZ1YdS9MzJpK8t4YppwxsWvQUchACy 8dQ= `protect end_protected
apache-2.0
57951e71b2fbc4fe8c8b139531ce91d2
0.937702
1.87058
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/common_types_pkg.vhd
15
12,094
------------------------------------------------------------------------------- -- $Id: common_types_pkg.vhd,v 1.1.4.4 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Common_Types - package and package body ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: common_types_pkg.vhd -- Version: v1.00a -- Description: A package with common type definition and help functions -- -- ------------------------------------------------------------------------------- -- Structure: -- common_types_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: BLT (from goran's microblaze_types_pkg.vhd) -- History: -- BLT 6-29-2001 -- First version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- FLO 10/7/2010 v3_0_a -- ~~~~~~ -- - Changed Get_RLOC_Name function implementation to an equivalent version -- that addresses CR 574505. -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package Common_Types is type RLOC_POS_TYPE is record X : natural; Y : natural; end record RLOC_POS_TYPE; type TARGET_FAMILY_TYPE is (VIRTEX, VIRTEX2); function log2(x : natural) return integer; function String_To_Int(S : string) return integer; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string; end package Common_Types; ------------------------------------------------------------------------------- -- Package Body section ------------------------------------------------------------------------------- package body Common_Types is -- log2 function returns the number of bits required to encode x choices function log2(x : natural) return integer is variable i : integer := 0; begin if x = 0 then return 0; else while 2**i < x loop i := i+1; end loop; return i; end if; end function log2; --itoa function converts integer to a text string --this function is required since 'image doesn't work --in synplicity -- valid range for input to the function is -9999 to 9999 function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end function itoa; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string is variable Col : integer; variable Row : integer; variable S : integer; begin if Target = VIRTEX then Row := -Y; Col := X/2; S := 1 - (X mod 2); return 'R' & itoa(Row) & 'C' & itoa(Col) & ".S" & itoa(S); else -- Target = VIRTEX2 return 'X' & itoa(X) & 'Y' & itoa(Y); end if; end function Get_RLOC_Name; type POS_RECORD is record X : natural; Y : natural; end record POS_RECORD; ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); ----------------------------------------------------------------------------- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end function String_To_Int; -- function Get_RLOC ( Target : TARGET_FAMILY_TYPE; -- Module : MODULE_TYPE; -- Index : natural) return string is -- begin -- function Get_RLOC -- end function Get_RLOC; end package body Common_Types;
apache-2.0
6e2f029fe22e8fa9c8e315f6d1a97cfb
0.441211
4.722374
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/fifo_generator_top.vhd
5
36,480
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KnygbMjgOQCqhfcawvvvOZM0kPu1gGKm6dHOIF+fHSKW6Sm6J8MhnFRV9XJQk5sK5HUeB8lTgYr/ k7iO5XNwiQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bbzT9dbI7wikdLxg+BPxGcBgnzk1MMaLfdCmi1ZHHQbblGZr9SHd+dLGX7V9yu44cjowlNmcV8eG c93HjAr/CqG7I2IubdE40ZWEP1v7BjpzN9qqwl+FMiLo3sbuY/CUb20KIvxTbtHWNG30U+vbVzRR Eb6rFeN2n5wrOUzoUxE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IFVfU8sDrh+QkRjdIGftK2te+VIMb4OVpyWSOlLsWXvk2BsKk9+ZLa0Dax2Y/AYrd3UWlsa7thye dGZznyP/PHoWTDTd/iKDTLLXbB+yz4mS8KzFVJFThMkdHfmqqyRU7Ww/XDD8dycapCq7OmPsYU+Q XPeC65aKR9GBgUNDZquWovk3judr1xU+pO75sH24qD0rz/ArCfvEo3oE0w9Sagx0PI25nQy8BkJ8 1ISp5w50Cm+BgalgBECv0EPYax5a1xy/2Z69lzPKjc2yMb9X7ruOfOcHzGHk71alYuEvZIYQjlT5 /+AnR5QVWoIKIqwScHtNK++4EE0Hc9Iv0B36bw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yaVAvYLOND+NGLsMj/M5+6ky0GlJCWlISRnVLqYx0nHFiLOrkULsQrxk0JIdxhjvRlbiHd7gn9Vs FJWU4qQitGwBFV5mviEZK2xhw6fyTRDpdmNwG6VCMifTlm7GdGJepjbiaAMfDw0NvEwa99OTiMjS 2PyVQoMCxeN5wkRPB/U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block U6P1oihChtDKa88DnN0P6Zx1Noyk8D3NRxcHXGbovk5qXBD6Eu1lYouLN0lOToZugJpYUbwLO+ZQ wkdrFb/SjkPCwT9bahL6jiTcvd+JKk2skyBlzNi0vGWk/xMfIHI5QNUOJamEope2N/ob9AHyoROd 1qthhGG1YoouxRPxKon0WkawEzMo2zCKz+/VO/Taa4wOWTAzyfZZzsx5o9Ds4/9ebzdN7nN3hHAO 72v2APCORICIBdcXCiLqi+4eXFUEBhh1WQbcE5y71QdjRH4ygGK7sQQC0qYqEOuJAXW4dTMMCg0M Cehkdh0Rpub+ChEcT0fO2Sa0z0K+olVVuFhYXQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25264) `protect data_block CeKQOQIjC9gUKifDiWoyukT/0RE0nwcgbCb7e/YWarAQg8WFg4pTS1h+wnYhelR+jr2f8tUCpbca oajFoxKM5gOiQw9F1WhtBPcJpF5+PJOyn+DJS+r6DA+FNFDEDCBFYbQxmHeHwuhoQRMXNyu1E5s4 KMoX/mgAC7W3W1hkYECHCkvvVXufNj0LdoPFFDrluK0IbXzE7icZ46u6VkY92BErnWo2L11jSgs8 O56h/fd/CMiNuFnxpQuhycLH2cZ1b1/OckBUwx7z7GJUgJaff+Hj7mwM5eZZqTg4S0+UIFMdrBad o0ryWA1RKL+RjaeJSbRL6n3AEgPlgPsxwN5ffwdMqVDl1g3+lbmdz3kLpK5vG7SaO4rIPeMphVUJ AY86ITxWstZqniJcPqd1oCVjkVvgIosH1kh+JM3uaAtcTF1Q1kYMGm13lJR3lgVoXb2dv13eKdMZ K4of0kp9WNUt5RobBnstFXD/3IUn4cUb35F/+XBtaBFMd42+F26NrxFFyrgHlhGN16JaJNGh8YvK fmf/YBzIEbonH8cSl97mmxDR1mTP64KkYIQVAC4iqXuSCVYB3qa6KegQ+nEDhqzJqBDM7N05A6VL MFM9vYqbYbwwWHNsPWzxUW1kNxpqhfQl5PjuYEkLzpuNTxbfb/8Jwa/tHPjNogE0F3KPoNUe/mji i/dFEtFKM9VNeSndT/3KjFHBvfUl1FElhdwUInqn4Pj6mzyAvJNF6ON6YbRwlW5HuuCHgBgkrBy3 4TH49bFJd6l/a7XoRvTvDoU8Ts6hx0pnO0FPFcq1WmxmOZ1lNmuQ9XL1cqwcCKeEBJoNOpZC2nZ1 e7kkUBpcr5NLb+q4Z+9nA7SoHpSdwmtlNxHnxRfLf1YGEmMyT1mt+50fflCUeoI5VLSr99uf3sUS mkDnbygZsbZtB8lzwhmncdAywAu+yGN4gm1FrMWSFccWSqFtRw9M7PzwNnPx8qH4x0SGF7XxqGsG Bs0GjT3qd3O9BdADTVsHW6gLQeFLnIzlgH1H5BpiWlPIAZVvCbmhp9+bLfZfBN0HH6hnFHq2hpi5 tscuvvezcwBrKkhp9uLDCja+n6wQdzmSoTB3gHDGjIjZwy/GBz99HJ+DR53fzf1qQLRTH+skGb0u +6GVV2j0apKb+hYmJfzmNnHn1IPk+68MCIpurAk2+r3cRhrPvbeGCLJOe/Y9ss6+7bV13wkRZitG VvMNjaxOToTFCDmIEH3yLvR1ntfedh9Fe5p4frFHLkSYETpqae/XzXzJ+Ep+7QAFFY1y5/HiHMhf Zr3V+aLM5AuaLzYBrtZ52shJFIRBJmxx11FjdJBfGHavGe2lH21Hj8WzwMIGeRjsqWhiI9c4//RC VbrqIgpnJwzpffqS8z4WOhs4+HbAu/IGtCD6u+l17pF7F3AlHK58huJLxs9k7pzfRGJmp47ogOWd ohf4Vsj8AYZVkshxNmpiVnpMogvRRcw4OCR+p9KPYdsR/zXI4I8S0s+uU7u3eOpj9KM+PsxD/O6i TbrG+YV/YZBIhgRzz/n5Z5Wy59C7L3aOLK6GMDBRbEzbukqqq6cgroAxeGk1Ne+RHJGmnRct8C6S CEyTOfpbmNLcSVkYCG5uOd6a31vPD1xzzRDlwxuQtlfNa+iZ2Lg5vTD4eL24wWn1qiDpOqupeb8A GPQwYq7QlgS+yhYN1bx0tE19RXIdFN9r7q/JuH51zJ7H4XAxxu/D8SisTFX/kZbRGc3X1Em3CVfZ AokETQW0QVvft9XM5fSor+4v18u+Cs6jRi+adxfkws6MD9Nr+KpzRUXHLI5VqDwPXyEs6foZz0EH AB43hdOoNDYgA8aKzNjwqbtjwo0dH46TYfLYqb4kdK7DisSwF3Q9DNxPS4lmbvls4Uro+QJXjAX0 b+yGea6oUelqaKg/1vFt86WOEkbvypfFWM2kMk6n5o9S13rz3nai9TKUKSJIhzS60VOkVLmPAvod joefHYc2dcz578q8VO5GlNbTIbbSYRCs3toMJ+3Pdjx4K3NxZt1F3HsEf2jtyaiuy+jwxItZU+R1 DDLLXbaVktcexrqiqFnOKINxCxWedXSjmnJHJfWzh+2PBV1kbDLLlyDhadYE58QO0eFmbd3fUlRR pbpiTFrKZmie+8C0lcPmTcnIeCCnUc0JzKleFJ9hOX2Z0qU8FfCcFdG1kYIWKhvlZwM7oNkS1Khz 0Z3IIuHqIt9CnYjKUqNUOz3J8Saue1bIF5Uu0GnAh1UDj2U7ZRvg/nYz65vgYR6D/sCD2C1+VfaY 7Noy8IKz6GT0+NhzC5UzLI891UD5VpM1J2qBL+D6iqOzYfw8gD4t4xWwsu4BB7Mh6NBSXFyZQhLd 1+Mza0gD6W6dgTwEU4kBzaIkd+q5lU0kKzwPlsrBKxkM4spuf0ceYagxfHSyO2OifNxT4tKzseco QJLLl8Xm6rYA0TMrnVzriFr6g5AOiFgXkEGbqKehnf5ulFP3uadOQOdmt4k0ugfScalD/+tO8WHa AxgLTqoTjFyhj/TvZRx8VG1Sf+v24rRLP3omSOvX2089JxUn/E0d67iI3ETuJeDaBeQtndFYbOUk l8hxjnqqnIsnINerOSdIr4ElGiW+mBBT4nIo/K23Zv2wqTU6sSQ9+UnPdGb4DpfY3edZszthEFCM Xe8iNeAi1ztJNV/apubCyL9ewkQjHXdspuoOp/0chN5Z0ikILyN+e8AHHxdHulCefWl6KPRvuam/ OvMwB5F5ZB+/HAZFqhElk3Lf6qHYJwRoErH+pd4+NolmsU6ksxGeflKibLJMXGM7sL7GbtuUKXY9 b7d5ZYxLK3wGNuhJWltAA6iQ93GlZYoADzMchlLZe5woGHWLiuLgG0PQ8fQNfMLgtKQFGLuVxmV+ JbyZdWrlfSRfOlpl5/e+JlB155uGkeVBt8T/z/Lc8So9ea5zEkJS+L9Q690yRos5JRBHDxjzdu3e sJlGHLBHQP68NgqKr7nIdvhdNk0EH1yUaxayjke9hZjhNztXHJrr3uG0U/axSmC3keJu9zoRsxEx 5dFjjr1nACkSZyHO9L1s20FOtKzTHWnOlJ3BHgWvhIJCxBxgxj6mA5aIjwjQaP8pr0gXPGiD0pan HYTPKz61cXswdvVqran9mxrR6jzzzP45lf5p3BozDPO4Som0oaNPta83tUN4xN2W+BboHPSsBJ1n 5yoK+Rffr8e8PKHL9LvGv/HAhgBXpgvNIkfhO8/l7ldyrOamusSLJerFDQchn/mKMDF9y6Wd5Eka H0ypLMAKAeAHatKYOy/iYshJtrdT1OoOaYuKs+psByXJb+4jReKdVp/dgxHr5xIZl350cgoDcsC9 3kSEiay16Zb49bSDhaT01CqGCmTMjYCT8Nh8Dvo5opUq5l8kr2Z/2skFpP5Bg6hVTJyQaRtDOoMf Wys9KT5HXXRKr42a9IXUe75azHhHgTBagx5YWv9aKrjGJ9cBFXypnhtz50S58HyXv7zLwbtmjjpK 3KdSYK+CI6vPEwFk9SYJSvK0lxkDYDUjfHQbm2ujZp6+4JH7hlN2V4h+4yDxzwyl/c+Bm3mOp7fK fTu8fJvHR9LW/DcXLOk4OWmvpXL+xkYJitwewVnQEJW268LAb62Py6ycWJnvPhxRolm/+5r0shPF 8ahcPPss/IxlFQzqioTDTv/GH2VAHVT3QJP5JzBPRxxzGbdOaJXit+RJCo6VRH4426QzF0xfpJiQ feiVdfq8JUIpb7dnP1j46+7rkDdV5aMeaKQ2th4cOUjCOAU3LsudbWiBgJpsVYqlwomrVRJW10vP +O1DTdU4qKUN070oP+E+15ALPSGN1BzpXmZ3PfmrM3buP9lndKO62WkgwuUMlZixBAnQcfC8bYit 7SL0bb6qtzT+PM15o8bEWmw4v06z6ZfB86TP9zF7KcULFhcRI5pFLKHylYYp2h9oaNoaTPogDdti H2VLrZirlTMpadg6ApJmWJU1bN3nvuQti/tS7hYTZ/GXvLOQSFnN7frfKmnGfoWuhi2pQy3cIZ8e GRem88XoN2RgV+nIkg41ycd58VAa0JX9ApssH41PRdX8i4SRDi/06iANRO7/+SC1V4ND7tw8c4xS sqF+HGtu6JNVFsLQeAtN6LXLiWa1dXUKpowRkc9Z7WFMaaxQsksFM5HJ6MUspYxA7zy/XKtVxCCh kYh5nMPfY9DuNQpbYr7ylRI20ce9zd9FZ1Gchp8h2AmG/mGkmHM2k5y2VOkFjSBSLmFuQjMnruhz uayxj5kZpGGCyMaVtzcACb3affWEvaFEXXK98JIG9QHJdavqgJuOFvyvVRN1BajCBzwUc7pD3Jeu gHNZzNPlSQlp3jhKUZ44HLj5sK/4sdx7fjk4R19ZsNyhP9AULR0JFVJCQUpq5Nfk+Pi+yJJ6W9of 9wXIaC4HuRNuOtftJkYYj+a1pDTRiJOstpnyzityBR/2lbH3NV1Hek/Bx2NSpqSumIFbmjWiLnFQ 8H5J+23onoWSqxoIieWgwds+h6AZKPyciEiafGCEUlrAU2AzghwRkEek5g6BoVpFt82Rc/9HFr59 s1sos0d/M10X8iDfdA8EElz5FxkRyjtUAt/brYbdUSZtlkWzilnj/M4xaIpN6Xh9GAitsB7Ap95j bahkTFpNORBuD0Kk+LUH1r/Ok5CWlRp/lQ/ntHe2s/XDOxA4FhGMuKJLy5KRV+15eA+5B450TOar c/JIjPM21cZGmAs+dYA5yZ2D1VlU8hBfjuj/MHgzXMHyVJpkoT564VnSMLClh93QRLvR9V7YHmGK Jpz0PZtPN6JmCw2dSolmFfk99/qHLX1Sxsg98fQjJX0lQwlKokbrKrDVzQ9bFlBr+HeWnDBOKAg3 FSCgTz30Iqd1VqX3if7dSB17YqpGwckgACBmz+ifiFMSZxLBIPDfqu8LLKCduqTQF6qLnLlWxvnJ Uv046nJxSnHdRzx0MUzzoCR2COYr5M+AfcoRUyaPwFTwyn5ZjEjhn7O5BXPXqXJ9Zqx0xgs8qSkB XYighHmc85gVDWYxnqS2vcOnFWSz7EfuIrxieSO4VN5CG4C7son12TKPXYAEe2ASP/zle9UM1T+8 OTl+oZqWMLYDMCfrhIuNd/DaBlEK36Ddt+8Agf+vvhZqoDSal3otoGIeM+818yJxMyP10yojcpGJ mZlt5uSJL6N4IhhckXwbS9u4rVi6KxNM6wT1KJZXxKtzW90bYlYdgJzcJY0ZqgjP5AA9lXhdqShd ZrUpXkOGo1+M16fLikaWmhDWA3trCQLQgzw8D4Cvlq78nxTuAc+kNI9O/lOVVMrfZ7yi5blA+5Ci 3IAxjxAHWfm/uw0wzUYyYzsBKyY1PJBWj8WSZJMJXZhRMkluGxSjKgZGi9Bn4bd24wj0Fe4eMEVO OzseIvQkMhzi4FMf/CZ2Nz4lvt6r9cJGMEXmEaa1/xx/nqE7Tb0E2mwa2ArOSkVdYZQGzSSnPfsr 91oRPVpb5LSB5B0i/jh8Fdb6qOVu8mKkdGuPQ6JAnDcmbTDS2+CltyRsh61fdi55Vi1b1vSmIwYY hzQlcNEKPhUxRYalcyEAqtkSvV3h2fKhXOaLRcVDH2l6PIIiaElDj1lZc15hIv5WFcfFyLb/U5Mz xUD0jyyhb5xB8/9xpUZGMHELeC2rgra0L54vnX9f1YbU5EoM/nk6R3zb8L7EzHvamKZqKd0+uibc c6/+mKT907sr+XN2Wy+bzY8+1m4u7ftQgs8RAt4Bd9LJUCLIgMnrRMbcXwn19K/DrbyPOtaYKPrN 4PfanwcqEu/KKhMHQ0jAlHkcdEDZo/V3Sbl6pXvIhVNXZeFWtkEGjabtHxdyQs+toRECeFX01/JI Hg8nDiWNtSuSGB4dh5lMY4Gngm0IX1aQuBh+tgONa8HraeYv4NubEn4/GN2RSg+97D4ztZW0yOoM ZidRgPOKsmbS2a5epDmlUyTLrb5KeScE9Xa+aRl4QUp8YUpYKxHqOLYBOaM+38g/X10QQ+PpkRX1 1XYLZ22OkNBINnRnTgde0EZ0VhgDTA0pKeQ250YW6itIgCrXDDrH58LvUhl1nB1U9CBwoC+TCL/b OXKSJb7TkX3bhyO2W1GMl5qh9eyLhVXb5h2FpwKvgJQaKWGbNbPTm/lPbBBs2GNDQ56+2NfpEn0N Fwnhq/ohoenrR7YG8Oph8Oz66GVjibsK4/GNx9phd31LJJX7+gI2RnYsiWFB+uJrI/Qxe/q/fhhF 2Rr03+HLRdnjfLtq9F1+//kDQ/hOQQ8Yjh4rXq9QlCM4j6lU0EGjhF27ClQOkmJkzsen3xeHcifw a4Ol86ChAbIgum9P5+fOE2LZN3SZXB2kYygZ77A0dNhFXhxOEuz6hDKkmPac0eB/tWTMnYJQ4qZc zn6y4Q5BOiBblhP3tURN8vsxdW7C+pzzsjQi/vOYPuT/Jgwat2WK455W7r3FACCCm+uL0UMRMuFU aPP/t51AH3WulgqEjuj532PywxOH5MVyIhW8N4Iv9PZKIMUP15O/sLLmRxRd3+J2pcr9pTTGc4PD 5C+4tkt+AJOdySwnaA+6k6diZLC7WZ3BCCfWizSfBmuII1Gm5ku4SeV6aWWv/H4dCMYg+awxFme9 OasN+XoigeSdbpy9Mb6PNcSDALIdDF0KFRvNp8EsPNb0VazhHdffJnVsUyw+VV25kRUrxeE7OC7S YjiFyRH4FHU6OuUS8bDJYRxuGUDcj1uyVNf4k5w5J4RoyI1aSY78EqOZ0ItK+UND7Uwltm0PkLgR wg+IqzqN8dXfyQz/WG03OQjDsOrDB3My0GXp2zPblJAL/eRj8OzhQ6Pp22CZnYdMWYfIMFaL/AIL 6T6p0xj77MTooRgeXPUmIWeE1RWKjAe8VrGV+qtx2rd1hSb3j+g4GSHC3+HY+RCMQUzN3HO59uA8 SXIXn9sZCT2fuciCdrpWjEr4HZLBP2T3OWwmt8v5r0bqDtffojGrqviMcBOl2omHnyWLHVpogcts oS3rVUk+SNA3TX6eJ+NCtI5sJclLkgyjC573T0MA6yhlWbR+NB/feiK4/xgES8DwIMyWsusxz4Kq hkdyTwabdjvVmRYgeXiILh32ZJd07BZ90NFV9L3hfmNRWFBlPqPe8avDl6KW/I8EiL7WRnBf1DM1 BZ5ETIDA4IX3Y2z1Qm5cwN8oQlMFt2u1Rl/VXgIyy/JJVdGUtuCc3mYJm58ZGkHdRf8xb1z6PO7l gAkAI/wSb7icSfW3U/i3mWHPlj8UuUBNe6BSmnzuIqg/R80RoBCiVdoEOl70ETayqcyXscjFwHEh +nR5Y7l/KL7ZLdGDVI2cLCvrjrwO+sz+h5GzcIWbuvAnje/Y7IJNm/6X1MhaAJSDer3EUe1q7Nj0 Wasw6btjT48WJc2QRnVZ4usJfkTVQ4wzB5tdMAJ6tqbjH7ZxnRrLHaSDU1Kpw55Fo5MUaNJTTqdq kaiU6m6tycQQOYfYtbfux/HdsaaYiIopxgoNMPqMgL2PB9n2xMYeSzdY1G0kS9/VJhhFETI3A3M2 Jbj1ZcWWwF6cfT8EzUUelQLkvp3EQftJKi349Lp5cCMMwNGMorTfihcSYM9mTIMgSRtQUJbxBT44 iheXKCNuaVOOK4v0Vh3DZ+A5r2w1aahumavsOYvvkmgr8YRO7bI1ue0tv6fPRNSWA9BO2QQDTypQ 4cgnTy82+/FFXW2plr9z0u2R31V5La8eS3VRU12b/UibFQFHnvLC01eXyzq7dLKrpLb8JHhROOyB lV9MTbSTM5nFZBIRtiiipoU1bS5MNnDdaFmAuSX+Az14SE/bW6GEB+S6v7DZ15y08gsQv2ZFS/gg ToLDSLwHSg/Gr8ILNTRT3EW4rRgmtCnoT5HU/3r7LkE8s7Sj8/Cgi+n7JYVf4VZRlzCvesHb2nDg PTJg3+PddMV1RuMI6gkTEV0SDzUpFFTkV28+8Wm6cvvI37dlIIsSMfvI6EuUrRDXgHyxqAjyJdJ7 gtTJgTMmO5b+5xhabdqpkOkSoNwHqXNSvQD2H68xVv+pAH1k9YCswzu9JDeIuiDjDznRrwveSw8q q8wxmyLY77phlF4PAf3i83T533hX4zOUk0dTnpisW3gu1xsaNcmYOS+2vPJSmpXo8Vcz8ZT8dRyO pUnwQItdGr+cddYeQIPjenKCRzRpFAOIDrwu9wMnS36asZT0cw6P9Yo6F9t7KQjZw2TQ92OEfzOw bv2vQY0DMKty+4URNhXK1DS7xziam/9lUe1Lmz5hFrpzzBgOh1zG6wuAgZ7dbhcp5CRNP19Nzj6s pIkqBUXpfMvM054BErgzcYtfF/KQIvLH3Z+IhEo3d3kDGcfisYbH91Xi0VYMQnFHYr7jCmY0rQTq GUGtVbnLidkccPBeHz9MeCqD7lMLFURHclDFvFysqa3fEW5tcu794mvORN3hxxd7gk1sB1D1h4lc P/aFlNScotdqSbEv84yfTJh3eOxYp+JlCxG5NsuPhM3f2q6fiqGte3D9ZSi0k/PZrvdpqrUVnEB8 H8PLjZbxXSraCXUNsjwzs7HEAK6gc2sLWU8a+jwi3yhPuwVciH7UCjp2z1ZUOiYCD5/e8S2cTWyA gxUc3JJ4iPbfsscrUMC2mP1Hdg13psHkMeTe8GHTOq4wOVmq63y4IhK8LzhsVDocjbwAMwPVr51X cEunxeu+aC18gI8bU1NdK33ziy4DCko+qDn7TXk8/OU56AJgF5AhyHlk1xL6KyFLUszePBalHwDO QLyLoYp8fOyQaASHv7CauudnZutl2lZSuMNi1DStkmK05JC2lEs7gTV0snEsjqWHCjNxzo5rSGjG Mn+b/eKvNFIBiEnE8gJ22rM6HzkCnZyFGVt5I/swH29dnbcdh4OE96UJGUSFIC3USyNlN1d+4mgs rHrqNJGsFzL5HiaJ/oIWXYfHAnsym/enoTeLkefZhPs2r80WiDwmzSBInysReYjD5/lPThVrmG8E 3Bo+soyEWz7JMPLSoMBwvp1JtF+3pI+RFBmcCxTT1JYCiEd0QXqPvNAF7fT+NP1HL3+HqtHj9Pyv ZEFe8JeJ/ZAvcwWNtDViYQhFD6aF6Wb3ck8L8iPxUdEtSqNciOHX8cskZo1tGYdeqK3g914w0Rfy u2asCufzbHoGK3IEPk/B5OjRFDsEwpx5eSQqlfTFA7ySFl8y/48WhYmGwWihBzIJgj0DwqHyTdWH g7HO3YHXGtlnB+KmpCHQGotnqIMB9Q62EfsGCuo15gdCFFSqNOtsShOp4tkoBxTtmtaoDe9K8yac 0U3IArzeQobRj9a8AYfVHIZU1k8OG0h5ZK90+cPsTZsl3pwieu4b0Wq2hiO0ZLsY0eIEiR6BH5FB UMyYOR5MG2nO+s3OlJpep3V8rfBqCjGlYv9LNI70mAiBi1gXfId1e4ieGM4vXbfl8D/o3JW5RNmo NCnnJ4zhd5HvqLI5XFdnuAWsOEAb3LuXMoGDF/kBCZPPS4HpKi0S22uExioaHmazVMcaWzYWVLbu gxNVo2Q6o144aKHw1kakXYoYG63EqRAY8DfgCcrtfhghWAFC9BXDKDEinNrZbLqym4z69PWDH3JU 0mbN7ccc/nPugCr/NSY+HAUIoXIbGwBZdAbtNngPcq5DwxvUXCGAhM+3Kf7agFvBDSrirk77Jbh2 6UIKB4Ofor+L8ZKlTq042buPCO8HM099knByb5SJINgqJFuf9aYSkqr91mqQpdMlX9GGxgR7SdGA 9UEwEztF5PHhM7rhPogaIlm34+6O14R8gHe3PNGZoLvSrwA58xmWhn3/fvr/Cpnu8+4Q1heEnS7Z O9IegDcHmvpyHHQt04XzEdIOOfY8BYocLzFkII3di9Jl2dyBwFXaz4EIdzgibhFqCplDyq+hI/z4 IQCvgggy7zPKzuVmrgBDKWsxJ2CKUKyUcYeqoSmOWMSuV69E43N2AZHIwcITdr0sa6olLJjqyc5M BYDi6gCUndPKaAi02XZec9jPd8HMfULick5nnkYDmz5ckRJgI6Z/FHjx5EL3p9YfS9F11bU3T0Vm NBmPZXXxYpzzBQYG1k/IsvNOiinrjwChm1UJPFtagk/fDZ0xhqAkPLxOeoopI+ZOJ8bz5pntBXpO Z6TzwoC/duYOCNbyLob4FNgqgFS5K0As9goe67QrsQFEByso93J5iJfWzCr4EO6PuLOHLrG9WO2I thHMRGz2cOZGnKNZVUyCVk+LWaO9s1oryug7NUVNcXs3BaLVc1Z4J4NWzNzncrPRxZ4ASCwKk3Rq M+LXLgPRi6NS/H+d5t5rYQq3tlzXKfC+/Sc1hyeqms6K+JEPWjR8jV2VMl/4ycq/4a8g0oxds1MF KYDENqwVXCluYgb6su+DcoMLTW/5hm7tZ7rgVZzlg48/0shoHUxE74vxSx/Ms34Hj5x4V8NciYtu EuWyawiNGz2fYUaG9MHgIGWaC21mwOskRoHjlaRW0YhpK8eyiF3guoNsDmkcz/BwxIlb/rZsQLY7 T47madRzJrSYBsYTZhZcVOrbVdVb3I/PMGvFT1xgqCQSM3S0bPSRHYkzEQyxyXqLPEsWtOYAvf84 d9bcsUcvCaarEDDJ4PcQkTXoC9tQ50dqQGghmpRrIjKpunW4hAFTRwMkHAjXcFgG6Yegtbo0g4p3 QmSlkLpiK2OTMwpTxdBfDF9syMeXV/UpL1cN11QjQ9zU+FEpQt1YJ8tIa+S3pLzdOPpwTrUkUbUq 8Ixj71mIEPcUNIvJ5ww3m9a6NGXqvlMLwMwGIBqO4ddMDP4ozCRyWw/es+2e/irUttnEK4Q63HpF ElQt0xpf6NwAFNFqUPdcHNSHJeCH0KWIMGC5dPZCFQYtbNjYvSkJuVN+r84OVwxXa8ct62YcAQzS CmMVgPQipdZlUrxX35SSdbhSqL9TJF/+9lRuyv/RFPle0rs2g6XYsvXT8Ho4HufL+GydgB5ggAEC eDzPq+wPhAGLtdL4iho6uSaXOPK0sMmPlCxym1Cuqo9sQsrKysOCq2ymullcSu6szs0/MRyYF9Mf 5LkUh0QkthnjJsbT12xQefYWwjYvjCjhm9fahQB5ig8QtDc6OA9oBFjSzbPlydZA9A4uvMrb+/sR fMXzEfWbeTlGTL+wjwvSILm6Gd56qr7oFiKCAr4VMRY99iEwDSPg011k4NWZewMf9YS5gSF7fdfP bWKkpdceY5UrbTT05HiF5ZCxQcZrXZo6GBVRbS+5oyz91ST6jH6yjbtBsUEUDGlrBhOV5NGuTvQI PGEX4VP/YP6V7TKEzxmHttACklpTzRkrHhInBLVdilR9fXnkNHEp3sMwsiViMir3DYf92ktejval FINCSfe6p8AaVz3leSgrl6nxa5uJKe5HQK565aoyamtIMf6iFrFsHCgdvyh2wd3ktWEw2SAEx90G IJI7dnoVrgxzW1OgiVFc8mFek3DXdK523l+kmo2gl9UGQQRoLH1mW69TX9Pn2/GrL0z47126/JWt xooUTJc8l1wpg/44fqhdAxKDED1PjVxOATgQ0a2w860ryhcl9P0xGerX9LaeZ8wcm5o1aoP9WBO2 hU6CY5zaqsTF+L82pnNaE3AbXECkK5UHWTE6nvB30whgMW/k09NHNfWFafU6VKESuUVFm1TvHott a1V4WanvFVvWVN3Wi/dJ5dN6BtRrt+tiBhucATiDtXp/t10t6pTODaSCTg8KjUmy1caaL6EePgPN wQTLhaSVh/xgKIu1KQ2DRftFGkzN0+bR9Axoaj7mhFI5uOFLaZXThZGF9jm31PRbk1Q0ARHYnXxZ 982apwu1/AcjQxjOV8qhPjibhvT1ZdvxkxcF1Vr8aCjcr8WjxjIUH+m8ycqRn0UWpyNfUkrATByU 0NEMxDHvPMLKYcaVQqXzHGGFXLnPY2yfvvbchGXB1A0HS7de1aTQE/cmgb42NKsamnFfzdtC6u2T DDRB22Bvq5eefOIbrQMPlxPr8CfOY6Df2cZlavqXyAj6kh9uGvJvIi2+NSywK1R6o6VH8X4uIjow LZJJ5Fa05sJQ4U10LEQb9BaA5elqvjGObMnjzXWPfOT5iHc6q2nxjDZnrAqzGyrIJGvA2yfL1/tv Vsd1LHgY3tDo5O6rcwBC+H7udSPGWCjr3OXcCG1yk2V8XtxysS4wpyvChJcE3DU9XIs6dg+L8kwb Xge8/XwlHz4999MVierOFLy5v0JeWUb2BBPXOo+694V3mC11eG7LZmQBWxyvsMH+io2jZr7tbmXv B4X38KQwxribbFe0x/tz+JLsaRZvI8w9nOHvqqXLPGFPqd9E9K+wtF3Nwn0ddC7eFb/c/9iQvsUW 9bZXBMb6b974wb27sMO8FTYk7+kNj4O23JUaBhzgGeeOG8qi4MvN6nEvfKs5rRSeShYqkXpirqIm pSCaSj+CBNSwMd1SKeLP5EUu04Lxoq8LVCLvb7f0HMqClG/vMxDyRVTcv2jBWAPFAgsNAzJQj2iJ N8uJK403VkfhVKWskYJp6kOU04TtRz5QP+xOIwkUH/J5dAp8rAXNdW16AKFgPG/1POZVXmr4GZPM fKb2AZ/1PeK3KTGDxP94Oj+hijxHIzG+IatahsXmVFgJriDcA2CYlH3bP+PHgZ4qHdyroB6nOu7k S0MDvwplhFyiXnKTUTKWmNNhm/BoW4qLVVYv+7aRj5o8XY2s16nMYXJCfHVRUzNHJ6IkkADDhG/l mV8frELIKJgizW2bFoPz45Kdv5A1E5z+FhCnOMy9kOPowi1mFKgJ9gMRPpotDlIvWWbeEIzChvAj pHdDF+A0upCWnJDmYgDI6btkexwz/TyHwhTMvXuAGxiegYte+pFsUpHIodt3pIB1x0q8zzfB7rTN P35AsmnSVHBAo0N7IsD39/kzdJmtQv4h0zj9rH3VCsmcfJoZMRPOqcRauidOWx0Mp4mzMNl9HmEN Lg9yTanrvxnCNJ9qi19nLboGY+NTtqWkEN893q04RigEiNz9n5orF++mNVL+n52yASF5BNB9Clxa jN8cjl8nXPbdzXp+wbY3fe9v3xZQ69FN7i8GQk/CfUETWkMqlwpiCPr8PGYpQ5KYiTmV4sA40Yhs Lf4iLhnOvyQW+VK5Eyy4HlLfpbhgONbtB6fdGW6ZQAe5n9sQ+3U1HtFIkPEFA6jzDnGkx0kEDpX0 pSvaTWCWtX46XdQRhfv21L8Ag/15u5YlgCGgQAIiDH79F/Te/jRodS7adg9zJJ3Ifmb+dXrIgU+S ggNtHNGDvDuObZPdJaFzX2gxWbrYgrXmC9ilj/lMF+i2zV8InH75Zqw2QPjLDn2QUxfbbJlFzu8u pFSyadRTXDDy/Mx00lodG+Lgx+gZlUD7tC5B89mpUwr9feoQWHQN/UTAaxOjm9lCmx496+XLVgJm MlUKKpv0jvZcTYqdPOPwAX9I5t3PdS6sk/Qck4RxWw7dz3Bom5qfw7CShDf4stYbPKLePAKnK76+ wQV5qHhjTXeDxXp/PvvE4vbpPRNDMbTmCJ4ef+FsbYXSqoH6F1LZa/234+FYH02O3lECx2xgtLta lL35YMmeCdtJm4Op5mx9DPqECY/T/SjeYsduJ+/oEGQLMcn/kcYEFZASpRcmbsc4B1PJQq9ZBVSZ 6Blkhgxi1VKNaNLpuEO2NMmwy4t3G6aAGC+RUxprJx8hWjzVcFrH87X0/cpWa6UEKe9mfZSrxBOQ JWPEpe2r5139jgmG7qf+3I/8RfX/GL0R7YBsh65N3WSyREJLjYOKmc6Gr3csy8kiGdTXMEVeqQ0+ 2+uZQzS90uqq7a5qCEnIIau8Mo0uZViuz0/4glWGI/yQtvtY8ZwY8+xvGERpD7p9CuSv5ieFmGX3 F/zBtHoR6OvyideTPW/WpriNEuuxfD/0SnnBO2mr29XZUbXMXaCOPxo282x7DtSe72bt20Tb0aJ+ bhpbhpUNZQov1UkSvS6v0l6URQCdJPa5AhUymaBsA6bt6cKAErASJK/W7XbAexybA8v5yBrKbMtk Gzp75YjD34Oh96UgU7SSCbQix7lPwyS6Frm40Qj3AGE8ITBTOo+8SR9WfF18bZhinvWTKikMfZFq 4QHuGKTTHZehXjpKb5f2duqILTCnMyr+V8g8Xxob1zuVZTfZCplQbmQgJ8OeysEnnI1bmk6sp/HA 6PO5G8RyTp1k8BAN/mSDlGgc0oZV9jM1RuZR9wzSFrhDe1r8Dv/urkXM09VuB4OuhC9jtclOfgky SRCY0urDMLHHroUV8Yy+BCcBmiWFfNVvQAwAl6PgRv7yhUD//R4xxm/mTF60tWxhL4GwTgD3cS+6 01TDJtTSDcR3kPsXrXIyGOeMf+cL6+WYsduZK64Gpk1VzSJUkQHItlT97pstZsSYrBPevQWny3Xa taJSE8R0B/uHGT3rlYgWY6ROI99Gp+hwMt+U7kizdDseRwGoYOJ1WK0skYGhDJm0m/dD0s/PP8jJ WJ9EQ4YwHr2qulgJb2GovUs/6a5W4LMphPGxZdc83hYPilnZxunlQL1FgKAIQ5Uljco0QRJzS3R8 m3wQo/mWLAZoZUUPPNatX9alwf5akumXjzQ12qGcpusuk/1UW2wY+gcNE+SecA1Cdq4pbiAckJLI sdL2WcQ16H22eRFyY8udJ2cD4rHTPmZ/G36KFJD6T+Dv0gTmZnPu1WWdsl/TN++yIoS1hRQG/XXR /xHjmMdszl+MMgqlwo36Njr/+mHn94yvafZKK74S9La65heBgnYb382Yum4hDudFuXdJHTYjwir0 6jquNBBN46f0Bh+h9TfRrRCafPKDE9Eg6lkBCatFnaTBiDDQZTb4IANzPC4uLEHtzlKCTXJcWPwQ LrhAOE+btxiSCP48kLDm2EaVzjmWc+t0qZhJmueNT8iixE9Ck2QufCkKgJUDfg5q5XJzW8qhLZhh LDBzzA1OCh1PgVdx6sCrO4lcEDBjzrXZn5w7wYjtTTFBfQ/9ovNp66Boa6towVqBncmElwvtMUXC Z7b8QVn6y90BI9Q+lbs+jd/aJ3KCG7qFcwlGtu2An68r8vuHosjUFN5OVvmVP1xiOOcgFKJ000J4 CyVoJltba1NdRWCGSzWpxhY/7HQiKkY/Ir+0+KPxgHUvOcg7qNP5Ym2mrG4kdUwMgNgDSK6U4QfK 2e5QbE2EXZZjKzPXE0BK7jIX8vW8SsNvGsBSpy/G66Z9acI6tptrpmkKS0ltQh6zv7GrKHnQMhOk GbIahiDKOYh/slf9+R9pJ6E42/F2/O7ahSbMIMbaO5C+jcm5cAsifQ1nhmosynBhp5sgcq4ztBoF vD+djIuzC3QIPp3IRiDQs4h1mh7YaQZ3scrtgGg8i1WiIBWXfbmMR9BoXlvUZEruMB7qxO25ixgU VCy5pcYgB1MztSFsAyBsgZjEVho3tS1/sNmnOb84ZAjxlsvpBvMpCaxTOWkvtC112ZdwoxNFqjWR +g7Wt6faHEExm2smI+oWdrPShwoCRjVtP4K+NrZPABlSTmz12oiOg+iWatwUTS9EGOIepIhDopw6 Ef3vMHC54EmjkFAlEC3UctAMudGgyqRinhOPooLNOJhg477+YB1Eem/BVs/Qmm5+WeT+o4+jVxOi VeUJz8arIWfy3m9KIo3gzBu7MRcJsmnB+px01u2poHWcJci9kEFFK/gqrwktbuiYK9HRg948jWNy u+vjz5XcsbR5f96EipxXO9DWTrExN5SP8qZn7za/HtZJZf+vOOo3z0OIY4haRhCDNYFkhfd773qp FcfMtXwMlJPaLzi7DdVTuf68mEafJheyrBgsfCZNkMBxfcTnXucXFqaigR9nz7fxDTC6NO41bIbQ 549UedaiM5UTKvjPbDIYp3w8rxc8zTTzur4N2r5fg69eapeGTQ9A05JYa7qpaP7Jh5r1yoaJ/7fg oPtp0KcFdq6+iiKf5xDO225JYbhG7f5U/V8UGgm/koZ4BiohZcqJVCwXDcXAYmvU1p0BEiyhn1Xr IPlu3oos/OPA+MNvIzQajuzqa2QA17y9A3cvEIXUALhD+PJXz7ebU23MtokjNkvXz6CdtAgBImQH +LwsWpr/ZODlSKA7UCncVaZy4JD3LHMeBkheHMDas1BIAOHSOJwu9dIxC8uPcuGy0QnI6Ec8Wk98 suchuLn+0ljRwoE8xYMSuzNgZkX2ZLKcNy6R03fjzSGOGReA8+vQgCYbR6QWJr0lm3ighADUJyg3 4DYLSo/roKW1PN6GNAwhwZHA7qspFv4aTjC2UiaLHsUsveWWYFDOK+cl2UvJVUI3SbcnljJHo0Ul zhC46Ud8B1X51xRafjXmjKSsU7watOYz2Bcq29o1uRVaXNNB1oHrsMwy3W/bVXUhaj7jHVf1gc6g yMUcG9/3AH7vEa9HII8Ym7xDInqu4T7WtmNqHOibGrYl7IlsvsnIMLwPlsjuPMnJQsV7nOj75NAl 8fbCy2RNo3VIrPAQBVedb+AhSNVduwrdU0FHEAI09rDs38FHhPwYxdjRJNnzMPOINJAjYfY1c7h+ vUwa34RJn/ZTChqlEj+fVYFRZs98leptWF8vgHHAKI+Zka8mS6SoyX5gTxXdaZWPEbWp6dBpJDFe GhlGJFBAh7SdCnQu/O2MQfGG3jBOf6OoDYI2KXUisTEilcHgWRD0B+nMV6FWq4SVRMR3sK0Q8KE4 oZZWfMq+bW5xJrOiAzramYqw1N/iaGmZvVvVFnqND0kS9F7UeVyo3AkYQkN1IXM4j0G34Co+XsNB pQl8xybYR1xqONB8vZpXp9CVFVklkSW8grd3ldsrN7jVcSPgvGOHvggBXWMKEWbrUDIPdpi2IIDV SlqR/tGWK7P7T/iZwnVrw1W53i4isikY66BkJ+VmShk6zmaUSUdCdOTBLaF8qTG+U0FdyJdojIDH 1nRjGKkiJ0CIey81T8vBYTmFXSnWHd1fAUoq5a9Vh0opqRQUg6daSR9u/ncZfbHqhJdKijgdMDNF 5Gw0MYkIyy3gzQbQYLNKCG0RU6q3HiIjM82uqR1fa0H4+sgAdrWZQxmsBQN5X3lB1tvaO6KFG71U OA1cBE5uWwpvOWKtNwqLrc6gngB4W1JSsDSh+0yiudJZCeRFTV2nBg59yWaMoKV3itmLri/+gT3p LkAkNfmDxHC20Q7tYYu3WhLLn9sU+bAoSHTU+lXjtAu/Udb3YYu5rRMVR8eHtsgMcMdS+YrHqczR mqLIGo+jL+dK7dQ5vcf8IdNio0ajnDoQBFIQB29BYNXdhOc86N7jGAM1zsgUjVkXEpuZh+rlHSgp Pq4Mc3XNJHA8xNez3kqYeNXxnbLMDl7ldqAHEyvTp0vJYQ/rdbQSCVPHSZTLd7ZhbfoSEDVrvdy+ e1lyVUffEOz5Gd2mSSHsrS9GkvHb2BBLIl6yZtG2usa0UV7eVNiZiFfX8mWcPHn7BFkB6O7A+HQJ oaIuJSZugML9PCSzo7Ug8SuEql0no/UNFopfJJeLw4LAzcj/S3gbx0wabAfPbNnN9poHph5S/RXv 0esa7axCDXb+732DkUStizAkNTC9gfFBHVg5zK4cIP92jrjiG7XHggELn61gay2ld0sL8JMcAIfH eSTxF4wq4vkqyVOmjwtVqJi24VQCiHQoQM/xVABHrJWG5ZsQYP+gJrj6hxR0kwktUICeUaJotIVK oxmC12uz/FpDTRrSveRP1i4pd2NUfJs65YAUx5Gwx+gqEEErWz2UO94GYDUrXgzfINvsWd1Gh9sf a7xi2U+H25DnYVkv5V77/TvvW9JPrf6T1Y0RAQGjRFkWjIM4eO0uhKe+11O/ssDDGh6Y1fcRTQ0Y LCkixvaEao5qgjofLduWwFm8IvOMi6KSBrid8tT34gKeBVxx7bqSb5rCSBhH3BrmbxfIn2ZE//Mw DqkFJe6G3K9zNZapZ8Lg9YjxdQLHpEnuMQbh5sNuRZmcZbUKDv7XhxHeRMGRQePHrC1r5QmCgIxp zZXOAjE0CgyM6K0SHCWsvOOTIqDD28sCUjl26R4RzmWg+BLyVf5xPntnSfxJqCVmTrPFqlRyNHRR UlGhh8Rj/XwRconBGzbVLP5ddMmJdmbdIUqbrDtUUXwY46M3mN5Kl5VPyfGNf22VY5J6cixLW8xZ HKwy4wJyxJd5Mk/dcO+8uSZQ7Ndqt6HGwTAatIYGrpRfolpryc8wev6FyfCcfvYPEWiCG7pr2SfF MWpJzfDCYVVjbxnXpoK5kyiyZowg0TRhjkGyhC1yOODAhRVqlgzdUgbWQ1yzyvCi4JubzugApGgv iO3e2swykQ8Id4xfxM+KWmxtGlyi+c4fSd/Em/AgqEHyx6N4yjOlSVPS+Ca8JpFB6QOn/ojHAXif rlM8s2+JFi3P0dbaZUKCKZXWpV9xpQQefXcsUB/GiA1khG4KgDALZK5kkxjh4fu6LGMyD8IXwc8k JzXYGXg99C7/iTadGaXUdlLRkHqfRSJvqSey8qqMM8g74M3BhO4Q6eFhzY4Zb3iWkvOcy31fEHs1 iOLxzimaS67oz6efCTpULa6KzjZQzNbaj0Wa/9yU0E1lTXYoafObGPzpKbRCXGR8cJ25FVEx/jge M8DFuFC0StUvhC5uIAywdVeH7gyKpAYjZsHIO+S6L2CCPPzEPmxBY6lKLG1s6bC/DfUl31ckce6c kUN5DibxMQzkvtSXST9RUQLR3OEx5MfneP4RSo3z/JhjiLt1ZViXu1ejPiZgZSzDqoZN9CKG4ADA 4nWv0IT3X/4ss9MR7L0igvsgakFn/ksdsBLK1pMfnBH/NjVzTC0n69JTnt2acN382eW+EhiWuXLM H+bunaNSTf5bavE9u6fHpZZY2L3Bhf+SR2pov5g6iK7MF416MMDLl04os359w5Kz6BT34aGXhWlH cQqSq+O3pYv6VhuJkCaGwpkO4hWtuxbexUGFUGKxFn+Y5JDVc9ATzPmE/1NinS942N0KOeaG2WyZ EFzjgOchWgpPGNBfrKuQcoeE4t4pcYa5n+8a/gac0tT1kqbnlM+dMgSNVzncOtHWV+wKg9kikREL 9btCpYkhHCuDF52ES4tbi+T0g/r3PrDH7wO4dIIbXL6TyWza26HvI/Zst2dAdocd14poCGNjbPS3 U8hlAGdWCZeF05Cgy0Kc9dDEFIPGhsawZxJgfCj30RlRPZkou/n9ajyG1H8/OxGTGCOVRTEB9hlT TE159FrT8tMpndfw1TWQCN/jSxEuBBZGtis/dsGeRazcKa5teugjNM0vQz5FkD++kfwi5QsaEsC8 tK9St72p6k1EK1IQT1YmYvqKj0y9k6Mvn6QlvvVwlBeaDXiVy2TJ++CYKCf24aLuLG2uRIA+IWO5 TB0adCGY3udu5Q9/cNnQRA+RBB7ps5b3X0KK4t5pJ+onep0qFpSuOBhmeCx/Ad1ptwL9KNkcGwX7 9qZKIpxIw0okp64I/90jYN0cidW8uyZMurkiq+KaeZcMtLf1Bwo+J62wLoukN4fd3qSDoMiEJcuJ AUU+K8RrumJwXMm5O5G2fFA90FIz9WEthhkxIXeNxdm4nX+tgouKZEoWznltRD458W8WnYzH/W/5 wPPqL73cUYWRv1NFqe1oMZW1NdNqxWBq66TwyiEH6ig879MSFX82xl9VyzNxsCUfZ64TIbJBDAJv UhwIRSTss/osU1sBNutCqp6u3BXmaUPoxqY13XFu1OTKGAcII0FqJiwOeG0tm3gaq7S/e2uPhqcM J1a6kdyxrTD0UkmJ9Duh7auPSE+GkfkkAHZkuqJGct3LW8i4lgscy4hA3f7szyTA5o1IbpvwhBtk 2dtd0UBixnesHBBlssUoMrN0RrMwKkkmQGDdCllS6beJMrifVJILaB1o6I6eLUqh9oGyAN0UKvvV gqD96mYsk7NhzG/tEuei6pwva7YIN/eh5IYNrCdb9aJM3OFUn3UwALCtRrxk7/Yd/ujU16Mx6hMG +B3HzNqupf8ahEivtWv1UsBDShOwU2rkZXo5trtfCn2SQ6oANIWoyHMB+6HKylFfWUJNKGWnA3qE 9pG6zfm46cKBD7S+PUXKy0z0y8LnO62gRiLz6UH027qyfut7z1kYk1VjQ9RBQk/4EpOUPap4511m YuqQuoxD+YxgjxyqG1+7HP8pfC3/AjUHEclHH8tYEqpcM3qSjdbp0iYUwhPJrBiNn2djJZ/qCUKk X3Pt3L+PUako0mlTnuRrBMqfUn42HdqIdLXVeDvL0I8zgRB0i39jTPjMnARH6H6rhnLk5vgC4PBu DIg/sMyVLmNAh8vibCIJeMg8hlr9uYvSprRVpeDKTKGp/CF7NB9uIkfSbf+XzfJzVcDdISX4em5/ oUdPkoJieZv9HODz5AgeUW96CXgiv6W4/JxZ2hJQprZhdUmcypzuHrfLEkZ2xNBMSvHkC0/AclcW s7oLjkuRiaiGGKp9qwuXxuw1/feacxxpkPgdSV49q53B2ayNUNfRqSMrgwJaezeip58fv8R26550 t9rQhI18L8HGbsecNjR8vhfkg8ZZvglBu4TsAr4uZNyWSZwG/JRD7PLvVi5XD97ZybHjm9cV2M/3 05KbEbrtSYsTT1HOfJjp5d3FV/5JkGz+f4gHzilrSGVAiE+CRkXNRQAASRqgG4U305w3DU7ZUcGS ur6s3Ch5yujrkwha5kcUoC4WJN7ImDeEP92kumijEnw6sgfo75jcQj1BzNPbupTUz3vlEf8PBmhn SzUitikKL505gAPdRmeq0LJkBZU0xdUHgPfskfus1VH2RxRgVKLrmAbYz8oDUqqc8X3eshZdt8VB w0d89t6uBcno7b2L6oOQuWB+iw1cN04wL10wLaD8ZLmJa8LRfAoBGiJlC/k90kMZ9Hi8lvCrTAUL 0Hb7i28vXNi73PglkSuwfQaE09UVoagDFnHzXFlIN6KyRuDDbuQzZnNb6gwZG0SqSvmQQU4HF73B i74R9rG76KuLGjqxaDtOwASYZwZwDSSdYA1G3mrK9teKBK2invN2eXyf7eNdwSB7gJnJtughD4n6 QtXe4fpKZLsL1BgOth9fxpK5q299sHRHIwPnp17uJV/GpePDIaVK6y4WTnZkbXEtE6BzM3FVWcKg FYW9IOkK0S+umZOIK43QsHdMWfD8yGgL/xcrMxNQJkGy56eG6IEsDfvqgneuplh80BgmCLDsjDl9 vK8MRek+uLk1UsY04CEEWBznRZdbOxBEEjYvb5jiOaPfbiq2StUkT3HNa6bHoij8EfuR765Ki7Qe z97LXznP2sxkNLutnTXhi+vTMOywFMYh91h898nIvCgj+VIXU1hT88logWnDxu0Gp70RmWzT27kv cayajUUyVI0jRBQEQWkx0It6Ivo65vBzK7KttWi/HiWOGzWwJJi3ZmxOGqXkHVcgyecEY/f6+CAb BaCvmqCFyO+FWGdks0X3P/oCFgn87A4BkuvDbUYJt4J0Qi8pMfvE77AH87Q/kwBuVoBWtXEe+BWo k0IILKYiVUJI5coUQKwfJSE0ZL1uW2UX2ONkq+nr0b7NWGtGtqt/2D4nsfJ2kmyBANvbFVNd8aHI p2tK9QzFUA0s/+gsFzDjx0Bg9p6aVxwaAzrWuxlHeaKjDMfm9GOCOaCQKPbXff+a32gWNAcrPUTL 6qysEDwFK7qvAILM835NufEquXxDVwo2pciylFcSaRSPmuFhSKwli738OA8E2iQBptCgtbg2SdlO ksbxYB+neewB9CyELQajeRyc85O8UmW4c5NjI4mEgXfqh3l5a94W+XboYWwsfHgNUNfbqPXNNmHW OsJ3huNPrAQVvWm7MaCLgKQXIrTYiZvFuZnkGgzOMn4rOCp2ruBsOUoaS3nGCk8AmloDVt1ro+UE y8z1Q5UDbfWOMrFbzf58ZJc6eSDZ3h1K73K5D2Q+XuHhkTyLX9eSrovYxiwy/hlSuL22r4nt82yy RKZGH0PTrmXJdJuytuPnjrE/GSyL2/M4tM7Ed+/p8BUfJKltao2BsGrx3fcBnFH9ig1qEk6r8idb 8llKEqFEIcGnJ1ufJHOmCfsChqObNDHSWH3wnWVy1ewRg/8OuSpMe8A0DEfviHm8hBg0W6t0HLFS y9wjDYoiFb0p+RGpRhaTzDF8pKO5evidegPec9l9jSPftdTpVrJ3FyghjUUeYKe7E7FYI0RnfONO CgFXO3KDiPzAn+dNrLPUJmJRom+jwt5tFCCxxdt5epNkVFg7xh/plnAaWfZPH9FWogNQkzjG9evX y1PoDXviTpMAZb6icfsWSnC0f6hRVJ6VGg31kMMZ8wo3NrMuzyLhFZJfh72tAYLRvFBMItFHiFzb AhDpT0txVpNIBWq3pK09w+mMLDA/QztLHwZ8ACuHvjKHGKQrgJM2Tl7ptqTe0q2N2vHENVZaCsCU mT2XChASbmkVf9xv9qDhRB2e6LTScf3vL0Tkz/mg+pQp0CXsXbugeAgm8/3mQHIuHfR4kdxDj9Q7 ybtLdxwbe88atHXScYcA2iRaTo9KTSDUl/QrhdS6HNTSKUnyKQIW1j7UMihSpFMeoqmXgrvgB7rF Q7OrwR1HUUHDEeyn3NQPidGOQCp8crrMJrsvm9curCYjhCDcZI0o+J/tHY2iLDg/82hSgQMG4w1F rK4AWGTOlmakuNwy8L50BlQ2Ja64nwQycQ2xLHEEBV2Xex7/iLNYfQdWXqfHcQruuYojEndIKM3q 0LX3MKaFItestP6IWLrvGA8x6PlhVvINUKkFEktYVS72DttqbbVaBOylCyfsukZHK0lEjvzTITfs gqF/HaP2a88ph1CLsR08ZH/7TFdaRg+CLsKtJ9ngYFtzhEYdZVixWgC2DYxRjF6evNJIiqAxlL9D apJk3w8Ya6AScpL7vzVdYouahu0uByMsRvnIg+sfneia0kbRI2v+nOc5qeyfO8gIJ4oelN5jRzFm xqEsfN0AYzB+Ns6jNRuxd054B7xMiDjTmI8PbXPkbSOMm2OIHidb5eQP95XT9vdcwz69K4uoqyPC EjxOYGRDOm59s5lvHZhXktWw0wHWjWZ1OL52vlPt7H2/bVRh5zqDjDcxH8sRUKAkORvsCScSJtfj 67OVYHZR3cuwvndUt24IYC4Zz4rKD7Xv0F/M5Eszgc8ySaxOYSSdQeL3Ut+zeNex4kaWriC/gcFz XyB1/uE27s6B4pUGYf74+lLPwUzUcojO1KXN0gUVb4HLj8f43DiJYQK0kxpPIMX+BGecBYIR+OMU fYf25GfjKdvkOFvz49jfcF7QO/Z0AvEC1uhoJuAT8j0lO12uFEedp5KnzF8vpODUAeKjMhSiBUGC 0G/QOgC8p87x8zkLqEyY5eLEmM4AiKrftK1LPOlvmKG+DEPMEYbohh/DqJeoXMr9xGNf/fete3kE w6XmPzZ/fhlE+djBanQu+DNUn026n/fIh7o3pU1bnz9WNF/Jgi1/LZBz46H78lvDizNZkllbY+vE AxoqbAuml2ZkVkkj/oK8wnW6NMsunOZjedfZHnRV63vEgCUttTt6oeDJOTuPD6QFxzmSMCQ7Zeqa q/fSehLQsUtzc7IhmHjUCixAnN2oc+hXkiVavuoWFUHBe4CvIfdRDJOPW1/ajUzJHjL2pTD7QNoK mzSksOzhNT4Bn0UJccTHpblHHyNlkq/1MTXTHr/ujoDq3pFlE3j8UHCUt9ablaQ3k/dWH/I80k46 zSUSbsG6H4pUX2WJC3aWsLSNGy3vKpq161YJmoNinRxiUalQceW/rRIOTzseiL/9B/r8erGZFWKW Fb9I3Er5/zfpitxaJVAOOTX0BUYdpQUfK3lw0BDC1Nup0RcWQPwQ4c1zCDLsfDsAswVfaNBtlDi4 n/Xv30N0wYR6MapZde763QyvvQxJX/HGvlHbNXZBtkkvxM8qlqXSO9cN5pfxkDl0F0IMJ6jqvWvJ h0BCcDZcZj9iUVd8tBgXen4IlinlJqOIUFRjNr+WXxvhaz/UdZgNjfB6B3qxOxvNXibZ7QYwV4bl bXmmflcs6f3O/sgjMgoqH6j5Px5MXb8hgB1w7oU7ypmLhgoPA8cjF95TnTUGvCEscNVmkGZHGG27 Ib/5Zi5fDxcUb557brm+x2znHMB/Ch3IWr5+L8UbWqT54DJZf6GZfmqQqr8sHH5KJHI/hkrOoO3T Pi1ih+LTsllmzazfuKzbF9ksE9wK0zpUUJZEFskmQTD4o9VDuySqXsdYoPYOIfYbm6eqSYB/SRTZ XujyzlZFrpu1k9G0/B8cps1VMneA8DIErUxX/ba7+rXUU6sNMUFA6I7tLwkAIjNAdbi1VnD3NNZe KIclb3Za//GbDx0CSRMUd7+yzenrJ0+x0lSDS1zoU18ohTzX/NHdQWQ7t83TwFjFARriv8w9DNBF 7GbKFTQL6bgpBVaSkOjfUX9Y/z0zzuMmQq5SnXkgBWzn980yM+ySDBVrI3jUPa/oJbk0dbrSIgcW jk8cFgSLes7mYY4nazP+BPeOQGEA60Yg8caO2FFZonPRKG9HKF/XmBIfQ56aKBhEwf5hywwTHxmZ bUNJbqPmmZk46MdDoVgH2xDqY58aDhbYn1nN8BfSajXZzeTykeYDi2gbJ/dp0ht2fMtkpfDFIY2V 6QqPVrrdJBfhE1L4bCyGi8CbFyLHLcKpyHPenfGfW9kmWvbrmLJYHsOrfwsUUUDyhmL8BJJzwyqn sH6m1b1mWQpEsjjy2L7FWQZNtqB5LorHZ7lfl1p4J5e0/ekoN1hg/a2JvLOljiWadGHMZZuqxGHT /uWg3zhETA9bd7RBaieuHnUCQqzNpTGR92V8hnO/UeZJKuFwQi+UwhQyLcp1t3vAbazudfARSF8L z7BTYHhpdpL+v70GFDX9NcvEGSaqax4dsqNDlrvUDxEBzIU5ZMw49H1KywK6Fwp8INjydIndByNS ZYnygj+tJiXsbbwvRUuumFqV0qbVjjf9N6FMv2FiIn61tJ5qP81gPJW9d2U2KTeHwdA030dCUHTM a0oCiA7nKMksk0UNydumv9Jwp+UHU8QXrOeY3uQlcyk5378nTnOYSoyBYjdanu/wl+2g928LLYci ePhpuQ2FJ+rum5qLlsXo9b9uzuAD9aUND4xAbCuygyY4PFAIU7sTqe8Sv6RD7VxKSSuUsSgWkpxf Uki+Qe1l2Z+9go/3fyGJjBhkSmZOuC3RvMrcc4WllYzqcH8TzyBOpe7Z9kX5Cvu/ugFfcOTti1lb RC/nln/gYjedurK+tFek793trAKwMQMiJDDvzl4ifsQgn/xidXqHmvBignxwHlvT3QllaYupAJ88 2xF0UVgRz68je2ZlG1lFsjMbGhi1liqa9qsObDK9FzOXD29drafJ07LQHEzi6RfY5JC9fYS4scW8 T4fgJXHvwpqqcUgKRH4SYC1kDX9Pl1kdPsyfpbPCYaqu2SPDNcT+KXFEsRQTBtK7H11pU+snbWep C0DK5XJAYG1Ye83jXR6pVDZZ9h+7LCkgr/6FnbGz/sI1+ZN2tOnPNPWcae1Vu+CmvqL0tBoPjuhY QrpYkSIP2MhRqrahOCegZx1B8q43RXsGtN9hy1PhHAHh6AXlW0M3QS/2iC6U/kdFeYXbXgIoYvlD bEiH8ErgwvhgoPIwjNzJOoIVGMf8chW7PSjiqTibBTXazmPm9Q53G+3sodYo6pbG07yXZrGaUoVL uDkBd3n6bw+Tr2yJ8pAgkRo2ap5y4GT54JkPwcwdUELVt94RI/lzuaPLjGSMHlzbaCgjCKSDvwzl vPol3YjG2ef6S6gAXfF6mAhY6VUc7eBV+KzlrEQVaCyH5PzYMDN2je+GWzlxGXyOTuXVzV2r7RLd 4Q4kgzY9gSn+cicil692Z7cGtJA2KDpWQlyw3//6nadf8wygyKNGyFJsb44OqOPBHtEO0Pa/oEUM SBUA3VeFTGgWg6ikU8IKHHfih/MxFAJHXaiC28qSgIK0LAnUWwOj4Ubv6U2spbD4nACQzy6dkim3 SKTCYHe3QBpyGbcAoYuvT99g3xiq5ZZfsJG+mbNTgV+UVceLNOJ6btp8CNEiKBKA/Bh27xmfTYK8 /4pyIMGfu5HOAyk1NZ9HPKE6g0BLttAg/G/WWJqKo8Tfc2+NPG1GWLXRAs/lLlnCjZRHL43ddA0R NfdYK9h4B/Bpgg2FeyxvcE/dbEfqM/hExSty+OPmzEIsVA8MFZ3MtcrEQmGSMUMoToPd3Mlb/ALQ OJDwT6BM7kYS8OPB8xIp5MS0pIjdQM/KRbzmCgWMHnUJNkwakKS7WiMsI6Gs2ljD6MAilzzStmht Tw5KvDtB8CD3VYd+9zBjwHslYNbw1WqLClf0ZesO8gN0b3GCw5lo6dZ8HDY2NgAHXJHuKfmm07fR CKAQrdJ/o5L4vYp6EPvdRwHgfyDErhy8TjYUJCPhBrVUpduyxId0LnPBJNFFXwGLPUGV+ExQeJoP e8VnDJe3LntsN0yHRfNBuQvbqDSvBsUFBcp51BiZdXdfqq6gdrwPx+8fewQCkp7N+7VYFy2zcJSY v3J1wpa2ExiSEWO/mTsHsDyTp2G4LW3+lgwqDDHpfwiP2qvL9IG/qI+NQrI6+GSE3kak4JaJe+GD OUCL4pjLzW2MEbqz/3ZLhjVcWrkdVqwywAvkCd2zhOm+TNTgY6GXaDfFnN0DLv7LAUyZfw2ThMCs Y5AlFkwxAJ/LgRmk9bQycazxI47QhDzXcPhw0L0GfS6VfxcVB3LCXHwEHdjmCY/PsxGp5AD1fs+b wBxsduQzFA2CmSgsBfv+nVDRyZyEdA1PIN2oNkbD4bECykL7M580q0z5giD3FRuycsAHeMkZdrVp Wn9l0zZWng5kVLQvYKyLmwbDLLHylScSK6Z9EGaJZt7n6e36v11s3i5kNABRDq0Yjjnl+pOrJbGQ EPg1N1SALwAu9MGBjZ0xUQVaXqH72yUDepDmwLPfFRu3C7UhM6KwuQVZP6w3cE4dexDTAlYTkMoO YBEDZsSOPwdlh0inePpvLw3qodRk2iUPAflOHs6EqI2KczwxabDPBsgHPIiPrAKc3Qh+vmeI7lrA 4ZWUGyEhIn6Tq3gLuh2FIsfIyKuWJ3eP8MBvyb5wYhKjzninfrUc6SfhI5/PAhuydeJdKEOfgjdP yDAQ790fyUh3Yh8qnrxZS8kwaZ/2FfDJQHjQz9/REh/D+wqLxOli0km725jxV/B+5Ah8QCR3uk0m WTHDzMz+H53YlB27C7oZt9hcF1luDbagbqk24R7x359gP0Qgi/KVhHRJ9mNrDzFgdbzlkZ2IUZ8J ucDXQC8ym561eEGq2xTplby8sHDPgbg+5aLdDardN7qj/4AB9ROzNihwhFffSMqjnHgl5hFXblNb YzWktM0lA6KgWglbWlgw36pZZuohFaEv+lQOFm2cPXpiqppAyE0cj/9st7e/oYeaoQAj5aLOW5GL N7H2dHY850WSV+aZ2qydskDU7yxepEh4JMxnsFGieGCWAzmoYyvZ6HHUb0g8/Yx9mRnvynf/KWLX guX0zWaejBLAKQigRX6EJqPVpLSYHfPdgesjOIgbDrrQnd/yvh1DOqNp4TikSIBqVkPoCpOyhzhq tvr+XDuJcHCTQc+PPr1DImp5SqT5FSuoVTZPGm3Y5p4bO4QqVuXfeK41yfCISNi3q2oUth8ou2yS c76hoGTDd5hKrp2XPn8i3tvmymt27S/sSFom1Sc+EHnbCsU1bcxL1IFHQUH1e7CLMQ7UPR7y7aBP gcRtb6DpHX4F1aID9Rje8Imjw9AxXRadeC2O5VNjfdQlEZipx2/5ThT1sShbyePWrKe5UOBI0STz 5b3iH/RkeUabR09qNxA5P4eMnNq8osPylPAxjdT633HOyYnihZqmJadjNsWcQ4+Lj24YbcV7mno7 dbUGvvWS2vByC6Jp5nboX6UJ6ij7E9DY6fnwt7EZcnyLQ0N/vSRb9jPIGpdSbYKvSzERtR0/sgHd 0+Tj+VkMS4RpeKavFPdyxFVH5akDl/Y3yJVyyqHbUUjQLMEyH3ikZcJUefQlY1qPPzOVV1BnG5Xs 8MUi1ohZazXRs20/sdOscbz7tms1cmktWfhxldCQ0DpC84mTbFWjK9aQX+wETDL/rdN9t/kFgHzF aFJZhpBYsfCPwP/eTckxT+A62hq8FYTsf4h96qbqI0Buv/SToFBXUeoSUgaWCzDhKUah/62l1JW8 zBbe3VuvLEzAEUI5LkfHnA8c/ksP6ob2cnxCrT/fk62vTV9neZm4GHzaDg+tDx7G6SaDEAZjLYA8 +XnIbGLcNvylLBnXk7AvNUISn4KDd8tn3uk0hmuRBGKbz/qWApoCSoGlcVgCR4+Etf5qDGc0QgkU jKb65hLJ6JY5r8RIge5nKeYlvC2jjUiFC7lkADf8kdkKThg9wRGZhcxyL4kUTiHsEubR56IoEOGH uTzgfxCeMtvd6DmtfOCVCdKy0+R/csm+XexNT/+QuiFOwp3MvsIPgl+W0rbwxMUTNlccFDtAdTLq vmgTIQzEOdRWxT337d7d70jkeyK71+4Y+eBR3lcbrE3WLK80+QrnH4p//I8cPt7ifZ92QoL1qz81 b/AQJhMDqAUDb7tOPkofAIzT9tqI3RqP7mR6+jul/bt7JVJjhTuaW11LtrfSVuQ3qyKgVp6lrZto XXP3j4Afie9l17cSY1L005OokIsPOI6TfScLgx9E5xMBwrQe3bcXWsHasqLXgBfkO9NlmPJsnspw pHhOXiP+dT30+/ZXdloH1cVlgGC4Z0iIICkpyKhBkyrEU9gDUy+XarffDy80Jb6UtERWJmfCLxo1 E83jjc+e7EOfzJSac0u2+q9a5xVgfKD0SLMXxTUIe5zJrJ9G5yBEpg+yNYdUzuaE7c4Lxzxx2wZV v9dHKD0w3IMBFQjQKoCn0oOnQJy1i4bMf2fy6jdZOYCgAKoK7d6CaMUwngp+78lXvaWVoYKBM6Ol r6WGjoEf+2hH3zIWSWNkskgefZT9NbA6TGVI0UBHNxoy7MnmPwhk0FwWnU1rDlejfNLZzzJpuHdh 5gjiQwPsYF/KXyBycv24bqGlKchTl1o9Q/UjULrLsab5rP7Eewifyc17+MLXb146s8y9DkzA/aNf YEaOa3t1dC8YNcK0DTbNVZbd3MMbhqQ4DY/5jMjkhBmC2L4ghC9aTAIXYt3My0kGUd0eaUy3Dt4v L90jcMFNjZC5x7JAhI4Ka3igVpAk4YnL9i2pPJQxQ76jVyQluVSiMI65R/t9H8OuQHc8jL/8gDBH AAVBHiG5gQmyP9EVGvAvJPqx1cjSXhZ0l6zLOHk0Ekvy1UCpaCTebRn4esz8ELJJOwgnnpaxWxd8 qghPXxtj/ZHENDu98xvm+VfTQvxcPhKDLpC2kz+mKRX7eVGgslf/Hr5lg6Cc0heQtcnkcXSgSp9c Bx4IdHdWNM2LrAnsxYVn3PAF8PBh5MTthbM76dwyt8u7jte0FP9pjFKzjaP0b46GbL8JLXoYH7qF QJ3K1eCutP6i3C255b7++Pp7o3MX85/NM0Zozci77w83wVRrB4bEQ9bKuarryg9B0dGkAih8jGmV +qrAwVNSGAyCPz/w7oL3ktNUL9r0Qvqq7PqvbXt4O6OrmaSUvDd1jxNwHN2rTUTBbocAsifUGoDR foWkHEuEmKUB+NJZElxxcr28YY3MRI3nOm/gGbzKdDo0QrTdimPw1ICOsiTcJeu7HQzYCTDUm7K9 o46t7RHVLBPEYPDvPaeyhtMIFfPKKdLROxLBgorknrCRpXCrvsTtt1YJXYUbZQAFv/xHmY7MeLK7 QU5mw8ItqZFQ5G9VZwjzyTsOnagX5OEU4g+PMqVTBp2HUEIu9QNY7fCOZTryTOm9OKGyYy/odMFq OXphHvnxyLdw7Y6gFf8XbiV/3FoiBOs4ETXDc6QQ5PDlNjePIBEV0JJERbWTy7Y0qROToQsTkCWu 2UYi7zEYDYI/JliBqPg71ssSRgj+hxV96YRcEQ1mMylLXIBBsuadWWwmK/LHnyrZxh1PqR3x5x2I eh9Aat6qQ6UNrPo7QveaWGY4ICM1o/2vKrgBVX2vM8e1DT8gPk5zCDhm8VDC/Q9IsnB7eTl3qXm3 RhOYIyB5py/c5AqP0aotArKu8bcUxufTj9GIOsiw6gBES4cbzzkg92aAiElc1XnGqdRJg7TcQrd5 9cnjo2IzxPpLJzutx2riAULdSUU1qWo3LH50Biy+bQLU4i9CEe/wf0/Q8W2kzTmuDS9n4MKT6Ti1 DcgEX/5g0bsAa0977+kPxn0O10Pc6U/HoJx8el9q1s4gwwAjBtXFzN94GAlNgVAy6nJ1+5RZXxoL hAigZCH8790qFLafG/crwdx/uMr4oGtv0chmYNXjZbxmFKfp4MuWj2hzVGInmVUZgdR+7f9ybUOA 21ywNguiym2HvabQiRvqm7RGorDNssb0KopxsYPB9ZIie5sWmoMSJKn1JaHTSLpFl0F2oFc7gHTy zjlJeevWDD9MzgvENu3fRIIbG3rfAWgKq0ppa6qmtISgG8IivuVClUp6OebXzEHNuTH2C+QhjfTU ZOR3b+EBjGtwlJXxgty5lnvkCI1eIp2cH6bT34Of+/Fe9k4zBsZITyXDo1Qt2O6cbp15jIRh+PFf J7udQoMakkZ9D19Zvy3bUnSO+D6EUNE/daK4NeklNREwd/BcncQoO1hHPxhKnXK5Su/Z3eu9bkz9 tZJr5SRhtSlpjpAoDUNPTYd6zTzSWne2Yljt1zNIeKo3E/eLPnICBMpgKhLIlpbLagV0fZwpYhyT eaHN1p2y86dr0L04+yObCHpTcwFHAIlOnWRJjxXHR2ybRbHkLNs7rrWAs5qFD5DSU0GkRyCTtx0j r7FS8X5bSVEcZneblSCC3q9GWKKNoUFiP/dUXJiqfS+SvSU5inEKqeRLWNcwsptLQKStcFzIx45H mYCNzcXwbHKTyVyC5Xo1vjDV/kEUZO3CDYLHGSG9gk3zRb+AOQk8ONc/sagunBtimeL/nqGFEe2V sGFDhArj1Cgl+uNMQQ8HWT2f+xkEqrmA0pvo0lMAb/q6VknERub7nWdf+7UkUWoAl3uaJ76aP88h RsDD+02ucq8nXjpiEvYmBNd2uPE2NX4Z0zqaYdP2gVSimqRvo2dRcwTrgBmiHtFzyKWSXERZ9z6M 8J/+N+Kbdq8RtJ2zFMPHR2UjGmN0hQi2hjOp7ggOUSJXghDXKSjGAybpOLoqUcoKbAQKTXDeNB1t 6GTeHasBEGuKatE1CBXkgCYOSyUmJ1EhN0i95Z9wE4/tAvf3g5AKShJXnr7+/L6kLkeIs/CRfHMv 4sJUO2z4jpGbKuIjG1QzNamsND9Hwx7nHOqPDwLewVty761Pi1wxapUT4WdSrb+jOpSFOmSYWY8S Tiuz4yr9yKhA6RR/vUQRMXCYi+fTqiJjVvz+OPFaRsjPQJ5ohzd158mo22jSnp3pNRDU6uJ/YYNv 9y1fc8W4PcrD5LVCWaYPQwxf3u5bzOUoqjM4P4zRqna9oWqJHqHBjuw5rjdejqLejBuRy1c2jW7u sjRP0xw7av26BLFQpSYQWsiL6HDZR3X+guYDS72yDQQ1ytqYDfDrQdXTtVueQ65G9QJLH+A2ZuXx D7mdZIq2YahihD3d8ap0ge3xWy3M5iQg/iHDd7kVKLnlH8Crq0o9GjHFC79F6kH6QEEMpWVu62Qa KrWWuS4InrPoPW85GCzLVpeE0g8lIaMub1IBXXQIESx5gbSH1PmxPZgeUqI9ZzfV68QMfcRP/fgY w/39qM5qQL1BVruv7ufOGJ83L1yZuRf+Ow9f9BkPENEaOsB8g0qmwNUlL/5bQhGGRj2zqQocBE1j ZKPbhB6EzXdQ6wlcvbKOjVHuELhHgLDp75DqRQaYPotlMPoFFTCbeiRPN1SXgZzRkOvLc9K5N6eN Yrp+kevV9RVxuRh+r7H102GSuzlvBewlG1jwKfSy91GVbQmrK3/KXWCO2fnzAKHSGRED0+qwccq3 5prUAeQti2m9TADEPm809llK0qMP/TL0aXfAlMFLqB4amojEzWMxezCSY0NRn1FAA3tT0KL58gN8 TLnw/K+nA6MAzLtSio4gDrWig0xHfRkewS3f8hQxjVCZL2etVgJ00Bw7nGeu62b/J6liBHKg3ftB VESbhFrwclVjI9gvr7iZ6UxD6LJFPlyBHZOtcwp3i0E48lBIv1VLY6kpGoMWZvehtajse1eEzmy/ ykJKFb9jx1LtR0gxYMcG8F6WEb1pnH+y6LdM5tJ+bQRW9dLqKUVRmDknF8wB+AqyJCRtggi5fUnd 7i/N5nYHKKzHdLWEbYdss0RViHXckhWwQTFATdhWFboJcglPE4GIUWWqLgG8Jywog70joJeZV/Mb j9K03fG5ILP/900mlh7400UUs4EcqAvqK74JH2mV0Iz/03ZqtpSHvRdZDckj/zcuWt0x9apHlqk7 xeHuAWd6D7eKqF1fHDYV/9FGdyvsMWGfwyLVSJ/boYnYSj+FAo4xRvBKs4tBYTcwsDvt/fzpv7Ls glCWoeCguevfs0w8Xg5ImlbQyHqVCSO88KdBLc3IM+kgmHwsN6s0D/jVeyWrXYchBq8JvvNJ/rCe oouvC6MWjKSyAwE9ifVJTaE3sUDl9Rzw5CJIWclX6uPzy/JBC8mY//udBeNNWLnb1y89tY05KKo2 L4qKSoL2Ob3aLGbQIZLZLkyxQvzSNZFt5WDBsTXIE8oLE1v0VHMq33TcjTW0U/eQFie1g6U47p6C IDh44L4epKLnaWNcRmsaeJHfb8RO6sX8+tNviPoUUbZkRkGirdCFPfAEPNiHQ4j2N+vQwiBdBzzT S2izI4gn8DgdRRVbN2OkEASs9Nxu3QOjhYTh0i/k8jq7rqFTpWtEuLkNUuhn4sLnTgfkke9Bg8IX Kccp7kAIPIhYGWygJHQtkvPn//QsXbzJqq+eNtD7cffautMySYjc7s05c6d95whqig0/UfW9i8CS I1PpWOEU3e4CjlYPsUIhxZnv6+fP21ahGKAryOByqUwLxGmoz631jZMErOcOwlxBcWbeanQybsOU iZpuwt5orYvnWAc284dkZli3SuRYT7/5GY6n6UG9akY4FNlaRM9VDpYMq16QKRg5nb3pONv0nP+P 59YhxJ9vry1D3cw1f3clotvroeY5O7CXFLOyGU6/13xDzCfqdlNNjQ/iX2dYfyWE8YNMUslHr9r2 dRH/rh8G6/RGxWfJ2DHS1Fpge95rQuIGHqfxRDq433OuKGASzdLZuNy75n80vJ1eUiXm7reByJgi cr97cNoSop/+EYiiOy+mRPoXoSaVWj1N6KLxAUGZTmdT841bK+vYgc4zPQww1W4VT0UH5RODmpIz QtHdAEoW8zmtWo3XAN1BF9cRNkVOyjOJT90GIn6qUvhe7WTQM5O4PxwLG3a9gdo6AH5/wiAXPsXT DmJUPkpmjDegBifDrOoQkFDj/CDWBrYdHLS2HyPPmWMv5KLfxiArBXfItEa1QKUJENDLx8vFIdf/ UJQks0l7EcD+AbueCvZ6oWaDorrczgVIgiwA1fRCXmmohNoO4Wm49IIWhWf1UUNvFRHUfCpjaTQ4 /g7qiut+ZR4naN6IE5IQRS6CyMXMsVrdZ82sbUnQro6BRGbZFk3vSN6lL/s46635PdgwE/DYKSHP 6ehKkPG73HHBVd+LMC7R3QmAOmvheCSGWUdWRTVBxFTtaCK6tNolG6jNxUOsfObSWPxtZUq4G4xj Ov90gzcq/chM5e366g== `protect end_protected
apache-2.0
fe0dcbdd505279263eab2529262edf93
0.947478
1.826191
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/28e93d3e/hdl/src/vhdl/pulse_synchronizer.vhd
1
6,838
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename : pulse_synchronizer.vhd -- Version : v3.0 -- Description: The pulse_synchronizer is having the double flop synchronization logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: ------------------------------------------------------------------------------- -- Author: NLR -- History: -- NLR 3/21/2011 Initial version -- ^^^^^^^ -- ^^^^^^^ -- SK 10/10/12 -- -- 1. Added cascade mode support in v1.03.a version of the core -- 2. Updated major version of the core -- ~~~~~~ -- ~~~~~~ -- SK 12/16/12 -- v3.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*N" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- counter signals: "*cntr*", "*count*" -- ports: - Names in Uppercase -- processes: "*_REG", "*_CMB" -- component instantiations: "<ENTITY_>MODULE<#|_FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library axi_intc_v4_1; use axi_intc_v4_1.all; entity pulse_synchronizer is port ( CLK_1 : in std_logic; RESET_1_n : in std_logic; -- active low reset DATA_IN : in std_logic; CLK_2 : in std_logic; RESET_2_n : in std_logic; -- active low reset SYNC_DATA_OUT : out std_logic ); end entity; architecture RTL of pulse_synchronizer is signal data_in_toggle : std_logic; signal data_in_toggle_sync : std_logic; signal data_in_toggle_sync_d1 : std_logic; -------------------------------------------------------------------------------------- -- Function to convert std_logic to std_logic_vector -------------------------------------------------------------------------------------- Function scalar_to_vector (scalar_in : std_logic) return std_logic_vector is variable vec_out : std_logic_vector(0 downto 0) := "0"; begin vec_out(0) := scalar_in; return vec_out; end function scalar_to_vector; -------------------------------------------------------------------------------------- -- Function to convert std_logic_vector to std_logic -------------------------------------------------------------------------------------- Function vector_to_scalar (vec_in : std_logic_vector) return std_logic is variable scalar_out : std_logic := '0'; begin scalar_out := vec_in(0); return scalar_out; end function vector_to_scalar; begin TOGGLE_DATA_IN_REG:process(CLK_1) begin if(CLK_1'event and CLK_1 = '1') then if(RESET_1_n = '0') then data_in_toggle <= '0'; else data_in_toggle <= DATA_IN xor data_in_toggle; end if; end if; end process TOGGLE_DATA_IN_REG; DOUBLE_SYNC_I : entity axi_intc_v4_1.double_synchronizer generic map ( C_DWIDTH => 1 ) port map ( CLK_2 => CLK_2, RESET_2_n => RESET_2_n, DATA_IN => scalar_to_vector(data_in_toggle), vector_to_scalar(SYNC_DATA_OUT) => data_in_toggle_sync ); SYNC_DATA_REG:process(CLK_2) begin if(CLK_2'event and CLK_2 = '1') then if(RESET_2_n = '0') then data_in_toggle_sync_d1 <= '0'; else data_in_toggle_sync_d1 <= data_in_toggle_sync; end if; end if; end process SYNC_DATA_REG; SYNC_DATA_OUT <= data_in_toggle_sync xor data_in_toggle_sync_d1; end RTL;
apache-2.0
ab9e2b06a60e4d7746b60f7a48a2b6cc
0.53656
4.355414
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/5-EWF/metaheurísticas/ewf_femo.vhd
1
3,094
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:31:09) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 30); output1, output2, output3, output4, output5: OUT unsigned(0 TO 31)); END ewf_femo_entity; ARCHITECTURE ewf_femo_description OF ewf_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register2 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register1 + register4; WHEN "00000101" => register5 := register4 * 8; WHEN "00000110" => register5 := register3 + register5; register6 := register4 * 10; WHEN "00000111" => register3 := register3 + register5; register4 := register4 + register5; WHEN "00001000" => register6 := register1 + register6; register3 := register3 * 12; WHEN "00001001" => output1 <= register6 + register4; register1 := register1 + register6; WHEN "00001010" => register3 := register2 + register3; register1 := register1 * 15; WHEN "00001011" => register2 := register2 + register3; WHEN "00001100" => register2 := register2 * 17; WHEN "00001101" => register2 := register2 + 19; register4 := register5 + register3; WHEN "00001110" => output2 <= register3 + register2; register2 := register4 + 22; WHEN "00001111" => register3 := register2 * 24; WHEN "00010000" => register3 := register3 + 26; WHEN "00010001" => output3 <= register2 + register3; register1 := register1 + 29; WHEN "00010010" => register2 := register1 + 31; WHEN "00010011" => register2 := register2 * 33; register3 := register6 + register1; WHEN "00010100" => register3 := register3 + 35; output4 <= register1 + register2; WHEN "00010101" => register1 := register3 * 38; WHEN "00010110" => register1 := register1 + 40; WHEN "00010111" => output5 <= register3 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_femo_description;
gpl-3.0
37ceb7bb1f5fe7bf02af4798ef726723
0.666128
3.341253
false
false
false
false
sils1297/HWPrak14
task_4/project_1.srcs/sources_1/new/Hack.vhd
1
1,330
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- The Hack CPU as described in -- http://www.tuhh.de/t3resources/ict/dateien/Lehre/Hardware-Praktikum/Hack.pdf -- -- Note that we only use 10 bits for memory addressing since we only have 1K -- memory blocks. entity Hack is Port( reset : in std_ulogic; clock : in std_ulogic ); end Hack; architecture Behavioral of Hack is signal inM : std_ulogic_vector(15 downto 0); signal instruction : std_ulogic_vector(15 downto 0); signal outM : std_ulogic_vector(15 downto 0); signal writeM : std_ulogic; signal addressM : std_ulogic_vector(9 downto 0); signal pc : std_ulogic_vector(9 downto 0); begin CPU_inst : entity work.CPU(Behavioral) port map( inM => inM, instruction => instruction, reset => reset, outM => outM, writeM => writeM, addressM => addressM, pc => pc, clock => clock ); DataMemory_inst : entity work.DataMemory(Behavioral) port map( outM => outM, writeM => writeM, addressM => addressM, inM => inM, clock => clock ); InstructionMemory_inst : entity work.InstructionMemory(Behavioral) port map( pc => pc, instruction => instruction, clock => clock ); end Behavioral;
agpl-3.0
c2518ea8eaa1cccda02ea3353ba9da1d
0.630827
3.174224
false
false
false
false
sils1297/HWPrak14
task_3/task_3.srcs/sources_1/new/PWM.vhd
1
745
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity LEDPWM is generic ( WIDTH : integer := 7 -- that makes 256 bit combinations ); Port ( CLK_66MHZ : in std_ulogic; LED : out std_ulogic_vector(3 downto 0); duty_cycle : in unsigned(7 downto 0) ); end LEDPWM; architecture Behavioral of LEDPWM is signal counter : unsigned(7 downto 0) := (others => '0'); signal ledstate : std_ulogic := '0'; begin ledstate <= '1' when duty_cycle > counter else '0'; LED(0) <= ledstate; LED(1) <= ledstate; LED(2) <= ledstate; LED(3) <= ledstate; counterProcess : process(CLK_66MHZ) begin if(rising_edge(CLK_66MHZ)) then counter <= counter + 1; end if; end process; end Behavioral;
agpl-3.0
3a49c6768afc77b8d76a7e2bbf1a7a14
0.64698
3.104167
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/bram_sync_reg.vhd
5
7,904
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block B/Lc6fP5S0dhf5AflLymK9Z5uUNdzgoWNSj7j0QZJSdwUJ66uHyX0CvmQB28Bk/wxl/yV49htveM AhXEh1hsTg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UhYQuft42STOBGzVFu4+IE+VycjI4EkEDO1050jHbDa3xnOcVEsAaaZ2lXYfhGCM6btuVqQNR9jT 6sriNyq3mNZN3TGOSuB3Dqr0nB/VEK485Vdxnc+oizVSx0YWwpONw4Ls1W6paOZGjG7VzSy7ep05 xS/qqGGxidEoziUTcxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VcHUlW7/EZ6CsY42ewqMQ9996BSW4zyr+O7iNaaxe0exWNqt7MXp219e7GHWE+qWTdPTLG9/f7mB m0gf/WqoblBmOnOPREvPJ7WB/cnuAkrI7vY7yEd3RViPzZCIoKG3p+FDQ9dAnLmpGy+02szEH+If qH9nslE2e7tCdPfqwiZhyoAYOXg4PPOsljb7IZfqlURIcVy+BUwqHCGZZFjy7bH51A3yrLdBahHK B4Libm/QzYRiXsqjiQsrCnraXp1BBRkZbLgQ2aH4LAbshGeASNn8gue6OXsBiK70LYK4LMH16yFk aM0V1SjmHi6SetaQjPutRmWYjA8Zt8e+XMrzsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zEZ7lFfOyvU8lLVBVibq2pjoIFo5FwTfYRUqErnZqlUtRrqXUEvqRAkRBVxK61Pae00fJC9Jjb75 d12DX3lsAH9bhK4BXTHdJNLE6Qb17kxDxDh3VJR3Xrm7mnRZ7SrbotinEC8JAy/cb5+4Ja+kPGdn nV6IndJ5s/+548Ner4g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Mnsnw5BfmhO6ikiDgpnJhnHBwBz521caVfGfE7vKZvpBERbJ58+Sd3rOfY75O5d9hNaBDFxjiLgm VLLmbb0Q9+8ZeROguap7rFzQXK5Cpa0y3D4cw6QnFS+cNE9gGfFbQAl4AQzFiRIrxQYhpNeXEKkZ NCBdIYktabOLkEiyJCE4dyagsXdV8q7M+LT5+Yg30Cqmp+Lf9XPH6xCZZ3JHUgxm7ZImn24nYGBK XDjeGRYLH6IVFYDq6IiwG9BPE7szd5mQaqtJ92OWi3cWU1lDe5sHwy63RLMzw2pYmrvAGlBh9Hxv QKDn2Je7tMVw3YQIT5x7SKReCz1qmWbRkP8l3g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112) `protect data_block 9pbnjh3iqELv/oQfOy3Y2Bhey1mAzTCnKERzc1u8es5a6AbahLEsZcFLBsZKffuvlmRAGN5uolIr R8+ZhWC8LvkSgkVa6mztcDwwHx0PnZs8Rh8c84ZU0G+O1ras4A5r+8eobZpJORPbjzqzdcZUBuAL bq+a/xUitgXChXDJcSZSDXIBKnKYPQxMlJBr6u6HfFC6jMR/AzV7tJXBEyguIU18TkWTjItf+V2G cipIFYY5GURKqgfKyXb8mwFnfc2TAjR6hHKmTuC1+y0d6er6aRvvt8pA+LzzS4JQuSzUHhWZxgP3 9gIcABxoIqFG6AdwZP/uSKIrc0KbwIlz7WClkLIMUsuGmANacWMZkALTGAQoEa0QY09LogLFtbPS 7bSjmoOkeacOuR57rqUHOjGkjgT80pvme592FV3Z0po7Kxi86aRvoKyJOukAaWUAJSk1ZsFlyIGh xbbMayHeDows/wV9+B6wxQbaMk7iWp0MpsSdhjiKAf3evP/3kaD8mQmGrlLm7n9eX6Oqdg02ToKJ MVoRfY5RyGu2Vzq68bZNPfhdfqD4R56pmIZi49Gk4YXrDePgX29+KuWt9Tk4oaXtAvf+kVK8hdgr NPkynnoWdhflU2HnqsX8qac539kLgTSXSXj1yzzQ9ylwTTCeTBj/aoWUfrl1ellxWQ64bWmcEmvv 10xMyQR9PYl66uWasjxRrpOL32TsQoSaGO6//6CE3LMKLIfTsob8dnGXhAIeLnZK6YqiMkBZofLr 4WRnSMMU1b1wVXvtNoTZ3cPZNr9k0/aOYKkcGP2Jtc16FmAvD3d3uECU8JjDgHK4lJ9+poJ99Ptx jUUerUo9CBfxUqTxdHSIrB/kgHlC3SyZLPaWtFSfMDeNEeQM+xjFVt9LSC8aO1rvgAqNrJA65ob9 ipjoyBoPQJygRUdnPK/GIBt5kOYDFK2tGMtK7TzsoiLc/oIgv3VB397oB+uZ3BCZEpN8wMUvIM6p LWNmI3Kucu1vKjAu5RhoxI5BM34QFIjyB/aBqGlzZy1e1ssTWn2UJpWQvcqWjU2M1ixb1sqD/dGe EycBzu2zIVxY27Sji9T43X1/SQyr1tjj8W5apVHPDhRumgNSjy/xuzqmK2XhnBl9hEPdCehdwmKK lkv1+PZrJ20cwCPpZa3F7a3ho3gA3GWBbiuWnAkxZkWBlESAE0+TPNpSHwdPumDNahM479lU0n9P tqooPHhzhtERXjFauKhIxC+JWb30/S2TaPiFMhMGMHfD2LecA5H4jHDq3V4cZV08vqiOkyCJBh46 dOT3XfwUXaxdZrY9wAy6qui0fnm3bLl+UiMImWe1Zn0kp61bkcaiZ6QWEOnJ1PjNMw44xO0uGeHv HP8BLikX6k3HATWDSgJ3cxZ0dpxY6jHSVuBZ4eO0+BtBbH84iH+qXIA9Wu+OTgAOYjShkp1PcyyH XM94Ix6w+EAcdMgYcTMLsexjLkbWsbnaZ5pKJsPgVWCzqL8UYSMLwijMg15EnCyfOPDVCT5WEztE 6Gs6u3+bOLtDlBTN89CptcYpmhgT7xNRBhAOSsSIBNlp6igyOq6VKofsNPdAWDCW+bmny4mlNkNm q/HNT1kCHTZ79kR6w4KBjIsbIr0tt+yPya+1nufpXzF9Q4lg4OiLD+NGxo9/ultZsF2W7KoScZLW y70xrJYBGimMk5v3XxFSsaSzN2+M+hIbK3Vh7DB8FY5ZY0Iiy6CiuxXYnvQgeoDgeGDKYcTiT6eb yVaXVhvlj46My9hdaTsf+GrbXyr1u7uuZSM/shjdXeS+WDIVm1B/LtlT+5lfc3zyAyfa8122PATh aECEWxJxIKXL5NbOWQizWAx3wg001O3F2wvUc4qAmqRdLu0qeiXvYPQlZ9LLFUBbmxZpWxKkVjB9 vsyPTdpQkuWWBoSbQ0a0SaEDo6NVc6yEf2qAGI30rn1eY8mU1DjfEdlHYlflCLy9LJJaVPL32piv WdLfwCWRusN/2YrRPfzdqWFlp8tWPDg0On4Z/tZysk1txtUFmoCuLE3WW8+lFWGWUDcpMwfZN/fG MxwvVwax12xFWSqctbyoJ4sntXYkBrq4FT724PWsmEY3yv+PbMo82ymWaAvCBqohvmpM9VCGJ3O7 d8iRCthQVGu5+QVoTxevfdhhUKB5tsqhdA+GEzxY4IhN7pRoosGVB84JG+N2REkx3aww8vH459B4 6Gvjau9aqgq6QXsKuYs36MaId7qzhXWzUPjld5hMtenn0xX6KlmGYpHjZxY85qzHUWyz/L1j1CPq 9g8nPgrloIwMjkXdYV0I4SMua3YKsqb2WvbGZbyB07zy7ZoGYNeRaG2UfGFiMJdS/HYSVbgPFzTV J6H9yLSesbzizqOivcTo69/cuvOnZUJ7XpaaXodR4DwUa4zTwIV08ON8yExo+p4GOySaInqQ93zN 99vOpToV8SFtlp1xElByBWB4jDk3rPLJUD0H0wDz0UOJacJ5t/B3Dwots9AebInSAq2Atr1wfKdT 4TihJ2H6kz8APmJsJHErYr0FfeHs+/A9mDkXHpcoYZSowfSe/6Lg32Bdkl2/1nWkqx7P+1lreZuw P6fLt4J62DjzqJGT223Ukyn5osAVSDJ9K8ds0tMpwEbhH96F4xz/gmSsHyB7hsMrW3jSdka/AY77 uePipqILw9mhwtZZnuR3waHZBqtSeSLtL/b/8h2EsQV0b7IOcGAbSaMw6U/U+paiSThgmJPN7gtS 9ycb3sfULPnJQZYeFYwCC5QOkeHgRF8Us9/z65lSsAP5U7A7hh2D7ef5Mxl6c3qtlhO5MdfEBVLo aW5yB4DtK1g5j/L3e2ATXFbrl+75XdK0dtGewXeapsA7RsVCA+fOrLX9HQUzLGM9Y7fAHi8zB2sZ bkYoccFzhwNKgSTkZZYpJ2afgkLG6tEDtS/7HRHcyYdvcFnS9AePMuSdpMy5gJUxyFcyY/NvGuxS F4aQeYFEBd3VmsOtlQJc/9T7XtvrIEnTUj4w+vg/VQFtpQxQHfn+BtAj6udyOMvOTBeYFJqyDh1s 77RubNu1FUUEkEr0QK7+vMY77yggOTC9tD0sODZzalvgWr1OnbEKUD/dSec/4xiU8irHKuv604mk mUZaP4xQmwcauE8jtJ5HoICRmUA2EHAtUe73wZJTjd9TL3F0GiFpv9DEIlqQcaW7wAwXg19+aDBb JJOoF3cBs/Y2I2PxWK9kBW8VgSi65LG7JaQJXAYdJ2GIoR5z1grKIKCOAu8fR94LAk2ecASV7JKN MDUIk7kvbyXX7Mpx4gmLXAfc0pwa8tcursUEuA7Jw1iTPobHQSS9OPB7xftYiROylsaDz/ntsVGa rX7S6i62m2c7toCO7ox/qgzo4CykIVqg8U8gMWx+GIIdFRP3d8uhp2ZVZGA707ZwtlQpARmhjh0p 4rxVksxh8wwt4i5evNYk8fGjl4LJxG0ym2NupN6F4U/r2YRpXQ7sfpNpY2S3a4WmaLcnjj6FYYf5 fn1Zk5MUNsjRM5KvFT8QYGlV721+j1hFOKDhxE7jN2mv3vp1l49nvIqHzE2ROEi7kN+boR2RDDZF MGiAVRtFJ+swrdZdRjB62NZRBjCYs1a4SDlD/3CnKbQSncaROnoLybvM5tvZE6XlcTSDauvcBkGK OO90ODl8y+uuwgiUeGasYg+QU1MrOBe0gpC4NcDaQ1+j0AdGl1AUc+s+XdESH8HW07geE35qgGI+ fqCmMbx2rg/Ba2AP2ezZ5IBAXvV0dBso1n4eO3PHk860Y2tV6WhAO+tMSU87+0Z2LNWcdyu9lqgb Dj8jMuBbEk4SJKHaf9vhs1a+7nbGifetkhMYu+blFBZulbzJkVO31+XOgGM5JuZ0c6HLm7wMsewJ VzJGKalxo/zKlUIRB0Yod8BSvS/LnMfFXKORHf2deIiR4XmyGxrhSv5+5yST4R2i08mSPB+Du8nI XOA89kebWXeTvbFE26ldED4n6iWSvN6ZB7K4efEz5Y+OMFs9tESirNSRWfIRTGH+Yv+bCRsrW95Z 6h3kfzsw3TxbkFIPHRmeILiZ47njk1pIXQlN3uCp5EnZ8+yBX2Skgi8eLx2GIsEgclXfupCz+xJO V/0Cag5zQ/VROIztSN7uX4exp8kT/MXyOXZbYnrp4GQR4KwQSZJr19ur4Bb2EN2PPFQA4su5N8Wm anaUXGZmyBa3RxjQybA3taItfX+L+eX1S0/cwwPaiC74fq/1TFJhwkBtD6hE2F+tQ7ZCp5hSMUiS u6qfHqesnY1v7Vgr3fmwKISWzd7XDZnFxdQsIjSYjZIZk6QRmzJdtpxGAZzQNENp8XN2n0ZwPVwu 0VYsUhcLxKRD0Nbmwzm545LC5bV2yJTdouUKp/II/8eWG9w7Zy843eLad0HYxctQb71p3zv/cBpG NPswWYcXG9jilnHb8EnBDDLrn3giMpN9hZBdWqqa4e9XX6zfPibk98GQaXIWw53fMJUszhX0PSqQ wrMF+lVAgrWjcu76aUCciyRch+eXo4urwR4Wt8B8M9C0jJFCula5Hpk21n2I0NcjE2yiSUoVvlJo 57k+r9HkAEAmi6bfkhb2Mn/2k4ISzyymIZYXgEYZ1UUKO87//Ekl0Wkg5Nx+SfJEegCDdGZZIWmj 5aF2ts60kh8Bw3TWuBd+AyMPb9ys8s5Myq2uf94KWoMnxLtbYHPmrndH0I+1iOS7Ao3CaQyQ4efw rdJL0LTTSdcg2uWJipbKk8c1yCcCIyRTeSsaJQlQ11v8I3pilqE5ZWoB2RG5+bXV0Hi6qo6vIXXj +hVakOFY0IJRUw5a/hQWM/CuiRixgzmOGmojduJCH9ESBbX+pmwxjSnPGvj/6yDCxsFLwKigHOyY GS7VPqBvSTUi/J4uKFZWRYRtsdWTLP9LGX8QXEN+kFKy32Ntw/j4JgYQnipJ5AMse39+5nv/UfVV aFcUk6VfJs3uwSwo75AZ4bBAR8WmUx3h+4LtRdU1pLRl0bfnzuYX7N/AegIxfBwwwrA4y3j53YO3 FqCLhGCesjZ06lJL72hLCIfyKBGPqJjI+8r0eug0nOLq6Ge8lceq3cALmnVaOUjC7GSzFCGAn2NB ZYpIpzvh+YvZoopg0tje5Gh3EStD7vaLlU6LsECcwGNNCaAHYksLPQDArHfK/9USAHTCg1/YwVX6 2nsCLhfwx05bF1IRNv9shqBkkGdXzOsr8+xMP3MLR1qlyEe+6ebpQPY5cy7x+ztWiM4ADBO4qzSg wqfl68sjqXniTotnk8AnmjP3Q7pFKbhSnQQWT2jD2OmHZELqCDMKY/ieM8bMLPB4W6A6vpHJE7O2 GZGejVuMwm7JMxk9NszOXw1gzMlzzr3UgNoxFpjIfpvSB0UTcYakRltDMEnY8D8qqbl7gBpCwC2R 3W83n+r9kQXp4vKrnPLgqbv5C4tS9sPEph4JzpxNDZs5HpTkkiyREoDR7ntW5eiavYF3YPNo74FH 12TsuricZKI= `protect end_protected
apache-2.0
09a62dda11ec28dbadb361e222513f97
0.917763
1.93678
false
false
false
false
Abeergit/UART
UART_RX.vhd
1
3,595
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity uart_rx is generic ( DBIT : integer := 8; --Anzahl Datenbits SB_TICK : integer := 16; --Anzahl ticks für Stopbit PARITY_EN: std_logic := '1' ); port (clk : in std_logic; --clock reset : in std_logic; --reset rx : in std_logic; --empfangenes bit s_tick : in std_logic; --sample tick, für (16x baudrate) rx_done_tick : out std_logic; --tick welches angibt, dass die Uebertragung abgeschlossen ist framing_error_tick: out std_logic; dout : out std_logic_vector (7 downto 0); --die aufgenommenen Bits in paralleler Form parity_error : out std_logic); end uart_rx; architecture main of uart_rx is type state_type is (idle, start, data, parity, stop); signal parity_bit, parity_rx : std_logic; signal state_reg, state_next : state_type; --Status Register signal s_reg, s_next : unsigned (3 downto 0); --sample register signal n_reg, n_next : unsigned (3 downto 0); --Anzahl empfangener Datenbits signal b_reg, b_next : std_logic_vector (7 downto 0); --Datenwort begin process(clk,reset) begin if (rising_edge(clk) and clk='1') then if reset = '1' then state_reg <= idle; s_reg <= (others=>'0'); n_reg <= (others=>'0'); b_reg <= (others=>'0'); elsif reset = '0' then state_reg <= state_next; s_reg <= s_next; n_reg <= n_next; b_reg <= b_next; end if; end if; end process; process(state_reg, s_reg, n_reg, b_reg, s_tick, rx) begin state_next <= state_reg; s_next <= s_reg; n_next <= n_reg; b_next <= b_reg; rx_done_tick <= '0'; framing_error_tick <= '0'; case state_reg is when idle => if (rx='0') then state_next <= start; s_next <= (others => '0'); end if; when start => if (s_tick = '1') then if (s_reg = 7) then state_next <= data; s_next <= (others => '0'); n_next <= (others => '0'); else s_next <= s_reg + 1; end if; end if; when data => if (s_tick = '1') then if (s_reg = 15) then s_next <= (others => '0'); b_next <= rx & b_reg(7 downto 1); if n_reg=(DBIT-1) then if PARITY_EN = '1' then state_next <= parity; elsif PARITY_EN = '0' then state_next <= stop; end if; else n_next <= n_reg + 1; end if; else s_next <= s_reg + 1; end if; end if; when parity => parity_bit <= (b_reg(0) xor b_reg(1)) xor (b_reg(2) xor b_reg(3)) xor (b_reg(4) xor b_reg(5)) xor (b_reg(6) xor b_reg(7)); --even parity if (s_tick = '1') then if s_reg = 15 then s_next <= (others => '0'); parity_rx <= rx; parity_error <= rx xor parity_bit; state_next <= stop; else s_next <= s_reg + 1; end if; end if; when stop => if (s_tick = '1') then if (s_reg = SB_TICK - 1) then rx_done_tick <= '1'; if (rx = '1') then state_next <= idle; else framing_error_tick <= '1'; if (rx = '1') then state_next <= idle; end if; end if; else s_next <= s_reg + 1; if (rx = '0') then framing_error_tick <= '1'; end if; end if; end if; end case; end process; dout <= b_reg; end main;
mit
68a8e84ca2927222d3d6a67a155c127b
0.499304
2.974338
false
false
false
false
rcls/sdr
vhdl/usbio.vhd
1
4,666
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.defs.all; -- We run off a 50mhz clock, transferring 1 byte every 4 cycles. This gives -- up to 12.5MB/s transfer rate, to achieve the maximum rate we need to use -- 'turbo' mode that ignores the FT2232H async strobes. -- xmit strobes data in [subject to dead time] on clocks that are a multiple -- of 4. -- tx_overrun is asserted if xmit does not write a block. It is cleared on the -- next successful xmit. In turbo mode, we have no idea if the write succeeds. -- Instead tx_overrun outputs a LFSR which may be used to synchronise streams. entity usbio is generic (packet_bytes : integer); port (usbd_in : in unsigned8; usbd_out : out unsigned8; usb_oe_n : out std_logic := '1'; usb_nRXF : in std_logic; usb_nTXE : in std_logic; usb_nRD : out std_logic := '1'; usb_nWR : out std_logic := '1'; usb_SIWU : out std_logic := '1'; read_ok : in std_logic := '1'; byte_in : out unsigned8; byte_in_strobe : out std_logic; packet : in unsigned(packet_bytes * 8 - 1 downto 0); xmit : in std_logic; -- toggle to xmit. last : in std_logic; -- strobe for highest number channel. xmit_channel : in unsigned2; xmit_length : in integer range 0 to packet_bytes; low_latency, turbo : in std_logic; tx_overrun : out std_logic; clk : in std_logic); end usbio; architecture usbio of usbio is type state_t is (state_idle, state_write, state_write2, state_read, state_read2, state_pause); signal state : state_t := state_idle; signal xmit_prev : std_logic; signal xmit_buffer : unsigned(packet_bytes * 8 - 1 downto 0); signal xmit_buffered : std_logic := '0'; signal xmit_buffer_length : integer range 0 to packet_bytes; signal xmit_queue : unsigned(packet_bytes * 8 - 1 downto 0); signal xmit_channel_counter : unsigned2 := "00"; signal to_xmit : integer range 0 to packet_bytes := 0; -- In turbo mode the overrun flags get replaced by an LFSR generated -- pattern. Poly is 0x100802041. signal lfsr : std_logic_vector(31 downto 0) := x"00000001"; begin usbd_out <= xmit_queue(7 downto 0); process variable rx_available : boolean; variable tx_available : boolean; begin wait until rising_edge(clk); usb_nRD <= '1'; usb_nWR <= '1'; usb_oe_n <= '1'; state <= state_idle; byte_in_strobe <= '0'; if state /= state_pause then usb_SIWU <= '1'; end if; -- If we're in state idle, decide what to do next. Prefer reads over -- writes. The read handshake ensures that a write will get out -- anyway. rx_available := usb_nRXF = '0' and read_ok = '1'; tx_available := (usb_nTXE = '0' or turbo = '1') and to_xmit /= 0; if state = state_idle then if rx_available then state <= state_read; usb_nRD <= '0'; elsif tx_available then state <= state_write; usb_oe_n <= '0'; end if; end if; if state = state_write then usb_oe_n <= '0'; usb_nWR <= '0'; state <= state_write2; to_xmit <= to_xmit - 1; end if; if state = state_write2 then usb_nWR <= '0'; state <= state_pause; xmit_queue(packet_bytes * 8 - 9 downto 0) <= xmit_queue(packet_bytes * 8 - 1 downto 8); xmit_queue(packet_bytes * 8 - 1 downto packet_bytes * 8 - 8) <= "XXXXXXXX"; if to_xmit = 0 and xmit_buffered = '0' and low_latency = '1' then usb_SIWU <= '0'; end if; end if; if state = state_read then usb_nRD <= '0'; state <= state_read2; end if; if state = state_read2 then byte_in <= usbd_in; byte_in_strobe <= '1'; state <= state_pause; end if; if xmit_buffered = '1' and to_xmit = 0 then to_xmit <= xmit_buffer_length; xmit_buffered <= '0'; xmit_queue <= xmit_buffer; end if; xmit_prev <= xmit; if xmit /= xmit_prev and xmit_channel = xmit_channel_counter then xmit_buffered <= '1'; xmit_buffer <= packet; xmit_buffer_length <= xmit_length; lfsr <= lfsr(30 downto 0) & ( lfsr(31) xor lfsr(22) xor lfsr(12) xor lfsr(5)); if turbo = '1' then tx_overrun <= lfsr(0); else tx_overrun <= xmit_buffered and b2s(to_xmit /= 0); end if; end if; if xmit /= xmit_prev then if last = '1' then xmit_channel_counter <= "00"; else xmit_channel_counter <= xmit_channel_counter + 1; end if; end if; end process; end usbio;
gpl-3.0
dbe87c5d3986b6b3164e95f1bf742384
0.593013
3.410819
false
false
false
false