repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/1-HAL/metaheurísticas/hal_ibea.vhd
1
1,541
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:05:41) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_ibea_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END hal_ibea_entity; ARCHITECTURE hal_ibea_description OF hal_ibea_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; WHEN "00000010" => output1 <= register2 + 3; register2 := input3 * 4; IF (register1 < 5) THEN output2 <= register1; ELSE output2 <= "00101"; END IF; register1 := input4 * 6; WHEN "00000011" => register1 := register2 * register1; WHEN "00000100" => register1 := register1 - 8; register2 := input5 * 9; WHEN "00000101" => register2 := register2 * 11; WHEN "00000110" => output3 <= register1 - register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_ibea_description;
gpl-3.0
18975e9f1c514fffb2cce39997ca6262
0.654121
2.974903
false
false
false
false
witoldo7/puc-2
PUC/PUC_567/PUC_2/cw3.vhd
2
2,075
-- Copyright (C) 1991-2009 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- PROGRAM "Quartus II" -- VERSION "Version 9.0 Build 132 02/25/2009 SJ Web Edition" -- CREATED ON "Mon Apr 13 15:13:20 2015" LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY cw3 IS PORT ( sw0 : IN STD_LOGIC; bt3 : IN STD_LOGIC; sw1 : IN STD_LOGIC; LED0 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); LED1 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END cw3; ARCHITECTURE bdf_type OF cw3 IS COMPONENT decod PORT(bcd : IN STD_LOGIC_VECTOR(3 DOWNTO 0); segment7 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; COMPONENT mod10 PORT(dir : IN STD_LOGIC; clr : IN STD_LOGIC; clk : IN STD_LOGIC; d : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT; SIGNAL SYNTHESIZED_WIRE_0 : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SYNTHESIZED_WIRE_1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN b2v_inst : decod PORT MAP(bcd => SYNTHESIZED_WIRE_0, segment7 => LED0); b2v_inst1 : mod10 PORT MAP(dir => sw0, clr => sw1, clk => bt3, d => SYNTHESIZED_WIRE_0); b2v_inst2 : mod10 PORT MAP(dir => sw0, clr => sw1, clk => bt3, d => SYNTHESIZED_WIRE_1); b2v_inst7 : decod PORT MAP(bcd => SYNTHESIZED_WIRE_1, segment7 => LED1); END bdf_type;
gpl-3.0
ed6535c8d768c0d02fa12a95d160e8bd
0.671325
3.293651
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/common/wr_pf_ss.vhd
5
44,605
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ndF4Sgjn/aBs/bMvOIOY2UTDvGjiasz2t31uIAkqiqfO+iGbki6xxzfe3Z8KQxcf/tAXlLydXEEm GQ4LdpvG+g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TJ+8FmtdrnqqsUVH8/Gib1x0AxdeGJ11gYSRoXsgZhlf81WgEitSWstSGkghryxxzvW8VOalTVx9 fEUfffCnwm6+hcLM9rFJutwSIy8jKJmN9CnDBtpJapYwzEGqkQAalfJO9ZE/aNJLoeW2gs0aq8u6 Y3KvfKpbK+8O9MapATQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UjMfQCHBwoc/r1HqR6nGojh2Gko/ZKWwaAWv9g+eIhlDsgOuTJUQZc7UFXCeSqZaIPrmotN5HGB2 12Fath4GWa+RzB56xyjUBS9wZfc64uhn+Z9lOZYHEz2pMKuo+FavKt2SzSFnJwzy7hu8BDg+0km6 ZWWSocEkQNkUPhwQLGgj7WtffCXOCK4ClV/dO8YVh0I9th7hDsdkLsckCfQahcgzlRO6pMp5zJNn 0LB9323hFRi6xYmxOsCHi92TW0qvo4/7y1B/Db3DlIpOlTa2o66IGUhMoZU5z6CwDjo44QFagDdk sSmGFt/Iqnv6P2vUkpdCm3jFfdUoG9Mh9JFY/g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TJMHDoDHEF0AWHNCVAW3R7dBYh5NcBEQ10y89tzHGMohL+72pZLr0EaNDsjREvb+m49cN8IS9wBj 4EolS78D5cUwgPOVO09RIDVkS7eUCjW+gq9WbOGm3MlHDJAwNZBKXUqPqW52Uc7WsHSp6Umow9VI As+/OLGXZxEqoRHYCmY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gv/XnBdJ1POda3u/pUUZ7PGn5FdnU9sZZ9mHMdPPxeeJexzMUP1dOGeK/DaLuqHyMbWbLtzhpfhD TWfGS+H2s5hN5ORG4A2Tue4gVMEQmhb/m/8RjKPJLmcNlSQD33g0O3KLLh6t4QjzG1f5O2jpjSOa 9CQps8dFM9GqxSw0nSyFz12+CxQ3zulCt5nJ1hXZ7nhREEH70bZkVPBizPrWs30FoMBpX/d9ahZo 8iAkpA/HV6W+9UT95I4x/eHNQqFp059dg3HT4qhqZCwLNKxm56YbqfBQc1Mdx4oaw/GmleMoTq7Q iWr4QP8mJbFb7SCm6bulLlIRGrFnoRcwQWrybA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31280) `protect data_block mwGioMqrESAIwZzKAFli5j8momvRwBEwpq83w8JSM8Vgch0yJnoSi9uvFJUKoSMAkF3OAgEdTQJk fC/V5ydQnAvMX2rt9+DaYXuk3GWiw5quKtMyUyyDjS4PzuxLxafwJV+DmAQuUuesJYHjGM6snHkC kZV7cOVKuta2dGY2klxq0yK+GdzYydrVTY1L2Mf4v0EmR3uDSU17+xoknt/dBbwq5l+VLUkQEgMX OiCtSRKrm/n6Rs2M0/QED/ksQTbTwcqzX3bwdA1jGOI/DPoImIW3LfG+vsAUXhEzF/gkNrMU2LVc HuiXlSO+MW8jb09eii1MYGXDI3xz6xfvQ4ZjCTKYrLXjZp25JlOT+rnlTQq42r7xfY/zsoLdzYjI onekFgldOyWavEjvS8lwQ9p1wNzAtSG4L9jU7HELmHfZCEQETTUssEl12KGuG2aTTIbD8fK+C6tH 0GCjqVD3qEbEIk/rrtGpEw9Uir7oP6BmMD92rTUOsPt9LcvGBqw/dereFtdSJIuvImHfzhljv+RA 6XU8fLSdbFOKoBowWa5pNwMlyXIqthqjCfxH/GBmZck6EERwtJThIIIch75vyobpcCtRIWlDXBV6 8Mt2Iiprf9R09w9XdZNtgcv7CGdBlOZFHkbgW80M+8Cg8w0mUU2JGjOKjz8r1oe1dYQ4k4RsBbxT KxcllBF/IJ010vEH+GESEJv8q/gAvJSBY1XC2/tIgND7UDS+Awr7/GvByQQBdDe2Tmg2lsTLZbLO 3PgxMqchp7gnIJcn05+cSOalU4VJr102wDBxsa7tOE3pv0MkX9B+LnY5Wk2+yd4Qzw1ihtku4puR FkGS8AXBbnWJ8Nfymq+Tz8aaSdDR2LLiof23GPJdgQP5duN9clbeKSAd+4oVo4DhS9fWVXjtggFw 5Cfwc2tldB3gVC+AVUOr8DonDPivPAW1DAqQYhI+KIu5mJeiwkwmTgymn77srq20Cz+EnbTAbQK9 sJrBd2Pr/2+SDbU+aQA0OkHPdHj5CJeCBkeaRD5FBbjPYJsep+K9Fpncoqt/ct60NZ4uWmDzvl3p kp/X8/F0adAzqWoq8o7hfftuF5YWAe007J+i/eUdS6jM4IRxSnF9FyI6kqKyP6bZVby9sKH2Bb82 B4exHiWK9GuVjVV1XM/1eiFCwH2NahIZ9/5YeRKuqR1E2Bxvd6R47tRh/k9/6sCysKxWTWVeQ9RF BpScP68oWfRmv71fnwR2QRzK+YiipcYfNL9Ab/9s932P6e+RoPTNOor9BlFO0jHEBuGDeRxCctyF aeAHF7D3JURjMCGKDjFRwZnADF5kY4A068lzE8sRd3yIz+9be2Rl14nugUZzf6YbtwAngvJSz1vv lcIuO9y1EIoG7o/7fVglBLMUrMRmiUK36RYS6Qn7rvZhkdaswVYdhtlxic/fOyHIlDJEsFDRoVAu OWDZpfQ19XvXjAcuqsRteTg5xHI2CwgvqgKQsCdIdzHCTBZPA4QIcY1IaFci/gHO8nePin6JSmtJ G7dEwToCAHQWhKkNTbsnhqxtyNg+etNz7PbR8sAuK4+sBaC+Rs/4eRZS+rxc/AmXz9GfjaSYpkWp zCX561vPY6uB7YSfnFDMIZ6IeIqJ2S4uE5zHxq5h4I6ToN5knqQR/WLwNyBnvVzdUCQFPBSZMHxu gEPvZvO68qBF6F1VsNowxhxrBMhpFRES7jROIjS0hBKy29VTthdRhBurlmyasP3FS8GZeT+ZuzVT Dbbsmwe9U764fVyxPDV+SG0MA0mW2re/Kig/CE1sm3tIJRJyPf5YSg12wYuvKspD21q3d5qx5dja hWNq4iaUkn4zEXFXNYLux8csG2hFQi5XANctDZhAbtPovrmmvLzQd9iir93OVGklgPwTfhPzi1ig kN53k6oYyZeX0NahnZacZc6EDItImQLz0124I+WN9vrMBeTACIrT3TmmrSiZsBt0ygmyfeLvrcUi CY0jB/67rbmS8EztpsXDr97J/tGlieC8cWvmoyeZL72ZnQOOwsiJhf2hccJULLBSlwWYtD0CzRUl MI8h4NgzLB1sWpQOs+bU32LhGjy1zg0krkLfmVYi6Kp1mrucrobcnV3oz4c0HJkYCcLdfndPxJ1i BHK1jaGadHbjen/WQskpuIbLbN5V4InKzTBgSZvWxmFtUH70hnEc7Spv7nrGVsj2qfnfuhTpQnf0 wk+YpAP6WX29s9sUhtAVeyuVD34gCYCLGLWAKqI6vCADhpyWFPBBaEMqGNynHmDWBsuJZ9yphopL H9p+3HbgeOnCsse+i62jQhjhJ8s7nsWmv7pSaCjtU/HRHT5s8Qz7etF40smzvyUxrvmdsc0J64HQ yleW3uk1UesXSElU/bZ7dT9OF+ItrxqHAoxZ1UUY+Huw4MBfpAxBnqYBdq/H4UYPW94l5ycPx1sK m+dhlsjyDQbkNT9G8tUz4KCEf5DWEcNLblvYm5U3V/oUOpS7JDnxga+dJYIKo23GOPJQDuVfKFRM DlpEODkSpr0LN8M0c2vlA8Ii87t5HXSV+ToiVAnrpdQOEsHlsGekLcW3aQono7BD5oVdsrNT137a 8ruxEij483HA5DJ6CAmG2/5TsdySfIWR5yuUD2h2VJg46jX9AoIG2hUCoSRUv+wS7bxm1LCt7W1K 7RxgDlPj+mv4BSsW1uQFW3cclccvYrtBQT0cqOb8o7zCXs8xFwhbWngAoHUZRQg7AyLnhnn54SK3 rgu6F+zia6G6w6Mdmegz4ROmMVvyhaqSBgfdFJtHDA6gwhAPIzozX39A+aFMXXrEyJV4lHczRIZT tBGOhZ6Q/LDV6lh9Td9h7wB5XimIBz4jNBBvCMk4pgA1TfWeqchHVzqk1Py0c5eSc+cS9BUpOfqp 5G22BCWHRk0A0UZaCCMVGF7pMHYotlkQ3RrQNdow9YQKR3TMQvC2x7Sry4KYS0Aq8pBMKYk761BK YrhT7rTHXK5uJ0e0hq8R73sNUXWZ1YqrqNnDFPLEsaJ+yWQ2EMVBOsbDNOUcmwjCo8gszmjFmXzr b4ew13lKY+IHPj1BVeqz7Hk/45qAfbkRVtKHHYprC5bvUMI7ejJoxoeo2sXoKQ5xp5vXyCNYa4cA JXFsBG1wYIw9ydd1gbOMhmHGRMPeYnCWEeEPOi6Ciyhck3GpFavqBAKhp18EUiKhQrTxdZw4U/lU dBf2ckMawEVBZ61KANjB4nuE+wFUN23h9Cog1b/GDtyg3J1vLXrpj/dQotNZVoV3Jh19rAxfLyTf ZBJ3RaHOJGvLb8g/eVifYY2CQU4mYodpJy7U9VA2OLRDXr51GprquKO12RnRY3LN6WMSDdUP3UnB QeIwNUzQtrppIhS47XmWdy5UIs73/YU4XNX3ghgm0+F5NCrNzIGvKBG/KQd1Bo8DKkLEIsFW06yg RZmU7+OWgPt9u26Kzle1VdWKGdiJpEfSwkzTgleenFqWSDTiD1yjybdN8H632ZxP54LzoZtSS476 bLBlUGROhSQ4b3RL/T5b6OlmEQ6ReDyKSwjkgFV3VrK0ZqhQ4L6AhKI5AVig0NeDUbIjJtHVnXtR LazwLsOfSvkXunL8mPfA4GO0IEF5RH9TqMYfMHKU9lD/gXNcTEpNIF/5FZeelImcox+E4ZjCfezL 87AoSsZtYMz7R4crY3Nb6hzIt0MSmgG5G4FMOdaa2hRLWLtD8PREXXUxCetquqZysVkpUvknZy8a /wQJoyVMoAP9L5MHRuttYQDIO701F3RuBs/Z+KxtYPfwc0h3iKolq7HEOYryvCEwDNhaV3QbCNq9 S4cphQggALgIRYF2x4YyBT/xXrvz5GWvq29nHIwjEHKD43kIGS3x8K+DJ5Xh9KIRKf4cmb/V0T8S 3D4OGa3IgleFHlSPm5MnYNReN0FX3K+D9Nmy7OQZl5qfL9m8cRLkPmvbHWl8JBgJrCgDuVTa060V ega9ForakFKi78FkOOR9P0EOVGvfnq0tRVGXex3TDg1QtTAiVoAJjD5GJjkU81i6FUymrAaBUTjW B9/npo4/ACu116NaGAQAe/wNSQtKNR0Dwk0j+zvQuao9m8gFCazQwOYASUj0XZ6FA/CTkl04z47m h9x/SmBpMjCJbgND6M2WktUHxaKSK54LzkLvL1JS29/tj3ytLd9sN6UwErh9/9bu1+IshTOoVIt6 GZze6dNxET0Puyhj13ZXxmG1aKGlBiZ/vjOFVcDIYENWghB3JaI7mbiovK1mEahra95H5UNnqsoT PGG4pWZKHPp7uwMM6ip2CQaf8vyl8MUlSDrjG4fDuCrqhcrsJExoJ2X7LZEgJIMsHAx0zR5LWfiM tHmNu3jK92AorTZ9ZciWQrdG1K74NCVg9FlLRctmFOIqSnNk6zA22p6siC//l2eo4EJyG652Fo0K JRmX5T2m73/I3rhCpQGzG86X4WUm7ipNCEjSV6W4ob8Gbc6QV6edX9b37siimv/wqD/rTV8sMmBA VfXWRW+zm3vk6OC60jJPs9bw8aEqkwz31IDniRYP+XKbR8spHmCkD7rjUDYsbODi+jqYhJ1rQ/MI rtr4No+hQrXqLavEmYur14gjJQSOacgq8fMimFOY7F9/frCp/gm5k/dUHZeedkTLsxFXL1Voba0k VL1bhdD35rGmsvtW0WR7/nmzCWXu0olsGZGBLa+rMHZi3hXZIQRwVgIMX2CkZtqPOt9KQsYgWru0 kNuSlGeyhAD5DTx0TYddL2GveIUixxqam2wy4IQWsjJO5ihu670nWCROcGGkO1sgCk3hfw1/jnOz p0aiI8jeYiUrd6KUWvfoHK2PJtYVuNV2jB37ydfGbacogNdoYLWXFGxZYyr/MWVTTYY2SUiniUb9 H0dYujH4jViGie+qHNM6DMlUtMjF6vMwfnsJZMpxPIr4ZYUE02xCSv+PcNGx9xNYuDigqRb7UsHu Y0f5Ee7TbTgcB/qyAtAchGNGaGRZ+IRz0iiNgD2Lim90/x2/817+VhC5dukCvCthN7Wb1v0LEYyR FeOpSdiP8yxaRTidcCuqXBMeR2nUJB4F9uJJZdlymjlYtzI9dD2lK/cdVIUFiwwOKjWJatHzKG0O wp96OBcG21Xib7+aBV7TLEsqxCvVajD7gswxTYAL8xtjNSzLQXkvofh4W0sRaao4cxpE7KXTbZlk TWncrprUqh09blkCc2Lu8MjA6nh6jt1qiIAqjM3UdEbo02ufOBwrHNHpByQOLV56iLjq9eHw3a1S 4iM0UYwzDimGHUTybr9daihU5rMewYCNGaroEGwwVIF2FFr+2975bZZ76UeM0wLwCr7tfL6/OA6l i4bvYWB9T3fu7R2eaDhtQjnEBGBJRjjvImVaMP3XZF7kjy9POsF2S+QhxsX9XpIkTdMVu76se7CH Agp/MsD4bSuiTgcv+zY1VQRqu8HrvvQaZes6YGCHtdXM2dzqv2OALms7+fZH97aGAlUHXx/Re4o4 n6m1N/zsV9/s7gI156CmT9+p625dv43OyRk21195uStsILMV1tIiF0T3O9F52C7O/HhK1BCgta7w g37Gyf5wI+kDJo/7pqEWc331EpaEEe+HRAEhWiykrOYLSfswjIWuHYjkiJhpwwWFORTF56S1uBer RgQQ/5RFZfillMtb2dQY9vXkh2mon42CwJkd3cRcr370u+i3LCZ7Xz1dSJjzMM2lnWIrbqNKYC61 IFw0PT0xuZi8WGny4gtFEW09ZEj7XDpgEOim2cO62wminOdzXwMD8g2e132UU2rhlsmrUqebnSeu LZsJ77gh9dzy7umEQj9R93JZSSnf4EBXEfnpRCxj2CaXZCEjf+nAf2j55S7odsd6ubVPUybVpGGL faEh6wpALWCGEWwKDvOGt4Vc72QsMZLxh/+2x6boZxiYXVWtcdGLy2E23SNQcjutHJOaj4ADBySh ylGuGadcyXCys/OvXOu1wtcPQFgqpEFhySbvwwF661ZClVWftgLAkzWwBqdMO8RaQdxhvErYsAQG CcnWkZOvXWu6UAqQmzjlXGK0vmpdw+7vB7YLgaFotXAstwBU3bco6FVSdXt5+KbV5qPiJ2RP6WBa sAKEMKOg8yqt6Qr99NAQSb5VsrqLmYBcwlW+AW3mIsUUiT2vUUVK1PbxP7c2BabFTZS7/eSGsEaI qUmxXSQ1Djw1qn5qfFctkYJa3IzwrNq5cesLTJOUgTT69GsLBlOns0CvI8VS3eidtiJqM0A4Zmo0 Wk28h+BBvPYPr0w1HWghXMPxgTABKxfEesQCt1qIRBnbBvh1q/OuqMsmaXEwTdtFmtSs/nP3iOMO aPwpemk4IEWuB08vy3bNAy71VNHjrg/JEtn9E6IpMaU+UkFeD82F6Zhg7OEYN2dYdq3VHiL5ZRTH FWpPMm0kODOJY0vhTKZf02evw4Z1KLvJH9qD072vcb3vu+I82iXQp+QQbM8a8IIluXy4BpPg/Rf+ PrRMNLhAEwmnQozDmaT8woMgEyG6RX3/SrSD/ZZH0psCajZyC1Ib+N1rpv4SDrQLCK2nommJndVW uH+yYZBW8/25r2NgYPvaJVddq2/GdM60i7A1mpVgHUj94AXkDbRQ9WrSekLY3+TY/p52MNqGrjwY UqfmruwPj6ilTZ/rIHLT/UMd8W36hw2nTcFmNGGtTL8uiS/jNm0MvxeJ+XGZZzTyqjulIp3uwbfA byIz1kMy9dHGLRmeQNUbMScYQJlxWK1xRf9/kHywwnTyDPhav9VbA3VVTDB9rSEaWyYyw3jIqo5p 5kRql+Eqfb5Si365nAcVueNde48aZXVxas+Ir7xEcZc1S9ruR+A159v+A2ZM596E7WHJa4X5FVWs Do0tIBqyPkEj4LBSkTSggdIIpppsngVLjmXPrYRYSz96r5Ws8bakrDCzNSB/BBPRprHSmcNDISM6 M/yt6idGTz942B1fv0frQSMewzHYXmD4oMTGnhgE42zCoFq1ghq+DrgyngcGDstsYeGKkKSvxOlN E9KaDHQeN5XNdbMf1HvR4gpYwDRdAOHxzeVKWpeRX23mihqk4S4FgFqIlUkyGONICaZFiCZ7CjkW 3aGexPC7S06Ue/UDfBc1VNaB1V18AtYDgeq5doz9MewpzxZvO4pVGX8Y69DCAV57sMhoNveW3Cf5 u9qQfAzUqwucQWPkkNpB6sIE+UL1cPPCqbilw13ANciiiLQlqb9LDeSFYufYzZYUA20UwNKLq0sv /bB3FBLJT6KOp0FzZ3id3GtbykdCyiK/Ef7Q2chAYe3j6mAgW2Tj+SfhOHvcYGsnZFn5caY1+rNi Ha1LTwVxDjJr76qDeZKjVA/kFgIWscGrX2fcfzmXA9JMNR6z6YtqjkwyCf0tw0JG3YmZHZPCTWCg BIE8+b+InA8AmvCUctEEQ6ko+x8i7kMWkIl7MgoBlXhMKMq4cikyZHa0VXwtpIt4O/z3qYDNG3+p QBJ7OjXxuyHzSDLZ9li9t6/YJT+GEGHOI6GQvK2gNTVfBqkN0/Gk6UK8F98hDc6jKmdqUL3M561p /mfv9ZX/vvx1O8o3unJev7aLUHE8sHs+88PDkE9Mp+rq2XTgD1L5sRW+mW5KLKy87mZUde49N198 9AvYmQnmQjKDLkeroAiYs+kHY7qnRsq1thl1Zism1ayj9Y4stCiaYqlJL2MB43g/ihOQlxBXLWDm JxG/Hls+zuoue2PAkjHikbNhajSJ91jC2qE5U7bVRB/l/ma+xEqMtNP1nX00FqzPW0zjabISU34X 6cMZ19yDw7VCHLD4hR93cJqDq2jy5OO4pcFpsAFu1VWGn937WVaCAM2OnFXcqMaaQn+NwZnsEM33 7n/EBNjDcXSODlOe379ZZxbSo4mppVXBIct5J0mBk70iIln3vG8KCsdnY1mkTkTxunOTcbjMoox9 aGisguYGXjwNiZRX9dOUfAcQYybQEyjsvPPpOuTUkXb9U6YSuq2jT0RSKmEBC4mj8fw4DHbBq2Jc MtnZp5G0fIz2dZQN40fpjraGOxAru/doCTpoW20LEWRBh9wzrXHF1bQan+zbkVu3hyeEIRsCFd7o TA2JN/ZxJZ2XZh6n/rVKzrI+9ABgUXVDnX9qhmWzUYOPCEvw5Jjn1v9VwDR7Zf4ER6iYercuIStJ Qf3ucji/0iXU90/R9Epuo5dnKByiJFjy8P/WRdY9pvGt64Pa3vW3nAFMeNrJeucdePdsKSxhEbHO ftsnjjl8LeoufAOE584VWZOGhhpCZ2I9nMNRzN2+lz3SkMRGhHCgNEya8iV4KsND8wwdwufqrjMS AtJ8BUM4+U+1/dczSI194XzJGuY+Ul2fU47M50Vyi+nTOY5l/8S676ZgokoMjKxWXWXB2DCtIg+q u6gVQMJN9oHgbL1Lf1ULXSITfoCYFCmfd8oxUULxKGbChXaKUnCZVDU44AukXNIeNKfyyXj2xRwZ rooFAqCggn613j7t+Kmov729ebPdbSTrFdc95ASwlGgN7V5Hq3f9OKxj/33ULPwS/4w67c0+QUaT lX/D/58sZt4Btdhgq/6zRI/4kU0quTTg3i8dAjfXNydC8yKHXw++cyjFnGHiGCuNAGGNs9OS6/k2 o3VZqg0k85bHFSZQVBgvpA87nA1M56WFyc06Rnt71b0FbHEUDen3My1IOs/Px3Fmu3i9fp8aYZ35 jayv/5IE9274TbdJjtALS5VYziA1pNNtm0hnF7F7oZJysObi0fTrE5LY9xU5Plu6eCztsj187FWW d0o53IBQfqSj6ev4UDGPMoaTMv75YqY98j3GD+Q+gBu+Tu2gR5au//Jv3Q8ZBgNjP4UNLcWO4rfv iNDuo3TvU1WJwZOxjHibchpE66/1vM1j4EgiVqOZsSkXR3G0zeQzEbg1yyKpgZlMlt4LptqjH3B5 kr7eawjZGsiagysf3dMNHsyhcruZrVvEeV67Uj0167WQo0NtDR3doNYlJ2FBMjJW7rSLPQGODFoj BVt5DgS1JxoHaxnd3BLbp46Sr0xyj1jqzUB+6IMpUrvqWUA4ZebK0KEWrzzDX/824DoudVof8dMX H87r+sjGzRmj6gwvwMeOdokyBsj3yYBRVQsdjVufhjfOwWrTH/fftVnda6+Ip6W4grqc3EoOM/gJ xXoJ4irdmgDEbAu9zKIHdiwm4gh3Nl8/AJN9W0LkKZvDX5uU1bZauXuLo3udKZrMCNhGn3Byo6Zu Uy/3oQD+BFuSpnTXK1Hdr9BBhTVv4M5DQkPpFT76aivfZg1740kEO4qYhoc4yQoiafnFDqN8js2W 8UGD3AkvjcvQYrAoPC6wh3sCBPdXMFD+9nf0Qv+TNyxC6sJQwZcK3DOL2S32VQvxa6wm6zysty3u Umcqe9HrVDXkFGSYNlI0qsGIhfiwL4Q4UMKK3d/upj1SgG5YGOzDSrv6FMFFb8L5O3vDQylRkoyn 1HZEeye+Qy1GeWcK2ttSyIZI0qT4sIWGnMqfQQ+sYlgFJTLebsjXq1IvzZQkIfTbazJUiiCNoASe NJQ6W13qTZHbxJKFVjJG9IGg+WgcSjLvzE51iJ843nxPUQDguNEGpWGfbwHTlqWpbLTpyJCXfk92 Zx8bDBknWROKqzIr3bAzm/2KUrKBDFfh15sS6CZIG0RcpIcIdwM5V51cXf83GcbNQ7whKikGQQK2 4/Fa1P3vN9KVfmYsqhVlDKIh/YtcwNf3bt87tefkMfoY3+kLzI+KRi4B3Bq9cMq5tlnORLNN2U3h g1U087n7HQESUUPxThHVlX0IuRkoDAWfZS+ILKzmEbhTHnc3Nrm5VEdnsyaRHno483qJYLc76ADP +9IKhdvJAn8EXrL1ywXd5PBhKv+91QOKAuEyjw/V5UfZy33EYRY21Bx8lRWqvaMw7c274mcZRVd/ PwlBZy2A93WJhsfCrn0/TkB4d1hIKKJf9lvCmJ48tXR0Yws2ZAG19Q8YmKkQy+k+Lo8GMlb2RzLZ 7xFZPGbzO0FqYBxBUIg7l2yb3z6ob3LQtKt8AiAWocWWunjZWD7rPRVXYWaRw2/DA/qHH64DCsD3 rhVivENsKpQklDOZIFKUxX8K6KpXg4ImIZD4ptUbRepUg9ZaYnbZ2+zvTJRpTpNg20DU+3d5dksC 0xGI6iUKjozThEj0pUuzIwCUU9xWAiqM+dv78gvfdWrNB50XqLXo2iYf/Q2Bw3SMJ0IN6MzZ8CU4 hzj5iOviKaitfTUjrKZ28bKmqVf43zVwV1dKcpnFuoUgxXtaBPkcWA6MUtooU5Lrl5lIYOKt68ny czAIrjhW+5ICXL06++jehwiRNovyYmMrROhfHkuNs4mAD0dLxsEMnmUHbR4hodRvklwLm3jBB/Kg ScF0tECF5jXu7vqKAYlaoDkjpKhbtPZszZ9n2sm5H1h5xNrDSXWMN1LZtreRHr0zrGbEeBhrDvb6 eJY8ZyUOCjS9Svn+y0032MUDqt/RZAVjywkf/VAsBTjlbb7cQwdlwOt3Kh/oGYYHYh/zig2UufCb nD6IbCjZY2EWpOZuIrFEZZ1xzYWhnpyrovorsPcBlO8R948rE8BOPQ8rKmVfG2EnWsqmW21Jtend I/iAnIZ1fM34tLdqJjpMKAcW+4GQyJ4V/Nduw+8ELO0TiEXWViQe7cSmaF3LsH6Q+/am8mOqKgSv lOAfiLZoaMqHmR1BGzY/NG7yalNSksDJT+W+tIJ9pzeONhvpbdWd3N2TWIY3XqYsvBxdtVSGiwpr Wkr7LW4cBdwu+DFA7u1bw1Wo1cLAE8MHQMT/90m7+4rrTVqQ+b4D/zyJrvzmQLyLbZF9bH2p9+3Y ZrVmPMfwQOgJspQIk7hRKMwXh5kILPcgWExE9LOkXX8uWAR/YJYcttbY8nCCrpx3MnzBF8NN91rg G4hjU42RHubPvidx4cVMwp2eUci1Rptg70k2AmBlFnPuCKsQrakes3IS4qmbd42923vrAyKJ28XS e+4a56oCi05WHekjFQJUvSZ1LKEQ30qh9UkhLUTyMU/z+QDFpb2Lb+U32NFe76E1hgTnsY+Xbi/m pN82cjL1gjqZKO2dcKHPRwQr4Jmb2RYOe+sytq2+pNuBjz8bAbI5gUQ7/878zc+NxTalv/ZpA4JV IhLlCziDDjiAhEQ5boD+zqnpMLTRu5PuJlMtw9vPCVepeOaXmEpNooM88trzZ02gbYtzQwubzPeC drN2Imxpv4xX13VCj8zfxsW8uPghY1St3yfklx/oDYM+G/FhD8bbsovQ6tFI7AZ91hiXWfOtS4lk SQAjcFALrmUTQB83lC4JgyTh0tAOlw2IjEv9qh0b5Z3381vD8P4QZ0S4/pHQ8UCsO2cXjp+Q9eo0 EzCmpdIiJViJ2BamBzI/M/giYECAzXHgiCvVWNCn3MAglGcFWLasaDlKopvHrivi3ON4UydAW8qF RyIxNObeJFLfe7dC+3kKN6BJcKk7IHyptt5GbfEcSxoewR9aZ4+R/pJyxgweP2kP3Qmebl6DKqHG oIXZCGbq05Pmh4GQUNA+cNfeJcsfQPB/6VR/roxcGAwOt//nBr63iijs38/GJuMwu8qtZnXftCiM UeFWuIHFWbWDQBekOzvl9BHlDueb4NCKtEtwUJynlPdzaqUfSWg0eHTOCTFBaTrm5lfj/R3ud6b4 0Vg//5k0C5vta++ySoFGVVS81D6ePgdp8QkGNe0BUuDm4PAMjmrfujsR6w9JyQBD+bOY+z7VHm25 MTvOQCHcwnzhRwLzxYzbTnomhmiG/uKSnTTA48R51ruVKOtny0RYmjrPSgjr81AiW8ZRYO+QbrLL nMeyRPBoF+l79UsURKCPbUhrPP0m+Iyew+mXRJ2+F+xXxELjB816TIPRnzISEG9eC9AnIa+3fhtF 9PeLmlZb6kfMtSfkyq3jYDN/WpcdZD2jC9ynjSdDKrGXjhGi1Q8kBRRJkwop4meBRQHnyKgKRGBB XGhyhUwYQbDRYh0n/fymtEe4mM5wVAOO1koSTO/mvJmP8Ds8RfqprCHu6r6L8RSzsmLrXFOnBBVi 6gyxs60R3erL6aL5yU65NZh5UsPV+gEnRKBMl9dKOqHeE7440kB2E9O7fNfoWpoB+XaerhAaIByD rKxjoXH0l3DbkJ2/dKEsEfDKabhg/RdZLvJ4l/0K+46KNavQOG/spIuZRawJRCgMzqNV2Vp4YZrx XQ7EzmY0nk2stqzi7ZpByhdkwCZCjqXstRWivfCPUsN6zofGifvq5fM3W4eAs2/fO4p1JO8/9jF6 c3Jx2dCi3Pw9hrpdytEa6xUEo6l3VMtmfIFgXBKuH9uJrfDYhgBgs+iomLf/m9K3pXr5768EoXhj 44m4xyacGu3WVpdv+mOUoWq0wz/LZmW8chxXg5T5HwRYBDEdFHlZ+o+TWNQoisjt1iGZIdw4ameZ ooIhFHraxHrqWICHRC5HH5ryr5ra8OlJP/q1tJ7b5oM2GfMhXWRQ7FPpOfvrMifXwXjHPSULkQuo /bec5PRw5tm+Q7Vx0v3dNKS4SxQkuJSCI/x/kArDaBPq6G4CYJdYQC7MNIZ2R5R3U/gV2KSy/oh5 HH9KyptOTFfwkPtTnoDmzsDXcp86Ox2MYHCfTo7j66DsNigEns1K7h5nFB36mSaKpv75/yf/+fG+ xUiRYgHgtxeCE/NMX80HdJv2ClF3M/EMj0yaQSHPeYI7+zQ5AF9rltQC87hUhu17MStl6iks1xB4 sM354Nyd5EcFGbZCz+1Y/hJXxDbjl5WFEahJgger4bD3cUcjgAL+NyKpaOHookoVw8+fi0CpB4Qz bK7y3iR7WXfzjFQJ/J4qPQ4cX2NV19I4nRI+CldS0h7Yf/r6mcofgTG3POcZWKGSkb98y6VuozfR 3vVoPR1Uy5gDSrqIWOINGfDA7ZtYF/2IAIdb8qIM9OdpAxOR1Vmp9VO7a86J3s+38S2wAyvEprnL MPrLsCskFcwIHLyh7+vrC6MBpnWdj5AdmpdeCIUwND7442tSs4Nch84pGP/8Iso3TlHBJCQh+RWa DY3XbgJidcIfnw4GXxtW89+Q+KKexP4RsaTc7j5g7w74b71dl9ahIbq+/OA0goGNnXPxRNsPkSiO a2NUqPibydzlBZuNfwjd904OV7dyhR8Jjifj6fGcjR53VQB4YxSJ1tKNhZUtEeCsf1P6y2+ijGPf u+62vqGW8H5LdVHFdudJHSdIwLRg5/sBWtakDFgv63BqxZYR/utAg/VsXQ50cz6yhe0g25N07+HZ Md00okY6dA4QncxTXgFbuLcFkFI9Nv7/vAew4gkuaAaM++PiIHCLJUeYJylB3KpggNyTFn92WYFw 2TPGhKxGlX3wu8PED1OGhGhzo8+1LZTNr09yAPpzs2FnFFbeVMSUrwpPdYr02zAX58Bxkx2J2s6n Umf86QtloZh7M+pn4QWoZ1/lNeAhSPB6mt5wM/OZNLFcLrxZSuA9xQywUmNDbKFq9/gc88I6PTnO Pv7QdASVGRlhr/7pUAIZo+IgTqGXqEdRaqamWyMRK5bHOqym5DNgzWkzSl3FaL6OGWtBHB1yovER Ode8qxrR6AO2bPtZ72WGf6kX+4e62kIReNTS/N23rod4FAccETiEi42YlS/2FVrdLS1jjHI+BLXy 8fRrw1pBdZ7WxdOZiPx+yqN4AK+3nR7wOeN4zFfoH1N5L4i7dGf7pULWT6RfI1hxLl9lT60/q/Sc Pf9Mfg+hwIHaM3jOv1oPuRKrySOVzHGcxTPHpFvESDNBGfOpUng6838BuGR069dqqTX1WKlC6owx HFwmb+8unvh4oR+oejt7+O67qk1lQ8UOK8ULZRpKoXtVmk9Bxwh793/5mxeJsj24giC/Qg1DxCdR KYVV5AiKPr5edVCdtFLm/FC32xEQwI8bHaIpdkyNmh2gDeWIhISWkZplScTAI6+3+6S8Cw8Cfd5U dsw6QKYTSSAlCU3q9ak1g1N1veGKTgdn+e1EcKd/cJvuNpF/bh7Zy884se/VNEetGrM2EJvzdMIU HpGD02XvYmyFEoNsxi/fQ5q8Xjb3fnbuoR0YhK9bHO1vwxPJDI2PBlQIob6CBu4LEDQkm7IFSFaB 0FqprPw7MIn4Py4+kFZ/PpM/777zcHCqXW3MfxlchooVsFmMKUSPL4g/QgxwXt/CpvURkghZrvFx uyMfM74SO+fLU2wmX4oe3Tf4lEIB6XddA4pXYTuAs6bgTh8K+tSeRIUwv1t6bxGo5KFx/da2m5iv 2m3qFO0Pgf/CbO9odq99whM4LVJcR/zsEew5rhc3ONWbiNa1XzPNn1Aj90dzoU07ViSd+nRL1LIj ljDTk9dXl+MMY8DU2I7F+9lQqcggjZ05QIelwxC+J7g8WqvAafX0nQGVgw3cflyyvTQJhD+CcV2a jPBm4MNE2uAXnusP48DCam7cs4cJEFBnysypz++sSY1Mc6xoBWTUwj22tqlAHm+1qpGZO151ASZo moaw2pdPWnRYFmJxKZ+uiGHXMRr9rRA6rotzFP6uRDC3itipOTXn6AFm9b9g7NU3hi2/EkAd0Ql1 HNGsRNn/QLL0cfLCex9T+txkkz9EbD53nBWnMTYBaPNKCdbs56XpXHvy7b5bGfPNohlT3QrQQZAY 7tclbxeUIAKrc95EMcmfb1N4ABF4tl12JxdmXOCmh1dhgRoTE4YbEMy+c6gZh/JLYHdLgh7D0CDT n0jKZ7t61nDhPF9O2Vp2qKgtqxOtF+XUzxhRlx8KsmFqQamGKNnqojqnwFl7OUpUUZ01LhjsKHvU E4SNo1gNsnHrecHHUakzlkovQ/oGc2kiBq4ryUPKkvOc7ysluSjk/1S9Bihoexs7D/DLeF0f10Df BBB4Iz1ZUXrbisr2lBmG7HLaQaS1a6N5qfwxRPrC6brtogXslKIwkqMZKhI0pDoDcUk774GOfLb0 nnl48aPNYTLd6eypQrdlt2GvGzf5FxMwd6sxxaLhd/GOa7IzETQXqdR4PeMYTHaqPkGehdEgqrMc NFargJeCq+vqjfPjQ5V0QmAyYm2PTAdVNdc3wbRokhKS89/O2GcbIJ16erOIHdyLgnaJLOuZDCJe PxsQZ9xdAEoILUXon5UBvox8MIThsPHfj9+58ioueoLrj3vRCzwCHqRiBgCsZVdaS0eFltUcKe49 DLhvA2v6peBUjv/UiLyoZSdoBlG/Q5CfoHFsmeeQhTTOl5pTjH+YhN3Ol6fEXp+axJ72MreNaho4 R8lVEzt9ON0ggKucfZVOOMQspyFiNy5RVK906rHoIsbB+Fr88jqovmlYxe9D6xEfBwsjqzjVP4Ho Qg12ubFLgNLQ/7VnRIfUFae/lwv32D2Ta8nRWrZ4siXfdv0tD7QIMs77BCVmVVx5sFvf9U/qPiVI 3drEZ5CVTCPZD06vHbRW40HeWZ1qHLK9gTftNTHNRHLRdSEGSPTUUNYQyiqnmrPwZhQ5ZBmBCccA 4cqravCeA8D+13ic1XPzwUWrDWDl6jvqi3/bk59UTPt2zjSgL+2WLHd0n887Rm6GgVMNLIBvry6H k6v5p7ikVv8tYEkOUUCC6Kv6MuWM1b4w5w8e4mT0O3oWUf6fb+VrAUPk5+rhxZ504+u9wZ/KuYaB e32i8fKiniWXKuiYkA6fF7UxZu4ruc/vicKMz4l2rTRrxQrvEq62CLX1u6Qqx9IAYt1L/lfyHwr4 N9JTFd2ouQvxZDW1lfTqUB76XKAw1Oh3aYPkaoUFJ84QfU2Sz0Gvf8WVIp3KnfSmFoBtdYnx/HfF 88x94mWgjSuEwajonvZ9NV+e4v4iyPPvczdJlfESKUBwlU1SACTgfWH433Kezyam6/PTzt0OTbTT ZO8jHSTySCcF9/Vf4ZvNhAClpwqdUQTCRcg8pD2YPVyZTGHfFRvTqPKRGJkLlqXxL/X2kdYhjhzg idyzgkwljbmzuS8nNlBmOdG3e5dC37ONv/eOp0zKUO4VhMYGYfIEPIrvH8g2BNUu2XuvIgnWbDm3 mttAIWBBj4iFfjflgWdPFBbF88zXigAmUWjusCGRicJj2mnZZXmnMkOeQbS8U0lUk+Zh0HF5F1/2 TFAiXkj1IHUU6ZgFug2nMAG6jSgtU/KJ46xymg4BJ4l8m6zS4Lzo2CxTnHgrfTgWUzaqk35MWHsl dDpVBGAx10/hmdmNWtZO2wDXQ2M1R76UaSBigDPmTy4LP61hy99HM4NEPYTrxy939D/UzgqpjtP3 CvfD36c6TWGanMJvoT9FXSyZQts06ouI0zAkkOT6Q2r50Ma/kAFML6WRpA20ctPT2WGVTEXOQ1zE O+OGradCHzo54rfWfZh1ksuZZBBE4VWgip7Y3yTcvY/NOXlUEhghZrFM3si8rkAT06mm7ifM/URv sadvLhCfEHPmbEL968q5R1RHCTzRDLloJD63wMr03Otd9KAsK+UJwc1MUdXGB02nUcBzfZxa5pHl NxOJ+Rbjlt+mX6ZBcb0ZTIcpAomGeS010AcMbRrCl+i345Noy5Ula9F06q5x6plT46Yi1s4752CN RHVeIAnxIYYyr2Yhx9+vv62tQ7ewj0kgaMXjMQ+qyRKPtw/8vsisIUMThb8Wo5YakV5xssW+ti5G QmE1y0uduy9mOwvkLPft/D2AKXg+n329hI3sSuhO67dHlVkOfPCuAYaetUe33LhjRmA34LjY05DJ 7857hLmWLTBM0bW5Or/rcB7CKeIkP8zgSw6tgYIge9w9mdTdSAQqbSnf4Mn/K0J6vWlCF/OWIi4x Vd/k8+jDXQGUUijM2POTjdBkP4vnX/luMAHmysPQZL5DyGnFUK4KzRklPVN3jZzeDBnLfVSBfCCM Ct7sOZqrz9aTQWguSkNnBOLd/ibXP7zzpJW4Z+nFQ9f1b/WdMCITqIHULOKg05MAW9qPnf3/aJ0f 6ZGIcONkSjo/ZUdes01U1jEHeByQyknuUdG4uXoA08C1qATfcQphvFlP7IXe3HGia0WQPbQH+RRO tDJw2xsDgPqIrEFyUbEuTAqDoc0Bu5OSId8+hVM/qhy22PziCvwVAbXSQ8EQpVe1SrNtuIwu0Mba uGvyzhQO3lk/phxWj/Dyxjqbmivb1sI80e8ww2gpLtRWq8E57q7zkMNsdDcOYGR1WZSv60BJ8nrS 3QjqvSjbwSs31IfBdhCgMhhYaM/QdtsaiHvBLrnQtP9ovE9HSsRV8e22jNjoz4KO05kGv+mTmyhU ft5IisLAHYCl9AB+8cUSrzsP0bFupEahmj6Q+HeBrfGlJtwE4CylEfMG3T8m2v3lBgAdbjnzUpwg 97NyVEbk3ncbk1+jA9SKQ5qMuCzHmqGlcjrqswLygaDlBN5LDoLCW0FCoenC9iKfhIhGQ/CiDd3H WbyspYSeerxmoXEEvTj/YrYytPDj5rzT3dN8ZjDtw2Au6dhVpr+d9/j2Hs19EnCvSwm6c3ST96Qq tGg1MdCToLkz9DHIz8h0p0A5f5Sjko5Z9STeAnrePIahteG9PzlEdONkmkV1zuNHt61PA5Iv4iyH H4grUsqcSBa6k60OOEKgpf3Lms0C0u7TDS+JdnZ1mKVPZZIglkgtfJ2oDk8fqgrm3bpJchrts+hT BVFMAa7yj43m9pIm3rCvzu6KvqG3kQEt1OGhOc8CZ6hvmlA8k/Kmf7JOXLXmI9grQN1NkIeHu6Ql HSatwFXSe5D14g0B6tvZIZCrer+90xmH+cugKqLU1yNZ2DFFbwX/6lDI0+xx/uS9DdtV0eQqb3BR EB0ALMwtb3n8cUQ4L7Q/N6ODaGIRQQWX+co+XBbYOgIHWYII2FrZK8ROEQ2ImSQUkA4lydHl98vd +9RSI6vcWa7gWryygOHOuwKK2lNJNuMqH1eZIYHyiwS4a1m4Sy3/ZnsMFuSzP2gzXx3gZymB2fWc CWYM+H6r9aHWYYNB7NlGoFUwSI9XaQvH+t3gIbbmLbded/Nn6s9XWtaMHf/ZA6c7H42QQRDV0iX/ vbDMwHN2dGmWcmgxXNkflcZF8P4FtetOX8P5RRurizgg6O6PHajtHvgjfEl1MrWQQjVEEhAEj8Dt uFuJ47jfMWuoEmciouGNrrSTGw6LU7xddkm9FSE3o21WQJnR+M4KTVgdMo6QNVoUajLvvNq/rVZh KYDtXC6fXaM8pghu9L3X6h+TaCoaEOchvwhgzK4AxiQOW+KCyaOc2hR+PwUEZ+QDTFxnhPENLZjd X20SbwMM3DbG8clsj36yNzYo609zLwVbgEqaVgjTepQ6eBHi3EwhBuU20YE3tESFSVfiK7s7j2BT 9/UOWUvPAd0EOWP/GqM94TdNxl1/DWV0Ss6OzEoq14sSQXIDzP7a4XaQSmagSWKXbQ3PUeHzZ/wL vYpYI5MzYyu8NbB5++64WAKmZ9m05tKb9R9nMEB6kfxjc9H0krKk7uBOdbxqSTKy4bZn5SucgWQf 4uxZir1kkW+SsFROmPpdYuQdvRDcrBAjngaozOCdUa7juHsiVYGoajZgxxL72CY26a3/xm367y29 zUJPOiZ1NdQkxizBJs0W4xJKn7xPOESuz+IOhXEvog+rPbCq7+d6iEKfg69RutJGx/w3c3aDHeQc gRh4qUUxATEL3ZFMEUN+cpIJrGxbWCTtWj2sDCi4msss5cVJ1stWAybrHhGcjOClgchCcc3JRaDg HIh8rWUX6zZxAXYxRFg8ANlIfdjWpvujxTV4DCCA2iqfWQ0qjleA9hxstNLhyBe8pVcC27hUUlKV g3p8DhYVZJ3ujTTH76qxWXvuSj9YVYjd2nDWyvm88BuBSWxEQK0IHD9j9PiMKYwOSAUhEoM9AIbK ZitHZ9J8nVWsyoJOOMtcQYV7r8pIuRtOm/f+Z+c7lN4k3hb34PPpvVh+nD7vaGdFL4qAh+WND5TK Ww4fRpDBFtCcqbkVKtdJV9ZDh4mfFq91VOsFbDvzD68AnjNa5pkUosW3hoHPKFDLJEd7/A4j6Xbl eZSLDRWiwkKo/MmVzCwVJ7bXzeEib5+tSOA0FPugBFkj5h0FOwpu84e6cBikzzVggUQOV6nqZAe3 0ypNdqOwacQnd12/1eVaC3/WOiSFo15iMqNH/04PMwqy249Cn8HxMf2Vja+8DBjOYvfMvJHjZHvK crdUm5I2A6E8wEuQtuLzO3RpsIiEc50dICY7/OxDWO5u4Hfw4tQNZ3Hkl2ZvEPZO6NcrGmUzkP4e xbT8mf3Kgu/8lR1nMYWGRiP8kCGPZSUahqOB4YAleOwER9wPAaJvVWo6dM5NKowWHE7TNQvvqcy4 gDgozezO9EZ6wZAssgYFKxSre0JfYWigQjt/LGX66HTzvw6+8l5+h5IQnTonIm9jgsY78nmAElgU l9uE/fA2qjb385P4ljoIJH6uV0VQPdKOMIkptzBT/7k6lafsqkO2lMRbu4uCgmKBfVFSOr6EUTe3 +aF55HnGjLIQJUWznDFprHu6ZZI2Bf5HA/aUJ8rbxeuL+5kSHLW90rzzuDz0ZcpEHSWRY6yHCl6g zUJ7oi+iXHInHX0QbHmzx6xUs9XKMnKEsOZp6WyRbOjL0kxHPUhItuAFkgnXtZr9BeLhqSlQsHXj 9wwdx8bLAdxoXBH9f0uyejARRObIhBV+NAYbKkyTJIb7Qz4kAqu63DzAVjv/g3ElCGAyin40hIYY 5GnEIisEb128+CFOm1WKMGzRHBz+gU10s8PtlhZlcOyqNrxChsfUjq5A8CKyemOqC28VH/VOCtYh xZy/j2p+SGa6lhtMnVIgLypw4wJvtlWnpkOryXhsnfVs2/qtG6e6dSi9VoM0nPMkof5VWUlhmntv eSDk+zztzu+9+Wk3NiWyYU0msodEjdjxw/PtXVkparWqdTNQGq6QuUktGlkRDW1TewHasvOfuBDD 02ahxMH+zm8IZubslPvOoi7rlm9wwP6hRN0N0DEfHf1E7gNA0yAAuN8fcXqBI6gUOjrHt97X3X3r vh27yYRyBvJ8Vl7XIZRuPmanhyQ/ZsEMFXjdObtZOUKvSmeFmFW3jg2XsViaxpdjvcRACUTWnPCL KWbnEFJbpja+93Xus04JfJqb9YQTuN05khO3M9ywcOybRmjC9D0yOxiSVT7W9DwfBWHKiG5RHx7k v1jNqoQIweJ9A8WLS2yTQl7nPlmCdfHel1+A8uOTfzfecBgEARVABBb3Vrj0E1zarsfsUztITh1Z vjGJ9V+jF4/7nAEzRokol4U4+QQp9xa8cACNWNuXkOFxy9g7IIuZcVK7XONgOgpAsmZHkujrJtRJ zEuNbCNR3MtFt4rLVCliloWippRQhAUCCoI/eW7zeFyX7AqVuf/q+vCvxFrIxJsWw8QBS+X+Irkm I4Tv2cYbBqYRHtjA0x9BYffI6Czq5dddegdNxj+UWVDyk9tUgcxpjcwIcaYMKamxoYiipxRzaKkm Qk6kMFBJkZesC5LRgkcbgjZkGP+EjyWjLW/vavs1tGrF7r2TYWeUXfamKzMXpoi4bVgYRbrL8Z6Y VUThCEUJZOSMyQBBklpnr/VaJeBgwCimeVdECKLCjEWHUnKP0vnpwlG6Z7yZIjXwpKTf0wIb4AC0 rL+R/HXTA9zP7/5UwXnTMlxzLi04e+OGcHkJzzmS8aKsPkUffUp/f2mfkzNK16KvqZBNPGFHa0K7 L/HMjOQWYLMp9lCFpY75qb6/Ub5IFL2CYTO+m1H/pJBYmGNV6OFFFt/noyHWNPp3Z0tEDT4JdJXh wvNLIQW/s3NdE+2MkHpWIUryeDj0X+QlczgLKkZCliboCMWYoLvdjX93ZdTR5KGZMqK2vXkQHg9A rArz5VyckGhHsbVxNjdi06+XDN3p8t2HU87Q5Sdcc7TI2SyFHcvsWdfNPl8x0Cvd7b5mXWYK87uT 1/RIhYctGHsog0Scv3/FUbRxN/iNQoFmhzHnhubRR8D8u5Uj7hs0o5c3qA1adxy1TfNoMQKOqxY+ ex0Tzi0bN31VgRlIn8ltc9GBCP16VKnmXPmHu0z5aMLVBSvLBALjgvlIsVDacaqr3lt/BJ1ELO0i f6iELlhgplL54zwcx3jPJmP2Z2tL9KaxbvZg8pmzQoPm4Jca7tQMG9Ysd6VhOSyxD7QTYqvKkIdr wdiIoliIVCBdk2DQrvcvkDEy1LnZ+qkfaqT7EMWvEFdCBfg34DxhG0w7zG/XrlqIljL8eXMocyJD cEVQyMSYL0/Jt1f3yQu0SMFsYCG2e2iO+GO4uSHYHuxhDBjdtssFl9cfhU/rilZWTyeON1ANfsiQ 5/JREtpn5008LVJFBCzBNvaf6Zr/+0i0VT305kj3MKYTOHAXh1u0D5ehxwYZ9+QZYoBxEfV9cOss qUjh049yTw6/po1yNokGLoDhxU7/kGLmlTnrtSLeDj6O9RAebnAAMHlbvNUxpfqbtpGk6pS/dCVv LWT0iUsEHEB8gnuKvZX11u6uRudgGQtTwQrhzrtYj/asL47Ws2vQ9IRVm3hyZVbYFP7wKr9x5uN7 CIyQSS5k0J2dZNo4wUuvkHKClNDhwNzpayi5pkpKuNWIU7brkBsAf6OcoI+YfBYV4Msg0pNr89QL IxqDBAa+0AO2xQZgq6/1PxbAc3tE4rClFVhRyAASDz7sJDMCVxOnKmMAoOsAODEPZm/NB9Ej5e2L CLfxkm+BHUZBskZ+JUVOm94tGcggwRBYPxAfqyrs7u4MSIsXdH8YY7EuOc++3CkWhQKkZIbN/BhM JGZYJY/I83NybxuoTcpShRZtnqM//GWwemj54kjjIb5z1ML7IPoCJcm40R7je552h3ssGMr/vCtp MPRy/BT2w/kCIuP6Q0iSXfM7P8Ub9ljCJRLKzuFVflFmOunHgc+X6JJTA7HQ+sDkbqKfz+inXCbk 4MLilNGI52zmfZodp5lZ1ZpuXt/OLhhRYhuQY5KXokB5/XSCKyJMX8m/6Dh/JJNm5xeGb3N66SK/ h5quCU27p7hnX6q1TfNkaJzUTNdkhLUKqlmv/0zW5/pZJiavM1OOQyCg8uVvsJCn2qzzptomaGUU e9H/ms8b+K3+anXiXgDT1GBbA1IO/4R0tLSQ53HsH4NsjTRgXD9CoL1U9RE0XeZV7bUEKAL63I1J GFnpJ8s4DRDYx/NMwmW6V/PVVs/aVKJp+agxVuag6XiMQoAB82QtVhEt3IEnEJFIervkaCAxqgo3 jhFiQyMkmp1MIFhrH5t9K5B+Dte9OA4Sk4YKkZ8RAlxgWp97jiBc7u+m08OoCGpeTuCrstfUbTjk Fs6T22Wcv8HJRw1mJ3zBPBLErK83GY9t8qylqPZc13Za0UPsCwa/ha3i9yNM42YqH1HGPs3qQNW8 61nZ8Vdz6zlBK4J2xg7P/yRZRyGM8Hfs45aBSvyE1e0C/0AApV87CtAgYZ6+mDB04D2hiYTbSGiW D/H3FqPlI5EUrxu3bIKgIA0XcinCjado6mIKtPLS88sI9vOAgGy7g0h2J06IXKqjiKfwF/i7nk/s hmYiOtsByOmM5s4nhktfuA/bU5O8LvzjzirW5t1sYYwrB5f1vQT9+xvFhSjlLrEmQ9KjEfdCOq9T fKwB6MMg6PZs1gVnW3kZTed+CR7BQ7qgAyeE7KKXoqq6RbiDQpXs0sKMSd9DUFVaftlZy8HugGzV 4gK4L8JXL2KTeSaOggIJtjghVu1SQqWwXHjssF8teH1ZjLUgDOc6ssNYTgIw+GuqJmDOOZvHL0cD bp0VAGFvcB7PBCN3l+El/zycl0tVlkV2KNIyR8i0wNaTlDZG4iKyIDWkJDBuuxDnWFhzjOrzpns9 8cC8lFsVdls3xMnxMP+b9nbNuYI9WRrtB9p1+mi7mKZd1++ykmCDGUDziK5llCjYO9GPMdL7hic7 MIcj2ibCpbRbRpxAfXgCMgAb6Nc9YQWsE6LYTWK8Rkq+RaCyYkkEQyMjfmRzFmeBIOGsafpZgCvg GBN4VUm8Z94g7y7pWYifeO7DzpZY1pleI+DSqrXGuE+MFjAzf0pnGF6nKLsCx6cbzLNkRHtcYx/z FjLh8ihunyAvgE606b2MEIo/GrItwlT/ciBR4aoGy/tIbQStTmLjQxTCWorfPcZqmL+UelsIxBK+ GUfN6GkCb1HzWN7pIoDwQ5g4IT6qpmOuaGywPeHRnSNA6DIJitUJArvPxN+TecPEqxsB4jAh+QOp pEBi6b7Drihnx3wKIzB191qPwUPwxu+MSzmMy9kobZr6862FA2omcqI4uySpbOoqBbrgLynJzijF RAUtAffhAvB64JzhvaRHpDy4x9cfk68+aeUHVVAfgyb5yUfu34I+Wc0+k/hnkDd9YjkKM9VFfSDO rMZ7UBN71Qaa9ttlMWtGihOgEEhNeBIMWS9Cy4Tcf31Gpq5vfBEXNyUId/QP9a36JsRsBNZdResr x665fEnxhYynlGY3XBm/n7MQPrmpjA5VATg8bgXGAzJhKZ7aWto+Q8uLHk1qjUqowKmtxz/Mg0kV HRVXYJw14b8HXPd+IVqcmse76nHGEvBbX9Mckt0KkGOIUPtRWvOQsYieFi8TKZzlPGWAr0eqIazg lYKSGTuihzvH6Y0YsmsGx3eDVWRhI4b/WweYZERX9KQKF5hzVWm+XVEyY/ZoH41JGsXo973bW8nL yglk1XqUr0DRr7AVNFEIBK/FoaF5TJsgl6NBXj2n8slRANsSWrhE4an5No6jOHDGF1QdWZaO7Abx u/l0GAaQbS8p8+bSC35SopXdc1KrgvwT7L3ihyDliwoNd81Q4/dlikXfdTXsTPxUksDo59rScZP0 N/r32I2lFHsvIAJwaULXlAhu7P2/uFGrVf5ccEiOVOFztzaJBVZuPfHcVN31sQpZr9vHZjbWVmAy WCeFrS+3A5v3MfhXbiRv8xJGfIHokG3la0i5bAp998f4JJqnAavgD6+EWddxeMMrelBUN3RFJQ9o dVI/UE7/lu2HQRzOUso84f0WeIAzClaCoX1y7hrsUNA+fVXqgggXhHoZfoj2Ty6u1QPNnYVgEQIw rCGAdEyHif1bXtpSn0zZHZ6oba9s5gTv+A4KKawMebs3sMunBEqbKQ7990aJn1ZRPsou/i7Po7LG BBaNVNO7z6qI4hkUaB7faV+PgWXfK3xbwxXW5KMLdNQ/D7Ovk1hDqv8+BYLsN7f2ul8B0Niaacls a+D7iXzxiJANw1MMa4f/r9IHxWGSKWiBrMVlK+8Xiu4bCYqSUYC/dUpKIoO+7rSM2ByTA1JNHxcg KH0e7X88fDYkANzX+Sem+DzrZW4F44OjvbXsBKRZQ3GdFTAJPesqA0oymoeF5mXMzkhyhTWBRwVN r6NDDf9ndK2e4Ga2kfSo4gxBMSyf8zsf0qnaQ6LTP0RnRRHsUU0lyp+NeoP25gSCjsLMvfjcm3Ke 6noUSR18wF4RjP4EEGePBAqq2y6s0/9+NUfOgmif8fPv82XIwN763MkHLnCTS1WZM6DS9qft4XqZ oc5ceyF6wuf7ibCuGcAtSyQmUzR7XIQI/B/WPJhr4mnu1lry1HXuyHR4XfhO0DKaiK4H/iAfyl/N myikkV0y3OYmcLjCEb6ewS52cVBgDFUZ6sqHz5NUDzDjzvZ7MwyFTIG3egc2RmBOr7zx1OjiHwWQ t6U97MEYMCpMFprNf9UMfQtZHFRIIpobUhZmWoprE9ZQxqm5GizNV1hzLXxWl28hFrFJW8JyABYl Mrc4kgJznXRDdZ4TT4rCt1VEyYkfUhJODdUE3NNERvOwVf4LyePLOnSQ7ohc97JYp3X7gloSv26r bralO2quSpCtqg8ozL7zPK/TqQsf3nzlNVNbJTD/UMbdfOhn8pQdvjnAyKNTy5gSw5LSF1WkzJy8 tYUK0em+YvbHOWlQZjVbt5LivE5+E+OWvpDlhg1wdp1QwrRAxqsaecY8yYZi8wNBkWDDEZhNm3GW DXJy1oY2qWyNY9FY3VxhjvtVN7tMYvJPXTCFWW8v0Luhr05AO4JCF/zLHyDaYyRqybjuIjwhYszz DS1D/l6Qjnj/Fuw3MNBj4q/rKHoSuMw8I60LnzzPJvnIDGwrJOj7r8nPxmMKH5zxtxT3eXFmE5v5 2Y3VTllL1Sovbez2bUMAJeoi6hWQmI/yxaIZOJ+cmj0y+weP39OdMaOEZPQLxgHLoS8MjEQkSJOS btKCZ+bb/BCUB1FG/b86FRH7KulW/3Py+FHDR8tCDNtIIs/dhCHVDegK7ikC7A6diE0LSQcP5t3w Y18NlVD3DIVGL+/YoPRydkXrScJy3KQ5BeO6YY5e5MA331UiMdCAL3hLQiZvsvDEN3Qlk3rO4shI doqaTyzFfqQdkJGC6EME+N0wYV2nWL+4DUVsNFXzFm+Z3quLvDThYPJwGTQTjjmDbDUoqX4QG5NL kLmFYKO+SDzrIyMhokuolOlUfCAnehHmLOfbhrELfB7N5xNJSnO5iBMtyZneEbygSVxdlRwQn2sK GiPPOUFQ98WlLWg5ffObSD6TO1BzN6L+CHtisu/9pSGHL5nLKK2KtQ2UFUVajvBT4C3/mS5c7D1B DUiPrzhLiOiJzU5/pakf3UYx8WvFqMbCXX956czRTZJ+LmlwIxfrHKxMm+spY2fotAQfnv7HhAz+ +/yJ4/5t0JstPKkvMivzsopltIUX4btPtefBw+cyZhicG8alNNkOD4BCscLFy9s3XfwbqWiUjN6M QIb0xbi35y0h60R0/09S2Iv91D72Iqadw1YaYsKErCmTghbgs5LiTJvL3ryrWrxZpp9xli/qKQoz cc9MoDivCFCVQk1s/9iXUnyLX+pigBeothf0RjrqKWpSsh6GjskNJ4m/ql19xs83xLivEGNHoQB8 KKIuoTlvQusHwVBv9RfXEwBlV7lal5WIoHubIBCYFGl8fOAwTBMYfoOUgJTfmdKzU/xdQh76x150 1a3d3M/1G+sF96fvsrIoCzxIA2x6q+3/OAdpSOxGCG8KsWG4NAp1C0/myyKOgSKhSho9IoGyZLfn wxN9dGJduOkHjpqGUkBaoEK6i9SlokAOlTtE7sJoyx6mqqZjKBUCcL5AgZ8zV1Fl167lR/qyirq9 Aq0tloeDivpsGT8LM0wv36IPLmAJ5pb4mgYnEZ3QfSiV9GAdO1e02So6AqqFbGTdGjFQyf7yD1ld kJx0WVCT9P0P1kpRozI77cdYNW1bZij+rbt/XS0obtxXFa/xCGQPD1c24NbbCQCWanYgp+rZbfCB rZ/9IPvzVo/BWyvZP5+yVId3wCww85B2ZC4FrOoUbzNm2hFTyoadIRj0f4rFIHMgSvpg/3ZG4jeL cxNvuXe7YobhlMYXZ46XPbXLLie5r2SWCk5y+w7jej85XFey8Tv9RxRwzTvh3/97mtwzjIUXBvxz vjTj1o4eZwe6XzpTTLJ+HM263SpVM7OfqhEYAAeA0s8LZbgM1ORDHf71iv5MkO79VVqzWKv+kG6E Rotpy3DnK6vnNoOrBBnsAE8A4WsV3cGOusQGehxVpbypbJzu/o7pd1R6HXIs5CVIqiXqsN3Vww66 n25qa+Dc0duKVsm9wiApv8jJa0qdNTQNvjBSb4roCjmLBxdTdLHlQMYHEfSCdvUJuP6K51lsH7Qm oEVojcUgHZ7kVdX1msOCSpzRkt4OyZxNM+AJbTyG8XVKVGE5i8gGVybDAC1XeY88n6nGGAJAAsqu Cuwap9VRnHQqAXDEWP63ImV9e5idnMzc+KiMZUcdOj7WKCIT7UEGuUBcl77AiE12g1xSyX8nn3FD bO3Vz5oVlWhFlAHMX7i9029LzopFiJTNa+MOjcSQnRouiHOnQi4qg2AOxlxtwFCKx7t3LiFbv8Z1 OgTNVywNGa390YnMIchm6EPt6X1e3KF058gj2atQe489DLdc8b198mpN7to0/Rn/5oeaZRQrCIaY 8mFTnpS89E257oUhBiu2TNeYyF2sMup9eUYlEsXGeMtBJOY/MWexNoOfdEhNWjM9hScGtSiF782b fGvKiZW5orpXYP0OzjNUk4OH+5qaMuStyLGSqpwnj+vZ3AlueYTLdVm5RN/Cx+XdVPf8KuMF9hks hqJz5G9Nu3GpjL2QxQp3621LpZWTvu8KCnAS1SNUsWAKckRBI8Jl//3NIeqE1pcO1JgiOvV8Y+Gj apdQcknl/TtouxMbjJzXTEhUiaCGLoSxFTI8NHDsJkTGwRnFzUtlr16fRUSHIeRy3h8JlUuGVSv/ jwxJp4u59qSGR9cdPEqRn/EvSQ1135nPSHfifZ2zCDPHWvodG1kn16GS+EgM2Nr4bopPsW1QqJAv pMzafDor+LnQ/vH6a9wuafSxGGxGKKe33orug0xbEPaf6+JcGL9WBKpoP43izVs62bzb8pFUMQ0Q ODMHpL/rbesW0H9/UeqnUFlXtJ60Mc3PAP0x4LDgmJM1cUeHx+HS9U3kQYy/Deqcmh8iNYoUT0yn ZBWVh1Tq+5ztkpU4rwCxU5wscBjbKtlZy7ecJ8Qcxsqhw29tvf16+AV2fGrMi8fHOfXr5PI5mun9 h1avDJqQkKnGwrPIqqQhtd+xoLoELH4FHGIZjUdoB3l/39JbUJ+dFf7TmwpwL+/+ES9d+9vlN8OK 1tDkAEFGo//xr5xWcGvwZ2SS+f0/PaN9XpOvk3LdGqE6WNplAncTD+afcCzQsEhro7zDH77VaJrT ++7IMcOLE0cYW7ZhrBlS9Wt29F7RSdwLULDzG8mI7ZyGUjgXvOH8QC5/imhKOasjKK6x1acOqkEO L/xA15gvdw2UM4ywlp9+8NlZxw2Ek2A76XhxUEDhcUSW19WzHk68ULDM6ZeAZ6oeVPqsEoxUZqmI zK/l9yfpI1oH8/ecMf1BGsUordQpBN5Vc9genUvpvxuhAaV3lPNyest82PibsfBAP82dNLde4boz dyN5p0x/Hsa52MvbwIWrqTQ73JQhABPp5R4koYP2Kk7VWmFHb5MCCTmxrMZed8AwA7I3O2lNwtd0 DAb0G0GxNLdmHfW/Y4JMiYK70+ZtBadg4nNEqWbpMzIvJ7nCQzVqu3VfLLeGHiW1fw4Ik43QpecR ixSbCQ42yeD9hhBLlY5jbVXWyepiwwCIl32b7RyoVw4/1MRhV1PWCqj+FiJ3eHt4J/Z77AT4PrMo UOOcD6E1nK/bZeFtUpkvtMrw6joPRuhlQY7FAzkLCttLrjwSBK/RkpMm1utGPn937BKhPwf9TKmN VkaxjLC7Pc/91x0dgf+couRg6j3V19MJM32BVljGPY6XUqeaSmIhOyp5U+AYlufCpXAJFBa1Uuuy zQnN0CdHUwhUQM3TVfo+u5qDyyfMcsUv+nzV9HE0RX+MBrS8d2cjmGoX9UUXCeR2eDdOVEapRPO6 LuPXryy5hi33QBaptqetsQsQzrGoJvv+aERVGG/UA3mXBbj8rHZITpGQI1Kg8SwBD4hduPTMRpVZ BEwvyPadRcHsYE4qvY4mKJNit/rNuhgn6IpbTINEl3H6NCxKdnYR8VZT1sHyHVO1g/6LCxd6JLRW B4RfC666dqZntDXfIboLK/aY87Y4nQa+x4XOUkWrZhAYIPdc4qMEdMawx5Axcm2/KAT/xULwfUWp RINvjScmaFZBk4YSAiufvhAxvx1CORNmDemdlVICvLSvskw5pzBFNGoOAwXbbbe1FB0hS2PophIY ubn4+jcjM7tqlqORH6n1lj8nmv5wZp54bWgRLdQ9CWQm9zoGGBa020KthmMMceGVkuqvhVTEUBah pK/eK047J+7+a4Lt35JHrbgTgLew6m71715mcqbaYpLxCltBjADz9iVolr/fS8aEpxsKuNz6a5w1 3hk0dkxuqhbASmj9Pkn2+xDdvU+XemVtTHfguX5/skWjVPAKy9NyH6qk2oAw8MsfKuooYbL1Xv9F a3mjhGSg83r7rO3oOWtC1uU7ZgtV2bkIgL2IN54CpCq1jXvXOQ5/1gKnJynaLsW3i9cfJUxdMVMt tr/EopnsHNVcIzRm7epXviD48AfG4D/9Xl+DFF44e7u3dxkVLkmAKeOTBo3veKA7u3SZLPrHoIRK hKQzaRI21q2NM9DDsdWKIKxthstg/aaT+FmTduMCa0fTSYCff2UvrOGexW30LNlh9ryVK+2LgnjW kqVdRKuDa48/kdLoDLe2VNh49TU+UnAMwqD+9yoadjy+erl0f5iLgvvjgGhjg5HZf3/toktbqSAy YaSYu+9lwfBoW9uAtOZGNEC8fAlvp9gNaN5P9ji0IgXk0iJyf40zL5GvWSj/K6ku0GbjXSparxDZ Oj87sTzDJyEau7taOENI6ul5ZjOcYbW798I1y1j1I2IHlEgSRXKz4M1jAAxyFPOAxq9MDgpb4orm EIFy2/Abx02zAV4ryQPdwhRaaeswiRTvwcSTud0u5y1x3Y/DOhMLa3J+Cw6jsj38Y2vHT7zycLHJ mQkAbHb+d6q/mYyuOo+8D41qx0NWWQBeI/4A9zvAAhnFvOK3+dPrFYXaZznQrOw6/oxGQgJzyWOC /VqZ+c4+3p5BD7X+2JiBZjuq9YQIwCWFm2XW/2Cir1k66QuS24qKwfWsfA4KZ7NvQ+6DttpL2PrQ W/NPQkauAFqOr74ORaNwHq20yatDo4N471HgmdL2uRdMM7CHTqh+shyqFFPJ/Ejtx9ILPXsdL6Qi xs2eHqvDTkVt37h+bKD3kuwgNUJ/cmRILvwwUaI6gcPbFEJrA8nR3c0rH81X6FumaW00yrGPKlYP EWKpefpz4tdSwrSScE/h2vMSgaP6W/hIk4gZkkUBJyDSlwR6kH6DtOWOE/QEAp0QPxCEaHOyFKKU XojZKT55z1439/YWGaSLmachXdx+UVJyzoDV4EKuH4WmRWhon7rFjjIlW37IegVacdqdfcbA17Mc ARwGhr7wj5J/Q/nYYSjtkay2yl1Lik6dDNx+I588X96MTBE5FDZPNqjg8FRVwodLL+m6gSPbev6r jLJbMwNkHLEWU4GlMKLS1v1h59ejUXHGyTN0ZkUVXN1DqBLLNtqGO7JwXpraFSGOMhQyIyfDkKgq o7nJjatbCQnkH6n1MdYVebzNorfzMFWiBuOKo/v38x+soa5wWJArfok3Sh1+vsBy9jcL7u8V8qzw ZBwGzhoecXHYYxXlEMU/4VQSIwDi8jPOfHgVFw2XoGFuqPwtI5SEp3xWi+B6JBcnAQ+lMe65FA7g Y9+WA5CDzcpRXk51JJ/5GuOA5eK12/IV9rZpcuUe2pkxy4lEyhJH+VtkBC8h3Hjm2V1UaAMeJUJ2 l3Jjf21Hw/y8NUw54fTMI7b62T7NhzOj3Uj2H3DXPU/xzGo2qypr+PM83eAUGXGiV/ZDHsP7RhoP 7H+NwXs/RDuyVCIFaj51XdPxdiBt6zVkKurNfsctf2pNMI6vB0eGv/RPrLEhUvcwapQpylVkYu5H UIJ9ATgw06aFDf0PFf0wDRq2SoArD9RRXQv5x723oF+K9PmK6l4cO6FaXfj0sdcKIdhDr/ck7liN gmwCeE4NJ5G38lx8AvMGpAAGVWEpm/+bScpWnA1JZdOyVXsKJdX7LwCMhQTe1YpSlQf+wkiCX3Te e7aRiNOXkC+VC3cQ5yKWn99sp2JOirJOoeJ1ZYBDc5iu/B+oW55bMRpsgAStr3NTpGNbuagKVoAe GAgNZG1N4a49HCMhOMPb41eFs00Sy5tBZPjpcTTgZompzwQXihHeqdLgbtSG6mfmv7+v3zNdmeqX YVDJsa+leVD8tre/k3jXS5YePyXviCCUeLyx8ksGnqh/UZWMFgY/fdNlgJEU4ibbiM9ZtDEo78dv Y6srG3xHdk5ngoxBkVX5CS3hXMdOmFwGmmfv3uN/NtcM20vanj5/FUL82R9k5hnVKQDM+Z2hji+M Qp3I3JrNOrh7cRZRJqki0cmP5f8JgSiasyDV+12zRSSSWG/m2sH99xN+1uyuqrCmL0ZSsaopVHTM jx3JArKty2xKzjoy0/kuqZnXk0pv5lQ9jiP5oBLSjtDsMIJ6Ojjy0cNNDRNdCxYvpykCv4zVGwDc thnuvMEn4qNNaM+5VpWSCVJttZ17qQbLeWbGR+dlNc7M1kD/bJSA9fU1SeX1mmsmUxzsCHaYYIXs AU+S1bmnuNpn3axg8+OUbPtwpEJkYDPxZmIQ7y/JL0+mKOopGkGSQuwixHnsf857ba1XC03r+9rb RIoTfuYxaqFCTStBNnHWL9dD2QjjfhfivfD39YH0TsnVDRNdWi8AQ9Dl2s55pcD8qzBsYpRwMDbA UokTSrTUEvapyZsnrm1zO2HtvaQpLN35W4nxImjEvk7hNRVY/xCg7eO8avMFYenMxt4O6ssa+eUV zErZj01ORLMuv8rAjJysJ42Z0nUC7SqHoLtTQpWtH65anIOoy8P+Lp4X1xJ/q3XenBhQ86YfKZD+ JiwnK8W3wkKjc+lQ3LtW/d4xJq3Co0dx48q/MC0nnJV4FqcvgRRcic+JZVZl15asBzieuN4YruuZ NmlILSnSA6K6IW5899DPSNDut4tpBiNmEglE09kLrSEBeOsFQ1uobQW5EAQ+0a/5/JCj33NNtEi9 pGwGvlhL/DbYFYX7udnfo1ylNLbDC3yKlABGj4zY1xrOUI4ocS1k0IbaEFLLgVyL910yPjMYNGwF 9M2s6Hz8gj3QBU6NSzNpMWFzuSem5f0Gb2pQPjLodgWecY//CpLuNj4y8Wa6KtMDe7CLYmHpst6a BMm1kFLhtpyiq5JV4/KZ8mrhjZD6ysmnkZpF2UdN7oOpziqOBeUoPHo2yEQ9/tBqdq4KAlxE8mE9 t/ZceWim+R/WWSYQqnMiPSeASG0yIAL60BRPIfWhUl/4REEn02SMGSKXYZSXWIPEJdkwu7LMXyIQ JCvVK/Kwgk6vrDw5edqweiLjfWFoaH8qfClG4KQMnMPRIYh95PIHv7PjjDDwV1LbXDqdsJupwAxE 9lLOFdno2du/qrs324LmDQrKudsMlnzeYeN/1sm1W/4VbGcXwC4FuuN8Gq8wnmdmNiVa7LfX1jg1 hmGIll0NYitC0dKQnS4+b8rBq9e0Rz5zY+08zewzQJwHU9C9YfnWYjAr8uVTGS0q/7ChjdCbhvO9 tZZViHPjd0b1i3zJnCbvd5lrNEZN/MMWssjreyw5arLV1UuY670GPmMq9BgdJOqv3xj5KE8DxPEg eEMHqsw2CffoBJMlSNmvbQEri1En3MXiu3TEKiei0ZfsisVAPfeWNr08lGvkF0Z1DcYivHyO/KUs 1OdjQ6f1K3kq/whl2zaC0+Hs2EKQ/TeUvlmfM5fpgXZ9OagogGx3MRZq4ZUrVhz5UAP0+5wD52N+ UYmIi4jeyUAAnvHkl2ZzwZ8gV20CLZNTPx58HHYnYJez3SeViK0m+DgRq6ZyHsoIuY89n4AHSQuB TIAtUG2/9J1zdwU8zaD0Jw6Bd6WaoJAZalnttzfgodJ93Vl/FfK6lAJ0t0w5bV1b2x9YXGzLgOWB k+/mUmocwl49Xi5IX++82bPnDS0Jyg19vPpS3amLz199K/9s3W56xCrwLe35rSZz6MlWncpefwuK tYrvDHUiufqB8HqxuPu2w8/qODzp6RKWWilGREaHaVcvd66DSM/NfD66zrXVO8P0Hsx9cgYRBzzD Jb877KmE3/pNdJTfXQ8m9tIwtlOGUx2CLC9KFNFZ8ARMOnqbe+Qs4BATRarWouvksa9MED6ohaqD lON9YxCszUhk5P/Xz2k+lFTlsw+yt3eqBSPmYHE4h9QKjAlMr/b/IrNuojuezoRX1RCIe6D4Hh5N +kum366anWnFnP7pny+M3wBufijtKIUe6j3bbGKgJbWPsfkDIW8yGK39sqCryWyIQlt4ItR0tI5P VGj1XaasxMLuYgTUhjPNa8vmJYGdF/Hiviui3RfnF8oryad5tYGyFFrtgHJ/XHmVBND0d65O+91+ dIQvmyi4BD5gfpgAmcHtu5KzuRBGGX8Zy1IibN1oxzFyaMaAkGOh8GkGAFEvBy+yumeZFk6P4fuj 1VUpLlvpDOtolan3dKrs6JrEa48B5fxxo804SwWbwOJKqkw5UUlsMMKV4l/lkQLBFIEPVLvsrNMP G38vblmfD92B/j1/HdX7N8lcNgsHNX0nwPBgif9CytN+zDfjAmgakB+IlxMev09ntfQwqSEh1MSC QrzK/Ba8yh009c9csRBrotBoSQ6nAubr/k0gjzaJNkE8WSyBCP7VpWDMjdITmZ91ku23h7F6tPsw fKXaYxuQxe5X+IDrENw9ShVv0nnM6JiG8pcXBnaSI0FQ8OiFrgcRPiawk2c9GdU7kmFH/evHwwpy s9HsxjSkEzQ2AjbUhvv+kZ+GOlD8GRlAOXw0Su8Z+wC+IFkJuGamcTHmBi3fMvajpbe3J2fZuJrP sLbENkVt98IMjdtaCOqmbeC6RR1SfKiTPrmaaw5IzMQ9MZrBOea9iaIvj18OecXIOosbnXwW3Ia/ qt7zQu7WWy0BRWJYONOQVsAgHIesCGbLhmg8ePzuZeh3yuvLR9ZP4vvvS2kt2cjcersuOSYQZKwa XnucEL4e0+uW3Fqt1lv8F/wSOyIaub8fFyDhTa0Ckbx+iSwLVsdXW5gJqKzSE753x6QFbIc27qyV S1aMdoorMJRLa3O5EWQD1UGQ3yftozTnodAhkANzOgDZ5wNqFTcjEQH+3lUsN1gRgKFf0e7vfUia BfgplOBz75p5eAl8BslE4SoZvrZUM+aGi4JuzGpqIu2iQAg+QaP5Q+7psqy6Kuxo+o5s2fs6qJMj xSYDWK+/iCKoBkuuF8rSiioCICNXgYWHouKwPxRyVHItB4GCrE8CdOr1a/iLCmhmaOFrkXQ+FmMf MKsmzq4C47Shr0eKFlIYvgKa9mUfP54XWpg984Zk4j44tMZwD687qB9kJ1xQUX4KSvhclxjfW7dY kfzTPaUfDSczkQ7Qzjcr9+RCh+NRB4QN//bXlefqMArkpjmOkhXvfwqF0+RCYvC0t9vQoOFdSF+F d3qfR9BTs5Ob+Xuxfku4BnUZxB4UTzfUuWhTo+3FTfFRL/jF3y1yGmpXLBZLlHSYnLeMWP7LEIp1 S4tpd04/PVow7qR0CqGfESASTWb0Q2d2SZlQ8no1P2vkLq1elQHXZT77ETI/8iSM5HGeeI0kIb8u Ba+PZBCDzk7Tm3jhCNBal2Mf+RbpA8BuZ1hz/5HIKarVWixQBy5NSQhIyLdQCMonj5wzYLoG8bAg GTjf1yXYqj/NWcF8aJkhVdyBl+mFfsh3vn0ixlxI2dKKXftLmJBMMC/udlIeyEs4C+bQbS9v//FA ohId7kH40Bgrakq+EQNrgOVET4O39DsLfuNe1a9R96ahJLnm1jRjQOqmjOuYxfduFSz4sb5KIdlj 4upr1NoV3/SlGgS5r2LyHFfdie78acYmYbBaSea7A3nRS2GdTjaybD2C08jr7qGrMLvm/PjtpZ5a 3X3QplZ/MmFXFAK1lr5cl1Z0ai1cFC15AL1LxuJuMIwMfduGfmowufKS8+ZjZVwhO5M2yY5/Qj6D k0Lrup51xbVXmTrWBh5K58XEdS7FgxBW4I6vY/CRXF4yoa6Fvg3sLuO1/IuCEffi/zSteRkRPIlE IQlABdduYqaFFzywWff5S9+Ev5wY+1kmHC0RkBDGqvBb0TpWUjR7EG3iM6R029RRXel40B2kY2JQ K06R1LhxuHPVMMxTBd+q1DN40rMyJ1ElthYHpDGn5qwP7SLNQgPLBxj2CZe7s8LEDgyaQh5aIBPK 2lQhjGh7HT1NcXdOTuZ59hozfWo5PnP9mVG/+ToYb1epJszMQxe3Jp6hZ4A0GgY43K84YkkbPz5B Z19XfqNw3RBf8Vv4aX90Penoajqf9oNZ6eoGMzIjkbQONgVo2zRlB0XzUVrV5OdLViShv936+sos l/golGNrIzen/DEdpMt8yS+6WWs5cH40FepgV3yQwZvck0ZZ98XJDHsoyq5LQyl5OFAl9zlyM4i0 zaW6VZC0gtmOnibu7RUzlZND9UZjV8sQveY0psTVEgQPWLbqpiwVMjiFR8/Bx1NKFnAnPEa/rHIK 5d9yqYjAAAe40A7Vx3rzbP7Qiic5elOHUAp4oN9C5z/UHjkRDie2lVI2B4w2VXnqvufi6gE4Dwcm cksQzPtQ2p2hBwJhvOfJ4cI9CzjIpMzZdA9e1UjBDMR96hPJaTJlSGNoxetQhVdjeK7mDTMMm3S+ tckXVlAjCYw8mpjoTMhukH/PbwqJvnfnfYLcuptHvhck/GhEC6Cln/nXnxhwuT6/06kr5N8nzidQ f421T6ltoF2w+Xy9CAvzvf/tTU43Fr6XiCqDd8u5AOZkJ8EIOO9NUNrbZY9/Stre8400Kew3z5U6 ozUOw4M5Xk+F7ZKILAGunJ4lX841+qcyzVhBV0vFZpD9pN+0UJ5HLCDiitoSWdCqiWBlhvC9shFq c7ZLL2QcuGv3v7N/VR7SrMzyvdcQv5NLIhLoKlCv7034bOSNDjnnUh2sIBqeHYFH7UMmRMPupHGX VEw1rwzKddrr5Eg1fMPScsK7IORGysaA2wwoHi5Ssh4HUYsAMpW5spNSGVvGT2eUp7JYJ2vc/pC2 lX3uwhZspfP2mSzUbPpzx3jRbVD1WesaKcmz+1pkXfbl5qIHP1AJesYQNt2y8UfhLr4FES6i5mbQ kodGsugZmSy+9nF7/jiLEmmXYuHO4x5VIXkbaJlckssQg+pv6Fj7URF6GK4/GMOIsiTZtmPpNv9F A3brqs0IOvx8MHa2k7e/iPRPvrbgn4iyuGouc5dUedPN1cuonJN2/BYC/JgaGuWraeqS0h47gry8 tyfLpkwIjjOjccNIfY8w7omIvgp2ozvVVgRh/yMYp9biY7MoVQjyhhYiNvvc7Vv+h7iw+kRm1SR+ yzgBeyu0sMEqjEP9wqQjfMP4oQW7vqnJXDzYKW4Ft9zCmRoMp/91mb3+jo2GwJfRc97KX1GxBlmj qRY0SWAhy0sshkLZatv5OX1nBykHxJHEvjmyfcsk1mk6gzOWSIWTXywDLzcMFgw+sVU/F7XR8FBQ 1+FDtLSC79CKAbiJsUKuQF6OVbDOWmHEHqqWLoJmNcuG8Ro7xaJCfNEg4ycbgCBZKwirBgAOO0l6 AniEvpIkOptp6YxT5DfoORcwAcNcusu0gixP74ZLTgLpwKt/Sm22X3Sx8drNyqmSsxvVTLJJ/VRV GUi8opMSyX9yq9g+BFUmJFxH9cXNs4TyghalJsCo2TXdcJTrS/6jfF3J6BpvRkriOG9SHWe0s/+s Pee9Z0+hrnYnRVxMidrxgYBvWKihT0zXz1Lwsoy0hLOORJBXetSTm2qHp/u7x/F0Pf7Pkgzt1Mh8 aqagMULmTOZvZR/kafJ69Bp9m8xy4Oa5bh5ogFg9OuNiihI6cIZQYo9UYfsx8+vgm8Gi9UFVYGE6 ESTH34fzIF/cUuerjslxOY7ho65rA/K79AdTSCkSeivSq/k5LHSAkqGcH/6OeFMn37hOcN58xvUF nvf14EE0ooZ+tOLDx2yl/hzIMwXvvrR25Hgg5xhZUvFCBb2bqfr/IPu4fRqTpVLBU5qPYJhPpUAe Psnq72r+e5qXzAy8T2zWXGIcr82ldQjIeWlIe2UUePnK3dBzyQh+BBDpQLXU4sZTOW78uCBIHK6f s2yKf7yRHG8fxqvTg+cVKC/HhmSgYCUxcLveur41o6HGnM0A5s0D/qkUpo1mX3TkmzOOrg2+sq1s O97HmNEd3agQqSIVx0PXP8I9sBsfNAQ+keeQ6uNYuNmSPOqCwhYpZzBYLKktvOLmJF2mqsHrcXXr hfc2euUok2WJSJutbI0uoEKGiriIYFp+6GxXm8BVXJJFX31ZnUveGw5ouEECnKdS3/3THCB/idDm KNdLdbhycagrn/EUK/PPkkXyOyX1eJ2OxmCmzIoC3zQuWhyaea5be+BPxUcDw/k7Zz++FNowa007 wDwFNCJmwoXsmbXLw6SOwSsTT9Hxx3jpyUgWxast9ZSFbJhyKXUeEgBsFmV6mkyeQJ1+iH6GJijQ PznNWoBviy6mYdpg+gvSPDzIyTF6p2B6wifdl8zN0IewRhE6tOAyox1mzK8abKEFiNbbze616VNC LqXGmlyRhlacAvCzrl5ZDnnlb7tz4mNsViNpuoa1PPW0TfcKb3O1Ym2yiGVwfxZmKsgT7UaPhxEb 6RTwYwMygiE9TZ3g4LXT1oNqhxvnRCrIlVguIta2tCy3eaBDD0l0iUL31SmYhrYeCI/YzuSaViiJ vF/6nBbo3oK0HlWVda+HdZmUzU9BvecE0yIBSmRmiq2cSxuzFS6ku2NO+BssBKasNIYTHCCWj4Vx Sk3b0KLEvE6ELRARIru399Dc29ZIQDKxGxU/GgJYNr5T++NFPS+clgXH2Y/uw0SqiwtRpt3gA/Zt eDdIDw5wVlUdSKD5noqLRv2QoWJSIGbEI7UPjYfHUtq7evpSU1/c5IdXvSXzdtgzoO/FVuiNb01C SRuo1DEUTgKdVlMnblvReCIcJCBoTIjz57u6RTk1GfV6CDYo59roIpXojbDGcnAJEQTglxWZKUJ2 YKC0ZOZ0HMbAgu70EnYL6vOPMcDe+mWPzL3zmfaw9NaCt1/RydwWjWKycVV2bSRabz3Rz+P2x4rd CFuyUpJ5sIrNK+kzyO0IXo00JKEdKXnmm9/fZBblszUqFliE9K9IJq79v6BESs7QuyfPz71h6Rf5 0YwdvrsSq/TaucPz7ZTctWybyjtFokU/BYv178cxbkuB+BKlnrOw0GIG5z7z2mdeU/bxa1CaOTBJ uXIHnNMFUi08RU08RMICxfiDvL1uKU03D0PdZb+0upFj6bWm/0/r0+QzdLSU1Esi5r+lRGwxrWQb FhdqtUoqym/JYnGOUOEr5LFnHqnHAiatZMZKyMhcyInYOsG9j0908pXuyzTlxqxpvTTqm2lP7w0t tuc/zEPUxllSayUDpjiu8Dk4Pv560oU26mmEwE39GuUb8BIsuuEePwRXWTRP2yC2Th4+rj/etNVW KxO2eBz8ZgoMZS8vCjlBVBOeL2LxOJYPovT2xTEcezcNR3+OGicJ4jNAp6Z6NMdRTzW8Oqda2vtv PMWA7o55PK7q5GGad7LDAGCPeQFaOK25q9vz6UtYvYA7DEzDmgsuRacNpsLQydRKdPBDGL/IdNxw VkTZuE3UbT0Y+ynBcweFObKw/rOaFO9610UcKBMtlGXAyvD46QZu7ZodA38yX3dB+uXUCYtS753k j1aiY7WyXLbJaAKw5/HFgRUX/tWZIScpoqbGV+OokEVKRFt1Luf98D3/kwRoZh45Ghg/RBJTEP2J 6Y1ojx8sePaqE9E+TpC4uKikWmNiSV3zp6rhv1DrdImrmhzCtoHG3/tk9w8Vn14nbV3Nj45vk7gX zhyEYd6Zc636quxZaFWvEn6KAQ2c1vMqewulhplJMfUQ8Xy3TBaEyl3j2wlNiO2nNskBlR7wfVBc ddai4lfwSksqRXCllb9ak7bnWA8APVtismxrFsefnW0U/AWgyQY2gzSwidz48VeS/BistFB49s+P Q+k8pgbJwv29iiVZJAh8vQnfmnx9Lo0XXs3GHJVXTVaBu3L1fI1T6SdmHEgi52W84NZ1xHjpQE10 v66jJP+hA5dLUdewnQc9smEtq1PX3PuHXR21D7B0iiAm/jUAjjaWRn071kuNkD9+ubgKMCvi5YaG e4ZeIyYC98np6Bh7uGGOT+RO6axpy6lQ4F9iFpBJdO9k00RgxGL4rmfkAgcNzggEl66qA0ap+fxl a1tGPEdQSQxa7YH419AaukgbIbo6WE0x5iEJTTIFPQ6uVBsNnBKfH11pNoe2AIBpvoe2nge6hDax x1Rs5ICLX4z1wPK72iiQ2NdMXmdxMc/f4KI3MbFR3elqT7CWyb+r7wtVE8vZiZxb+w56cjazr2XY 3tmWF9BTd/qB5Lq9ew3KhWMyVCPTTeoYP5KXZwgJlllMQFbPc3Lgm/GeGdCKYR2WRGez/uWmXOVY q+wxZKPUzx9OWfyeynHO3rvY3fz+XkLoHcn4ESnkxGWBBgL9F/u3ytsNXdOea1Ww0Ufh9mXLTGbV oeaGNfeAEqa1YWuGRHvhFyT6tfoK92NdSZxAEkIHi0xPDv1bTkTV/ti4ZN+Pdva7mCXCO+X/rCpG kL/IqykozSd//YsYWGCwEE089NiLaNLXuAwRWmys+avOHbPIQK6pprE0ZLYgHXzdNHHJb1knhWr5 KzYKngxLYE3mfCZPs4I4YUT3fk9chRQmryVjNxM87gvLps191IiiNWpdZjGXgl1b340IRYDE0TJx gmOfpmreO/u9PZMy8DAkHNcga6p7ZuDqrASvgFO3GbpaoHPxxUbnLOPKARUjCCEebuahv6EkSvrq AgBdK7199I1gw4iwTb2qZ8b4Pbx3i7l5+4lgwdmcKzrxyBk98VkzxmzSfkonRuOIWJOthgY/HcBk rzLoAAtqmpmzLZzwLHzHRzYWtDSffR+rg/YVsdL+zSeVcyFFTE5BslpuhMmnIeebQ5hBnIUBwc/K 4hO5I1d3DlOLnu+VoTQ/Rh/tV1uRf7+WOqD7YHXEK4QFFYOPUuC5LGyqEtPnmzzgeNSykvcQUdjz g26XObD2qy+ua/O2RfmoE88/LQoIjJQvr4ARIFEFUZoMwcLJ4ydTI2lty0k+JseH/jyqnmqZKk5E EBt6V/tRax4BkQHak0SFQUTmTPh/sKgHuNg6Ziy86rAeFvys5KuSZhe2kpfbnXvZwQcBzAzkTVql fBMmZB7ohR4OYRJo9Jq5JtA389QKC4gqAMwMkj330U849PH81tRgeiR/RJRT4PiB4y6YeJRF0c9L HHfqIrxr+/MgeAT4vDkGFPy3i2oRADIpG2Xld7QHeI7aiwYEwHBLYPJ/WiHUIlXhPdfJFFZzlsbH OvsFAkLMzrcU3cBRQMO+N8aNjwVmlajCv/eSt1OXf7+evtdbSsxHwc0dOtsJ0UKFkgwz8wt20hmp dXqfxIKvoX5osNJo9LTxYaOOsPjpwonvoMTXI4FNoNugkRB1Kc4AquRk1Pxe4pcFWp+/gvSPowMv ri4ezzzrk7n4Fl3GMYRkS2qlrKsPxA0u6Kx7rd/WXWkR1pT9pzdoGZF2GOtJHGx7wZyWmhPgrpND nVwTwhfwYYD/jF+HAuUXi4pqwuROGTWKmLex+35b7fdaYT+5Ho73jR8JI/L5lOXjvLEAd+EfIlsX cYFQpRO4pulBde3QEFn7ScNbeIegz6MMs1Ji4sEPD2jxaDdHHqfgLuaT0TSise5mInH9o3MK2hld 2BQiZDtlaSAI3m3OjY0ukPvjIuMAEVlPE/ZqcM2Znwg8KClIOGNexXh5wxe/pwm8C6G9/eMCq/M4 B830MqjSFlJn1q5cGmAnLlhpaXQFFVx1+fEnqzyAbAE4+o3EhwazwxakXk0S4aHjLP1gq8koj1q8 +c3yM1/Ewb21NzlU6o5buHgIpVyOt0ieWeN3hJb4yd3JmLIHQXBcJIyC3NfyEQtGMBwRqtnKyN9X 4joUBIRtxcwcJZaq3mRhE3NkBHm6bzzSKiigsb1Tw055S+ZFIHcqL6znE/KETwlFWLyx2UFCL8Y9 7uDlMxmyNE+OCSKU3hNYu1BQXHpnv8irUEsTdQoG6QCireYTok0EsQEx8/i2j8O+M0oiC6G9Og2e XyghK0JIlNHpOw7YZXD9yu4klHqmaeAZFkKFFaHvizRtYC8IEnZAY4Fv6p/aFI1Zcr9BT5RW/bvJ vSYCq2Ol7pwZJF51lKKsoFgOXxf/+HYUaS9ars1gWyfCIRA3NlnRsE56l4pcEntXuztz96sIBRqO /PautMkjgWtQTHDcgcEHsH9jwlAqmOjjZ7dO4HNM0vgjjPA6QQxktUiAnnCp7wXiJhc0Kx2Z7ACJ FC4oMS/KPEydmZSysMhRHzmCl2HQP+ZHbDBvtw6WW3KTel111ptuPWXae85kKFbBm/7PTzVx/Hoj qIJXwa7tmjcro/cp4e/0nDoJmrF5Bs5nX0TQ7Ryyk336fDB0t5iy2xNjnCYweHMl1/Uj+CRUNmcl 7rNnTk0HmnMPdfvvGaolSODAarysaapXnjZ+QUXabofMVwphxDowACc5lmBa3I+RApE88rFhFWX/ uK2rmTn52yYnWRS+9TDtdKKD5VrMaEQZThuqBM3ffuJw2ooQ8v+yuFEn60iF5mKTSHUDg29eqb9z KLyLRrDOwGf52v85Lyv1at7b+kKZd4RuHFQpQL5Jn4I9Xtt5TTPyVesQ7YQzQgaSCiLjc/Zq0cW7 taQ/t9J4qKFovGtjoJ72DHzv4vRY8aOmVKc/KZVJPLy22DBaZYSJpGZBuO2Irqn/cbH0vUMMvaNr OxzQ34P4Ipk+oiSOVzJj7EEfNXyCG6rtlH2/tyOuJNykwI/P6e9XmsFhmb01grVRcs9sGpjYRKeg BanIN0g9ZuYCKJ6u5aiqUZEwUOMETfM4mjS56mozuIMCJzs75CaVfjd3/X8V5qZiBOxXkBlW1wtG NKzk8n8pH9GL1L9B2BUT52wq04mtWfaXaW/NUe4yWHnj8BKBj9QpOb/fTvekMQJrLDETsHAUonoS 982BRnOCmAtSkCeCNPGlmzBcfDNPLzLJ5Qa9fV9E8YEWWberoBcJa8aSet3kKXv0EFAfc5vLEais s4GD+AqjqJKorKsqF11D+Fy/6TuAbKjqjBJGbT/PyNxAfLA4tjrbW0yRhVbQpl2wpmGZqb5jQ+lc VPN7wfJXEu3clWfkN+O1RaduzONTdpTfH9pOknFjMIHhEboGlokDBzdiGiQ= `protect end_protected
apache-2.0
dada81d64fc740eccabb527a7c9d5ebc
0.948033
1.827774
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/1-HAL/metaheurísticas/hal_femo.vhd
1
1,541
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:05:18) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END hal_femo_entity; ARCHITECTURE hal_femo_description OF hal_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; WHEN "00000010" => output1 <= register2 + 3; register2 := input3 * 4; IF (register1 < 5) THEN output2 <= register1; ELSE output2 <= "00101"; END IF; register1 := input4 * 6; WHEN "00000011" => register1 := register2 * register1; WHEN "00000100" => register1 := register1 - 8; register2 := input5 * 9; WHEN "00000101" => register2 := register2 * 11; WHEN "00000110" => output3 <= register1 - register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_femo_description;
gpl-3.0
e631bcbe7927b4a141fa722ea308c550
0.654121
2.974903
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/blk_mem_gen_wrapper.vhd
1
33,899
------------------------------------------------------------------------------- -- $Id: blk_mem_gen_wrapper.vhd,v 1.1.2.69 2010/12/17 19:23:25 dougt Exp $ ------------------------------------------------------------------------------- -- blk_mem_gen_wrapper.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- **************************************************************************** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the users sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009. 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- **************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: blk_mem_gen_wrapper.vhd -- Version: v1.00a -- Description: -- This wrapper file performs the direct call to Block Memory Generator -- during design implementation ------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- blk_mem_gen_wrapper.vhd -- | -- |-- blk_mem_gen_v2_7 -- | -- |-- blk_mem_gen_v6_2 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: MW -- Revision: $Revision: 1.1.2.69 $ -- Date: $7/11/2008$ -- -- History: -- MW 7/11/2008 Initial Version -- MSH 2/26/2009 Add new blk_mem_gen version -- -- DET 4/8/2009 EDK 11.2 -- ~~~~~~ -- - Added blk_mem_gen_v3_2 instance callout -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_2 -- to blk_mem_gen_v3_3 (for the S6/V6 IfGen case) -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_3 -- to blk_mem_gen_v4_1 (for the S6/V6 IfGen case) -- ^^^^^^ -- -- DET 3/17/2010 Initial -- ~~~~~~ -- -- Per CR554253 -- - Incorporated changes to comment out FLOP_DELAY parameter from the -- blk_mem_gen_v4_1 instance. This parameter is on the XilinxCoreLib -- model for blk_mem_gen_v4_1 but is declared as a TIME type for the -- vhdl version and an integer for the verilog. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added constants FAM_IS_V6_OR_S6 and FAM_IS_NOT_V6_OR_S6. -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/27/2010 EDK 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the the Blk Mem Gen version blk_mem_gen_v4_3 for the S6/V6 -- and later build case. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated to blk_mem_gen V5.2. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated to blk_mem_gen V6.1 -- ^^^^^^ -- -- DET 12/17/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR587494 -- - Regressed back to blk_mem_gen V5.2 -- ^^^^^^ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use blk_mem_gen_v6_2 for s6, v6, and later. -- ^^^^^^ -- -- DET 3/3/2011 EDK 13.2 -- ~~~~~~ -- - Removed C_ELABORATION_DIR parameter from the blk_mem_gen_v6_2 -- instance. -- ^^^^^^ -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synopsys translate_off --Library XilinxCoreLib; -- synopsys translate_on library blk_mem_gen_v8_2; library proc_common_v4_0; use blk_mem_gen_v8_2.all; --use proc_common_v4_0.coregen_comp_defs.all; use proc_common_v4_0.family_support.all; ------------------------------------------------------------------------------ -- Port Declaration ------------------------------------------------------------------------------ entity blk_mem_gen_wrapper is generic ( -- Device Family c_family : string := "virtex5"; -- "Virtex2" -- "Virtex4" -- "Virtex5" c_xdevicefamily : string := "virtex5"; -- Finest Resolution Device Family -- "Virtex2" -- "Virtex2-Pro" -- "Virtex4" -- "Virtex5" -- "Spartan-3A" -- "Spartan-3A DSP" c_elaboration_dir : string := ""; -- Memory Specific Configurations c_mem_type : integer := 2; -- This wrapper only supports the True Dual Port RAM -- 0: Single Port RAM -- 1: Simple Dual Port RAM -- 2: True Dual Port RAM -- 3: Single Port Rom -- 4: Dual Port RAM c_algorithm : integer := 1; -- 0: Selectable Primative -- 1: Minimum Area c_prim_type : integer := 1; -- 0: ( 1-bit wide) -- 1: ( 2-bit wide) -- 2: ( 4-bit wide) -- 3: ( 9-bit wide) -- 4: (18-bit wide) -- 5: (36-bit wide) -- 6: (72-bit wide, single port only) c_byte_size : integer := 9; -- 8 or 9 -- Simulation Behavior Options c_sim_collision_check : string := "NONE"; -- "None" -- "Generate_X" -- "All" -- "Warnings_only" c_common_clk : integer := 1; -- 0, 1 c_disable_warn_bhv_coll : integer := 0; -- 0, 1 c_disable_warn_bhv_range : integer := 0; -- 0, 1 -- Initialization Configuration Options c_load_init_file : integer := 0; c_init_file_name : string := "no_coe_file_loaded"; c_use_default_data : integer := 0; -- 0, 1 c_default_data : string := "0"; -- "..." -- Port A Specific Configurations c_has_mem_output_regs_a : integer := 0; -- 0, 1 c_has_mux_output_regs_a : integer := 0; -- 0, 1 c_write_width_a : integer := 32; -- 1 to 1152 c_read_width_a : integer := 32; -- 1 to 1152 c_write_depth_a : integer := 64; -- 2 to 9011200 c_read_depth_a : integer := 64; -- 2 to 9011200 c_addra_width : integer := 6; -- 1 to 24 c_write_mode_a : string := "WRITE_FIRST"; -- "Write_First" -- "Read_first" -- "No_Change" c_has_ena : integer := 1; -- 0, 1 c_has_regcea : integer := 0; -- 0, 1 c_has_ssra : integer := 0; -- 0, 1 c_sinita_val : string := "0"; --"..." c_use_byte_wea : integer := 0; -- 0, 1 c_wea_width : integer := 1; -- 1 to 128 -- Port B Specific Configurations c_has_mem_output_regs_b : integer := 0; -- 0, 1 c_has_mux_output_regs_b : integer := 0; -- 0, 1 c_write_width_b : integer := 32; -- 1 to 1152 c_read_width_b : integer := 32; -- 1 to 1152 c_write_depth_b : integer := 64; -- 2 to 9011200 c_read_depth_b : integer := 64; -- 2 to 9011200 c_addrb_width : integer := 6; -- 1 to 24 c_write_mode_b : string := "WRITE_FIRST"; -- "Write_First" -- "Read_first" -- "No_Change" c_has_enb : integer := 1; -- 0, 1 c_has_regceb : integer := 0; -- 0, 1 c_has_ssrb : integer := 0; -- 0, 1 c_sinitb_val : string := "0"; -- "..." c_use_byte_web : integer := 0; -- 0, 1 c_web_width : integer := 1; -- 1 to 128 -- Other Miscellaneous Configurations c_mux_pipeline_stages : integer := 0; -- 0, 1, 2, 3 -- The number of pipeline stages within the MUX -- for both Port A and Port B c_use_ecc : integer := 0; -- See DS512 for the limited core option selections for ECC support c_use_ramb16bwer_rst_bhv : integer := 0--; --0, 1 -- c_corename : string := "blk_mem_gen_v2_7" --Uncommenting the above parameter (C_CORENAME) will cause --the a failure in NGCBuild!!! ); port ( clka : in std_logic; ssra : in std_logic := '0'; dina : in std_logic_vector(c_write_width_a-1 downto 0) := (OTHERS => '0'); addra : in std_logic_vector(c_addra_width-1 downto 0); ena : in std_logic := '1'; regcea : in std_logic := '1'; wea : in std_logic_vector(c_wea_width-1 downto 0) := (OTHERS => '0'); douta : out std_logic_vector(c_read_width_a-1 downto 0); clkb : in std_logic := '0'; ssrb : in std_logic := '0'; dinb : in std_logic_vector(c_write_width_b-1 downto 0) := (OTHERS => '0'); addrb : in std_logic_vector(c_addrb_width-1 downto 0) := (OTHERS => '0'); enb : in std_logic := '1'; regceb : in std_logic := '1'; web : in std_logic_vector(c_web_width-1 downto 0) := (OTHERS => '0'); doutb : out std_logic_vector(c_read_width_b-1 downto 0); dbiterr : out std_logic; -- Double bit error that that cannot be auto corrected by ECC sbiterr : out std_logic -- Single Bit Error that has been auto corrected on the output bus ); end entity blk_mem_gen_wrapper; architecture implementation of blk_mem_gen_wrapper is Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED); --Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- --Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and -- FAMILY_IS_SUPPORTED; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal RDADDRECC : STD_LOGIC_VECTOR(c_addrb_width-1 DOWNTO 0); signal S_AXI_AWREADY : STD_LOGIC; signal S_AXI_WREADY : STD_LOGIC; signal S_AXI_BID : STD_LOGIC_VECTOR(3 DOWNTO 0); signal S_AXI_BRESP : STD_LOGIC_VECTOR(1 DOWNTO 0); signal S_AXI_BVALID : STD_LOGIC; signal S_AXI_ARREADY : STD_LOGIC; signal S_AXI_RID : STD_LOGIC_VECTOR(3 DOWNTO 0); signal S_AXI_RDATA : STD_LOGIC_VECTOR(c_write_width_b-1 DOWNTO 0); signal S_AXI_RRESP : STD_LOGIC_VECTOR(1 DOWNTO 0); signal S_AXI_RLAST : STD_LOGIC; signal S_AXI_RVALID : STD_LOGIC; signal S_AXI_SBITERR : STD_LOGIC; signal S_AXI_DBITERR : STD_LOGIC; signal S_AXI_RDADDRECC : STD_LOGIC_VECTOR(c_addrb_width-1 DOWNTO 0); signal S_AXI_WSTRB : STD_LOGIC_VECTOR(c_wea_width-1 downto 0); signal S_AXI_WDATA : STD_LOGIC_VECTOR(c_write_width_a-1 downto 0); begin S_AXI_WSTRB <= (others => '0'); S_AXI_WDATA <= (others => '0'); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- DO_ASSERTION : process begin -- Wait until second rising clock edge to issue assertion Wait until clka = '1'; wait until clka = '0'; Wait until clka = '1'; -- Report an error in simulation environment assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" severity ERROR; Wait; -- halt this process end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low douta <= (others => '0'); -- : out std_logic_vector(c_read_width_a-1 downto 0); doutb <= (others => '0'); -- : out std_logic_vector(c_read_width_b-1 downto 0); dbiterr <= '0' ; -- : out std_logic; sbiterr <= '0' ; -- : out std_logic end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the Block Memeory using blk_mem_gen 5.2. -- This is for new cores designed and tested with FPGA -- Families of Virtex-6, Spartan-6 and later. -- ------------------------------------------------------------ FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen Block Memory Generator Call module -- for new IP BRAM implementations. -- ------------------------------------------------------------------------------- I_TRUE_DUAL_PORT_BLK_MEM_GEN : entity blk_mem_gen_v8_2.blk_mem_gen_v8_2 generic map ( --C_CORENAME => c_corename , -- Device Family C_FAMILY => FAMILY_TO_USE , C_XDEVICEFAMILY => c_xdevicefamily , C_ELABORATION_DIR => c_elaboration_dir , ------------------ C_INTERFACE_TYPE => 0 , C_USE_BRAM_BLOCK => 0 , C_AXI_TYPE => 0 , C_AXI_SLAVE_TYPE => 0 , C_HAS_AXI_ID => 0 , C_AXI_ID_WIDTH => 4 , ------------------ -- Memory Specific Configurations C_MEM_TYPE => c_mem_type , C_BYTE_SIZE => c_byte_size , C_ALGORITHM => c_algorithm , C_PRIM_TYPE => c_prim_type , C_LOAD_INIT_FILE => c_load_init_file , C_INIT_FILE_NAME => c_init_file_name , C_INIT_FILE => "" , C_USE_DEFAULT_DATA => c_use_default_data , C_DEFAULT_DATA => c_default_data , -- Port A Specific Configurations --C_RST_TYPE => "SYNC" , --Removed in version v8_2 C_HAS_RSTA => c_has_ssra , C_RST_PRIORITY_A => "CE" , C_RSTRAM_A => 0 , C_INITA_VAL => c_sinita_val , C_HAS_ENA => c_has_ena , C_HAS_REGCEA => c_has_regcea , C_USE_BYTE_WEA => c_use_byte_wea , C_WEA_WIDTH => c_wea_width , C_WRITE_MODE_A => c_write_mode_a , C_WRITE_WIDTH_A => c_write_width_a , C_READ_WIDTH_A => c_read_width_a , C_WRITE_DEPTH_A => c_write_depth_a , C_READ_DEPTH_A => c_read_depth_a , C_ADDRA_WIDTH => c_addra_width , -- Port B Specific Configurations C_HAS_RSTB => c_has_ssrb , C_RST_PRIORITY_B => "CE" , C_RSTRAM_B => 0 , C_INITB_VAL => c_sinitb_val , C_HAS_ENB => c_has_enb , C_HAS_REGCEB => c_has_regceb , C_USE_BYTE_WEB => c_use_byte_web , C_WEB_WIDTH => c_web_width , C_WRITE_MODE_B => c_write_mode_b , C_WRITE_WIDTH_B => c_write_width_b , C_READ_WIDTH_B => c_read_width_b , C_WRITE_DEPTH_B => c_write_depth_b , C_READ_DEPTH_B => c_read_depth_b , C_ADDRB_WIDTH => c_addrb_width , C_HAS_MEM_OUTPUT_REGS_A => c_has_mem_output_regs_a , C_HAS_MEM_OUTPUT_REGS_B => c_has_mem_output_regs_b , C_HAS_MUX_OUTPUT_REGS_A => c_has_mux_output_regs_a , C_HAS_MUX_OUTPUT_REGS_B => c_has_mux_output_regs_b , C_HAS_SOFTECC_INPUT_REGS_A => 0 , C_HAS_SOFTECC_OUTPUT_REGS_B => 0 , -- Other Miscellaneous Configurations C_MUX_PIPELINE_STAGES => c_mux_pipeline_stages , C_USE_SOFTECC => 0 , C_USE_ECC => c_use_ecc , C_EN_ECC_PIPE => 0 , -- Simulation Behavior Options C_HAS_INJECTERR => 0 , C_SIM_COLLISION_CHECK => c_sim_collision_check , C_COMMON_CLK => c_common_clk , C_DISABLE_WARN_BHV_COLL => c_disable_warn_bhv_coll , C_EN_SLEEP_PIN => 0 , C_DISABLE_WARN_BHV_RANGE => c_disable_warn_bhv_range ) port map ( CLKA => clka , RSTA => ssra , ENA => ena , REGCEA => regcea , WEA => wea , ADDRA => addra , DINA => dina , DOUTA => douta , CLKB => clkb , RSTB => ssrb , ENB => enb , REGCEB => regceb , WEB => web , ADDRB => addrb , DINB => dinb , DOUTB => doutb , INJECTSBITERR => '0' , -- input INJECTDBITERR => '0' , -- input SBITERR => sbiterr , DBITERR => dbiterr , RDADDRECC => RDADDRECC , -- output ECCPIPECE => '0' , SLEEP => '0' , -- AXI BMG Input and Output Port Declarations -- new for v6.2 -- new for v6.2 -- AXI Global Signals -- new for v6.2 S_AClk => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_ARESETN => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Slave Write (write side) -- new for v6.2 S_AXI_AWID => "0000" , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWADDR => "00000000000000000000000000000000" , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWLEN => "00000000" , -- : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWSIZE => "000" , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWBURST => "00" , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_AWREADY => S_AXI_AWREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_WDATA => S_AXI_WDATA , -- : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_WSTRB => S_AXI_WSTRB , -- : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_WLAST => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_WVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_WREADY => S_AXI_WREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_BID => S_AXI_BID , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_BRESP => S_AXI_BRESP , -- : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); -- new for v6.2 S_AXI_BVALID => S_AXI_BVALID , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_BREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Slave Read (Write side) -- new for v6.2 S_AXI_ARID => "0000" , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARADDR => "00000000000000000000000000000000" , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARLEN => "00000000" , -- : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARSIZE => "000" , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARBURST => "00" , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_ARREADY => S_AXI_ARREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RID => S_AXI_RID , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_RDATA => S_AXI_RDATA , -- : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); -- new for v6.2 S_AXI_RRESP => S_AXI_RRESP , -- : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); -- new for v6.2 S_AXI_RLAST => S_AXI_RLAST , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RVALID => S_AXI_RVALID , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Sideband Signals -- new for v6.2 S_AXI_INJECTSBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_INJECTDBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_SBITERR => S_AXI_SBITERR , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_DBITERR => S_AXI_DBITERR , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RDADDRECC => S_AXI_RDADDRECC -- : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) -- new for v6.2 ); end generate FAMILY_SUPPORTED; end implementation;
apache-2.0
19a5a674b42cabd77bbe583e0a2563cc
0.361633
4.667998
false
false
false
false
KANGKANGABC/ArmRobot
crc16.vhd
1
3,303
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 2017/05/15 15:41:40 -- Design Name: -- Module Name: crc - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity crc is port ( clk: in std_logic; data_in: in std_logic_vector(7 downto 0); crc_out: out std_logic_vector(15 downto 0) ); end crc; architecture crc_arch of crc is function reverse_vector(v: in std_logic_vector) return std_logic_vector is variable result: std_logic_vector(v'RANGE); alias vr: std_logic_vector(v'REVERSE_RANGE) is v; begin for i in vr'RANGE loop result(i) := vr(i); end loop; return result; end; function crc16( data_i: in std_logic_vector(7 downto 0); crc_i: in std_logic_vector(15 downto 0)) return std_logic_vector is variable crc_o: std_logic_vector(15 downto 0); begin crc_o(15) := crc_i(7) xor crc_i(8) xor crc_i(9) xor crc_i(10) xor crc_i(11) xor crc_i(12) xor crc_i(13) xor crc_i(14) xor crc_i(15) xor data_i(0) xor data_i(1) xor data_i(2) xor data_i(3) xor data_i(4) xor data_i(5) xor data_i(6) xor data_i(7); crc_o(14) := crc_i(6); crc_o(13) := crc_i(5); crc_o(12) := crc_i(4); crc_o(11) := crc_i(3); crc_o(10) := crc_i(2); crc_o(9) := crc_i(1) xor crc_i(15) xor data_i(7); crc_o(8) := crc_i(0) xor crc_i(14) xor crc_i(15) xor data_i(6) xor data_i(7); crc_o(7) := crc_i(13) xor crc_i(14) xor data_i(5) xor data_i(6); crc_o(6) := crc_i(12) xor crc_i(13) xor data_i(4) xor data_i(5); crc_o(5) := crc_i(11) xor crc_i(12) xor data_i(3) xor data_i(4); crc_o(4) := crc_i(10) xor crc_i(11) xor data_i(2) xor data_i(3); crc_o(3) := crc_i(9) xor crc_i(10) xor data_i(1) xor data_i(2); crc_o(2) := crc_i(8) xor crc_i(9) xor data_i(0) xor data_i(1); crc_o(1) := crc_i(9) xor crc_i(10) xor crc_i(11) xor crc_i(12) xor crc_i(13) xor crc_i(14) xor crc_i(15) xor data_i(1) xor data_i(2) xor data_i(3) xor data_i(4) xor data_i(5) xor data_i(6) xor data_i(7); crc_o(0) := crc_i(8) xor crc_i(9) xor crc_i(10) xor crc_i(11) xor crc_i(12) xor crc_i(13) xor crc_i(14) xor crc_i(15) xor data_i(0) xor data_i(1) xor data_i(2) xor data_i(3) xor data_i(4) xor data_i(5) xor data_i(6) xor data_i(7); return crc_o; end; begin crc_out <= crc16(data_in, x"0000"); --crc_out <= reverse_vector(crc16(reverse_vector(data_in), x"0000")); --crc_out <= not reverse_vector(crc16(reverse_vector(data_in), x"FFFF")) not-> XOR FFFF x"FFFF"->start FFFF end architecture crc_arch;
gpl-3.0
c65c3ee2e3bc2b9ec92cbb1ca037d8da
0.564335
2.707377
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/asap-alap-random/mpegmv_random.vhd
1
3,504
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:37:23) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_random_entity; ARCHITECTURE mpegmv_random_description OF mpegmv_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register8: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register9: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register10: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register11: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register12: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register13: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; register5 := input5 * 5; register6 := input6 * 6; register7 := input7 * 7; register8 := input8 * 8; register9 := input9 * 9; WHEN "00000010" => register10 := input10 * 10; WHEN "00000011" => register10 := register10 + 12; WHEN "00000100" => register9 := register9 + register10; register10 := input11 * 13; register11 := input12 * 14; register12 := input13 * 15; WHEN "00000101" => register11 := register11 + 17; register3 := register3 + 19; register4 := register4 + 21; register13 := input14 * 22; register6 := register6 + register9; WHEN "00000110" => register2 := register2 + register11; register4 := register10 + register4; WHEN "00000111" => register2 := register5 + register2; register5 := register12 + 24; register1 := register1 + register4; register3 := register7 + register3; WHEN "00001000" => output1 <= register13 + register5; register1 := ((NOT register1) + 1) XOR register1; WHEN "00001001" => output2 <= register1(0 TO 15) & register6(0 TO 15); register1 := register8 + register3; WHEN "00001010" => register1 := ((NOT register1) + 1) XOR register1; WHEN "00001011" => output3 <= register1(0 TO 15) & register2(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_random_description;
gpl-3.0
98e9af2c46c04f42f814c23fcb81898e
0.69863
3.507508
false
false
false
false
rcls/sdr
vhdl/lowfir.vhd
1
6,119
-- Output FIR. -- 4 channels, with input strobe. [might become 8...] library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.all; use work.defs.all; entity lowfir is generic(acc_width : integer := 37; out_width : integer := 18); port(d : in signed18; d_last : in std_logic; q : out signed(out_width - 1 downto 0); q_strobe : out std_logic; -- Asserted on the first cycle with new data. q_last : out std_logic; clk : in std_logic); end lowfir; architecture lowfir of lowfir is constant index_sample_strobe : integer := 18; constant index_out_strobe : integer := 19; constant index_pc_reset : integer := 20; constant index_read_reset : integer := 21; constant index_mac_accum : integer := 22; constant program_size : integer := 400; -- Min coeff is -28805 -- Max coeff is 131071 -- Sum of coeffs is 594566 -- Number of coeffs is 400 constant program : program_t(0 to program_size - 1) := ( x"07fff8", x"4bfff3", x"43ffef", x"43fff3", x"400005", x"400026", x"400053", x"400084", x"4000aa", x"4000b9", x"4000a7", x"400075", x"40002f", x"43ffe9", x"43ffb8", x"43ffad", x"43ffc8", x"43fffd", x"400034", x"400054", x"40004e", x"400024", x"43ffe7", x"43ffb4", x"43ffa2", x"43ffbd", x"43fffa", x"40003d", x"400067", x"400062", x"40002d", x"43ffde", x"43ff9a", x"43ff83", x"43ffa7", x"43fff9", x"400055", x"40008d", x"400085", x"40003b", x"43ffcf", x"43ff73", x"43ff56", x"43ff8a", x"43fffb", x"400077", x"4000c2", x"4000b2", x"40004a", x"43ffb7", x"43ff3d", x"43ff1b", x"43ff66", x"400003", x"4000a8", x"400106", x"4000ea", x"400058", x"43ff92", x"43fef5", x"43fed1", x"43ff40", x"400014", x"4000eb", x"40015d", x"40012a", x"400061", x"43ff5d", x"43fe98", x"43fe79", x"43ff18", x"400033", x"400145", x"4001c8", x"400172", x"400061", x"43ff10", x"43fe22", x"43fe11", x"43fef3", x"400066", x"4001bc", x"40024a", x"4001c0", x"400051", x"43fea6", x"43fd8e", x"43fd9b", x"43fed6", x"4000b5", x"400254", x"4002e4", x"40020f", x"40002b", x"43fe18", x"43fcd9", x"43fd17", x"43fec7", x"400127", x"400316", x"400399", x"40025d", x"43ffe7", x"43fd5d", x"43fbfe", x"43fc87", x"43fecd", x"4001c7", x"400408", x"400469", x"4002a2", x"43ff79", x"43fc69", x"43faf8", x"43fbef", x"43fef3", x"4002a2", x"400534", x"400555", x"4002d7", x"43fed3", x"43fb31", x"43f9c0", x"43fb52", x"43ff47", x"4003c9", x"4006a6", x"400660", x"4002f1", x"43fde3", x"43f99f", x"43f84b", x"43fab4", x"43ffd9", x"400553", x"400873", x"40078f", x"4002e4", x"43fc8e", x"43f799", x"43f68a", x"43fa1a", x"4000c3", x"400766", x"400ab8", x"4008eb", x"40029b", x"43faab", x"43f4f0", x"43f45f", x"43f989", x"400231", x"400a3f", x"400dae", x"400a87", x"4001f8", x"43f7ee", x"43f14c", x"43f192", x"43f907", x"40046b", x"400e56", x"4011c1", x"400c8e", x"4000c1", x"43f3c4", x"43ebfb", x"43eda4", x"43f899", x"400814", x"4014b3", x"4017f1", x"400f6e", x"43fe74", x"43ecca", x"43e33b", x"43e74f", x"43f842", x"400ed1", x"402034", x"40231a", x"40146f", x"43f98f", x"43de96", x"43d132", x"43da16", x"43f805", x"401f0a", x"403cb1", x"403ffb", x"4021ce", x"43ea2d", x"43af81", x"438f7b", x"43a3f5", x"43f7e7", x"408100", x"4120d3", x"41ada7", x"41ffff", x"41ffff", x"41ada7", x"4120d3", x"408100", x"43f7e7", x"43a3f5", x"438f7b", x"43af81", x"43ea2d", x"4021ce", x"403ffb", x"403cb1", x"401f0a", x"43f805", x"43da16", x"43d132", x"43de96", x"43f98f", x"40146f", x"40231a", x"402034", x"400ed1", x"43f842", x"43e74f", x"43e33b", x"43ecca", x"43fe74", x"400f6e", x"4017f1", x"4014b3", x"400814", x"43f899", x"43eda4", x"43ebfb", x"43f3c4", x"4000c1", x"400c8e", x"4011c1", x"400e56", x"40046b", x"43f907", x"43f192", x"43f14c", x"43f7ee", x"4001f8", x"400a87", x"400dae", x"400a3f", x"400231", x"43f989", x"43f45f", x"43f4f0", x"43faab", x"40029b", x"4008eb", x"400ab8", x"400766", x"4000c3", x"43fa1a", x"43f68a", x"43f799", x"43fc8e", x"4002e4", x"40078f", x"400873", x"400553", x"43ffd9", x"43fab4", x"43f84b", x"43f99f", x"43fde3", x"4002f1", x"400660", x"4006a6", x"4003c9", x"43ff47", x"43fb52", x"43f9c0", x"43fb31", x"43fed3", x"4002d7", x"400555", x"400534", x"4002a2", x"43fef3", x"43fbef", x"43faf8", x"43fc69", x"43ff79", x"4002a2", x"400469", x"400408", x"4001c7", x"43fecd", x"43fc87", x"43fbfe", x"43fd5d", x"43ffe7", x"40025d", x"400399", x"400316", x"400127", x"43fec7", x"43fd17", x"43fcd9", x"43fe18", x"40002b", x"40020f", x"4002e4", x"400254", x"4000b5", x"43fed6", x"43fd9b", x"43fd8e", x"43fea6", x"400051", x"4001c0", x"40024a", x"4001bc", x"400066", x"43fef3", x"43fe11", x"43fe22", x"43ff10", x"400061", x"400172", x"4001c8", x"400145", x"400033", x"43ff18", x"43fe79", x"43fe98", x"43ff5d", x"400061", x"40012a", x"40015d", x"4000eb", x"400014", x"43ff40", x"43fed1", x"43fef5", x"43ff92", x"400058", x"4000ea", x"400106", x"4000a8", x"400003", x"43ff66", x"43ff1b", x"43ff3d", x"43ffb7", x"40004a", x"4000b2", x"4000c2", x"400077", x"43fffb", x"43ff8a", x"43ff56", x"43ff73", x"43ffcf", x"40003b", x"400085", x"40008d", x"400055", x"43fff9", x"43ffa7", x"43ff83", x"43ff9a", x"43ffde", x"40002d", x"400062", x"400067", x"40003d", x"43fffa", x"43ffbd", x"43ffa2", x"43ffb4", x"43ffe7", x"400024", x"40004e", x"400054", x"400034", x"43fffd", x"43ffc8", x"43ffad", x"43ffb8", x"43ffe9", x"40002f", x"400075", x"4000a7", x"4000b9", x"4000aa", x"400084", x"400053", x"400026", x"400005", x"43fff3", x"53ffef", x"63fff3", x"43fff8", others => x"000000"); begin fir : entity quadfir generic map (acc_width, out_width, false, index_sample_strobe, index_out_strobe, index_pc_reset, index_read_reset, index_mac_accum, program_size, program) port map (d, d_last, q, q_strobe, q_last, clk); end lowfir;
gpl-3.0
3f25d06a6bf4b2af2f85597f90d1c031
0.595522
2.159901
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/streaming_axi.vhd
1
50,247
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KCKwKRdb07NCD/6NZAn8TFH5C80lA1tcbUK7Pq+6UvWVD3cSXYsHZQTuYVD/fj9mV4qeCRGupWug 86Z9Eg4OLw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gRdoX7f1GKl9bIbKPzc8v0Y4idqwPDgxWoWZE329fQQ6/M+lO7FPjEfbaYCGhH6hVgb0fvfwceZT 62X0yhRVA0LSsciEGs6RD2Z1sKnNgU4Nkd1YNnDFq24vjA1j1lroa/tM0Lxbkbk4NglJxpD5vfQ0 2psLo8vo1Dpild6Slrw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block k743RcSej6FJQ10LUJvY7Sy4RSN7B85fL9R54r8T8TGEQtcKyEdjMDsv4OoupjXXr+F0r95ijVLG isZ1rTRDONkke9Zn2rdEfwgma8pOSzrcKWXX88ASKu1BQ3KEJsRLYBlLwW/OHXzlpr32VbCtUxRR +iDjCPorl4/KNj8MkbMOaL1zfErLjjubOtNV7fu3u5BENcQT6QcgWIX3zv/A+iYUr7/B8HCkfbiu LTmwwdgfNqufXIR2Fg5Ht44jzhYjvSpkCNa7iP61dklv53oJRslxeYw+ej2ysAX13gpkrv15jXxS srE5dPq5VCB7aKuvbcb8cW7KRwDcL06Z72TnTA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Uch2Jp0edMN/98tE7/CYHyYom3h/lVGCF5S4JLEaZbnAHsGO3bbuQMvCCiowzNWSg1/UxQU2VuIa oVS7jqwVDDM4o/M0wmgeY04ioIXbQhOPx/B6nAJJzm1EPG1QGbLmloP4uPiaVygzsIOvd5ukjruL 3EmFC50P6CoUseJZqKM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DMleiGjVGZjW3CCXaJKQTe4YGK7mSx/4ZF3ylg4hNKfQxG9ks7qlG6GTuSec04YH5rol7TroBOI7 Oc4h3E2ogoDamt+Va4lZf1E2j9D0gR+upHMNH8Lb1W60ZV1uPub1YEFyl2Q1Apy2JknLXJVcHM5d JNRYSOW7zOAyQdlpDlMmjhmNoJjp6twKWzLjf6s1KMfM2D8WBolCNVX/9o9gBaf7QVeSYjYd2btU Igm4Qis4riU3LZY6f9aIhP3Xy46NOP57HQAFmFXajslXWA9xeB6KGkJF5a87dfEnD4UbyL8+AzcK V398SdzuSGhxwFvAhC0R6X6HADcM3crDz8xLfQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35456) `protect data_block wvEwMSeLtrXS8f6lJZ2R2c3okp5CoXU7KkGQ16yRyyuFywfODfGRT9xFUStWzWVLnuW2Z3VZdE+b Ugweo6jHxx6aRf2h/YtsSdqou0RN38iuMmMi6cLQxqbDCBHDwnF8AYx0TlMzgncuOqUftqf1sHgC SeqjigaHLPQpXyiT43K3oeewcInII4MjdQb5yk4/P3DjXJZ3lStUYGEem/DYjS4qli0D5YLbJyxN EYx0SZYJG74vfNgVMb2W0SvvU+w35tndpylNFxkjxQR+gbbjT32NTqZnwfaupYest/yZWELhF8hF Nowi7TiX1VDwH02s7q2eEAEWSFYe9n4qnKwVpcKa1+7CQoRL9v1KxKbzmsdfBiuVpyVQqTuQZaQ9 n3dTQEt6GRovLZ+/a5yPMcqtZFgtngzcHNFsKYkMvTaaTlKMScfbBiTEbZrlJ/HtI7domI0vSSS1 YVIxPn6QVCIcPaKi9G+qOGHmkYVeXMMBTN8/3y5K9gpqnDGN9N0Ia1HCY6C0b53EmJbfxQLg8y/V nOBnqkksK+3gXFa2g4TQhjCTNicmgVSvEtwx7XjWb6s4eyPZEfCOz42uEpOt0exOByxbNulJ3+lw 2Xdcx/PSLLRJjKPanq/7b4xQ02Aqh4HQflFR99HzpCrxDB7xmg+KOmwjr49B78leYyx3lgXNqTn5 dDwqPyK9dxw8Xa6fiutV95p2ruVcUQNn8N/LLHw6HJLlec2ZHD78wPbzJDBX3CtNeV4nMyF6c5Z8 7rR+lOjnowvqgBMGRF7c3PdHQkL6qtyfWCd9J/94wvqXyarA8ASMsZC0+15O2UWun81R+GOvnkGq qre1u91YSZ/MkspM5Wh6eM8TFo7b1cpf1HXkHmOYoDzPWzMOIRnWBXfrhSajQMjCAiLHSXb8FDbM 3N66lxLnAA/smTXjDgY96VYKc/n7ijj58xeCEnWVXHHUJ+8wmorTV6W3iEwoz5FUvA9nMTL/XeAW jUYrchgLSr0i4Koz58B2FBebW75R5ItRi771NZsHCV3QomTevwDw8rF68rVHvzRkkee9CRLGDJqo YKrWyIFzSrz3yEfgCeSE4/jwNgzOH+1IcQlI4yK/2XxDn/pXo59XpUG2loazbcTs4GWlByogXx3v nUIpWNg0TDwf0N70C9OEhDcAfIZ0IGjRNR+jgHQf1b+DKXnxzOcEgh/MZHuil24YPVnYShs2QpYu R73oRfxRrgdjFE0SDB1cyBcLzPohF8ncSNLyFtBS7f/tdKz4fVDeOtuhtTMUb1v0NvwaGSYfl8Z2 2IY88wtQjnJBs0sDaKCoaluS4alAs0bSLBq24f64JeZnSDEhWnCXO0IJdtqyKv4YhWgrUSLpKVkt wUlk3EJ7+sbJ0IlNHhoJFOSP6E89lHLOZUaHqtVYWdavmugp+lVbx63tLohHs16zY1mBA4yadyMw 2HPAiY3Ev2d6YQG9nMWrwHVntc9lFN2aJcZ5MY8w6oN/0n7WUBDjVP0ZV9SDMFlSBRvAaZlZKy+H uhSvr7jK9JwIdSWIkjbwi4wXLKBNun4pDPHMWRQjFOVs4Ah2ZSawMGqlsKZSCm7imdtaFhx1DvV5 3YdgU8hWAbkJN+bIDy+8b6stbTft6eDjo3KYXwLHN7k3r72w6kA0TR8JaDT8XB48R96315wxbcPE GNdg34eTJ1By85sidAcODvAAEfYOwBVPbLHUhYpNYCli1IailGVWgTpyfK9z35zTYZmTOM/zWF0V bnZhMoLnCVXU+fgxrXF73wCCIna+4hw/ls5o5XTAbhSnYWxYuv/hzIYyHVRq4vlJhfV40tlRx9Wa 6yN8IgnBkQ9Lpqk5pV9YYxOWnE37umFIjbOQQzyW3v9aao9T3HLi2REw8vIz8hzoX9RZZ3EBaDQk I18qz/hoPTuhE6eCIXSj1b6wjoZ9D/h3DDvUkRMY8hy+V7wqKO/9u0bfZblaUf1P0v/YW3BA9oh7 ti43mqWR8vmhlKxfJG7S8YG8ipbW/edHD4n1hIecZ5n115i+aA2TR3FrdqMCyqAC1bukz/dm6HCk IiRKGGbGEbP/PBeb4ff90SvmyhXI0SNEXqfcHoXHa5r4rw0stqsvF6ULH796z9HH+qU45pSaZzjL cz7P0qtUfMo2550o1Di4cyr8CjP3UCoWe2uCU7jk53iK6oMUiwioK4L5aWfPgDJSql/4Jv1Ghv1c K92y9XRIjqNgwWuFVc8XMMYwU/2qDGqQc1djx8Ck7EccBJNWxxPNYKSbEt4SHZ833Ycn5+0HsSMU PyVHa5g0ucQBZo+0c1Tqeq/X14BF3WpQL9PWF4n1zzqbufDx4GWXB2FSskVvQaeQo/5CWwVJhYDG STyR5D++kNnfzt7d67KZdRyU62JR6XPdpWgylUslY1ENUzHbbHItbxwRSal3sYqSG2xhZZZ+9YWV umrB+Kfc2WXBXR5YiGs1TM5X0+5BseotPN2oPMzb5p+glG3zPyDkOQSTlxKWH0AX+Yc0N+dMEaU9 g7iHUB6H6iOpxrzrdEnFW7SXmT0alyLA0/9Agtp0TJZK+xVEKLMnmYHLMK6XOlQRTeQLS377Hz3T cLo9Ah7jcM7HuWiFQ0qOH2JzDzZrSr4CAazBE9RMrDV7WhzXYnJZPRNPe38rBGYvZPZQJcTmKyHA SCBV//Hd+RSYWbkDGNzXqhrmz1XI2fjjTOrVdwBndFvkPLDJGEmEmGhU/MvZ29VrKNvBs40qVZzi bwmKJCoAp7plcUKPNoycLezc3xcDbKczJfgRYEXe+10wKTcrDvLcrsQf54QkTG3SVBEGEvzSGmqH RmNxFl3vbDqdmvcInigjCYSH83LPXREAEX6BNFmUXaV9xuuqpR1V/FkaymjYikXWak9RtOO5vWMo bMxX4YlroknJMVzFWtjoN1vQa/aeLXc8LcM4pUdJzUVsEr57LLav9pA534R5V+AY1VdR1kHO6KBj s6u/Zl8q+o5xn8MjmzQPgvmTLmyFnW49Fe2e8aMj4wgauFPhN3PNOSflM0yVMh+KsKY6fu9Dys2t 0mxIZfVOGCikFJ6COiiUBwmCgfGaagjWhs0KhxbhlJ1IIWHU3CltIjdfwNoUOWmfA1GPb6q+LlCK 7/bdI2Jbb7CXIT0Hl4YUCeW+m7fGjsrh15X53dQ4Wk5KRxvXBCqJ8e/gm6oCxhcQBKIKKrXLKNUY w5bqpi1Z2B/MoDc8G3EQ619lOVjEqcAxclApOd4FLq0firJiBryYd65cjvPoazW1iLgc4XAFjvwh NjFqttBj2meVLoHcsc6jVVpyf+/Phx/eXfeohjCqdy45Ix6D9LPAz7m2sG7Q9V3km3xW7xNxAdMS ngI1BtlxFD8EA/kzsRW9ItCVg5uaxNENW+YCRYH7nxJylZ4+J+uySdc26rJiEpHAma8y6I+mxfrA ZxHFieborMxNOtK0LI7JDL8O3TXiO0qybSOUL5l4ptCH8mDw6dv1wd2AeOvCHRWYl6pk3f4cL8jb L8r47L+f36OpZyEQpUSX4YqW93JO2Gq7V9kP8NzSBsgQRNAMursthe770nfL/O/hocUq7NyeLaRC c9+cRjlc78rmwfJOoS1lljW0X9qZxEPZL6c7FI86Ziwmz3a3GH+fqybf85OX+srlALofqmdL46BN KNNJnPpKE5RZI/AU8YNdGtGAMze/Ndnt7ZNuTvSHNYfYvLEEfZkn2ToX82+m0ID5gbCNsRym0N9i 0Opr6tgtAAPSb/GilfUtnxVXaKRk80GkR8QJeaySOsjYA+WaXmpyvqmCOrqcNX1EcB0rKPpTOkml tingVlB22cbpArTvQQXernmTqZZw/3xU8HXztbKvuB281prCL5fxYMYouWTt6QIMkZe4fQkQCsY+ MTQGoq8yWxqqSCAPYrPwt/f3QuYKsQuzBbxVGVjIVuCA4mwhnC11Sc4VevxvYs+p6IyIl5HHK4KN jQrY2/BluAV8LGHmvIBpdaxdQrbHZx0qqbxHMw02ymaWD4u+8uZfYX3m101MZ0bOKg+vOQPIYnvu eUga/UMEaHhi0heOHIu22rk9yy1OBpag9FXuRJSZDcEqTN4VmTpTrNxD+92NbruTPLQnVDKUmGS+ h3o+YVarqpXEKPrHRZDm/cE9kxElj/XTZ1m4nuoEkPLaIkAD1xe9egjYwRZN9q90ptt7/HhXy8bX 2/RUhTQAvSY7E/dXIMZ5/CM/gIWRaSFjd5G66Xtx0FYabgONsNXyfBu7OvXJxFzHA5RoZhvh17Bz 6f4W2st5IHddocrew7OUEWLUfD/+3etvWzcQ1MXt/a9gkJ6/Tqs5k51HY7/TdAwQJCnbxO7AjwJ2 8es+pMgNzLvL6HCvtmBfUXZwU/ZnbwK88EoKZh0J5XANkGNavBoAJhYw76G2WoSybNm84RnmD+nL CWHn+KPAPilnsMbujiZW60+0UItD/7iu19MWArAY6s3bQrQ3EMGDsgBkfvn+vs/IPV/2y6F8NsSR ERlrBKJg2m51YsRgGpbHHYA0yjAGGKU7nNCwHqo2by1g2QEDOWoWUI4ORckFbeYEuhcFQJla1nUq 1utPw95jB7z9nH5izImV0eSGskrP4MV8b04EnhOMHsx6D3smzSxtJDb4E9+Fitct5IvMDBwh53SD R+9OatiFS2gvBSsTpTpEq4YXHxqcfN/UTRRb+9rgxdyU9wboprQy99Qy5en31GWZtjRjIKz58V47 o0NIN2r8y4qhUu22zl76+pX5jh9ud5RyMIC4/1Z95yAZt8vHU6dGNLfrVDxQVdrtqCtixgElzElI VJdj/MwHOHaOg+l8Tg+H0YFX34SSnGpZo6FieDJaMfuzHwwlqJpKikub0lKxJ8T8VdJ4BZa0RJhi QTls5+AvVVD1ZRiSEUJGR9iUkUH1d72eZtE8eEJubQvhN6yrA5h8Yxq5yAW2lqqzonEV9a143cSy GFGfi99I43udFNkfJaZOFQ0v6bVeL+H/WtqoBkwExFlMQGyVcZCBzF9FlcDLIivUYc42ZgB5zyAQ cqNWU1WTTNsQ5QWRpYyszHBwkwl70VYL5u/t7aF2c5If/PJDUfY+GOrCxe4HHMRfzP39jpyChvAe CiluwwdGUaYFYSCnOpzfreSmIZXEDA6tEHpDavYianxPFzX5h16ZfRtJp+rwF9dfDvmzjNH5aBJN jwxkvF1JL6jS3JUbVoflvDHMmX1AGCDNZUR4QsNOHCFd7jSIvxEXlumc38gjrZV271+2TwtJ20M8 uTDTAkGlXCGBMzZqyukTYBiAqVzHT48AGqr0R3plZWCehaarh50KohTLl0hfSkE8gCDSaxODRHqd 5JzSlpl37xGs8pF9gKHTR5+pcPBCz2ZNWZn39h9MS9WGVJKfUEpnvH33Vwu8DJHXOb/VnD6G7j4P v7g9Dn7gi/PYkmdeP3wnMIHGGwB10mM+YgrV1gFgq6lvlNeHAC+xbCyruRmKlyxnieeuBfiDin67 HIQ9FPeFfQb97Nj8omoYI/QJtJiqy0o/rCVD5h/Vj9NEJFPEkQmKivRaDZEWOrKJBYBpD8/fqT1O 0Bs6SZoYmzY6zhIIB8sp+pqRHAM3neMPA6VTUJ0+7DyM35FnmDTWfA3eKOqKqTLSk6ZrwYYuhhtn vyTRXW1dDYmu8FCgD3U73Bhrc3jFBGL1oFP8OHe/zFJbImAJzxL4/0zADAcEu9LWzg/xONIZHL9l hWgid+J/Xqdzaiy2UdcsgfDQP+NU/4fa/ge5seJu+ApNbmbhGlTL7h1Ia1TJGlszaFBpbcNiTQyL kmW7EHT2P80S2slIlDEjyd/dgwWknJQCBziW+O3A+xUvcMxxDNBv4Jj1pMSXADRMbezu+dThY1GG LnMRA/x3dcCJBpSiM9akhCj9H56qCYzNND/QWxlM8tocz6tdGH0QRI7ziWayS4G8OhccNdPUjbfj qQxQy/9sFHqylJ17mtJgYZe7lBzbo97MAJE/Dm+MA1kx3SxTy/gqZVWWCc4aLXO0vXMP4eOcXg7u aqjTUUy3aon5yLZETJJiolB6SqDm+gRmnwUsi/H27NT6HwXskDacFqtkYVcz2WYfxkEJhx5tbAOc HD4WeKG4r3DM+14shAKkZW0vOu4actRZHSj6EfuPCbF1LLCTdbI2oGRWSNCr166KUnAHN0DzWpFR n07VbvGKiTlGKfWwWdbVRrrjU/kAE6rQ/Tth7I/H+h2mj5SdAlScokDvkzkVmCCKbhD6sHMe8X3l yTzio+y+lfn2pH5PFzTmiB6Zvv+Vf0LtRdmCRRSWcitYeQsvBYFN0P0PcxPFTjgPtfAhzIYCf9SX RegibLCR9T+A7F6YHgHM/YVs/vpzWaKM2BaZiUvqeohQpephQajYFJOnnBn5ItXiusDJJOvnAsEG ZEy/wOtZujM0BnjN46P++a56UaVWNaHUjJvpnTsej//H4u2S5NtjyKTznxFK8d5awWWSLp1d5ybx OKAzpGU1uDNYYHbc+ZKjsAoJSGclbtLLPw8EOqvPAbjFcl3NLzYNIOwXGXPb6hMFeTBqJDK5HKMm Ma12OB4Cb1NmNAPKea6gZ6xUR/qVw9chrDqr83/YBEcuvrP3i4Dsa/H0Ks6UMfwifubdzrQ0f4z6 4q6E3alweX8zm78IGLvgfwWNd4BIK4gLCBE7Rdrr0HH/Ax0yqJ5t1Pr3o6dgYgYQdRHRjgSMUETE edmSTiptRjajrLmA/K68cvUIEXlMx+z3p28TXXDSN/AfwLYIHmDI91PWqtTSC6YGZfgC35CopDWn rxh+TE7dVPu8mvrY8aY60WGUYnLRIGkIDj4ZQ6ebwUXjLdxrshffqYGXg5mQl4mPd0mVTRjKD3aO yUGp/FFvMuG2X4WT5ZKQiHU4YDJRRyFz33B3cC++c1umkERlqNTfj+Qs25fEESw9fD9VX1WpGfLQ TtCojTo9gtyWp1zJnybM/ffHjLXyhl6z5sTU/UARL8wwZVmvEn719GQVNbvpDzFUHS5XL8pb8v9M ZnapFtKf5cUv715B9A2LHQ02mQAqHw94BQhELwDOAgD3f4REznKzFaBsNtzWI38QngW0yuKW2u1H hvjBkJiS+paqn6S2Jl50es/+gnFHFMdXDF3miPSNrDOrW5vt8rgiIAXMo9eSi1metOiwaYTMm1JG 7xoZOE5JeSGj2fy5iGcyWOAMnWfvN9QRtIWXYMvczW0vT2VwtRRizA79pCKdrsUGuKaYDRrxzFQn gQSm4TIKnKSfk6sYyU0Hovr3xQHWleWiSTORrAVDaFNHFv9qVMp+6Q/scxQ7+sFlWyyz0qyYqsNL YOuQnjQEi/IeQX4gH0EGLfBkRI9o26w70vTUaqyj5Y+1T2c5KSWE0c6FS0Z2O5+ZUgG0I49nvbou r1z08LLqe8xY4gJBESAMWfx00eXK3/cg+Q8PgBM0B7+El1JfOhED2+gu8pc3DlTidymJY+QdJbHT ZpmNMWQdTJvo8SpKBhU9IQpaawb9eFiQ2o+gcNJ5fJj3V9CA8Gs7lwJ8v/jnastzRZ21+//scjlf 1eADe1Vjf8VHpHVrIiOtS8Xicqpf4FKSpLHelwOYXFZh8QQXef4gawFBSpYAuttB8HgTyqdjkWpB a7O3yO0216qjZHbw2PaNJg33JoK4xY2u1OHPQ5P0yU49upIwKzozNnUKD0xGmvc6qgAwWZ3Xyr8o rs1A9drGHKa0kg2Y1NWJpGLaMYI30Qv7c3CColLDlExMMOXgqtOS/n0HNcUhUgXarMsSNaNu3CK2 ePlRISpUYwh0lUXbHUKZSMPBrRzjLvGUz+CCh4cISstMKbcKi8F5CBhHtEAaKXCpUEp3hJxI+HN6 oB+/N8jQmo3DPlOiUMXm7zW7dk79HU9FfLHYkRqGRULNX3hoIGvgmM5ZQMGnBLPxA5RY0NfGYBzd yneddXsVW3+U4/6Piajg/b/OVNWDu9X2GeyKYUrsMCcO3j0eENU1x47IpvYMOA2x2G/+Rxp1ASfL CtK4LmfdZjpw1lMhMJJ3YUQHb+3uXBUEqdz7he//x9nmXdYH3Uej2ZmFjFh5LDW5T8+eAFrw5l2d XfQl+1sLJrxqSewrHT46LeOPJqBFxlpljEwjiA2WUY2s4XXMn0m2YSMDPtF12ehyMGgEiJXHk61N 63uPugcErLaGB+N6IC+0UhZNQ5pd7zfuH1o7UFFF9RmTs28DLcO/MJuqxNx+IrXU1ARQVNhNfi8C sId/g5JpXF7YVVJmhJj/D8gCFKH+PO9vs4bXzhmfpi7TK8uw6qpGZKw6QcEOBjHfIAJjnvotxxIc LEy+vgzt9jY2AGa040L/tyUb79e5y2OhVogX/7SlxU7Q0yoxKoyMg0O1rAMFo7pwEpTqSbkJE/wy mJMe4tui89ldUMKfAp3pbFKMw8K9HTZhIuTjtDMA9r2UlBeY05+i4tiVyu0A5Sji+6t0wzGPK0ni iAWsuwASvsi+LMtElpTwzpqKDhcwV2NBOK/7ZfIDhXRkgWp5ucxKwepkO5V7qEEuEXhs2zSOguyE 5NimLRPdvM3pxB+OqwP1s8VpLl9HoOZQ11Chl0tFhsFazbOuq9Dbdes3Eu/sv3yyqGpS40suYG2C D9LUE8vB8uc8JvuRyUgJKb/d1wJM2N8UIKR2HCkyGI80oVPDVuna0w+Ljvsre5qTnINLnLKgTR4Y fu9D8kNgE8SU58YPR1Kxlv5esEQpLxeJU+iPslzMY0B7jec9AcACLr4tdS26IseACBQU3YN98tIY bY9NFmKxrq5wWF/FfsQ1zZrToltqwaJO+idvQn/JUd/Ul/fGh+Pr9kGAAV9nqxP6VSvSlx9MgRiU 5AkyUtExBDpRYs0I0m35Q4boyHq0sBqtyKAEAYSgReit75ALh/Uzqpvp4va4DJiA7O2dcE5kS9aP WlxcmnJvTjdZ2o9cgRRP5eNtiUO/anUXjoDceRsZhMY54AkaszHKdwnUwU75OPS03e/Oy7XH1dWF c8uBrIY8kWEo5QzF7YzqJeWbIFwwN4ywuvyCBS3iiYzQLc04ztqP1GXn6XLLGA10lfrYCUUPgTrK aQr6Mf73vaVHhjNkHBRb2UpJP2aqAlCHeukyGDGz+8TKbdgrInhBrCb4w4wjQIGUtM83XHzPgKD+ 4igZIC71sXJbM3si7SZrJgPbORQKgohdSKHFBdZnFryy7fQ+4+2UklZXFXV/tgkjn4TOJculqp/q AmgdksiewKKRU8K5nvx32Y0JUy4vNXI2z/N3t1ZkHAzIGQhlnHiGsqH4G5xIpysBRojsL8HANRJ2 v7zW59XkDPSy/P7DcEhsTh1oCbzaYay9z9zNIoC437PdOiK+oigTRkBPqTJRxG+8vYjNNSslIome rIg1MLtmmJNrvLaZ8De3eEuTk3O/BXy+RSsmrl1bTIwG+eRMUPSFvEG66kvM0LQdTN5zYlY7ks5P CMH/oy9DAlTv6P6tWuLkeCJ3HUXXTgf/oHtcvSXg0V99b+PkahpZyzHHqZAvO4/P75G7mOMIuw+b QdMTUiiVAhBc/z8F5VP3O3BElI1VRZqH4hXQOvl6gBoHmBLMQ08eX3jAGbajzPxJnSxVBz98XQI8 qIRgWhA/pS7qjTxHgfCF0W78O/hXUEDbBtE6TC/k++ZtrcCrQLb7kbhIR16JMsB4pMy81wIKNQ/r PiyOl4k1Fk3WSSLd2CtZlWufl7WV90s4svxR1UkVLxi1Leg8HZCWnEhg9LqSId5Jtfx46gGzHYBg 4TjrE7xmLTtCWv4Vb1DfW4PYVnT7AuEsW9rqiqko5yMp4t2kbJiJL6NDboEF5ESmsOr38iHvSvHC mQN0ioBOe018wbDEJHFU2HZe2simdT4LSQhG1ySX/QpRZtNGaYIE2kBP2JRVnIvBYxTUym83HqbD XkACPSgiRI93GGUKNzAVMLp6dnAGcNV8mfp2XVUpZcyh7L/ju8T4XuzTBtgBoPUrc6Eh8mBcMdhT CXZhDr+OxTivELLtMAXz5dUfA6ID9TIuf4UEhP0+Ya5riGYxldTCzC5xtP9+5YjB8NkUwG/gRfoy 0PTlHOZBdNapmnegYLjrt2EWQcxzlcZwyigijtkOpwjcM9HR3TsCeUmlGY8S/VMhTeB7xVr+DuFr LMIqzDVXAeyCSojdATQyqZrUVfvSLSFIVJJRBDl8xNhuW7f5N7Fik0hrVgdVaCjoAgyJWG4b2de4 +4+xOt22qng7sk8ZXE+AGJ+IEarqg/0/pOkVI/oCE2uKA5fNB9pVK874UQehaHbHdf1z1BBKHJCA 80zSN6ybSBZ+uKujUdyFcXUfSaif4kR76OEmE9INfEN8YeqItxL/sedLqeSpomWdVTSkz7IEcjP3 nAlsxmmo952v4ae/YvPBL+nzOMWaBAO5FF1pA/P+kh9ZxLejdDuZrsojlo8Cij6NGZd3POG0DIr1 rsrsMPtIYQsmvBzqlTGLqh6OaHUsOr1ZepP9T1WrePl6P6tRd2l726GEyjn1czQo3bJyrBO+pjNN cYbBRRs+8e5XJ634yJLIa0WtqAKvYuqj3VTcAiyqsRHz+kyrsVOzRXVuyG07Z4CkddbXjdlI+XEN j2sX/b/GnVaD7aooNPOsvgQh6gsX+e7lu7pbZN5Y5EE+ohJpZdosqzQ2L7oEUao9y1cHmro0/emg E+XyJm2jo+5pnH01nsUU/8Nzq3+mEam/GVBacljr2LZjfRZsmdVbE1nuvlpHnIQ7SK0bCzUu7Sp4 ELgVByUpg4AAPAe3nd1yWDqbRI6H27NHY7Py1xx7YSNQBz1mi0snC8LrrqEKfgvr8z0gTyEyYiIx YQMfz+w9b6AOkUlc2dKlsBFPrxCtc1VXcqjBK/lUCnO+w8cHUx2q7F+FuNUDGNWSu8ShFIKpZ1k4 48X1mRw29JusOVNqA/vJ05U6K8LdUzaEqWAYOujs2MDaFWza7J2A5Z+FOkI77xdxscWR9IDCSgWY ekE7vbCkq553tMM80PP7ulYl9bdST6PwtpFOGCyS76i2YbV/CFIExQsJFW94Lns4l2hpmPn4L/9Y KwLBvKMUD3EXAckpT1GTeDgF71GDUQJi1+HJac2DNTn1z5VUAZtrcw1RBYBYR9wnVqkJB5nwbxlc 2lgUlLy+1Orto69ZWDiFbgbujH2lEivPrXwMjZ4IYaWpOlUjB26RXUVGiKf6JZxLijeuE7WdEDt/ 5QfsKsibH4cMi7khL/Uae82/DD9JPmZEfit/2RxBG2bUtDrzTsBASkP/fdjXK2SjLElr2ZbOXXgw rG9YLUNshydjEun4hI+bnkMm/Oim3Emj7ZcmwF6odbxeyxs3VNrdVCOisQ+KOM9b+s6pqBxpgr2O n2IlvpCOCN/fofy2yTdgFwCUjamyIssJy9bcBosu5A2l/zcQ+6+2JEf2W0L9uOkm58Vt7FNGlYYu ZDNZA513rrFUvtHYGqIV8iqmhdS6200lo0ZWS1dMqLFSSKg/7/AFDyKSi5zt9bWY+DJgY7GLGmVY USbeY81DRXc5vpjCZk7fQMIxsNo+T46bCIx0OWI/oJ/peJfd3vLq5w7lVBGUtGS8vm2rSoCscviY yk7yJAKrqPiEAaZuDJnxdFrq+kClrSlZcrpUT7qa3A7go24UF32F57PcqEsbF6VMK4atIW0SbcbT 2doFXhaqFj5wTEw3yReG39Rp6XuEBbgHZzGHWbEx1Mmc01eqLt0zeO6X80AS9mV7AuLrqLjtzQBK 9LAdNm5aKsF54rNEpOgh9WRsqrZzsvPu0txvv5zSo5IHpnJhI/1nds+h2+UsbHjrCc3aYMRKHG36 LHSbfBaodr+Tby7Oit3b3ySS1jeRMyQyZj7126z0C2+pLtqdCBZ7h94ely5Yb45T2chSbkIWecMC MhjuBSE7Vkb//FZkn+F03ImyDfRp0ZnM0D9yRl1MYTeG0fi3UABWlos6mp15BkeiHY0k3vNAd4QX 96vMoUI3Eube97ca+juSa4qpG8/zc9ju93+yiix8/0PVNJJx6uUNlBCcnUKwwgLfHsomyuhJI4o8 cS7OcEAb4C/oq1TjsR869QlsX/KkSXjgKCC/smrmRdXLrEQmkEwZwMyUL4+vWvl9Al97rd77ZqZQ 3wd9Rc2MNDWog8EuSbZBoB6pOoYzw8sDq2oba1RAeym8Q65E0/IEcdXnfoR20sT8iI7lF8jBUx9i QPj7gKkwefB6pIngIuzHMQjKINiI9IBAV0nUxMr+EE1wWRFmgFU/mcLSPOCjweoFE3thvKvwyDBx zDXB23nTYG7StgfCBs4MhEuuMOBFVGAeV20lMl0zil6xCkKYMrWBaed7rtTKxegfQHHqgXpS+bZU nzRGesNl44VdjdR2DM3lhVzfCo/peRd4ZBGAU/GDX4RuT77C9EpmOhQn1NNVSD9Lpykr0V1/qy2L Zib4C3ax3x7/9CYfi1oZw+sDovuJRWoE8OV/oayASV9SB/MH1BAEpnNklw0eadzORj00Lb8Lp/pv qEdLBPOTvclqCte4v6TNRku/iKruPcBx0pZ6V/5sVsFwuz6CRvOuHXtUKFu4zVrv3KLUBHdkWsIA y91jmPIp2eLbHHJLt3fAA97Q4g3Ue2sdz+Q45sGenktgNMS1OnwpOD9uj9b+ow21gVd3vATf9aik mI6X6Vw9UQRjgfE9j6T+Yolgqx+TM9tq5wROIptYQJxFhbIj/S+aYkKThoYDJkjezqPGW3qir2KG UBxTwsiZkzZ7xtvR+HJLfVGGkPdPukNTFK9YK1dlvNjEF9LFkSFRWQn6mPfqATx8t7Zm3FAtmqn7 bh8vLV9T6FEHtXLcdeo+WN67vgJpkWCSFJOybR0GDw4/wTr2Du85ApnMsyux6MQcY4WM/Ey+uPFf 4rIoNUube2QOD1w63rJpFdm5rML7rrz3Xl82XU1Xq1zABp5+xdxKswPECQWA8gAawV4v2JTpjKUZ P+5f7wo/Mowhoz0SdKTrLnp7w+hDISkwsAaB8HkKgOsSP6kkWx91stUFbqqxyhQJrc8ZlXUqoRYA GA/mCRWp4KNr6OpubLECVKLhyxKDZzzljDOCcvS7RmBd3zin8kVYrS30h8Smp48xcJ0sCSGw+9ls x/I/H26iyetwFR0xvmWl/rgyIJlEwJAbe3GqI5MmVeUq2/EXhgrMMo2jVlYl84kiqZ18+C9qjaKn OWf9wd3Z1ixSsQ1HAFLTOplXp6iBRhXz4Sl1PqSddyuWlaX05+vKJr/o9rsruWkBVEjXa55/6G2E qQcpQTsFUK9G4DVA44aoEUIFJY0wDbQWWAbixQ3zWnvy7YvVsKlQsThE1b9q7shD6KOIQzmvGYc5 poBvw83R52BmESobPkkm6MEnFSwSmGcu4QS7r9SrPVEvWy+K2CYwgkncFfSG6PRoLtMbex7DiOL7 ILN/uGCGVKW2afnYC4c46wBzfINXKxIgGBTeMmPv9mo+1lKV9alg2j7KEtBBbkuemV2NxyEzUhaL HFp2v4nxGvcoEz3Xk8E1T4Eagec7/ZCenaHMxHA66QLmEvW0Idz+91ukl9aQTNh0S/R9yWRYGU2d ftUNugup3Mko+8mFjP1WLpQcjx6HJiN7GYFQHcPKIkFroI2ZuhxCCD4lf9wx/h9UjOqJ07ZiHw2l G6fQ9qrfYSmH/2HADVwBIVXIlrcBxD3uSx1cOwcbgmCA43Ll3n64VobLPJkXHuGMsi1vEPMkpH85 fvV4nUhTgN3IDRwKu0Y/D9GDlSkLwl3rTu1rkdz/Xf5Ih1SiBfzTqUB/cNGXQJN3zizD2tVXAVu9 iYgDA4TMBIqBYUZ+uAuDXfuk0fpjmFia5AAXunhykpykik7P6mNfLOJ5QzGrFo8N9M3dhwbSjG/5 pFv3zOBcQKGc1P829vyGggMSiNTEbee7pCbTAi0Qtj7/ViXwMMlLz2HVKkLekCqaPL2wNoayZQN6 Cs24w898aFb3Sp25yioz82YXVCRYo8L2L2R3gzNGkdtcuFFiZeO5tWRiUWr87VKKCtFFL6N4LEHm XTIItOeGNpcktTNjjNX9aPAixYRzEUvf1apSP8dzGRPXH0y5DALJl1+Fc42m0v5nlCyjTxn6zvlI bRfA+yp0gPLSIK0RjkIUvOLRhDdDmA0ilARrHe+Vl1/m5cyUm+1R/iAs2+aiEZtBp8ES2R1MMXTr QdhL0wls+NXwKlzGIazoRjLZX6pIJHdm7FBUyQHY7nPCHia5C2YwHDisLeFjNoSzUsQS22R0FPnK JWokJ/CccCfxVqodrV7ZefrEyDAw38gANFa+Vo/J/F84sOgBEkP3EMap/M6TeMIF8/E+Qn8m8I/Z vQ/HDQeFvLwwkN/XbDLIvq9JzCsmdzv0rdxeVN2rW8ee8l85V/jv20LMAt5HNEztqOY5hFRX35Bq nGlDC79wmw9sgeVj1Tphq5cbmyfqPnK8nhjYdrASiWgvMdrzqhppjjZfDA/QUuPxy7UorWe8Fjyy b5YQfT4N0n35PZzjTY1TcwymICPcIwQFob8KljYbjE8+nUXJs1iMgnNxjda/D/PiEOP37gaRKof4 D0ETCK4c94iOAVkA4BEbsVpfXJEI2y0bMDIQ+TKa6HPgmuHTdCMVag67g+ZFmcp7uhPxkfOzjfO+ 4EuHulCuACenzS6wOQCpQIwDyBygN0bsLEPUQvsvTFoc+QHnL/CqCLu1yGslV6SaWRdV4yfY4Ubx oM73bYaXGI3wq2o7ZlM7bTj9/mM87YrhJUBL7gCRP04aL2vI1NlMQ2JE0V2ZsmZ3Zf7fuKiiv9gp 9l4kH8R8pBSyMFT1hyFet50njGkcpQNsZwbFDj0Fw3B8MWbgclliXZeGuwUeOG/JANI0ziDMWJfE ZyAH3prGXreG4P6bdCgrh0xLi04jRlDupIgEspBYIznEvKDKrE1If9tr0Pi9524Hir8j1JGY/RiT bx1vn2YGrB/qWyIlfR5Gf4A8bZiOAgGh1eOT1UCWSEzgjrImBCSZHBAI68t3hQg732uiunWAEaE/ v8QjcpKhRsAnzNV2xMoTelL8RabUIlpHIScxrVY6+lqqQq/CwqUjhj/5v3GO66PYnyEEtNWXbjpa wYlzXD9crp4X01Hguchtu79z5C1XF29EacYJJF8aDLxzxs3wHvnke24TeyFHPAp4zDeGLWWxkGKK lmJfGueplFu5HydZqOeogUUi+17x5Ycwhwk20+fxmhCxhelQZW0xaFuS5bNkqeZlN5pvs2pD5Mti 5cJrXnnMfJobeYBmwnECs4ceeupz1xc8M3Had2E712ctiBciunGQQIFljnN/00S6NV7ekUBwVi2o qhEp+pUu236k4A/hCMoWTDusEMlOi1EK/KUNhdGtwUuVRRA5d9bhbcdscc47chG4D/aJ2HsBzVjk 8u17sGb3v9ciPZk1feQGgz9TkHiYnAo6ooSZ2OVZ6HWTof4QTpP7fp554w0m0ba9jrT9YGBg/4AV 9FQ/ZlAwP3UkXiaOCcSpMQDhn1LBj+HGKqz3Y20X5Hqfj0uSsCfVTKxDjIdp7fASG1+uIYCRuHBZ dfNwuaE9WOSZdPzPyJWX2SW9qEhjnX4XPDNlb/nyJiRPV1DK0tH/9u6OKnznjj3tRRL2vifhtLEi TcHexdc1uUOsAZQbSaatSmThNDFvAHCmOrBAFWqX1eMI8jhTeQplyhtTYwlmRbgKkIEbcpLlEEYb 6dlr5qGTUxSW08iPGCZnjr/IpDnST1fD/szkHv50x9WQGwIeIM9U1Yq/8QF6SaizW3PQmZJII9JK dkzx7uqR/cl4U0Kc+IySsy8vLf6UZgHzv6zOeIfINXfJK7z3HvlRxe67xvWtGArk/qrvxSsWUtO9 IPiiznbS5iwJNdNcBPvW4NP7WRly89BbHzvg5jfpGGeLGIvgyC1iruiTrjQ9aek/ATHMrIGg5HwF zuEDqN2YxWQlIgGYMMFDGbzavg1jbMS8gZYDo2/Qjrx93VV2tY1oqg5nVYlS+mbJbomTOo2fhty5 PqZvq4ymICzzOFAYZVYcI8VN252G/CpYY/K+vYM6y03HbuSRXfy2Til++sPvvC92dsTg+cdu6zfI uEhCrUC9HGJA8srL3voC4uIzYOlziLMVr+XU4VBVoug7WVxS1I9JW6weqSHnDeo3ku8x4Wd0U0s+ CwX5bu4923rgGQi+IWkiB3xaLkMOPMPyiB1kCjT9mhoJK4F4KcsvYMwi/seHBcrIkpMGUUKJuWrJ OF4aNP8T4P7nHylrPTwwMKLPX0bSa0+dbqW0BnsgM2maM0bDDF4TjFjtSRSp+z5KlKDQcrBM7aGv DSmj4heY0evXV6wqP3US/ResyfuSWX6furqcWh+ZOz5Qv0od3QrOnGH1ghGaRoZ+pc71MPiqbP3D bntc3SVTtq4ei8Yq2X7U8jeiUyuOaMDxik5+JyBI7Aa9wt5ytQwJaE2b6cS9LmGnUTGtZiuQ7WO0 rywo/KcO8YvZUHfksLP3z/uXeP6zdrJbr6Ob1VZMKvzCl1cfI32vXo3RpgK/U3xjuGdp+RMtjfKD t2R/oNpQgWPbNAlAzNvU7S/EGcrqVlUv/g2rmpFPgia3kUrY+OOZtYaGKL6slYfJwOjeaU4MrhRM ETjA0g2sZ5WEZ3DSNABjGbWGK0Ix+0QEtsJcKUJjX3oJ5Q9cO++tg0ieD2H2EEkIekH1h7w17IK3 iUE90AJ5CVg31IvbaDTEr8/UHsPhFSGJ+ZD+3FEMe4AS+LUL7nv2eAiG34l/peZZKzHgwWMR6Ssd f3LqXG988meN7BtEYo3uZPPv9HYvSMoqqY9H4v2MlxYGRyoSOIWVML/yDt1i8FODRqOYVayQ5N6a AfY8WSvZWvauz6u5pu/AMfwEn8++njkGlerd7vBl0X8BLfAUmftX5+Rm4glhpLrH5STlPfotEZot 3MwlFN5+b77ajpQQum3LEhoZHbJTEXjfygyEYyOOdanHDOGFIEohzPMVEbrAey3aLc1gTN3apJA/ NM30m8uqnu7hrIyHvs8VUBodrP9s1l9AzGKtwl6TbSLBVp1R3RRV1dsr0IzbIygXIYwQ9AODnUrz 9b7UYwQM2s9hw56OK4tKfljTnnytWxvo6N9fPi4YAB9DdJ9+mL9ceUtPTRrO4mPdQUYqu/z6dc68 WAVl8d0gD720Df6KPygIq4FjLGF8TKhRhaT5wfrRMcvQHWKJca/LzBqJtI45CO617l9vg/irhdrO xORpYgpZrh3/TfJbdNSPtbpjRkuQWwUWvrZqX3bG5JplHdqv0Jg5jIMbqF10yHakkDLJySY+xPNw mMbTX1sTrVBNLfREBGaoGUIhNA0nkyqhVNSdQYprUdCzQZbSIf6pPD6Y6ZyjikNCq5yCAKqtuvVW xCgVc5DAKSN3qnJlpjyhk8/c3+hTaO+Qg0LkVKzlYfu8Pch2naiX+aFcuWiWPWfzd3wcf93CZElq tbxs8hupqaIa4UOZn/tJsN8t3okbgPfgTHwd4BOQJcvYF65EO892r3BpjrZTKDUUT/69b7Ttn8+a cgmm2EglpUVm9g4yMzQ/ErfeQM6SlwWE+Y16ABYQGWeiewMz9VoYI/lgp7FQEGCXCWtWtwH0ZltY R+mQ/lo0WZT2cIBSSPXy9Ge7erZG4UkH+RUjWyxrAL9wtuH1lNwLNgXaWDXt7+aFo9I4URcEmZ7S RK965bi+bfDvMqkUFXBUL7tOMWmJvyfIkCa61K16zcGV93/mfonlF7x53koBzJe1lbPwdMqdore8 VltclnPrOOhS5qPepaxi7ienDjYMqYnXpO3ilYuNFT7Er57/4JRvHJ0a0DfYggAYU141epdyhEMT 4kjNUU5aEkv5V4/z6/e+TCSyuj56u3WXZNlC/al30EYur8WdVLM9L25yhf6SDAwyMHhslCprtjlR mUVrim2WeOBqnYtdxF9oMPIwh6VoRJFxqdBk6zFKEPizjsWBNy80y+3gNOQDdEYLEvxLIqoRRcmc 8GM8L+8zLPib47JwXSXH2SGuaf3sLDY6dk20hCszhsoQggLFlwf5hW6Xk0i+UJHQxT0PCkPLxMGf l/B3I0sjolMSDeEKh6N4Gg7mb0AudQ3AUS1XH8YYU3X7C70lYY5zqJf0jBis05LxJ+DUR9KFrywr N8YMMgt9wVETdftJLgoIbOvhGoTHAIlyyI3cZL67CU4EJCX0w8Bb50zPkgsXmklN8AAoljDoLv30 1axm1Hz49AJ2kUMZyQtf+OJV0ZDKrab+tf9IatesIhC3/F0u/AklxxnmPFog1lBFkRdysV/8I+8o AqjBfTIV4IMo9teoqPc91DIFsCgL22DDwMA2qqmQiGA/9bmNlbWAG0fNYRuIIeOscBvY7Im6S9Or b66L/sfMwOl35W2RzI4gTTtXOruTlnoG5s0YUTF8rnlBBk8bUPsOsxk69svsdrLU1BN9MesflE0O madVI/C9ocd8vpEcA7hrqL378gWdShnAHQ+KFbsHL+uJGEkw+1XazDuuC+EjFBepWoR36HNkADgm 8JkvXQkXkVYTNlSqeJDzrwpZgsELMZ03tD5PZn6PvKOi4Cvor7FQUEEXgrRPCyxb5RmhBBd/DcD4 DH/x/s2bZjBOW2zgSP3HdsBdvG4r7q3S2LJK+SZVYsqtKr2mxBz20A7fMJd04XsBosTVvka6hM+Z mhStdtaCBYoaQaaDbmUnu7csUvy7L+NDmb6Lj7fmZytTBV5T1kPZtj9SEONzI0LWOJbMtWbxHXr0 Uyh22YlBc8xkaKD3ogtzmzs8HErES1jGlGleFd2eanioNC2UH4Oh3aFHa5SGFLsb0EYK1hVo8xDi acZVVHbbDavIroRKLSgehSe07b+M2cTfWuDT0jcPNiJcfS+9OkyAbL4c6Bn92dC5DcglhPfF2Grj Sa/zpxR+RG9GVXMuBSFiQEK/XjWBcZQFdNB5Zpxthxn6fYNEMTqcF1eaozRtQ79h1n0wy4+xajD9 4nDeFttbvI+KiGtdJiq+Jjov5h6nj6dj4OKi2ad0jx7+7fzM4WSdbafjpL+NEtkgWdrE0J11g69d 6xQu2E8DOVYwGk6OchStnTzQkRswFCng/Hx3ob+OVgre33//vGIlWCZuVwfsRDtP6zcXBqXdbA9H Dz/XsiSc9Urc1VY3I+d1vBOKYZ5hQihYeJxHjXlsf/emndtqmvTZL83oWsqQGZGj7lopuyixuINI 0yaT3UpPVofU3adwSxBMliKYnUQQaAFbbVkHKXfe3F9GjDIkWpZSRkVLKah9vM1JDFiifpy189u6 R6484He+TbNUusJqO9gV9hltoyKGug0Ex7GICkiZbr3jJCV0jUWT1A2Oa8gf/jIpRoO8L0ywYW9q 9IbvUv1+ouU3Ir/od30CwSggVcrrxVqrn5xtKKTPjpzy7rhWRFT7JH0IP7f0GojdEbOEy4EyMIOB YTkRk6oaEAnVjcg8HHXlAq7rREEjp7CVOUplcGIn9fWvTKq8JR2SMjCLiFwEFTbSlAuMqs/s1wMP V/aijVsES9+nwZ58XNAlSeYEMuod5deGUvLU26magSuoXj3ezdGkLbaJzaT2eCnoN2zbpwPhGqgi zDYPhHl/bHv9GVt+y5nh77g0Y0ni0u+LA5vUfV4l8jnr5xSNZUw2wBKsFidJPt9Acqf4vb1ZXVzu yTcLQ3uS5/+SHIuq18/lQsVhsq+cYfxCBAq2wXpfEbaSc/k7pMcbt8zUNYjiQlOJhQRc170LUmmC ex1Fns5XqXJ0sX9taJ0PurftyKWuhJSoUdo9E/bmVwOcOjBiJbvQrWfESxngB83q33+uI47eFvic DrDnDfMGVm0lNMZ5xtHuCBQCbdI9LJY/pDQasyjiny+LTgJuF5qWw8h7a2C59rWsRPsaCk6X8I8X JN9jtvq4HjLIf2gXp1S2WHBs4wZbpOpcjff+H4BBP+PB53axn4Kz8XrbKV4puZmuuDrSOcUGU4vL lLOxlUs/ROGqZ3QLVG09dwG+CGrPI0oxspvgPAr8hud9ZIonlV2CR2QBf/CutaWXLUcl/0FUn42o mP4T4A31u+ZJBnHnC/TZRWe2tvxE371/7a23RX/6/mLcu79XZLqltB6eI1RPKAQIvCvKnZcJ8V4V lJ5rEZJmTqH0atHRSq/6qSpMvaV5LScTp3vTXgpulmWMyVxj15HD+5mooXnTYI6t3Bhc6cgkPJt/ S2GxcylIIsrbjQL6Jg5VdBWWrEXDqJMNJk1Uw55AChQbf2dRRzdhffDkVv/S0Mrwf9sbRyEvTzhc tmvyi6QRP2Ct2Mucx00AScASY0AsGKPQHL6WDmYXspgAw/BFMHyz4HxExGs02wlwEimvg6osMu6C pQZiBJEq+KIKqC5pDbN5HbJxeM348f+pX4pWttMQTSFpfFFk3rbpMIaU422gZ2s7u87vi4tH10T7 TQdd558l/jKYQ3yn52qC+oMfJZvpZZwpFS7Y1XwW8P4zcvvFh46sQAzgyOLo2Jybbo1KCxwcptv+ rkckaElifW2fQqZQ8Idrb4aIoCfMGyNb9rW/+lF/BprSeZqd/PTFfcNIxKahGMWgO+XU6WSY3cBP Dc9geB9XPkM8RHXdBXdHunpqa3AxS9TdB81ez/gYMAvqlTxgNu/GImcfbNxq4veY7kwLpngdJTca qm5HXs9mGmzCDE3TwKUX7kUC8ye8M1AjmgZCB0El3GuKwwREf8mejmYMYI9zxt2ryFU+5MH8lcLT JTIiNOfzGLq7U8oKmzkWUWWUIBOSvrQaraUp7Y/snLCforI+xTt9J8cw7LKev3c7cwvBhEpZ0fJp PcApbsg4ZcYgwdH5nBCmDMH2OgPWko1RWO4Y7POLQ1IuKOC0Ol1KIlQth4as7NWD5yuDrZVH5V1t ktM+puiecthd6GxYKqvNs2zr2mI9aeOqMY31PH4DPB41qpcCSvqB3Q1WEKFdt5AZZqE0/N6wWQF7 dMPZJP+Z/62i/bgAT7+P4lecvrWngHasfjEPwIsnNGIoIoN0RoZTbsyyj2g0l/MVdo5IKhRCZ06N mcyT0Rjz8Z0dAIlehh/X6qAnsKgqKKrQks+a91/de2r2PDlfDxHx4f2kQjrodIvEFb6+8Wa6HySL DxuIyvhGSM2CRURCuVDSsAy9iNQ+SjPFIA6M6WDrDyGjwNaKnTkSoLaoMNk7C/asxm6J3JyYadJ/ DFajTCC0JBLPMfdaTQIT/SU4UfZeJJxIoMyX8xZCQoyILjh/xgAzFY7mgAZxYlnNAjRuP40P2Ja6 PEufrWBwjOY3LW6n9TH9B3dJcsD61GSwWUll/6VqP8RdARXNNX9k4HtKdc8rRmiaLVhDH2SXRlh4 0f+dTDCdyKpvNc7XraIcdWKIXAraVlnPAvj0SAkNgL7KNT7uCfsuXRgZgwAnTmH5yTWuOloeC6or Ohjz28TbbGCQO2hxw5cLAxjBV7t8bsxCCJDsrF87tzjNvq1u38In48oG4Vj1wpPjbObwyenWVEcM y9VoUfZWaYKVOcT+dLOuTaW8bneL3MwwAcXO5YSyB7a6xpOD+jMUR9P9ASK4/dg5WBbRpDjpP+eg blReW8+Jn7B7KuSZxr+h0w89KPR/fNp6Wrartl7ezIXYyyTSNjwE6exjwTiimvo171kYFQJym8+T YvWqvOP6kfBsj1nUMDt6dZZHKI4g5DCzE5p+dfkw5WgrWbLBGMU/meGFFDJz4RdkRzKVJLBM+no5 65q/0KQzomgIzRs8OBPbZlG3+6qp7IBhZccWPH4f6TPdIEBseF5wcjpNAbUigKCs/b9peXmrxLov an9UjSoUDfmfdgQmqnU7I+Mj96a2gWfCZ8Yr3UmM5SnjrQRqr7B+zR27qtJ48OhJVhGIJ1rYvPiL 1HWpVQTFAxG/bSIy+WolRhB6bSkb6iM9bD5MCCkHr3o0bpnP6K+Ld0m/VXLwcYirfzhp82xviijG L3DKp13EXi+oNRPLgMAFTsfudxyTOpEsDP0847MMYO3N83WSPk+qXHZbpeiR8pdbtZ9UuhUuICr+ cxGbdD4N4T+sKHHq/XcHXDgIQMdJ0NQ+/IFV2JcGOGjdcKNfPi4UYeVq1qOO6p/untibIQ8j8ogr X+UGHMxCKHUpp8rxiMTzRIS8qQd9uSNJIeQtZM8n6yOqfe3D2PgmmeD9qUpVO55T2LGotBfuCDFh vOahl7OPBGXnq9aJERlNbzq5MrTShbBEtlf3lHkUmf9cp/N3H7gCNta8SVkAnQQAsXfsYMpD1mgC o3BqWQMA/jj5RIE+AU079Px1IHa15OMkCKIXCb16m04MXReXcO9iPScteOFoJXp0yjb8XacJfdbr 0cBNB5nVZIq4jlze14W6TYuN4OuQmF9/TbCUfk3fBSv2PcL6lmhL7zjPKCuxcPdH00eZ6fovLoah JZXaV/hfCmB1WAh/cMSlPa5be/gK6NAj0orS6gXwhkRuwMuDgGNoeOp3IzZ+Z1sXahfU0TXMiPzq h6imqyBZRkOx4j/GBOPJqvvCUYoxLS0wtnZ70kCw4IfBSyUZqgfBNt+bZ/Yc7pOz62AvwRId5BNL dXnaVRzW7EIeCEFQl9ROWApPTMxoaBlwhHklVmn1us88bsz/fg62WrL5lLQJrMfopaKk5rWXB7lc q+Qvc2s0j7EmPgy9sw93sdWDCK74AuaKz70ZOXl54dwUKd83yHtstGBpyk8OS8Ubxuh1FvA7WUc7 JuQu0ks/s3SDivW/Kza7ZNAGqyWwPjeXM7sujQi1yC+bY6nAKzCMun6VxncK5XfQR6Qff/6bHCmi ybhfxmHleoDx4O4nt1VRBQGGb+lJfEj57pxWqZGxNCCam0H5pfbA1PVPguoQniGqfMvkNAkbRd6m DYvBzJrXPS1Kjjq0n3KPDZPeGbL5z0NdChHDpZsSfMN0qV7ThqNcLHmknOdohmS/GMEsudNf3tkI j1wPWg11dc6znP7aTTNhQxPKbRFOCkaNkwLzyNu0smkWkvbuT6wl4yrfqMDqi2/K9IztaUKkmZBC 6qKbiurC6k0sXT/TksOJYb6CqvDwhEGLNHOCQu2eU56CjPtfIEveoelGhfi4MGzTX5tYb13rcs5q CUpNeD9eizxrxbNKr2E6Gy3bSMFyKtdjajz3ymd9yhJ5QqmNyPjTL/7jE06wnsmBat5XfwCRWi25 tNuvGZsofMDof05UuHNAAI/pE8+vP46BZgSnISkCn36xPtevkRzCksQ2E3V20N19UkswEmeKd6P9 txjF6XPsU/zP3+wi4gtSVuG8dqCibHHgbPaMW1WdWZw/zuvttBCbv7GIxwHHnvtMwn//rSwENsiy MNU67TKgB9XzYlZfuNXLABHMG0Z0WpMjy1Br+F4OaDygkKdyP/gy30TB0YNoJZDNlEmFVlyqdV1I oP0bToIFq9Ke5O1+gHdbTld1SShT1g8oDtL/zyDrp5iudbVrwdHsZRSxexNPBWugLXLfoZzkHsm4 aidm/5V+vfWvUAmMYTHkxH6yXzfDlXrnlDHByV5M4KBxXnmYHIWvOLieuRlLC/uvsQ6fI91It47r H+0+S13xgTbrSh7v19f9GifTgsL7a1rj0fFngYPxVZCsX5IYP9SCVz/eC4aSrD8dSipbutDGBpau qUFKO/vxr/iEnOgPTti0OICPKVUUROvOnS5vH6aDfhUe1Gl99CopHnOmLHzCP+YmytINndiAKGbT B19463+zVld0fglPZ0N8AQsvFp7FosOQYMGfsMrZ7HRip3EEZOtOMevvTzBj+6xYhh2z9whHG0aH hgKWtknmC7RwL+HUhZ1EoHvSz9bY+8hKPjQuAvMEqv75LtzZPcCc6ho+Sq0B0CWLRaG9oUYUqFil 9qTZYlNhG+fNSsVtAy2R6Ly59kvfxVefBylD0LAEzvhm1hhGHlLOvWJUsYfvtOn7sB289LxcVY9Z 6R81E4mK3hAxZbuQr5PiEIglS7nmTYwO2S0sMJmJzadgL3OkcOQAdJzB1L7XorwVaqtoV5B0iEtC HslSnKxiId+ZWAotdfNaynwpXSkYp7A/vR4k5OIg812sgEHzp00bd2ZBWOgqNAd+kDjb1Tt5/YzX FIFLQ5NavEy2hsN989K+CwMycC6MReENKBaCe+VPz0J7xWQj1RLu610dpmmDaw1yAuuntVjdA0m8 FZNjkoGcu6vHE08bu/S/j4Gf33T9XQY+hOIbBTKuxwaxGSh9ynx3GwFgX4X3mErP6Vsw/7g3cHG9 qTg24B6FUq+xw5iHfJDhWV4x4mU3ZpA9uq6KOv2Id3RF6asHH8706DPdSE2hfpE4GuYEtRbZ7BDs 1OzB4maom7fAv8BJSPGya/j9X9klGKXcwfloB+eGnnm+awOW2PIANpEDVSjC81tcfxfrsOXWKaR6 x4ul26gBY1ukRanFebC7UFWV+NSHgfwTyf7i7tLh6uy5DdRQdifNUHgPnEBDdTP3coMCyjGlzFsE Bcz+QDrZwvzC0seXbYO/m+jt4y1nE+lHbWG4IAFFeq4DelJRaqJefaPvzBRPUk47s9l86XjbQwdj +HuD1kAAaDbEHzXtKue9lxNd0YN0qPzZOQevgcWu5p9lAZek4f2RPlp0m9dudL4O7tZ9/UM6Ybrb 9VmOTyQP1VEOp2N4coM5iitWvGHlidE8H7T/LwUdDcw9PNOHrrjbHriqTp+4EKEUEyBLgc5DC/Y3 tsqmFlIJQLz2WfibU2nDSzsEWBkGVGs78lw4sinqSAmDKzZgVEmLPlPj2v/ZzrShltL1IpdmEPxN IaGBNDg8NlMav7A1BhpKZ7f4JvEX4Ibq4sis8+5O3b3XvdZ9SVVfYYrXj0BEeecF1G/2LV0kIt9K Y33X++FhmUTpIAa8mEMD7zaHKM7OR40GSnQ2iHkYdCaq91o5/y8tP8cpdnXfp2/uZc3bL5Ptqy+q exzDegOirUwTJQ5ekPnNafvYv2EAwPC5/m9jwgZf1a/tTs9vDnjTeanNSNVOG61ClCsdLx6Vi5mr bfj9F3eZoJPHHmtMwXIMz8UUIwbbeXjyaR73BZlD+J8ozk2ch9pmNlBek/m3sMNdmAJmY1HUfqA0 MGe2OF5fRaOREg4hqv4bu5b/vH0g65EO4s1zUzSyfiktuIfzxeWlmoXYnIk9Z1njMyemzcPjVHT4 4M9lkTSeTz4ZSNPfoqqgaaiez2wN++mw73h44r3UCAuNE/5hp2P8tY+99lK0dv9UJ8BW2Kq2eI6c Q7IhQjxgUJpUbL3JUK/MJi0e1aqknPq7arrfh8yvI/JBK1b4rYd7qESmJK0CRBzDIPv+cTeC8n3Z 9a0zCy9tNj9DW/ENVbM3b8UaCAYqQmnxrZ1NRBBBeh9qjsP+4OwWybal7dXwse0x3HvXQsY/OYXU zhzIQfmMnHUueVa06EPW+NScKpQlycIfzIFoA/BC/gJz5dGTdOjPr4+JrnwLUYQec87ZEwyy4KGt /u+J3zDHEFoI0qw5n/3DtAXUq1L//4NhE4F2+bNn2u/ynS15Wsw9J/sdBcx9OsR2o5QAIzFeJ9rd VXTibPyKOVc7/X1SCfqNaDDciMp+TVrheDUjGj2ULcICBjVGkasQJqHSJ+/WBkMhivj12a94ym36 OelMbN/LGtpeD4Uz+sknYnU64NHxUscA4bMWV/nQvbX6hXG5aD3H9/xi2SmX+GyYheaH0awbyQuT 1ieAnS+pMpzDXicYnJn6x44o7c5Bj0I6nw/et9KSWUgprL6DjV+raqSe8IgPXdOBlH/GeGF2Cpl7 cTy0TD0xirtHCfeb29mDXxXRdo6TFEctxJ7QV7Vx4MrWJIq/P9h7MbLqzFAv7SspNSA4m8DaGFj9 y783skMlsSwRmRF/iwaBXF0ZhQjkFmrDRw60AprhfYeWGUDpst1E/CnSx/S2V1GzGyGPKkR0krc8 5eETqUz6EOAt8t3diBM069+SrWWdKFg/mSVkOlX6MuGQMr+CKIZvnYO/EKw0Y/ypSI6kMzrkZGyc fkpq38KmwdfXijKVT7ICwNZ89JgVURsYwnLeoGutWnTs02JH0sbDDlRZcrJwNv9xQu5ruBDUS7SK 6cc6babp5+XsrcQ43jtOQOCSipcWEL3EyVw8VePzHyGJA7BAV/VxHzWw7dUt7xAar3wWxJW1C8EC aGEa/3z4mRetYG0VEB+uoYAf7ujwCGfmtJm+nNdBws6mGSJEjrv24gbIBseoW7D7Q1vAY4zpF8d3 IovenkYnPBncU62Qc894931QXjThGLll+Bo366LbTsrLJkcqvss4ARi9HkNGTyIbQaKs8lYRTvwU Z+RnZlLMvGHUP8AmUwebObl1ELnCl1soZb4RbNV7/LxrcMEeoBpCkDgTUJaEpnJgHL138ZDPas3s EW6Jqh1lmV0BRA5AWNEJQ60QPQLoa2w1Swf0sXH0aP28MivO8HyYQHtQt0jEyD6AYQSwnyclG0k2 5HJ1/A0NwV1XpsWyKtTqDGBVxW2GXmN31RpTh81XZMZcz928/AdAxVLsJyk/LOffIEY+ShG1Smpt LK/1lrfrAXKnUTSfEUi8kE9z+6mS8PmsEThfs+TK7OVyb/x2SoqWQk2D9/QhZNWvXGaLUxI9W1S6 xF71cY6tV7zo0YDfxuY9JcHEwU5YakHkyz/fIlpG+ijT9aVPseN2+tCIutL9fFF4cxT5ipZ0wiY2 3qL9NrSAyxa/seD0qDnveBA39btE6OUMKwewpV/XXbvNQ0WLCIOpx0ho7t+eOFecOx0qO9xQIc4t +sjDRaoa5Xzp9qtOIcT0T/n2yTgJssmlSxZTXHgAv2QzggoAtxC3W2Sg0ru/HZsPG7kEyfGqOHRl ARVxm+x6zyVvhNKiCBW3U/GffEJSj/jeHGhBzCqQ4IMooJjpFRxFZBqw9vu0kMjv8wXncGslNFfF wz45l4YvJyw6KGPD7u6mPWhrYq8Nr+CTqwNI5T/3vsSPZ1Dtl2nILQ30xl7wk9zZSrRaZURvNHUd ux58/T7d5HRvA5yAnsZ+w5LPEVzHmZyF5/e0b7mnwQ9MXh0G5uaBEAGNxyocBMN9x0q9eaHF3bIu KzPeDeGQ4h6YLV1HafdtRO+QMfKfFPzxBxde8hi6ZHTl9I0Po5NEA1NolwiOdYGnT4U+RKkwiqQG buUIfycr2SbWgDXmEZmzUIQWRObuoAwaR1BG58Dtnb0jMYtEfutxuQhDx8874M4YZmZStoo5Ug5J HZqNikPdnd+IGnBseW0OV4WJOrk0XRGg0ShHu8cXZ4MoVnSDShvjRvvEWojou07GXB+w4tzI30ox un3E4+jYHIZvKU+ldOOGd5NYW8kFpYtakkfKn5X3KLQTP+P1+NGoevj5hrwC+DDFG9GT+vK9NMCn u2bNcZHo+vF5CGN6GOp37iHV6oC/nkj+zHVMhfpy+uALJBLT6ZKVsun8bFiA2tNeBJKtcP1c7A+q 41FRwneSBcCz6vcPGR/9JmgTVI+lYdg8lvYsyvthyDhKs9A32DG8qnVaWiow9gum1cDfF2ViiYGY 7pSan37IeGopOhFez5xNHfk543JVm5hFMS36G1h3jtKk2+/BrmkMYl7cMQJX5eUauUlB0GVYzql+ CCg4xZTKTA7t3PBqUJiLpL8eSwwTPJgCHHnUG4haYi30gNgMgiLvBgG1y9HmGuLOviP/1c/L1X8t J807Tth42+O/mrhZF5eY1Y1R4Q0HOCTYO2FV6RlGtauGArHsz4GPvyg9ZbwDGZuF6CTKF9nrvF+N CU/ynFBx3yBYXsa2QA0Ed4U5Zush+ncXymxj1hgi5ktpt739U0rRkkscVvyduFGbgRxSClo8PdjY ukjS32DNBH2IE+gHf8+mhzbxIJSQyIssFcrwwV0TV4to/bXz8OPaz1MdUFfxPy2aXB91DFwHVuaf buMGFKmPNGQGbjFRaYs6OXeP0f45s7/88Aw3icZqGGG8F3idxlfv+cwzGso6AuC/5Avpof4WqWxj uPCnl2G/wa8vAd4KKhcSQFVckwKb8zxFctRaM1VHD2195RMNpOKU+gI7A09pd7y8wpEx6PmAGF7t xNG9y4yI+CrJbVwLaJiio6E1PS5kSka449BgVKIZ5df5IFaMdjd2ZdM6xRwEBIm/NkbIKW3vASn4 F3eq8P23OSLuG8j1ijZNey7MNkpLHjJ2DsYjdnhTVgurxKeSrDtqpx4gTK2hxjejRANLAj4j7y2T HsBvjN9JJ1i7NMoe48Y9jVtULaPRmpl3HydaEcdzYqkNrgPW9Prf1C92UK6epFq2X8NykLuGdFej 5axnV2CRv3iC7gUdjPnwSKOd1Ct+8QVVSLLKVSz/EIhhrYS+KqzKZU6IE7hrI27vVzaOLy1c4VPG ila4BTBgTmQoh0xyziwwS+5G6LaYlYqfP/FKJ7s90OiqrdPq3tDnOv9sghhpiu0XQ6vQL/YyKvDK TUDEk8Yjc1ZK5KjMcFjrp6vB1AT693AUsA/jXMIpTD7o2kfJErgvh6eb8U/KkX8BT3l5r5n21BLw iLxvQtugrS5nkbz6KImmnqLzjFmuUDoHK8lCJ2MIyWstnV/8uLvgR4GG0YMUTEQZGSta0fdRbP3O Hd6RfgddAC5/9CZc0rGbVVqpTa3v6TTYyk2WZdAuJ4SqP2xDzhyrZhrhnHq6wsBJD0HtXfKcv30L pP69kYtM0YUv/ZEF+UW+Z9kNV0I2pN21xxF4kivz5unJ4iIlB7Am1LvT8Kax3nckmttIF5r9v0Ve k2kccpV5PJxHPIHVYppvVMhk2uqmbLMwdCFqWZOHMjvmYVXtFEHTCwwoKyttlYXUnk1NYDl7WIv6 VVkn5m6ENTHFk3v0NW04G1xnxUIr1fu+wlN+LouEMo4qqdEs6E4mTKd5WX+a719K7uzrkzTBXO52 p/qHXt5Egyu+9Q8fO7bu/y52G56sB7SwDmvxkR0WY047GFlT5COgUIhXaCQ6FTo2c+dS8Ybk0B6B KJVaqeztPdc95BqVl/pEqGLo9a9EGCeHjS2Z0WkLIYFgWbAAyZwZRo+OqN0pyvUBAfiD8pyjkraj XRnN6QxLhghAxTR/SdOw0gYJmDphDEyraiXzqpNZflgscvMlPp8N8E6bVGR1+hXUIkdC808UUXhR 0lARpdhY0wjJwSSCcHIcNy4nkIwQ5LVRUQvYsqihw/EL0HCmN6B2DnbwLyUucedvdfClFdLs7nz2 /6DQrGIoTSP4M8PGSypl0QCOlbj94PQy3JdROs4LOGU1dWIpazMcUg3S9Qi4T8ycb1AZDTTKcMCI PY3iPaqYiPMw2Iu9WteNaSevYEc2UJHk0Hg5TZxLY+Y3//xxSh+1XDzje+feTfMuPEljIBaUGces DXDNWnR8lsfqo0fukKS/AnAe6kmO2XCTXvHdf0rl+D61xD7OSy4jWSwJip/YCjgsxhrquvwPujpO ViVX71uBbrmwSEnOWWMc+c0RsjUdsZXLLxOgou8URuEOM+OBIT2SHaFCTWxmI05FVPK03hwplETw mfNn1FPdEhZQK3CK+im7AKbg6NtJN9DmoUcJLjthnVm2xmv7QBJWJTm0Qc7de4uIg+jw7YIxjE2i uAgHAdbuvUdIp6CT6WEO3Vp3I6CyrMpVdrl4ihoPSwr2A9J9OMfp/oFuKsGy1l+xFydKgbuPad80 Weiu0XgA/9K+QGngJWQODv1zCFN31p8YZ8KvNVhskzcBcDCwCaGtiYfqZt50TILgAbUtth4BMY1s Zast35I6d7g4KwVy2qP9ys7XqH4qXWaWow5KYQ9avCIQaMXqhEz2C/bmwHlYvI7XNbc+t7QOQndC t1LScdXO/ZLTZoQ3PvwvOVlIzxFT4un/m7WCAohJkPe6zplH1bJA87X5vE36sM+tycw+bd6XTcke /2KojlqBOCisQguV7rfDuRTbJE3xI81GqRWpVBhHBDLpdDFfw+3euBYaF1JMgCVh2fHLkZ2POKnw l1DcUFg18+nnm7QlUVejaicmkbzqkFDnKfvOPNA43KChGmgl/rcQ15x+dT5hPY2H3qMcdi2NQLl/ mNbHZQ2Pf0jEM9g5wtU0aTYgt6QpGVI063whOzGDcHXpcgD3Wm1A0DfV91ryrd17Bs37eIpEe+hT T9LaVnAh0lmjiJTwYW+Gzg+oXONZY+dAIv+HDeFvzEizysyxYEu7A+izff9s0kU3BIoN4l4ZmF1O J2jcKl4MjI1SiIucbCL8o42nsMjD9BnrqJbctrQQ5bTGvc+nrmq2FRjsxwotmbGeDsbu8lQsCd/s 9uAwak7gICWXiD2/POzWkv8Sv0eJ2OL3d4+Lr4qxZNOK/aEGCMJjdCIV+0d9/i5+3vxpEYEba+S0 8cwAZGPbTXMaPjtgHaTH0jn+/1uRaBhr+O/0HW0TBlSDBEA8Trhe3PtERD9iOvsKAA59jCiiKnVE 1HEujT/NzhpjzJZCvxUn8uxY13DDJSi0y+61fMV6ZmJbnCWraUCEcsRHERT6/5bBnYh2w53Xoe6x mB6DV72eCnU0o190BciB6cbEMdPcTq59FPxfdDxKAnCZJ/2rzChkKgLCLWQgXElrwMYxcKnHJdfl charWc526ZxfUWPfTaMU7c/wimFz3IZUBlMeDLBNyg1RqyLsME6YkoJj37vzBRtQvuQPWrDVM2rO ull9aMS6LEBM0F8SrQXCRbSBUb+sG206hkp6H2iaVq9FENNwS/VRF+tG0je8dVHuTgt0GPnvWTmu 8BPXQHfIp17Ab05J8y8063AHGBD2KOAZN13+8g+p/aSCEkB6GwpWi8asoi3UQVmDDjm6Z07GvW9b yLXe/KsuqNCIe5AMk2e/sh4bVvfkYjfOJXpzeoSbCTe4R9lpIyh6lMsw3nXkBq0qPWGSwTH0E6Ls mrAC+Gxr6skHZrYlXhz6MHGHHPmG2tVpz22ExR7eljTbKk93R4eUU4EbiQ2BruYSUMCsCxjH/wFo P9dXCg2rGpDl14HzYSrwewQ9Un0Ekpk1UJOOpLtaxQYfJfPIPBD+fXk2Y2w8wp8Em/2nzRb0xJP1 wyPVrLPw8GwFt+vJ0Wa0R68+qSLNFj++aUzBta4SpM9qYV71dyn4N7WempS2dFrS99/2XMsgkipo g0qh0AY3YIhnhoV/fXy6Hle67NHE3V5auO/L9upami0iz7AcB0Gn0fkPvKHI27SZv2ZwFI03YLwJ nudSctRe218666Je4HHajmuGeQSKOwjxeG20CisCK3ZrwWpt7Fbh5FmInpSMkxj2KgXDGcYAqM/J an820u03INJUy5mtl32hx88fnO3hY7EhqZmlWnsljfMToRKc3NwMMpjc6I+Jgat3bhaqbr8D1W8N cCfrEQ2IqNqOnINxpb4X5QSKHPNVJe24JSlFI9xJJ4dL7L008aclA/mCkHQbXnO6QObikk+3pHsk D3xbU0srpIta6f9etDa+l2GgSPdlFcZ/KnoQaaoi0fsoj8fqt61T73id1tXqxD5HhpNaET2k7ZVm WIrID7H9QBTdD+tO+bcXhr7HeztVXkchNf0mbXIIHPRiefPT5Bff7BcPxNPH7Mg9/vgD5bcHo9QG aJf22+j/Qaofx+H3D+D2Yxu1Lc1TWfwu2GqCPNVG20zg8CFYI7kXIw8xa8BjYzp/M1cIiL6HhR0I ndig/txqqS03yZGT3VSTaor/nEB8YZbi7Hr7mnL7IQ2NYnnWfSmlKezhrK+2esZhiqQPmCsu+Ucr yFnvtXz4Lb2e3yWiBeiQyUzkmBOPQRP9RaHkgcYnbnxXvnNN5vX4h/oxHVTv5wlVGwlZOgMz6qko q9pgf2RexcldzQt5a0M4wx3U7cLSwRjkZkDWK+19vKRHjvzyGRTrBHg0ovHCXY8sgQG4sf7tHVKi Uz+3wK4Ogj2QhSlo+9r4wm4YLj8gaQvzORK44I3DqPGkiom631jOLatHQRyzqBGB/KDd4ebZm3Me SAveQW+YsQLe+0/kIfYvWTJ1DgMo4gjLA96yaYKj7BoJV5RfzqoYbOdc7xBAa/2z1iy4CFmQ7xmj xMg7cqMsyr0ZI2B8sq6KGgGoCK2sT8f5EYfn3seCt4sTESpV+suzUWypP/ihn8vmsht6d0C81eln lDOWVBEaOiMnkBhLe+JyjrAHjdg2kjGLKGSXakOVxUT87fd8RbQAGv88RSWYXytQPkyXjufy/hs4 LFQgatM9Ch/D8cGeyYt8ZtgM+VTTW7NdtuDBQkHzjvzLEs7efetavdpPYdQT/mjTeW+QxRa1RH1u krivflguuZ5B6DJrFA/dpRckn3aaDHMe8plkzYNIhuY8/xOBWScL5r9JIfmggMV2me5h18hgK0ky V98vcXGgF6L74d2gH1NtgKIc7srcEKJ+V8K3b7nUfybFUwbLBSQn5K1KCRw7plNUf5ay1NDYXlD6 QwwnIFmjpTHcl0Gn8pIhLYaPplj9+skY9qOdCb/p64Ed7HpfyEGIq0XlTHDW2uWtwSFX7Bic6DWK FVlmZq4/PopnPZj0cr71+y9hmln4CELWiS9FIrpvc4vB7MTi0cpOBBgOPJlqH2Z9aJTBmFou0usL HzKNhVFE6lNaHR97RPVRX4U4LSjml2YyhdT3uLPV9FObwVTwLU9ZbKNdIXtmBQ7zypV1SdwtL30d 6J5ohIDe1vpsbtNC4otCu+BHYAQNyn7DP2lpo0URPCBy4Yl8Z+LDPR19Rf7OkGW9gj3jGFQaypwh cFAaFYKhaHs2VBUY9mANbVJKBjeySIyskHs9/6XIqkcoXUn858oQMqakZJ8YPURolZsJIN1LLDqJ 7SDYm3BR0D4f/4kM5nKK/pSPh1sVGJdpsom7PvcHCLNsww3h8GqYtOtTiieuEpg9dPiQ9EHv4ilH s+OvpjPccQFWseBk/CVHhVmXzpw2yWX5BPdKate8owjoiR3jpK5KBvqmSib1js33dwUQAtVnqh+A rEheku9ULcO5DC+a7AacGwsjDvMWYBwhbtiPPPCDVAi7W28ryat9iTRLLMoesJzxUJg85Xr5IWDP cy1mvBO3KAEgENUtwClBCU/A4Yu561WikFgQFQisMaGTxw+E8qq1Sb2y9udw3TBVajAineFWXk0N WjExlu95KeHYBr7RIRI/Dtuonoo2Xxg7zxNZw6e8QTwQeJ+Fsp9XUzjJDCAffMFQW1IBlD667vqj LXbxj+Iu78M9twmgfqHBpzJ50hLnu7CuN142wTuRD1XPBP0p2dUg9c+jf6aW7hbJ/UJTtcw+4QAh 3c1JsbDqB1KqjRQ0v0hS2/fiSREBRE1+10xY3hEB7x8kEsyb7TI25w+t54RyyMzgCb4m3X31SNoe Xod0OlVxajqAL5dReYDUCXoduOsAzodjcZd1bPbHVsPcs4Byi4PBwKS4j9TkinDOHqnPlZ4kV372 V7I3fl0tQ3x++cSzf/fsgnpBAmC0UzANQNcygexg1fjb9dmqdX8evoBE7t6QmV2osH8qlfiyntSI YJaaaW7KKBu+llzIacH1kcLcSnGfmrDwi27DNatEcY8EewLam1Je+g4Qa0WzbUKdIuIXiWxVuvgX A1IUK86uOjNj7gCkvulOwMT4hxb8hOfVENQis7q6heA7RXdtHR+TpD/QyYIX2rFR7EApdr6Uwtum iOFyE1s1YRmLMbsMgNSrTfXdgYTrZhiIAyiFodMOeAizLuTT4cgG1lI6FyY9klsopc6mByyXr+Sk CojC3uNv14oFu/vqYuWLKUgUEzU99mojCJcIoOYR8sx5CCtPnT2a5oM5YWRM+W0QCKa/2gbX37Tw z/CTne/VPBkTgggEEwqEzOf43PnOs8j9/XlFZnv/YYUSuOmVJ+j7TGVUUeyQPAdlqm+VPavxXLt2 j5jjLRQ961uoN+zy+CBRaCth7gY+neDE+mbv0sQgMJvMGrMkSjHQzYMWvt4awSrY2pScBQDKA9+J CkFuRMWdv9dnkHdtXnel/lAJ8ELzyl8I2K4B8n36QK5/8/fCkEwM5IjQuMM1jfS15+hyBt3n+z2u 53IWzC4L4q5bJmZ0sTjNzXy5lZgmCrcT2wasMI4t6xsgk8YDtLy6VZ0RlAxMje8HTPWRd+nGoL+q wuQ2eGubLc5Qr47DSBt7HBcphFBta4s+anNcp7NER9dR/SoSh62y3oMmy2UszLva00nriDZOFET1 p67bjlEmep1jfiYcUGCTDIEbx8NM0ZfBRbxAzoh3E77sQlYvhzNfI2aJevwDoe7zIqUIuc4lwojc qJYKNQ9SXRFMrOJ9+7xpvOhEyczi8nVwYvOzVQm3nwTi2EJ4z07/3CqSCYTO06pRWhfTgsaojKLm Td8Au70M7d/cmMqeUTuVbU4YymwczrvgsQQM8dUZ5hxsNnNQHOGxKMSPavISgwJev9qXWDfXsCG9 gYrkitQVCPT5pSp5ZbGrn2SdKc7kyDyW0h4On1xBCwhsFxb+4oR7Qh86B5f4ECVuxskbVXhtycN8 k74EvI2BQqJbuZzJTmZCNzA7BoAHHEuFTqbNY7phfCJaxpu+oW9ym2kpyU0bysgtvstd2CsQABXF kuwDzQn+ORBdgN8rHBM+Ul3iDcaeBL5PNq3NTLXu1/mBC7aOtw9i+Nzrg3CjG+kBtcVKSCkghJ8T hx9GywWkTlBj9fDYMfRFWJzXDMKud3e9bBB6YodaLx0u1TIG+PhdEzhe3folY7ejanwUs023hlC/ JXaryDw5S/DS+6V3EtBmVcnBUTqLGLhHpr0XiuyXgAw8xFiO7SBJGzIOCN7037lz2JCfb6B830P2 RtdveCJnwG3OX2GKfUXBxu6Z40SPgArGXWVATQFkVbO3LYLrq/8IQw30ahgQDl0WS26nfq0peMx6 H37fdBZHzTtUuFbj9LKhp2oXcgBP14WnVCMN7vbP+sUEwoXtsuRioigG4dlTLytPX0Oc00sc1f4X GIuS9eYgcp5lhwwXt2SZfx0bYb7ZGEHgVN2tVY6XFLmJEsUzr1smCpEdwsV/t58nfZogHncHYlNu 3Sjt5nqo4klccl+sKbL6HvppshpQWVn72WOj+g3NedJY6hsYB7urulEWzadBOIgYjH0bLK0gR49U HKlrCXSRT0i5TgfqiFeXZPWdpa4kpflwAdFRyn4K0d+3IYxtmAjZjxwCFbmcBogDQ2lpaq/VmDTa gfplFZGosK6+Cyt9lUTSu/Jt7zP9PmbGp3RYHWpxSX3D2lRk/llEZYMm7AuSD1dnDHywPgCuiD6c WTun8ijzC7YURbbnXbgW+IDPD1R+rM1Ertq0vJDVB6ZTDSG1AsasPbP70wrKEG6tsFP6f+6yEiS8 NiIIFHYYTBa/Y8WFvfDuu48QBVYkgg91Wo6Lz+DlI5iAzTRhCwtogdZ1KfhSgh80nHzoqqGtDMth Def7W3MNCcd0Up3aqDJjN4Z1dNKhCEeOCKbELqIBNnwSxtbOc1s4o+QAu1krPT02QDXaGON5IsRK hyYjRfsKBTJe4Q36MtIreGtA4oIV7LCkxcya3agLhJJPoQbXr+vRVzOLeP4nD9soOOOzcajEld7s phihPbu/AKEjy/U3BlViTWU1l+CCjZL5h//gEnzkLDkhai5huFk9UZHcFx9F4GNZvmOFJ3mIHUhD GV+G8FCTbTFMZRuUlT6BkoI4Sofuub/vGsS36rOU4E64+luBOVLwqcrpjMi+9Lcobw1Ya9nC6i1R /xH4tFjG02OViNedednDKWKv2rmIosumUWKStkTybHS2wRiTWiUeVSsCHJ6yQhtyPU/nauK/EXtS Ox3c3iZoz8P1yLLhN9yU/kcdi709gUqLWqYerrGzXwsyeRQWl7t2seMhV7Iwq608fs0r96V+QekG wU36+erg8RsCeib3MQhtFwU9BenFf42p4V/pvnZA5wQQXqe+QJJoQ8HifLYdyBA3saRAEDm4GE6i be3VNUxI3D2VZ6SV2UDL8Xf0QtlIDo2FkugRyVq5Aps9af0IXwbyP9y1QJVJ1tPMQyO6Pi5bv1Gd EkxbIP7jnYA0fifjkCN4WuF4Y6zN2IoqGcpng3gSCCTEg7MVkk0Ftau1V+KE72X+QM/aOPtBYYzm 0HPDuvargZ3RqT/sTcp0qA5WHhaZhlEZ6I8P38bGjp6g7w/hZy6ygTJ7rSEERRRcPLBcMl8fBMl2 Yvi8qq8q86fyP0N1jseG1M4dypxPOVqOe3ZgRfhau8fRW0hKh2ETudLrTdyP6FYZNVSilT7FYpAu NtjXHL5cJC5JADZIi/VildFyYQZB3ho+N7UFjuYI/pkACfMcal45WB2KC+CYdT0/6xyLbxiWWw83 rPyczfePFSd/1lao8Q7NQ4xVQzGn7cnk4hbecRpGqtSNcAzCC+DZqMQc2DQRgcj5cmI28yP/j7l3 kiD5Aeok24W1JyuTTaIDwhSzCQ7xpps7nMuzU9j+N3XUr+Dx+kSf9lbe3EDGlgp+rIJFY2r4II0c K388uLExOKqgSt6P57Ptm4EuGBmtdK/s2ui3oJo/t/JdrG2/capmUU7S4uo22F2FKgh12SZiwjDT MHLD5P2yVpdTD3umghojBiGY0r8QF8F+pX8FQdn/dUYvFdozCrmRAQ74G5e+7GNoxaAW6hpThuD/ YMuqoY5gwaZrNly80sfXpNp14hjvnJMX7GV5B0COPjXG5UdHibccTGA6RQ0bfM4355T4xvP7+KFc vFqFA6hG3IGZwq95HCvTzoIK4ykMtxH6eqDcIChuthXdrTrkAsJ9TXJneOztuszwMWLC8k30BD9W hpQ/38ZNZ3tiDUGuiROZp1g8xXYUXwDW6g1kaPhaPyXQuwCSAsaOq4o0+J4O9xl9y+R78ZDnfI47 oWdJXaiQ4gdHV42sQKpkM2LQ7k4atme3HzvzE+H06txoy+3DRUEq9uPvaDqnNwPFilOtCGl/DfuX H+yVk7yFElIOZNJb/bSLLxqXqDWsO67oW0IILL9R+bB7EsXCMdRV/t38MwIxnewKbEicdyVdk/t+ +1ijclTDaPgt/Z/v4uz3z49001XzNwRcm8x3LuL7BQpA/IJLoMaulbRhVmnRS5HkNNAeDGXZ9Q9w 6CXV8wBcghNjEoTxr1fWG/CcTHYYFFIJcoVDl1WhTBTvexb1f9AuQWOvXHdSffJXPJ7iSWCaVF2t hukjutlvMJpVPFhKt3rydcghAj7OafmRZXHsPwOAhCww8aAe9ubXpXQQMYoAmuc1iybN7osXrAkE 90UNaJNOIo9qyI7qPVrv17Zl6UKHxXm6Kqmn04ns3d/y3IKcilL7waFAQhBW5uJPZ2EG8XLMWiCD gKCJXZHPp3WeRQkWsdvjQIF4kyU7030tnUP2uEDrO15qBwVclxVe4rEQ4Ipo6GU4IdsVUmvUl2t4 wan4XNhBalGzxVXeuc7ApgDQ8IUcTKqx6CsxUJOJvHeJWAUkxCuJUvcsHy3HrO6miViAmZCuu+yQ j7L1LQzNeb83tUwkxgwKS8MTRdThtAGdAecSQkxUOkRYM8ca6y9NEAKqsMWCEKoawRCE09DMtg++ 0qvK6+1lbL4c45eNHEXbT90xBv6DkxMKv4AxtD7L5pT092qQSHvXZIvpmPodyR+xFdp/5mV8PpVb Ku42VOF+G+r4GTZxmXY4TgqkERctQ6vpRHOX+LJ5+/5nz4sdW560fEKx683GLzS2mlLV1XVe6slh jaZH39YmvxiEP0BCh+GsVwCnmjYa42Z9fP52pL3VkFqtPW6G9btGSP1bvMVb05+OWJOIHG3tVu/b hCix+1Bxa7T496ioSDY2+O2XERjWURcG5ALC1GlTOfuaGu6qHuzeHE5hNJquSwTy1VbixQlUvMqC zlnZAdNz9Oe6UW/BbIvX8hUToqF57GCmnuyZZ9DkEBFtHcPckUJmxOtev7hVp0W4JNyKgNx1h9V2 oAdH1dL7eaVoh8H6La0oxCxyN7KJCW0jNyk39oMcGvhs2mbE141uIRHP517Iu/a2B4pMIx9xrGIw rA/41iFFpQ4MFJL7IxX1H9H9GSLWQ5VrqTdayMTEK7g+EFIFRN5KhcQfrvex/j4IFy0q4vpFkpB7 +l3h/27WUtk2JcbY6hbMv5sjyNLcQOmDLDwNPctUCL7uHsBgpYrd3vZ0EWM+8AAiTw5PBwsWqjSX OOj3jah891sNU0OO/jtlkOMDD2novopFibGcYuGTOunEZRbmI/41W5Wjf60Da/nZXf12nYlujkoG CKRqiZyCVHDH5ygpUvsFPTd9VJl1ul6/af3N1J3WTQAaju/ZYPULljQyvnf0uGL3ulJo5D6wMvTz 0+AVqaXWCgMK7XunTgYLOTJQQhDSHAfWYbeVBoHPBVeZ12b3zm/AkQokE7xWApxceVOmLF1HWTY9 C5//XRBXcO75ScCpr4tmsTAo6kiNUJHjB/NtpN6ORJDBXbXPLSF6X0qP/Lwz3HPTh2hiuO3Kmtih +gcNNXcEJ4pOMQUr+eQQDwk8uRI1fJsDVdvqrw7gDRc9zozUlksaM7+hPn2VK1Bs/z8TPFp8z8ib 7dqqZs/9wtC21TzVkNC4g9n79iqCNPNtE7f1BsrHqzt1H0iMBYPpPBC9pod1ngyv+xl2xAQAOTq5 b9UqKUtuUVnh4wJ1TwVcg20gb8I1/LoF7kzyk8q0eJbxTwR+662NW9GIWfB/j9D/VxcOk5EGZSE4 xZjmuPLAwmbW+ToSlvoM8mTXB4kqvso66+5838c+xejfOi6DKHr8nCGeDbJWU4rTnAjvLvgZP7ZN RW6PuGKbekJTQOIAoGIQuIhWeRXI2y/E+KcKlgEfeQGpspfTlkQVDcRYU94lbFOTAaif+TvHjfJG FwJyAcV6KazE2YGULnQm6cXjKhuz/0XLy63xLYVH/ljxuxwnUq6tFq23HGk/TYZJA4vaegz6mbX/ WScVN9BS/WWN+y0ozZQYT+sd3uRuiFYls7Zia8xF2XUl33E8arMS2mAYw7df5FHy9i5T4iCtdSHo 0y5+r5W54KfyQWIuJOQ5maEDfZBWUOsh/p5iW0ra4BogdxoULCPyX13rIwUnXX8yxfo2GVAxpBQC P++qyiKTCi3ozKBayQLXXLuAyDDcXvU1TQU8gvDwvdeDtfG/H57XhNyXOmZLLSgJCqxSkjAvR+tb lppO0ggtmR8xQ3CbAC7YjYeUTkcaGZa7Sb+00TgYSFM7vuWriGLQzklN/73vZBMYTeUOf+JEqQ25 dqaeZ40yQpp9mnVUBlW6e0ojY4B4vmy/fWw91uTfztLS5I9D9pSz1cQtujBRHpKAXiLNMfbx3dnm LXHPrIJwZibDDAP8BDAMAqewJtk+62ht8Hj5bSQgaS3T3BQvRrIturInGxaY3+2yrLLfWWiw435V nNSSIi//QteIQ0euvlA5S0QIEWy/NrCbraCwZl9Gc+5IrQJAomZjD4FfkPbz2peK/IwLmlEaC2bs +YQXrONEEY+FtRICZewmMXuypN4ViIh8lwt8jD4MwkSZFhRv7LZtPKXG5Ean6hy6hmUDm8s+Q0+2 XIqsb/LEMr7QMAfBHJMeLdN5Qj8x2JpO9xqlSCN9Mj43mqisLvDUh8QQfG6acDiO/DZTCUZ5YjsJ WArbaMmYlRd1r5XEgQFG4lbhockZikCb1i8GpfhbPulwpAe8nlTZaBCovyW1VEXDD6Ve6h2FN3QZ tAAAPiLpVgnjIcwojw4qB2j0WhcZlJEBaYNW5AHuclUjpZLkcfWTqWWFC2HzU0iPZXdErzhuZRi5 xQsbnVm19EbwQtvTuasVg1tEI+ybvhI4kRgeDKnh68LiYj/ePAxIJe6/m324MM9al1vVqRfwbPJr q3p6SJYqw8TIof5kVhPTZg9RwPFqTjWDYb3vNajESCRd3794gu27Asc6MRi50QBVDgQu8d+rlza1 bnkM6DaftcOdTiWniRRcBXmaQnRk6JObUQ+0/HzbfSzRPbKdU2dYMUNBVD6t/Yohrze0b+k2LzHr gM3ogx/1vcPD+8X17qbtykZ6N++/PiT7KX8lmp66sRP3CHKlZbNSZe8q+vGMwdw/c69KnZZSiO9a yA/T01FnTnYhJWL3WDqDJhxZAJ50xIwzgYedssSgLr7lgTjqAMcEBYZO2cO2XeF+XfHMNf+GaXt/ nNin8SK+s8IfDC4dW0FBzhJ/xzIhj102E8/wUhbr6l28Rd07xeLlwYO60eYcbYp4LgyK4AQHdkfU 83eNObFnD/Rv34cMtigE6h97kxCFYiOQfomGk8E6CezNUP4/SrL0g8a1WNCXc+zOkFQQqiucvnkA 9yGERkbM8WWQjppLdh83KulsgPAzUPrU8fWrI6JqDc1Z22pv7nEsaulwaOicd2okmSX6F+OBD9x6 mpz0vLlNcKtLtTh3SktpAyMq07PBPXktEoVvF5Fk6U0evugIpOkmfCokY6I8FZWnQ9q4H4GlnvKp fwyAjYcmI0YexfvoTHarroXQFgs2UQzpjWEwanMTTQT1064UIV+P3F3akgbCxixdu7neJJuCeJUr /xbcyFDC9fKsB72CoCTRmYUZMXVeo4QL2Gwxj9WqzyZcXxwI3cG2H3ewIWo9+JU/8moWomyz9QeC YO91gN+oPNguuKObXpeFqh4K6fuuHFLADRWIa92khmf6mkX5TtIkf1rpqolsc/9fiiovlVcL3op6 g6s6HXDI/ib0XnVtoXNTztxh8q3uH3/f7+8i84My6ioKn7qLfr2wAr1w/z3tGq275a9Q7vBEZqYI 2kvxQUe4BzABHtYLD2UJoqLRgiZOaO3nOvqhnBFKS5ZOnj2/Kc2yxcLr4N2bIg2YnMatK7Uupn4c OAmJm30pfCp5vvCw3Zxr3Ld74Hy+tyT8FKFBJwdQygmy9J9Stmx1QHKHvpkcIgY9Ee260cqyQYId NMD0m6Lab1bmBm1qFfZyXUblK62aXG+sxjndYI3KPxYrtEI4Y+H78Nmmijx+9OIAMCUCfJvEv2jS HWlV4TzQMdn1ebhDj5Ls07+VxPTVB0I7OrU0J0r2+OPrr614PkOXCMRT5sRZ4tWDNzQOcp5EbZeD Fue9kv+ugFWqAceIosM2P63a8AIk0yq3J9pEI0AVx+Dz/t2IOCS4cgTAtlE0F94z++AOSnMKkQZc aj/54iayTpzsydHwpkTIf3h6mSsN9RGvce/mltuE+LGeRTDGjgOf9toD1p3qgkPaYgGS3gKL8FBP 0EWotYBGULyODwc8t96hH1q6gZdsYCMYrEwhD45dMs7UDvgzX6mNSZivrBgvZDxrjOO5T4+DZImh 6VD57l8nAk7cFWz9ArqdjA5cwiJ6Am0w7jDx60W2T25uponT30hx2vXezUyOpYZRf0KhINIOUqlw Rc8h5qamKq8PW+PaW9vMVWfF9Bt8Fn5Ak1lK5I/BWi+IdpuFznr+P3z8VvC9mfnuOJTzOA1wcym3 85BNvl9n5Qzc4xZKvGaOPUf1EyIaYCXqPv8qDmwjUGgFNNnXuIIYN0MfmJgwwjycePGgpd9+QYNV 7jUmUZ/Em/R3qxcR/jnDx8eVWdRlciWs9cOlpxNtOAXTq+7PKzXPlmEZ8zFBtjoPwg4YDwHVA/Pb SBi3xEpWgxNQT8H4r/6E99p91GfeEvfQpgEX4U02974EbhzH9M8P4jaGLPMgswYzvo/fqIyV8Al0 /38nSMYOEf6aHAm2I/x9HFYB3sKHDgvh2H7LWCfBymVIvVWuewYIicwS3X8EvF53LXwUn6/Tq10d nukypHa8+374/78chtNlJBc/JJq36uzQ9pFQ/BpiCHmwczxtmun0Sz0xKFTJxy72fiB8+DDiA8Z5 /JZpcJK3fojpuooMP23Vv6flQ7wEDz6iT9qY9E9xi6KrhU0iZYb9pxMEz2K5fgBz6UJV4Jz6ldlv 0AA9ln5TnO80hGjPLj0z4vADRKW2zPk+U2TfCLbr8vVCA1eoUBV4R5TGFIUP0CKxPIB8t+ZD3wG0 By8bn+HIfcH7ZZY2Z1whUtFU53yVOVuOyzbzv36dMJ6tRgHiqBpmyr6EN696P1v+JO7AncXmqcsF kLxOHGNg9rdzhX2ZiKX7q/2UascAkn3WKAfP+IvBAlVKM/S6Y+hYZRYNtI3aFHgaPmp8CafiUhNe zQhjdsGqZscKcd1/KkFaim3dRPI3hnq08IZ0ARsLE4d/XrTfvG7kc86kXRST6ssbIfY2yejzHppH BiB7gnzfUFmbaRK1Fy1K5lzZ7/xBo4bSiF0GsSA6leao76cM+IV5Z3717/xGUuQ3ePwJdpwDzSkb pwGHm7w/QzDOXj2GZqzsvuJxOuVjwioSlzqg+eAhdQRgNycdm/xVubK1MdHd4ZwVbnoFNihWW4Dw jQvzaXD+U0SXVJERAccRE2/MSB+ncHlhuf5xPdFGvaONdG9wm4y2HVgtF9pU9hZdmWdggDc5V2Of AGxTE2i9mu8OgMg3rxZ5qEo/aJk5NcPDI2Kx9VCUbvQRy8ZNIfWiRCCfmf9ktottdS6208x76WUA LIkjthQYa2osicXEyPkQdG7vJacyEMYRgyC2dTsfvoAsAIV51dUTDDu/gdtRS1LllOmaUOqg4j8O DNwkxJTZBPuDeIRWlKKMMt1LnYWs22K/rZOJs0vCON0ax279+aUMhrPjx02R7vqJazBi2+75U+O/ kWrSafOJXLguibQOSxMyPLvLsFvqlxotyko3+MN6nx9Q2r6yVlpR6afo9Ptgrv5SLk1Ea+0xPbBG Y+/x8GMsQEJ2va5lEytBZ9cx5TmvvBfHGOJD2eTYHpTwjyxpsgrR8GtRU0gf45TeIsitzPxvBUEt CGm7tjkqmiQGgreF6ZCewJzvzib0+O9XCWvBs3vI6TMg7P3x/R8QWGllTJoO5aLO6BlPhhhJ/eef yEjBtRvNKUk8rg7UVhZ6VNONg1hbYa+mdFu9OQet0JZsUVRsPCFHSZ/66pLzgjViADnJA4iVKfRj TkaBBC2UE8xNsh64b0cSgYyvkGZ6YhTgqCTVZyWJzWSZbwTqtcisi4YOkUWhkvgyZ3C4HNIhCUTP xt4Em+Oh1a5obkMhtmpF47kcUuKYGzturV1tESIKCphQpOPAbJ4WtlIa7Zx3v1CSzD57mMyl7p8Q o1o6wUf7s3asACmJOCmHO12eICgziSUUAIpeo/KMk5i8rskerIeO/HBgF25B51oV2+3f1trb1kTu Yg8/0TpuavgT5m03onJds1Zr/b7nKZz3BMwM15snfc2YqsZPRUk5EJ5eSJiC6tDBTztbpvwoCkGf sSK3eMOE/qNEzVLIYtPX7C2aKFyEu2Ij79wV3KtsFP7Xe4HNWsXzkemISaLRsp9x+pchyckZtTJj 1x2pnoy0OKyJczHw++tb3Zq4B0deIxlEwnunkIqcWRe/CaCXb4oqY7x8j/bhtKtXompd/h/5TEw2 2eVBUKodo9xUyOvtwXQ0G+lSnQs8KIkAa8U9DCOTgNu8q/MYawnshgAfIJluVQNpPnwOB7pnM+EB kYqfEBffG7gAx6nd049Sk/RTNrwtgTaUkg6xXsN1S+aFthiWx7y9Ctpc1XO6PCa/z7cPmo93XL+U /2EkoGpRMN6SQ7nnMh7EA/sIN6s7gNIldH6IN63At67h7szevGKvunXbVKt9vc7TYGf1xIhT72wD /he+VOsJw5yP8g89c82sX3um9XeC8CkT4wYwjMNVZF8aKVVMgXyZz0siL63uM0lKaCSCY9QBWgUB mkUnmgS0MISqYMCpbFmcuCbAbWqZOrS5STMA1Qe4ZmQrLH/AIq2osOYblBxkPGRB+Y8Lw5rKqeYE Vi8UkvzBtKsifcE9H9A25OB9A00LEAJFLqc742caDwXKaklFsZnRXhulmjnMtM8Yizatf4xzvbjd H9+dXh73hnAWTFtRDvIxnYsJBTGQT6jcsIWpzzB/o/YuiVwWq8PT+shtHfdqPkqpEhnm6S8j4Yrk 1X7r+e1YQgDBceiDhDv9AXx4wo5SChCyOE1u68vTsNsFu2bpQaSz6aKW5TZTWEU+8WamnQ2xwo16 BxX2t+pP/NOkSBzYoXob9b5PKMwez2rLvfjII5CdNC+U0rW1PdiA7seK2pjWoY72kkgAni91cjMv DSOcUAF8IqMZgjR0LOB9yeo1QmDAirj3OS3wPz2PZZZ7/3+84RTWjEtnL7ppRfGqEWe80GkTuj9M sU646vkPJFhv6gKRiuUVP/vK/qt620xwIw4T/0fgISSkbH7MIs5VdWFs6HqzjxnC7+efc/BHoNtl /MKVZoKq9O6S6vtZloJGC24+o40of6P+EJOw/3Acma0hW0uFFw+JR4MHh9Gjr7P521uXekyypne+ 2GIQoD2iQAAG/OehqMe37vTLdJYy05vo2RDTV7j8nAn8ffclRLPgORk5TkVctt3uv2RcNP5Ajh0x zgYpnXbonfh6qiqHQ1mHY77ryM2xVjHZvl++hrDNnajEyv5wPe50tuozwd3YAwO8SbboalOfgH1E cGQZpSObK158zwXVtZTdA1yEFjOfzhL+vWMkiu0IH1fKUDdm+6fpi3gIsyxn6GVwJ/2WcuITVWCF niOUQfstX6Z2hMflGEY17cQ4d1/3eOPhJZhJsOZTDK+ZMI2W+3HZWX9eeHM+MGFCt2RzR2n9gCvq M7QD1JFUSQ1B6ctQhSZgeHYYGSw1ra0raAsgmPyrWLMZAtzawYjSRi+XHTpTzSB3KaBA/q0tsNr8 YxFLts/xdD/DB1SEWEB2ju0v/xRNM6qPv08LkQpjDuElgghHLEt+vJgOaPMS9v9geK3courTjb3W fQI7ncF2eostKJOC0BrM/p3neWPZelWoTExj2jvnTx06nmbhtKOH+GkWdddtiFSvnfz24cMJhrub 35FBTRVp3oDy8+St/YtCEq7qjG9cyBPCroX6JYPqys5PCeH7YU4AiHFrjPGaTDr9HDd0ICsFQVh7 75aPIsE/TAJV+qhdXBmtdf2cSyWqfe6jRelrcwWg9PVrJ1kaSF+qNTF962QPrt2DzKglRXpbguwh WH7dR1J6fsWDoap1F7eQbdFQq2dTvjKNof2F1N7z6KjjwinByrVwuSGz4CZpyGHlUgNxArN43xiO kQ4b1MNut22JAKs8dnzpqEcw2DYOD390HW21T1EKa10dbWcGc5ra8+5EIqRstkyaKACx1PZvfnKU fiPjwk3f/D3zL5HNylvF2/md71tr5c3QRqTKpVcXXKEOHFVQb0o/oYZ19ckRRbACzBVUaZXpG3hq 1lkVYttwiEJQjRp8BrhIIF/9MQWYf9nEifeQ7IU+JsE4rZu8G29WOdKGTtn86S4jHgmwgMK7zdlB QtG0hI2cCQSzQjKgmJZ3q7J0OHIgRm2jRP8doQFyRO/Ve9lCVQWIHFLxqIEIIrftx5J6u0gfTz2P QQ4mzXZvlO3aRNx8c9MR86RcRUldIf1+qeuKUymrHtQRKI00d5+QBGRYIcgq7vFleL4h0bW/1Ahw agsBRmVCyLrVh9rTsJxUoSAyTcrgg8hzIPoQLQNpFt4EtJ8A2ufctu+Dc1a2Hmkb0watkLxPKKvb 3H2hrY5Gy2IpEDvUVO9F8Rox+JyJbF4gBUhekAfLeocjO8YHaqiDTHuPY+oIPkFzn5AOxQU49EIE vpV76DFmVaY/bsUyGchsbFcZoZwD9rar4p/8evFp52sdcKY7z8uK5uVXnGHBH9ANH7jvCCWc+w2A 1roA5voYu24YGuXiswSejBN7GKMggIeAT+N7K+3WAlKTSkPbiWKNfnG21CMzu+yKbOQ9MQyuuUfH 2GnxMAoXwApuPzasZl4h1tyg0/k/n8dh66N+ifVkiSPPUQD/GvPl/0hd9aH+f7VUgCikBL9ztkNs sFXgKs/HyWt7v/fqqYALUglchST+c16AkHv1FXl8BezLz7BxopW12nNmB1LHYYelT5tdKAP7g8FR KwZGIJvRH95TSlTxLE2sEuk1AX62/UcEzMLCCdwc2J1Iz0omhMeSzrDw/gX7QkiTVaYi2RgPD365 /CC/brUJb39KM4/Qs/dnvsMM+dk91DVKYALZIbISfYIJYo9ZsuoUUvL0jGVOlZjzjI+6eg/IdO/r YQLUsRPqcmcapSFzTsQWtEO7pgby8+isPoezwU8OOAB5wj/cCpPTAaKeIpBKbZDY1N6gnzCCEbO4 8qeRK+7HC0SRbDXu0LuiFd+oFFS8knPUMm74KK/HCmXzfv6jNuTShjNYz7m7Az+8mQ0JSHy0Ufop SxYYeMdagOBG5fUU9WByVZBu7BFQySwBuU98xeksKdJOYn9QcmsDGvolguXyivOndKgBB1Vuhof4 EevNkbJ9eAmxd2Iej7c2rDei3bK4ndPusugTadKAo6LEPl0+zcrTmnvjQeDK28oThw8I+Qdc7Nlk d/eokwaGPjhVgTcnWjSibN4ks3F7zaMKSxg75XH/7yMIyHfPnkFsFQkCCYcDuw0gDPGBy0FQsNWc 3IjQFV1U4LW+NOCeQ7+RdTGe0nxQS391djOVewBUS+mXaWeHBWMTAXDfuW5kLloe4x1e0xOWjWSr LulGim4i5NIaLh/cvHVBtxgnq4jaRqSMiQlooBAFJqsHHeT2KypF8v6/BfXYCpDxPAGgCEIJ88Fx zjoTAMrqsTkxag7WIX8blqdEAoGEwD7AJbE84RSiIT6AlLQBt2WZlO4OwV465wK1ZPdovoGu+jT2 pHTYgxz+OFDRrJfuGqG8yvLcGpcuxXLId9elSyADX0qu+cFk7mhmPOB32dIL/2pAdU1t8KwUAZR4 KLgcvaEorYoF5VYdKfD/VjNKGphODOdOfi/i3p67MSJPE+C99QDCwer8mOhQU0uXngLVjwGHrFWe IpjthTFc8QjKEEDuw4qkXe3NHDjXcmFP8dV7F7ik1a2fKFUYnOVGG8uA+M3wGczATZK7nBEEKas5 dLIjJSHWZv44dm+1bCWOUsJuaWAUEMR6HVQYyi7xsvouzPbXyiauXM51ms0ZGtEowJSo+t3DEyl1 3czg1CpdINNLp5ELdh93XunLKhgb3rUBYEkPRKFkgsqc2OWnmtz+wzd5YxJsujXUo22Te21PnUQ0 2mjDVSzLNTG/Sp9eQIg2QcKkR31tVvbtCxTI0QSm7Vg3E/ngm2rJ4YPCp99dTl3hQXXlWZVQytYP ZbLB0ZX3VmVUi2ruVz2QMuYp+4SRYaHIhOsRrZv8zX0eqrrQ365OklxRSDYKYBVDJij0mJhnFzFz mYmvAenaxVgqeEepUUgL4eAW71CDtkApblSkWtTcyBarX6iRcENjFKRI784ltwMG7bofai+mQxv/ lVLavtfayFKJ7LG23UbBnxDofxed7E7gv7gEinGgPPqEVUt68S+NTzFHa5064UIF6s2ftCPi+QXs 2oT+VM6AbO1cYdV9JduyEj4SwkgNDfd+QC1Y2JGM/ylwfTMGcSNDqZOekLcEkunVlOSfJIH3sRdp fs4= `protect end_protected
apache-2.0
20c5e29aef4433ad55a7dd5125e3877d
0.949151
1.816463
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/51a8c173/hdl/src/vhdl/lpf.vhd
7
15,991
------------------------------------------------------------------------------- -- lpf - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: lpf.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/08/01 -- First Release -- -- KC 02/25/2002 -- Added Dcm_locked as an input -- -- Added Power on reset srl_time_out -- -- KC 08/26/2003 -- Added attribute statements for power on -- reset SRL -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- -- Definition of Ports: -- Slowest_sync_clk -- Clock -- External_System_Reset -- External Reset Input -- Auxiliary_System_Reset -- Auxiliary Reset Input -- Dcm_locked -- DCM Locked, hold system in reset until 1 -- Lpf_reset -- Low Pass Filtered Output -- ------------------------------------------------------------------------------- entity lpf is generic( C_EXT_RST_WIDTH : Integer; C_AUX_RST_WIDTH : Integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic ); port( MB_Debug_Sys_Rst : in std_logic; Dcm_locked : in std_logic; External_System_Reset : in std_logic; Auxiliary_System_Reset : in std_logic; Slowest_Sync_Clk : in std_logic; Lpf_reset : out std_logic ); end lpf; architecture imp of lpf is component SRL16 is -- synthesis translate_off generic ( INIT : bit_vector ); -- synthesis translate_on port (D : in std_logic; CLK : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16; constant CLEAR : std_logic := '0'; signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal exr_and : std_logic := '0'; -- varible input width "and" gate signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate signal asr_and : std_logic := '0'; -- varible input width "and" gate signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate signal lpf_int : std_logic := '0'; -- internal Lpf_reset signal lpf_exr : std_logic := '0'; signal lpf_asr : std_logic := '0'; signal srl_time_out : std_logic; attribute INIT : string; attribute INIT of POR_SRL_I: label is "FFFF"; begin Lpf_reset <= lpf_int; ------------------------------------------------------------------------------- -- Power On Reset Generation ------------------------------------------------------------------------------- -- This generates a reset for the first 16 clocks after a power up ------------------------------------------------------------------------------- POR_SRL_I: SRL16 -- synthesis translate_off generic map ( INIT => X"FFFF") -- synthesis translate_on port map ( D => '0', CLK => Slowest_sync_clk, A0 => '1', A1 => '1', A2 => '1', A3 => '1', Q => srl_time_out); ------------------------------------------------------------------------------- -- LPF_OUTPUT_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- --ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate --begin LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked; end if; end process LPF_OUTPUT_PROCESS; --end generate ACTIVE_HIGH_LPF_EXT; --ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate --begin --LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- lpf_int <= not (lpf_exr or -- lpf_asr or -- srl_time_out)or -- not Dcm_locked; -- end if; -- end process; --end generate ACTIVE_LOW_LPF_EXT; EXR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if exr_and = '1' then lpf_exr <= '1'; elsif (exr_and = '0' and exr_nand = '1') then lpf_exr <= '0'; end if; end if; end process EXR_OUTPUT_PROCESS; ASR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if asr_and = '1' then lpf_asr <= '1'; elsif (asr_and = '0' and asr_nand = '1') then lpf_asr <= '0'; end if; end if; end process ASR_OUTPUT_PROCESS; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for External System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate begin ----------------------------------- ACT_HI_EXT:process(Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event and Slowest_Sync_Clk = '1') then exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst; exr_lpf(0) <= exr_d1; end if; end process; ----------------------------------- end generate ACTIVE_HIGH_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for External System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate begin ------------------------------------- ACT_LO_EXT: process(Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst; exr_lpf(0) <= exr_d1; end if; end process; ------------------------------------- end generate ACTIVE_LOW_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate begin ------------------------------------- ACT_HI_AUX: process(Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_d1 <= Auxiliary_System_Reset; asr_lpf(0) <= asr_d1; end if; end process; ------------------------------------- end generate ACTIVE_HIGH_AUX; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate begin ------------------------------------- ACT_LO_AUX: process(Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_d1 <= not Auxiliary_System_Reset; asr_lpf(0) <= asr_d1; end if; end process; ------------------------------------- end generate ACTIVE_LOW_AUX; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate begin ---------------------------------------- EXT_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then exr_lpf(i) <= exr_lpf(i-1); end if; end process; ---------------------------------------- end generate EXT_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ EXT_LPF_AND : process (exr_lpf) Variable loop_and : std_logic; Variable loop_nand : std_logic; Begin loop_and := '1'; loop_nand := '1'; for j in 0 to C_EXT_RST_WIDTH - 1 loop loop_and := loop_and and exr_lpf(j); loop_nand := loop_nand and not exr_lpf(j); End loop; exr_and <= loop_and; exr_nand <= loop_nand; end process; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate begin ---------------------------------------- AUX_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_lpf(k) <= asr_lpf(k-1); end if; end process; ---------------------------------------- end generate AUX_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ AUX_LPF_AND : process (asr_lpf) Variable aux_loop_and : std_logic; Variable aux_loop_nand : std_logic; Begin aux_loop_and := '1'; aux_loop_nand := '1'; for m in 0 to C_AUX_RST_WIDTH - 1 loop aux_loop_and := aux_loop_and and asr_lpf(m); aux_loop_nand := aux_loop_nand and not asr_lpf(m); End loop; asr_and <= aux_loop_and; asr_nand <= aux_loop_nand; end process; end imp;
apache-2.0
f027d3ea618898a30bf027a0a39ec9a3
0.434682
4.601727
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/data_flow_gti.vhd
1
129,593
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BOTpqGcFUlMcnxpTiJDvtkhib9eH7lzeB7bW0upZ5lErfwNsE49lZBrbeXsrXA/xVPeAPMC61uTR NHEMfS/HdQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TnRLA1+WpnjDI96iclhCMGjEQ9Q7elUR4wjtysLeDeBxuXiXoODdg2WtFspn8DgKNoEG7BDpkhU4 Mb8hjc/1DQOb3SBaKS6BbJPw3ihUrYOMQJoU/DNFSZD8+39C+1Rd8AKWL0nnX0Otn9K/5kZMaa2b jzj3PU/vz1ANvRjpXJI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block h9B3fcEedCklapI6Vhu8l7buUWISq01Q82zokja0IZ3wZqqfjCSvG6m+28TJ7bWRmS6BAFjWsdB4 gquMWSxYm3vmhkYovIMd4fNepLNDnhjbK8EDwKwH2tzFFD+FZKb6F8wfQ825/nlLUPH86HLJcZw7 0iSXFRXexwYWJv5HN/yrwmNZBzfxtEYYLwQf4n6x2PsZqtRVX84gMBCkeJzbnfmJUuFuzqQpYipo P9vVRi3uaRTPYtbZDOt+q9LKVR1Xh5YCVq2pKetywERPPoPkUrVssUk6FPUndPn+m28IhU1aepee gpCbin65Z4EuEnVWiaGjBPKnRzeFS6BECNQE7Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BuZWtRgBydIJny43CZN9bVvIao4ySFrGvOrBS3tADmXMcgCEv+mbFgO/M6ltVcM1q+LtMLcC9uS5 FR0ldWB2h/ML+cyppCrw9l7GOj9z+n2wbK5xDi6UgV67qj14AYAM2WZd16s3VHRb9+b3U+N11cIs cEsCyBS1ogwERo+utJw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mBWwuqGkln0nXcbvMOr6kqJQs0W+fvIMpjcu/FKPrXb2zGIJQhZX1G3kwvIZjbs7uA05VjepcbnI 0kwOjQTdrTrnXuR6ZnIoUfwPg2wfxTzKSTFMWbvzbiv91g6K460iDmgCtwlmk8ViyVy1Kvl6QN9/ 8sKzKGKTAUmk49igJuwzQ1XhRAiaPHpYf5mMdORnAJOPlX5Xvq/GoOhSc30gV0gGUM4B99xeahRN F2WF6G93v0a/16btGLyARIPrIR7Y4OjUzwGQ2LOlEAqJhNyNCOLga5slhlRZ3KphdFF9vVh/mpTY EUR+Ybgjh8VFHaoL68KdpCX44qb8BiG+DUv+GQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94192) `protect data_block BrZuZN4L/8q+KCDK3mpUxViDCIKC7qoje6wPxw65qTozDANocaqDwNudkDi40ZXnJhUaRU/4HF3b sjIIGfg+vpIi3wEMcIK04vS+7Zyl8b0XDTxk3LvBVosQkB19gQl0dQX5SSUG6O4v5AUZJmT+S/TD rV92pjRcL9wcYFBa/ZsWBJ7GYW6i7c4WrQuDAVK/9Z5HWAVdHeLr6H+V8jXXI4D1YQLxJ2Wp+6L5 8eBEX/AWLUw/++qDNPAi92r5e70hHCmZDaOjGwrG9O02wCLVqvYqAUICLjqCR3yHh/x2j0Ow+GQ2 oBAlOBTtHDD11S/VOZkQdtRb4aVJ8oca+E3lkP0sGOfZv9DXsZOjRPqgKtN/v2rPvYR8ve5qy7XA SKLBYqahqW9BJy4TWcgW3m4Qbf5hgx3KTUxeqvfKNEPa41cWHNFNakTe7QMrf6bieYKLKGf6qDd2 3hTp5nBMaGv65Sjq0CvyESUAfju2FYIbmq7fsJl//bP3uGqRDhuxc9ogtyfjAh9NHykc0W3Es3np ZaJgJ1t4QDIh5riJu3+62tAmNvhgmu00+UeBIl4lcWckHYe2Efo65tyzBW01UoOdC8W8tmKa4R5x 292vAEZzTI/uvbzmgTQ9pmzISTBJKJAavZpwmwVfv0ZKF5KcB1/+x3OxzfgOpJ3bgwOuaTelTIiU 89PT6sz38BUqsBUhk1efpzQ2Rpj2qEoO4BkG3jGDvxK9CYcKjRwXWnQaQi8ctZj9on8UzOigvCID uLDm3FSVEmAz8VVzsX7PE4QlwhL922FKnNzIkZMDxaGFRREnMWWugcg93jOVUMB9T+N1pmvKU1ed ryo2eTIh4uW8YxoxWEnUrRtgQiCYAwWHZXfV8KI3hWFWtVH6xwyLRTHoHCeSQ4/pAx8812xvAdfj 4kJgD3bl4t0isqPTc3JQnK8J4rPXOxK9QL9qA9Ibil/QA9sfHIR6bwmOM5gQVC4eSs3vNMnoYxdx hR/iQHAhFBVOplipKoif16djWJnPj7j0KiDmcsrL19rrrLeGXld+tTiIeOioINZhwi4ZNknVgdsl Ubqo5go0xWWBG06FzhM//8Rol/64HCMB+wLbbDo38yqIC2OXFJltfxMl+qu2n18QT7sR2IMLWVg9 8geHRguW3q2KXLwLJeyTpvcyDDMcZZaZQGqnnnxbe0xa9GLjWF+vwFlbn6BcOP+Nm2AlPQpwLUfE 0yoG8aldQXMb14CXX5msvbke7EeivTTzcLpp5AEoqykbbuxbP33KSlyHla1RngKXAb8us+u0n2dY r3WfLuUh+Dksz/r84MQgUYJ64YEO5BqV17s2SAlcAxprTYtsMOUZeEWIRPQ+dpbp5TF7lLeNA4tN o8nf6GkCt0Off2u4vv1DW2yHDtZCwTWkqOB3FIx3F5Z/y8pLQ42SSA/r/WWAiFSPRMc4xhrLjfLf TnyXXM/oDnqz6dHkhS4c0A+pXSu4eq6Si6N2DTvGnCAKecUUX++hLYrXlIy9gEohccwdXfEWde5g e0Lh1Jj7OWwSufP/EO0Hd/HhZ/sNf4OIXWaoqoiGb/MNL/FQ1ZtqxZMft1Ijz3CLcO4EuIGzk8bj arlYt+X/uY4bfS5AbzDsS7OHZubquWYglgHKIG3+Rsyampnz1DqqHss+oEMhrh2Wrg9YAIc+tO+x f6PuJnFvFyzaA/b5g75jbPCSn+7+adOZq5nXJ63vrRO7iIVi2g9QTfl8O2fraTva19oBhvgibT2Y Ax4OFoMlfaymcvyIJNE637VjBmE6Vr+24uJxRWbp+Iyw/XD84hZb0HgFVML0AcBCxvyGp9IOsDjV SM73zKzgXlgl1mnOaK40RYTvUL/T2w5BxFW0ZOptkM3V81fkcTDPL2tWPodHZzgksJ9cSlfHYMjL Eb/W91PuxFIquTh8fYjrCs0j7ufK5dN24E15N6Foks2tLh955EkPQT4Ft4IkwWOe689obc5JLwhI n9pgb4jXpW6ZU5Jjq1nfNiOO7cBFv4m3sxRJHSX4+B6+C3Mhs3cx88erHDrE94VlEShMtMw+AcZw U00gaasjYEcsEN6po+VeOp4cDELNSLuGfVHzaoSCVVaVrh00jjF6dkFB0pIUNOrGYxvt2xHQrR2h t8q0rDs0DHW0knJV3izbtabob9aYy2j7O6f/53dCULbtLbZhRVOWB6ENpN/1Diln9+r2qyk06scr T0PNyrstaDe2fSurkYMod7VoXJZzHg3yyo8f4vnOG7RS3GZfPxKBftyCibrVWxG1rnUJClvwrnDc i8Iywjmz/AXmC8C0jaRfHjF8dTYzPSeEnjdCv+Br3xuenj6gU9EZJ1enymi7WebULEKf4fDNRzfo +/xy5XS4gDhNljcUGYXXOC38a8pkDtmsXIsJ2YcUjHP78/ggtrZPlblln08DXKjIoPYaOBFhccQF XeIGVWB+RPUJzKXki1eA4mmB7crJse678RzRABMRDLtpI3jn1j7aQ5j5yS3O4ZW6kzHVuAgyZVx+ ru6tcwV7NbrCAN+AuQDY4Fwdy/6TF0g7YppeRC58uAozjuRecpoN6+8d4wERHc8xJvF7jUCqmxaz /jFiXhQNdSGdjbyEcuHsBdT0uJpl+4WzaYVhThjy7MCvqTmXsI925B6u9TbQYAEdvqiPAuSr/LVn ggFasdbMY1c69T2mWweltf0QSIkpVmDDioEiecIY+ZrW4kdmDrxfdsPdW0jLhvrhupxpLwzu8M6r yp5dtGrsJljMMLbY02HScIEyFdRfE5YEZUhTkiPeSXXWsiyJcfkxM0lngGEhVBRe3zI9RVlSQ31E nwQoskFEOhPTTMZNQvGjhlvb8vl0G4x6ggyva7ibRDjp5Wbrq2HnUpcXA4qwh6wqGgzarT0OT/Ig qZQVmy0HR9kLwpdwSVy4i42gccxt7MnLfONMsCES2IKjHbxxRIfmfImZN+U5N7AQ+Tx4Z62HPoTH ckoiW+tXkc8K2pODFCQuflCxEKdVH7fYOAqXv0skQI1cwyrxAEAXXvkqC3U0vtlJyTM9sTD/YsSl rKNzh6dKPJLsO0UzqnsBHsnQHswRJzxVZZY3cmTCPr7ffWPFu3kiXGFO5nsRDHsNVrT9USxYaGG8 WqWQIqV5ZbtkgwX8JdIiZ3LACoMQNPXU8+84MboHzp6HF37ItZhLiDQ3jBZVQ3h1Y+713/RkITeF YYljPIPqeHePqYtT5MOSeILS7VOiwuf82jAiow9ya5Y2enBJR3o25Y/GzNEzaizdAmDgZc5a1xVk 1L2JyeahbGb8/24vcOOfnBOv/gsF4PXvmpDTtl3Sjznb+Q+8guosb6AggoyJijcErSFSgBuhPEkb bhH0ZyOcJFPoXPk5iVfYbp43pRJYS9MAKDLBkZ/P+AEZnGqDprmaD0b26cQi8ia8B8NA9twv8Im+ srDcO806vPYMliRtT+D/rMs8iWiuGN3eG16gLpybZe0BHpmPzJS0wbnAQg6iowH4xAui9cNY0Ub5 MFvGIycgcczvGjcKsb3s+UOoNvemX0ZIgwmmJ93KK/jvSvX2tvzOofhWT06/RKJS5QOyitm83iIl GuEfEXPBez11hY4CcxH1qTLyeCgSaK8RjgLEh0c3txTfGQKHKjT/0cbwakCsHWyZs6VHSdRUY/zH MSqtGaW+oSvEaYKheoLlge2VVIxrIXXYW5UfdLgV6UDWZI0Zm6KrxB0FoeD1LOlES+DaWCihaaDZ yTHlJUGmxS0vfr+K3+f71/TzRLiDOHQdtynlbtkKs65AU12KMUvMqJmYEkqHDpSfwp+Vkps6zCdX XuLFswt8lzv2AMmNUlrhpkzeYq8imMveVa/gwJk/c0RXVsbj0zGViG+z4KDr4Rs6gfxme/hUXlGa uhTN4e31Dp/VFiwHhqO0e5ALMUPmWNeKj/5el5dzSUbFG1PB3+6eNvioCBB+B/EUKgWO0ned0y/W Ik3wtwmGdz719pCWYuOukRGJA5o2lg/xM0ShdJSMyitgJixh5AgX294MCCiWt1ighbwVrQeSgt+4 Q6HxrJ2dBbTIuuMckWClLO2k9YMvJWrcTn9maO1FA0ouN++yLB2JEsQMc8quA7Eg1OL6I4qf3ct6 abxBl0fNGkxj54W78d9SljHDxtqo2r1T6jfNrCClIn+8UWWn38k5BN5yPBBCtjdioBqDRx7aEqaF Fh6jdZ82CVrs5bSR2KCtyiI+DByTha2PmsI7bYOzV/ohTKFcggSFHQ+5gvPoGlw+DOPORjXCwyzN +7o3bx8YBdQS9jYzeiPnWiwHxxj9Ek9RlfmMUstbSh3nwsbFzcdkWVxhnLwy/fpAU4hE8HE1SBn6 mzova+RhFp01NEn+kjabOLGYXl4AFe2Qml3S750vg7Xx0P9Ux2yCKBeaDY+yGtvkdrzkEjjaqVD1 8IYs+Qs0OwyB2M7ifUWhVDQMTSHE34j4XgBD3okTpMfixeFwC4kX6PYU7F9bOHftuqw1Xi88zNNL RNjXl7jWvpeJacfodJrhZ2O+BTlBSjLYLuUrpfYVdizZ7I2hxxlw1ueWQMbMf3wmSFdsB20Gg8ro gKwzOkLL0zeEDhhYkUP3gaEbnHRR7x14xfk9iNNGLcqYCUnw8oyAfB076zCAcZhFfBHdAJcy5xW4 L5gQz1zPe/0sUNf32Kd5ML9ntCiM8LbXobfHOmYAtNBOpV41jFy6cY9SGKgwAPt+HRDVvaWv6Nx2 S/XQqXQiv64+l5yvHuk8BnIG7aFKkJwZqvlmsa7zRibdei77JU2LpxFxA1ocSKhBNsIGYtthZrQy E8nyiCiPvkcuciGM1JrVCb6lqXZsHLbWa0GzIXmQZP73FO8dU9QQkTeoVSc1O/4wGXUniUcSEclS dMxqpMsQmXPa4FiGxyDd/qxSIo5PhpyrYshz+I9xiIp5uXyJL44R/LXRiVfLai3tCFrtqc3O2m0x 9oF2NeYx/qNqdJ9aUMlyiZzbaaxUqVlPKwzU882Cri0BghHyKiAxe2kb86ZHMQdOgY6w52SP+Sha bgZ7IJBHYwsGoDI5JZni2ohRizXrCuLYaCcp1B5cNC5MKu3MWC55GZg5pneyAl9sFzLM644vwGKw 2GulNuo0X+lpP+Swvw8gDnhDHdJubSAkYj+rfBA2auA4GPkEM1C7DDGWnCXeFm9WPmp3CZ9MBnHN p7p3DG9dFjlxqIu9j8UQmreInCg/FNqB7JR4um4w0jzl0rSGRttb8l+NAB0Gx0GAdU7x7fIpl5D9 OdZe2PUBYz+hxdpO7MaVsxC9q0aXz3dVHuhaOR1XCSQkC/82nL9wPje8Bq9Q8QGAQcy1xPCs5iBx wrfRDrE9cw9iHtYmnIT0RxM7UitMsb6ZwPa28XAK5F74zMqqzpCw9iCQACVzVpxOVoprPnKFW/14 2DkkpI6OSnu/kNQDmOwzOijrD3mFJXhy+2cE3eZqubMYLYMn5qxiZq0xXnaLsSqYNd1V/5FjB8eK IasHFCidCELCrbQwNR9opBawEMbkde/ad46LUIyB7nIYuDLySkqXqJwHWXiiMdu+cslckMEjhnhv zHWMP8CwImq3Ub6ti3yacWPEKqmy3wkg8kKJd40+n/5x64/FvgB2xLPZtHSIAQ4bBFTIF97Z8s3N DAyrRAQBQ67PSHWQfoFZ2soDwusrN+dKWFWQBIeTKZQCJUXIRsl0H+qzgLc9esO+x9omS/4mQzha dwyeqGafcqhrQz20hwuLEXT/FzRaCWhseQZg0EL6dbnYFwdC6nwusIHtj7gFINo3VLV2EFuiTETn odvlzMW+X14A/bKEkWJYzWbjE0L4SaWP6ipvU1FaQD5Q4A72wxOiZ9NFnsj2UVmdce9RiEiROcm6 +EeaItHs3XNyJh+KoD0OM/VW2Z7H6w4VabiyGDN7/bUvi7V5IgVMp9lsY9RPovG6JtMrT9rDb2yA jZVDZI3Leprx57rcdqaGx05KesRbZx9CTS9OmSWGH5O7quqJ4TNjQrgyjTNI3xf5BahyVKZG6QcE QdUPmu5/E3CW82cpYT1/gT+IbWuIFHcXZEWBFMCOGVVcsXPDSR72HmI4KZE+MQulA8bJs67EfPzm CmNzypncOh9KdV3+baNRUjXPSZZsKIbLZspPHqnoSFq1oqv87nn2NPtiILqE0fJatvO/kYEw79+7 +JULZyVNgbfontJBulo7YQOY6uSmHF6T96X8qURFTzbKTuwZE5G9UkqpnnH700oSljcSPX0rBElv 9cTr5wNMlV1q7Rfp9hkvbXdDvQwc2K4zAYAi12VfGN3X4yaxqtjlKBr00qUsbsbCZbfCKPZFDGTH lNueqiDpArPvYBlbUCqBP7hHcKUwRYXPq1TpuC1C5/UDeB/Xu3ElT9a/9Dj4t1vQhPr75lNVHyEt JyZw+NkuF0SAqhjJ4VV1to7OE+Ei/rLBM/BnDq8euk31T74sBTq5PSOk37R2QVvmXgeMQXf4sz2d tDMWX4JifmiZ0KhN8YZash5W7FQIZNCBBRCOMhntEz3l0n6HNuL2yIMk9QaOylSMVi8XobmIxWkI hbbszeb36Y3eE0i5lniO0TVW/qHuSz9k0jv+GNAdMPcobqrA9w9VvzCByhlvQcWUDHYYs9k1Zd3O EyDYFfmjaQqwxxINVaxyOhnX0faXSu4LZaflLWQ0rlLUfSrFRQhuQHIPXOoJoottEkSnapHL0+A6 ej8MSvkhsKtQsreOlLZmNK+rcc4svhQECLow6M2r9gF5sQo6/NjXJtOuQ+tM6sqnmaPtZC+dHRHB tqPiy5jFuuZszps20nK1ytKIjC94J2/HLiJwigLcbcvHf17tAM3JVYg/djauZpmspgzfHFFCYmEP 5WFueu+p7nz9LyTuD1uket96vYLW4O7wJ/pA5qv5LEgdHnhWv1xun+/9OGh7r5SSxrR7DpeIS9RX f0vafWTa8gxSqYkR+yhoxw/s3pUhV67gkkRja5Yzss1s42BOMYglEwUj/imJjxTkjF8BhJqdsVhP 79P9DOKKlh6NkodILIKqWVJ9SefXW4KTiDM16vZKmXn2J+Q7ws/n6ex/bnxHlG6PjcumDe7gQ98u kfsSssi2TwBjp7QvMmPepDaIEysW09rR8JAoNvC8IwTPGnuaSZgsoZuwr8XxE8fsEP/EK8ytN318 +3vA0pQYuHag9H/DYlE1bQfJ100y/ipLwZeSfhfyjZNQuH8wPvFp4nnMr8/diRdGrZ2XgDMblztc 3zApWbh9o9LTAKggP0ru3F0pOkPqnIssivB6fDJ6samYzDH29f4QMrFTs7oxyZGosP6cPT78Oduq LJf5bNaDX7Qld6vHx4EiY+2Vy4NbyLpFZ8vBVYVw0+QnoDD34cvYWJkrMl/KUIM6TkcF7MWE8MlE heBsJa0Z91ZTqiYJMMFP6VBKpHu8uvhJPqfzi8d7ILecnyMfQuyxWwRDfJmaJ7Vys07lLSYa84Za etHZE4ZEvj4Ypc80bSP+1Uwh6Ph3BgM53TkkgnT9qH1oBPOsR0iBC71AA8npA/aovi+z7R12uZ6N hmN1JsTznl0HhpVofpchLm1uSFRGzNjKQhZbyN7Dd6vFMeuLIH9EZMIAfhukF1Bpnpx5Ij6GuuFc x7QsDvC34ky/NznQWlso9LixvGxyI6hDDN4bWu044uyGlInhqhgS0j0lC3uD01hQ6b1CxYM2k5pj 1q88ji1g3HNDHh41xb+yZ/Skgw6ahnwGabScGTmydLS8igB9fLhccNuJub2IP3+/zi7FQml6yMzj Ga9eFjdw3iK0njib3f8IndKbe9YM22sntniZPTsn2sGHC3ISIs5H9/v94o8UAlqIpNJ3Hru+Y0hd RJjLHkhD0vD8h5fXcwE9ofp9nE+EJKAaIJgZkEI48hPSKIbGN0LuBmIDWtOh3c32Zec7o3UaoE1j mxxEyCa2C5+EJ3X2sLhgx9WwIfgGbUfElESEhbf0Ej9ht0zKIJk8woHal0y56uk1OL1qUiUFtBc+ Kl4+LJNXRZL/YV19yUGGca1jHjFrtEf/Qu4d8Tlmj5N+oNlp7Y6deuiN/xP6uL4GbxEK71i0tJJS yndIRPHJlZvZAXTFIz1uNZFyLTbR94P6q8CfT/jkekdIwMTdZRJtFCsdchUCnwcF3caSJR6PNfYj pB0q3z22nDu9qTq69JLSKtu75D52cKjnIGNK4FOmYxqY5/dt2eAPVCz/UzXQyImVOoDjjOVrr5hN X7KlrYmEas1DPWcGkvB0HovUDbeX/3C0SEDnxUPIPg5fnKDjAj1nTIpDqiP9nztyRnVCsjbmPPkO N6SasRr74UG6XmZd5Z8iZnhvVPz26nY5IuMNraWLpQIiFH+OL4GUKN1PQ5xaj0sQOOyFzmrwWOTQ B2uSIpne3iqHdkc+54UMzUMMC2LSAs37KmbIhuuDi8hq6vErSNM/49J2HTjy3ZbP/d4T8+okC7RE 61DUTI2qATDrB46u0ht5C/EldNiO5IN42LN6e8q1hvfVUIGv8/Z3CSTb1mARZfZYbVbvaIcC+O00 GKZGyOTO1spEnZwWfnVEFr+WAUsmRtfUK+0+vmwTOPFNYDOK9ASFxEsmj/CR5AOKm7BSrWSUPG3y dzhIUTVupWdFcOQQUASgu6eq4IYmw3PNmm00MRnO38PFGPGrEetq7/OhAiuOMSyf4lq38BVukTMf vuWvj2PFB/5Kkq+3WORC6ZqkihMHMk4sQIbUIauIA3yTvX2G2xvK1PlcLq3G3I/CAuEOHIRojyFe 9d+xUIdShqGHJBy7uaRABv3J/2Ngx863vAfHWAn4O0HRAo0sFIKx7+SDK+LiwOCxd4kBJydv7Ust 57bpRTxhVo6yopEH7rPyZWCe2VuNmoDryKBkwHTzy6Mrn5jd3UblXAa5C2PP5OXjQHv3mY6zo4zQ 3t6AT/9c7wiBlImNbfV6FW5NJMud5hICw4uUcxdPBsvnvv2Jo8QTPVNyGI7qKLzgnGGdZ40HMjtX +hoeB/flqo3u/P3+TwIw55N7iArjs9O4CrGlpFzL2Er8F5YGFvZ41/gto5SkTa6SzdeH7KB5cDEX YQ62Sigrl9Lvp0hvb4Hcsjm7FKfZq/krEFIZT06hNV8i6Z84yRyVA5ed/8HK21HtwmITDLmRShfP VChy/M1or3mTCBUx/Hy/WdHSW14dKsBs5flwziZgGhQndWTBzSN+q4iHipdICYXX3mtKneoVhdTN Y/2ZUBpnVLZxhP0trum+UcXK7nNMof67jVSyQWb6mFw9c0mK3Py+rJOQ2iRa5BgsMPy2tl6CMTrr oFM8NAgh44XdOoUxmgzx9DXfz6t7wzbWG5IabxvDKLOofc0AfH0zcs2Fv7E8RI1aEmOU9RkUu5Qq tqnO2VCFYL9119tG1GfpxDxhzhT9qWgKxZaR8c1He2byJjpnauYbMF++Vn67TQZp1QjoaI8WHxoW JC3AEkI79D/DQQbzKWvyOf19lJAwH33oVF4ImBOhNYCPpQtEAgRnHhdCU7bXIhw3bmaRAnmykrBC Hdpjt9JWPGcmz6kDu9ceaLT9dvM8Ospsz3G7Lx79s5jz8COa8FYDgy/X4+hI8zPT8A61Bo0ccRCp tfTSpQ7CYjV15h3hZwaW+utSKDGnbr1VM61gKC2LSiFvTQRiNyAbDcVKnTowPBr0OmMWBJJunp8d YO3Wg8TG9Zi2Kagw7R6dSYMItM2eywHwDh/vwaTPptoNIl1YEAAwfu8G6uVTyzKUIZ8v5BcNxGPv lgkUIboBacpDzI5hHIbyrI9TBzWjW3B4ag8HeWJ0wnlS3+nGnEqmKL8M95SCnvCsaYqpujn1JhZ8 i6zPYdeihFV1uXST+63tGkdX/fqyKoaU7GNzhS1Aw6AteGCRLOksBckBZjCsvG1niCEyhs7cYntU 7HgiMbb5fzVFUJvEW93WhtNMMFg1heyOp/V6pdQcJ9Bbv2cPmoNxpzhQqndF37xIYIPI/j8sAwWH /jZko9QJn1AkN/KaOkzgy/ohu8mp1ncfPRYguEr+Vb0oZ7NSCzcS1WZdT7DqoGAR60qqUtTFF60q CV6Eqg3HpE9mgvUEUPgmnJ7rpFan7ADtpZVA9qP/f1Afj/vDMCSTfjeNC4MiphlzkfgagVy1qcvC qqnbsxxRqCNeePrPYxXA91q7eJzgA0VZSvu8lTGOtzTG/Zdb16ssuvT448dEj62MpObVo6hlPLUs IBxVUhczpBWtL+4ByLfpadQ21ihkqlbJC3Yz/m971K/YtV1FlTSafbLDgr9cdu1Z5cpdSIOmAPQh EuvHMtXkSYCr8MvzRAjyg6+dZyZCrXuhUtuhlxUrYAvumubm9ABNSy58vsIfeYQ00+/qdLlv3K3W DNSUND3tVcYCm+D489PdWKz9Zg+OZ7GlXPJ5BR7hSWH3/0YTV7xIwzIz1TPpSmcndgCdtalOAAmH gysDnsYBUH/AWm9QgK5NDN2TkJQLGFU51gElIvKAdClc4LkrrfduKOijEJMlZ/u+7B5gTQFnn0Vv pTj+hRVgWVgeAZ8QqewULob/raApR3Kwamro8Ry+ofI8ADfbaE/KfswGLWsPJRjY1PieWKMY1vWY FUuhyEmcgVJer5G0dzIhHqNIqLris/jU7lBFZVF25cACwNZ5hWfvJEnwZIIOzUx7YX7w1GtJO2sC UQWhs06XcBUqUUYeblOH9Fxv+WrEC5LLL9kWxvYzLCgF2a9Grb/Em21S9s5GJHTVipNMQCm9c4lJ RFsC2MAXy1n3wH9KA2HsAjsX2vrr24SNO9Kd/hH2IqjBhTCb9Ae8BVVbczmIe+1PyPTnn0r9/GBF 4MsNbDlSr1wsnw82KOLP1afrSIKIt8Q1D74/RSu6tEu/LTyIXtBmX25+UBcL2hUmF1/pIhhFAVKJ 5hqvdEydSZLDlN6P2RJ01vpMUkSLzosg3kTjFSK7Y3gmpU/9F/BduSX6gBoIv/nQZpoZInGLDyqu atUj+Oxs4h8wH67kqCBsspxuxabe6YMrANYptfSRSbR74zk4qK0jQxpTfuGuBfhdp1jYpcVrMhQN X44Qr+zhKfeG0xiePuL6ulV9jFoyy8diZKmuWHtLbNN+E7aGdfXoz3WNUe8YaI9g8SNmg6MSXvbA o6OIMO+4ME2n915u/hVuvkjoVmzkDY/WBhz+0tSe9h2nHtSY2wLBlO7cxHwiEt0SaZGaWxdMsK4J zHc4dsidFy1hS3ic1H1FIFwFUvTFhfWBiJ22pucA+EMkd0gEvxVnD/vgCYdPoT4P5QRVahRPBWuX bgB77TPHFP9b6gIRZI2D/LgO1YbJi8I7sN+NeshlUHwY1vGgnKCQVpQZcn+CKtWx0hGeE4N/VN5k j+/ThAhP2XnCOaDD0N/pFl7jgUXJYuYjQPPJ7BBKeEsfbT1yP+qsJU7pf0GjXTcevwYcYxlKU+pH N6TmQnXJnn6RW5RB9YlNY5ebP4PNGa+AgidyAb2qXO6N6D7jAFu6WM2cmQS4ASt6/l5hKUPm5fel D63zpMv+QUNKR1WFi29SDSe1yH0X509c1aPMcNyqBVv2g9euunOSbgeZCmW0v5/DWUraaJ9Gkg1k Pua8KXH1T4QyMDiOw8Tlpuwby3pMiQnwoYY56iA+Z1QDwNY0C5QcEC52TV6hrob+lxRvvEnRL6pT /3Ph0AywWeWSogB9qttzAIWgwPp2KS8GFjDuEuWxAlQXFxiPgWBEly9PQSLcjc/QVBE34h/fSMo8 Po0d6XuuhBo0x+iHsUFMi8Z3JPHZZkb8yCNmTiOqeBLQr3x7e+Mg8PED3RTMQ0GMRGmfD89a+NAK NgETXc2yTgXviTOT3HjOE6uYC8LrefAhQVztCJ5x9uzGW4ReLSuJdCqXOxNCsZITmlvnWfsYxQeg dJoKAGbYrwPh401fI/04BhXNW2FnLeqp6xpNYe6tcjMsZRKEA0hx2LEek4cp59QFWEPNoZYu6j+3 1NDoTHIwphui5M16yrIrhmbbI8TbCFqUoWfy6OXjAivkpdZtti+v2JOKhEYBdPn0C/xCPECFnVoU cHFxxlOygTuA+PSHjGmAU+nw9qwAlrtDaKYzSfGLX9ROrqCt35PwstOGe3bNZ+43q68o1nzHQyE3 /jSMTEbdcRYeQRtc3NKuXZmlFoJB+w/1Pn/Xx8ptnlMChFjZ/yc8Ou/uyIQcFsp2MnAFUff7kx/H VKWAeQ/pxX5KQnQ+YO3ASbkwXOPHZEE1SzVnNeM4tH1ua7M39kfyQdufiJJKjekeKMEKjpgdrhFL 3J0Nkq+raPNMgA5DAbt3IscsfVNOwgnt4d0nyx3HEgr7OADGXQ3prZ1U+BQ97e2n4CUJ3QAbn0vy k+wCMZJbNcIan7rOoO3VNCeM3eVVu3QHAE17ZPhlQueejThZknDUWvzzVV3VwC3GQ8XBQAiSmOF+ RRK70rNFE9Duib9aawIpPPgnROROslN+4LTEO/nBKTAPtfVV+eLuoIpRSrVoHUlMccSJmUfnDptj hdT3obKgRQAOn416fgdipiJBzq9HotCRK8mVtWLvIpoyRHXjhCsGrUlEWHMNHG3JdHO65R0mQ9oO xF4a5xTrUCakrvfOp7SFzBrqIkL3xgfLcn4IkeHNI+IFZGFBa+S6+tNNJDpyOE0QlIutNEUtPVle /Sa9M4ijcqh66lkIoVaS6BaE/UrjhTyIHXs6ItzeisDBBKkz//9fzsQEGrHTQngUZNH9lUmVDcbs NJjKlw+ipXkcoD8TttyNjoyLcNJtsaqOTNmxP0HX6MAlJp/ZoebOA1Ul1W5OPLSUQWRDYPa6/8E2 xqNvAvgy3fE0g0v/IupHLEk+m8n3avHfcVSDxReKMaCwNgWESJCGZ9RKIsJpKEN4TMxU6kOv9pZn 93yzotxvaLpfk0265eEHKUyaDCvl4h/dnT8F/qRffrfI51OY91v1YNlbXth5zgpN5Dgfcabs1XFM rgwlV8SSmcwGhv4q+iDEaDCR4I42r21g2RZXK11va0ILzmWs72eTY/pRmD48qKgcEE5d4Dpm5DcJ giYMIOrB7r7/Gg9RcW8Y7q/drDIsRLer35qMpQj/2E5Blr7e+q9V7cdqOWgdWAyD6l+J3ZPuJGAZ izzdOX6o1tT/4KxtniucyfY+/pnf3yugyGXrOp0NgpnTNv1he3F/xKXTUEPGn/NdnydvjfbfdSFX Gz8OHYIYPmM/Pnq1tm0RsF/L7Wb0spCuEWQRw1YaLX7S+KqvS+W590k+kB/yJcCDNFxNdUKojHeg AWWMQ3+SLztqBkfC2dH2eO2PxrkZ095C6HyZgxcRWQ0vtYzsGt5hQVZ4j/tu7igf0t2+dUGslDCY DZA1fdRJP9bLGxmm+bdWtXDQr1BOaLFOZNFK+fCT2SoCZtjlqJDG9faWLNIlPveOy6/fMKmeyEW0 nHFvniJYYcCCajJOfL9vN2FKZHrqyVowkgLOnlYFHsH3gYygvs4kHpaWVBOIxfA9nAGcrcRzNAQI kZTnPyDXkiJUgZyWWzP9tKrKO4bCjIQMlrKyJKF0T9IV9H85WdVdGHyzlCzoYqZLdv6oIauiutLr UgSKPu+w350aVYdo2esZny6MlV3AZskjLTNQGs4cR+0dvKw5m5SMIP+zhajX/1QtwMSUxe8ED1hp j6XkTL5qUKtwnewBePBBWL/5g4OCCDMHA+6w8exhj6ZpSWHN2jMt4k0LAf7JN7diCdPUUQsru89K S70jm8Hd+oGsQit+df9FiEgbatFaJ3fxhB4Jzt0sGoHw9KtrH2RzNvs0f96OpDbm/aLZ5tIqqWmb AdjrmGZNOv+whExvPIrUe2nwfakgukfPwK545AUy8XoLotUuYBK7twBlhgO3Q83QGEMA2aizoHIN 5tcCnhXjEtf7pWh8s2ltkqB/ldj5+vv+1tYhpm+oD8VGv+f5Ma9IeVHuV3EdV9Dcu3K6jOP+u828 UWYyjIybeQWx7Ug9cJvLH/RJSTMwvgpSDli4UMPj/VAqlzrvfc9hrCFo5MtLx7bbvX4ehrlKfFBc zAAT1ed4Lc2KWCMxa15SoM3x9H2BIS/LGeuFDYo7ZdhQZ9lbRLutFamfm09XYcyZdgAoYYLFuKY0 KTxY+Xgoc9eDbM+MKopEQg44dIMrDbzyQYAJscl/REfm2ISHrWIts3cFtxTqPAQpgdU+J/sSkp+4 Qk9I3eKf6B7ZcR4IQYDDq4BqwoQIM9clqRv/V5TuE5Xev44cDw10uYoBjNDn9+LuDVLMehWAM941 RDiIhVgLxeyRW/08cn0EA26uLRlPcJNo64ov1Nf8zs2MYOUsdIn/SxyUbFL/u3cRY8AxekWEFuz/ 7OMhNUhqqvXhx9Wuy7Fo+h6zLD+F6fCS5RiDGprncuPHYh5F2TGtaEKIubdN5v5AtZFZ1oZve1MC pzVt/wWdgrXjQFenMjoomM2BnjJAcG+Y9HH18wmWOo6amqjzOzGXyvTa5zHWT//5m3+rS4UszYpm qoqaYtsSwSo+Nor55xoGmTNCMACgK+GmX6xddiTDoUmyvujYBlLuDxgEVWBnFZAKjqjzsKeBp+Bx diAj6XmteQm2+KJMnJ9kaiBoIsagAmeJ9UisfT5c2Of1b3CyuFEfeC6BuuGrgZisBxHSJDJbra21 CcToo2LeSmp7rdGV7UZqa2FLcDkh7GZn4SQCXCqD/+Z8omUVhNbI2/pSX1Xf3dlv9PITnANPuu6i JrqsM3VFk3s5jY1FPyelCZGqfq08COG2Kz4G7XUG7pWcZKLkGDtjdo6fnVrf1O5+u+eDPoZpob5l fdLU/1BaeckZhgUJgTKnPiRKfq8VfA2a4pGFBnF3NCZv1YOCsSs4df7YS+SZOQT59xROxPJbEhfi hRyM9Nce3dGAce7LjaAjvafm9EsdQpz60ivwAS8te972I65kKBuD0IbwZ1HhjZDhbKjWvhTNblss Jt+tAvKbwDGRb4AbjD0yqfCV4AuW07TMVDrMXgLiQmS+zv87M7REsHneqJ/NHwH/k9qyTw8cja/3 XCIA0acnNX1NVB4owfabh7nFMZW9NihcF9n8dudqT/tkjQdx4eCDbyGE6hCB0R8bx66iZRoHETJ0 P3GgdzJ/TB7bIIBMjn3ErLGd1es9IL38w6mJlBC6ps+cm1aatucS/2L00KVI2gxDrdBZIfMyYsIh 9iWPYwmlB3SLQ5JkjDqO4pLWltw548uKPo9SSTgFzp2gyi356sbl73cGrEdFyuFwG8PH5MfVM/84 uFDzcNEv7XDD6W6vH/grx8f+nvjASLjggzpl0ud9p221Vj7UlfnmAw8XpheBXEVPZO9+bfSsLo0l QC627nVcB/zu0uuyCCn5qH7aWn/1/hw4PLlYkXlbOCJg0Gsv0tzoo4LfVxpN8Rvv7HLPKNLoYAZd 6bvv5RalQnlu/1DI5WwCzNt0KmNZPhKGVdBE8zX9yyoS+0qNw3jn13pCbkuIgJzsnRIl/GMrl/wc rxe20DZsZxLiuGKEtf/cWxIOsWzjZGudF29bET1gn3MbwqW/3A+iWSc4+2Y6PvUstvUwa5gpi6Cn Sb2UXuAJeFVkVJKsfdvCSw0iBLmtmNPiBuHNMBCqCyVZicgWfLVvK5SFS0St+gTZA/vyTGpNdG8t ECZ/rkTpzsNn2ZBDQ+2xM+uJW3cTj0vAcufKhZ5DcucHK/WEv2Jwbo3zRoAX5lkSIN1bl65MQCzN uif2PR5P6AKiNC4Q/+mqqWVhIonbauvs901K2P6egpX9KGKbMZiV51b3Cb6BsepdIv3WKUh/Sngv thZLd61BgJgr/TnekfRQdyopPuTY3pRpz1muVE+zgqasRvlhWz+oBMAN55vxLtueXr/2j5D0n0FP iREfqDqAqeQN5WNgSfDFOhibfgRdPu5MdVC33Le8tyx1FMeA0U7ApAXvRTTcj0Z6/ssf59n6/1ju crXWwwLGeCJMjXsUOQxUTMNbkEyebwoPniodbKDaNebipN9UCkSWKDTvJ0glr5MXN4zhSAHDhj61 kTZJWQ7Xh4aVYo4Nh7304x7SC7Xhv3XFDPt/JTO3prjcNXk5lBZ06hNspQ32ohlJ/usecDeI79i8 tEyHQecvCNgdY9kWCI6JISik202S4jNpjZ79Ih9jWk1Dwrk1QAIrZWFhIdl0gnqWGB3Q09UQgTnF RF822/GlJ2rBuh5/HqkGzF4/zxGSFr1Zd4LWNZerXdPmK8HWxU+P1q54dyuI70HDvweySFk/Cqca cKt/QjDsJPWQepMALXgduC8vZCRNcgIlBI3b2HxYKzcqEiT3fLvPoqi8HsXA+W13QecS8YiZUMbO 6RK+A58EMYe+6Ba0Ydrz1mlHpvV3GWL1TAPITzRuV55T61isJxgv6L66aQOSsp88fIOENBPMRvor Vx/OuCN35GNPKJPl/HzfwwkS17XBnJDwvCX46JQdqxnyB/QyLl3Cf0tr+RbCsf9ylpzgoCfc+fSL N/fV0HdeygFNbARdRrzQ5B6pgZk5gE5xynAJe5lKHPWAS6d2L7Nq63f0rTEOG1MvwOo6oaB9js6T pT58hdLdDRwwk12nIedUG8YXXCVonBYVZyFmvXyxrqz03OpgBTaa6LkHzhPYeYPusQT1HyG4cn9D 2LfCCizXYwzPO2NaE0aVa3Ck/PIl/NbI2WylRl4q/0/dDpoZSxowOuxoOmNMsKIJQK6cjRzJMqS4 oCUgyYMQ8efyD3BkXIlfVfaOrD2V0in72Y0xAlMh+AptTPEPLPhelXD2EteBupFteCv6nqumF7NP E0Mwj151Gy+Pfw5Qw/JzCM/649G0cBl3VpqzNt+yDKORqKQfl6nry2UpCdkdKZOIjr0EW6A4nZhp pAsRUYlogvJngeGhX9uOV3NyXZzQhkMiKpZsqf2p38KX7m6xTKRgNwggRNgtn45pQ0iqtEInlEN5 LeGKc0CirvtySc5t1iXLuq1vzxWnE/pqFX6bBSS1YHGiBaZPMbxdseWzLpPkvNz+++hz4bHm98ou st6XCva9s5q5ia6FqhK95QuXhvI+X9YM6WrGPDEnrpcyVy1OiN36zvO4Bf1yUKfvh5p31ErmgBRh SbPaNC1m9toT8v66nnruZ6VBPg3GhXvVwhJtefACJGvPUR9LtNtNiCVQIJUh55IIeSz1mWPKyK12 sh50IZCU+4ih9pFtgtCz+qf0FMVLXm77hNRHrh8gkS8TCgG3RNbDn7PEAWRyrbEdPUYHrWQMrEnD 4dRgIu0zrLvRncJ2zY8HIxKJTUi7Y1SUjTbpIomiCO8Kuc5N4TsmfpimK7bmdVxnxIpHiX8+SE+K TqA+yXhTZ3wJNYaZ/E74S/5Y554U9AMez5Au25mNE1sX412jyP7VHztbTXoQRt5i3oqic7agwYKo /4WxAss2QuSn+wkvRYD3MgttI+kOcKDa1e3Q5TPJ/HnneLQEsGdI17jczbT96J505d1O37hvshsZ ku7rldko47s6YRbwjMWaA1aJeTaygGyhgsZFaJZvoo/T9qVkHLfNY0VMQtFewTAtv6dZwbxKGoAB ynmJM9OYxbjj/Clvu8/1DHs8b0FqrvpMV4YkT66h/NfHEjBprYZFhRYWKjiVzYt6pTHymElzH1Tu 5h8MIJxcoc11fekAYnsNhJliXKOBoxMkkanzBAl4uGrXpUxx1OkFtFgXWrF8AA59Jo33dY2jASIr LTde0ucNR4hmnaF/kzKBYdadJ00NuG6lrUZ1BU5uFyuFpoV67atZ7PZ425ebyZyPeyYPF1T8JYhc JAHv1IBg4QvpaRxOaf5EhRxZzCbWaSfuqikAYzNSZryhGcKOXYh/6t6E+H+vHkJWmKfmV0MC1vMe OQUMa16gc4mcClBTP62Fzhl54Go1JMVjYBrh/q6PfkNs7TEXC/hs37yBh8pR6wsyM5RMOvNOwbcb icfNoWfx8y9r0//NPhg0pyTZnfUgSND8wwva6PTCcjgorZ0SULRLcYop/BDGoDQZUXgCBsCSGtIw 8WKX4NuAWVFNZQ4z0GPXeSIBpso4RTLyH8CCpQ7DvJtpg+qgX+PxgzVthmSdYbVBsc2d3Y4y3QEO X7x15UMJhsmy5o7piffT6UoCbGQhVyTWVOGRfR/vDJtw+jYIUW7VTk6+NbJNwM1FpaPEKHhY435V 95c3soMdhvz20E5CrBF9mwSn/LjPV4cdDPUCLrBaKvADJ+OE9atrt6yH9o76vkUdOpj4uciKklLL /zDPgzGFk1yVqX9KOHydtRhiG8TVbw4MY1xFchN6I1vfACk5EtTzBl1WVffq9nRmFeSJGtzYtySg BtvBKtrUg/pbe1WfVh+9yFdnbNSSyjWx2IMhvQ1OUf9yRixXbJahsS9FOQof5vY9IU2DTlQANjqI k63+THPYZeQkWwqolSW7EwpMAxC98A3F5HtGiE9YxktrkgIQQdnbH004QXqLlmzpZ27UNQ+lhauk iqpb3i7iL6APkCdObG9on0cTs7shbFDwOZXwLHO+mOZ1hbCcCYSqst2otWhul9P11jqhAwoRk6fG JrFPmfgp9B9D6JvCEXYFfvOdBJEc83FbY/LhOPWdhw41qMcLQsuheCHKgRWxLBm2EKd/7cuno8Qe /dEDy/z4kLUtd8asRSOJV2Gpb2akjSrKsOn8wVxVFX3MoDEmASHcH59ghevrz+qhKH1ttEuxmWsu o5Pi+vjxaZnUMxg84R28vZWMyre2jVeGuhZ3QovlPh+WWskFAnOF2Os1EXrHMvIZVmFBm+BWQypQ fCXZryPXn3p52QcA2V/y35u0paT08XCO1mouCAupF/PE4zACgapzOne2OqY01VphwPLE3siSJG7o yO+3TGwI9ijNWZRDYIukJZkXGkqFS9nbOS6AoNy0W1COYGkMF/PgL3Cj0TicbafN5tZxy26hPmyU BW1G/zEvyScpbo1PKObplE44xrYXeJGtA9sBZtRcBGYQLOXDcLtl8MsOVkj5iXvrRCJvwvvwn9bR vR+zNdtIVr1xgFshAb5Dd/nXcuT7qEMod+lJwiqro6oagjf5xHVr5bA2Tg3FD2bp2lYp+p4Ejfc2 ADdAO2ia+CpHZ12o5AW5oAYQFOu5aDSW4KmfVHKg7NZ9l5ZQXLaXftj5Fo00Cgfm7BQFEMk/JpEe BeSmE1D7xRX6gMErAE/y7kBy2SaVFzsuKwz8b3Tv3QFGj0i4VqTzI49MGGToMm2oyXX8d5joL3Ti yApRBetpDRxYhyE2IlpSFd/Fp/e0AHtxXkFEHN/fGeszISVblJaEMse9mcdpzhUA3DXLxamvOTK4 MwFjqkX4Y4UlGVu5CO2n0Ik+U09UXe2aWBUnAQYkvolnVVQRWRW4zh80uwdmDhliyEcMBE2boLSS zYLW4BxJcr66p74wzelxkJt0gDNGLlwtCqoWUE9nLUGUhUKpMbhGLO6bGKSapB1HZPxWVjG7Zqr3 DPAkV3WhlZ42tWG5x4cpKIr2r23ALcqKvJK0MGvRmGVmj7fu7A7fZOQ2KwHy3IUwqBpvx/F4qC7r cjm6BqkC8mEcc1F7nXeUHmJBipD5QYGD8AF6cStwBajKaA/8k21ygQRG/chh3GRQ72t/U/S1uPFc VMDa4FRqBmNjYvHNyZBGaeWFePxFLCYvRmAnjVq2zgdymgrzpRMBhYbZx78Ms/vgZUmzf9Nu0GWr aiBVZ0koPNQvt2a4g6yZJhlRuEnEPwDrU5pN/NnopO2mIPTBE/eCiemU7xusJnEVtltXAaBcK0W8 4rl2koMDZ6EopqA7fJKDD6tbLAIFYsG7szmSuvrOj/tb8z3McNraYM/CXsaHR1Bu3LrrtkTPRsiy eL4pU7mzga9olNKP9L11CJ6TA23dBF/kG1QfK+FmwirxrHYhHpjN+0fvd6wlfoG1ETAMX7flEZCH BkjxE2dPEQ9a6QTtLZ4iVdti+f6DaL7uqMiL2fwdVzDCmAP4oWUVuOWIH6lP/5Rmm2IrDynO9eJR qrVUGaF30Oz0rV0vO1AcOrSUjx5080ZBpyA39OvXVReK/YeHZdyKZ76/joog7Rg0NaC1a43duPsj kVB4gj7NLTNG1mB09XFQZj+g5Y4+PMqNIl83hJ/NKKzAt0ZerxP/2MoJODGlAvcLne5x2B904VWC qjIzuUJjgSlSf2Q1mY1ydTMBrPPw0PquPvjBWagmPmcP0s1/3YxdnDD5zeJUwqIijlRQWCsQtWza ThWDhi7Sw1s7rbVhJs0RNMZf95EFMtMCWD0F1+yJwtGl8EmdxoU3nghiEXH7NF14SfMjV81pNLaz L+URoMNRADaH9D50wm9zbDB6nntEeWoV0cCG3WhHM5/HKEFMIvRBKgCMU+Ox+1QPmwfkM2wyAKnq AKg7a9k6axtGpjIfi0RPZ57y/LkH9HKWHfjmnk7rExjVXOOQ2Xhg3EVCDM0sMTeiomzy1snz1rmk yuKNJFonB7/wYQ75M/pvJ4BGuH9N5bU8rOJeqhs+KcEpXfM9vdYyt91dBfDYHyrnJa8YufXM6+nB Fsg3oIzsz+KKCMEDIhL2xAdtRENKjvu/Sp4ENKj4YPzDO3CVXbt1XJasfpreq1p45AYca5kwISQ+ l1neRkWivIe7Qleetw1AO3PvbpN27jV30ydTlngZWDY8mWoWA+hom/DCmTKCKxhlzCaU0qFg9oWO Jz9egSZHejSgxZflUSXTlxwebd8U+hpGUqwzEVL2GvYw61jh+EEo8Rb4T7PJ34GjagW5803sGvli ra/0ur50i56PTMG5vy4ALuIhheXPrv6cOWzgcCglNgyCY3CBEZsNA4LSZiFL3E1uFeWdp/kUK5+r xwnED68SG8pdr07krlmC6oPbh9DqaA+mAMwvYcxAscfJD7wX+Aw2ZSQ24fwEwZEhwUCr7Qp63/4j 73t7vsreeAAU48cZKalj70ciwHez+q9xfVlgT+rckGhIAFCTjRAMhpMII4crXo+KJoy/+m6jafux muygYlysCoVcDt7hOzrmM0KlStFRFcepXGZNDWSiiOSPnr0oY5DeTk49aHVyiuOb5P5x11B5rGBr 6QbK4IbBnpWukynxvgwGnNff5PjQl24ZfqKhcxiwITKQNMiXY5MUNIV9nxgurh6uy2P6kVQ88XCq Gr/cwIJKy4fy68qrcprJiG0+oiEtaSQTfrq/E8FqNs7RYN/0Mcq157Pb+DA4LJziw2issQAa7qRv LtReiEM59coC3Hhw/uYdIAJJfjNt3NuP6A4/4ZiDmgZHiiXXEtD7JtIUcqj4IxSIlXoVpeY8HPrq UuC41st8HdND6gRwLXKVt7dE/FEKZq811SgCkIkSrWRSUs1RPDLgYx3ZZw3fYvNHPv2Nso3yrexG sxFOXXaYNOL5fihY6uN++MTpyqB1fMrc5IvmkvYIOzo6VaAn46Eq+FsZixYFdgwiy3E4qd7QTAd9 udyd+7IOG/G/wtr0C/YjUtTIj5EVXL7zwH+ppdUF8LqZvMLme9kuu8OJh63mNZaX+HxHrilX2fwd AjXRPqDBm6SHIt+oSO9TxtTn0lY7GCMOjg8jT8hNIz/a7jEAn/g3bCtt9mXuc4U6u4zE1WhmhXr/ kF1l8dMb1MfJPrnRF/VCjemHY7CZds/jbNP7OZy6usMe1j+KuBhDSGN/nWE2p9HMRLHORTf22VLx UgErBzA1hv/+TCJDTQAgUhX2594pJo5tE3EQjuFuWktF/QVZgJTO+fkqSTzFz08atvhgQXe2AAZx aw6clSNkE1w0WYBObF6UIXsVLfEvUG6Ds/5bJBHMeN64+8D1tScBjV5R499/o30ONX68RqvZJso0 ljzF2TISSj9Wit7VqQdSk/NKzqBMgkCLIcr+lo4PTcDP6n8cfdmZoDmcw1WVWC1gMYr4Gkb+TbSY h3b1TIgGxLOigzg9mk6PK4D5GmvR6yRe6rFYlsx5b3fuXFlwpRdXX88aNNYgxp2OXhnqaqWEQTS9 X8Dijq2SzbbnhKI1BE2iKY+75RBycY7g77T0/yLZk021A8SXwzZn4H+q3sIbaqlSJ7A4HS+I9V0j M8txoDnv3gx8S7v7a7hcvIUO3yH4/h2Ff2jMivILzJhTAGIObb1CPC1IZdUhbdjzNz3gtfiBHIDg nlhcxfpG6kmaGHgsWV6j2jPjMrLGxzXHMYuRVVdKTryZHyShbh8voWU6xD2/ADkPNfxUrogbhLUB r20aLYuzeeN1ZQ1tV/ue6kbrsKchtTABoWXYsQUvEFUy92K1ohazOEuoRkOqaOtxb1f1nFunEYKZ kDsqGq3zwr+Etb3eSeBmqPCBpJjLVvq65M2YhEYDV15cqsCfpm7XTkI7UjDCSKvnVpVh6kijQH8S ZZwsipuSeREToX7+V+fAcWl8hM92+LLIyhcFoF+I+PMi3cDezkWw9l8G6g+cHGisp+69+iwqLzap CelhPKnECiHqXedRxC4CSaWoxDAODbl/VJqSTC9QmUrafHp/LFHQ4yb93J6xSCeBr3FoB9DZRDUv ny9m2tJZmKvIVmrUXqJ6BT8HdMm+e9WE84QzwHHdA3m+CHuqafMiFJXcVJc0eWmXqSU8Dps58PNW uXTSi9gXnOqa4ihLuqT3BBBdDKwidA96rAnvPsUb6jbK6ACmhZwvcWc2SX1OFBdTwTtaRQEzX8DH 2soxnU69dTRmLJf7PkvjT7II5v08ycrZ0XM/JkRWxXlxxYgAYd6CTxWcZqZuXxWsDwXOCEKSVcI0 LcpqB627LWN09FK0bTqQP4THu3O73t9HHykQLQZ8pqgalDXOBk+D8jJX2JqVNLrFFHISK0mGtvHD xxfp6rkotdFGozDhcSSwXAO+NujvzElpcPFKR03fTfwfMZ20/DCx5YI4dH8VT4b74qT2SZ85KC6x 3s1f7aeJb6TGGGP7FnTkoF6RBVmB3TP7CDuxJ1NtiRko6eGs0UqFn2orKAvaDePTHNGfy1RXSd3Y aQcEOnu1ixHkUPA4VnVYDJU+1wQETdKEMkB4ZIy+Ewq6kAsxbiua9zILjJqE0cBILIeGDJ/ZM/Sb 1rap2iAIwdMCZ8lAeyElTkaJkNA+fe7stxwMtlQAGu1XX2Yc6UzEKTxjRKj7rQnw89aO8JrR0WM3 gac2hOKJRDC/TYgzzubzw5vnbeh+esGkdOFSoboq/wGp15PzFLsqXl5xqfFqQKczDGBS+VaP4sJJ k7ND01+5u7iG0DL8jWUB9hAUm3CrWtAUk/4WKYwvwg96qzVoWCCgzpkBSAn2zQA9OAwXHWZTQ1sT yS4czCHTjVQDjZPr1xoWBGOAIn6oE08xkZqZim8+GT+R7Ic5MvfBOL9IqNMK37tWXSchh/G/YnZW GoQ7uLPd0ld4s/u+5aBEJoBRvVdJH9WK3bOjkth9pZeKlmT5SfdDQnRs/GBn9hH74Om9phAf3DWn OcRsVeL7wOo1Cd3vdw62eb/MeLoQLF0CBS13wryyG4iuDVU2j+pCttPjpSsekQNUld2GWGlrmO+V vDcoLlXkxfHxDbzuoh1mmDrYXaJmGoc9wpLQE2D8Xiw8pUiP5UoiK38mnN12bL2jY/Nc1W3gEMAU X8RZYOHRWq/3hEDfQwJDg1BQNJFRoL2fkwSxBuF+LmprAMGFUJ+NR7zRIz/1Om9PC0dUdzK/RlW4 tO3d/aDY/eUC66JvZOvVZjUbDVCarQ5gAfCRaOYj4gaac4UxSB7b724GZl2cNbubygrk4wvlxHEm UPC0mCHHkdhAmBcg+H3Obn/CAzUcuMGesuyBQnP1X6p1krampO7z1nssuCPMat+mO4vinE33q/4/ BB5lzSIVQkgKtTxKsgrTvmyhkj/kX7RJKOYkv2L47B+UVORtLtXHvZ6a5S62xaGF5DWxPSkFejzH NvYvGd/D3/90MZs/SwjMGuNRpzlLMdMU34PUQWF48zDBKje+HduWT1EGvBJ9PVH0da64BykcxZp+ G+GHw7GH3bNe46HJlKZ6/th3rT7x2/yr5mQbq32sqQn4WOuEGObcJoeoVdb0NX5lXjPJq8jKj/bn hcZiMFQTGisiq704m+VQLaZQliTLcQwvc4rJY3wie1aYekPabqDL7OZSEWD2Dm4clNQ9qLoD8Az8 cRKDnV2kcckBrb1n6Nk8fVkOPBzV5rTQbRLHAyf6yXq4LWuMgz870CwlEwItyyMIsX8WkEfN8pwG 33B998LQ/zS1gVZAWJEN68UrK/W8R5UYKhXTicDiMp/rK5Tvbv85dapozutCkr141I/y7d/mHeDh M6HsbWRZgGs2j4pJ0JDP7UFpH4obxE0MNDDVbHmkh43S9cJ7OXz5TNj9gHTodMzzOPYVgjUbuW3d iDb4DwT9nJ37B0gTN/uNG6EUE3cyM6Lg8loH2n5ZpFb7Bcz/cK2RsvrPVcoO4hofO6BvzPkcrW8B GEFBTcZ+rsbSXT8UO+ad53+YWoWX5n3WUEF44WOafIS+8Ki9oMLF8kLjtnl2PntqdJ29ZPlqwh4t +0C9f6d7oNwPGi548a8dOcF8UmQOOohsaCi0QZc/Y6bIjZtBHi8NGe9ruBXzeNBG22onGiOCJPr5 EYdSbWfgfUaqie5HKn2uGdgnltiOOE1Mk2Re5rcIWB/rgp0CNJoao2mHs2daJoCOWik00ndRVK4a wi75OVRslVrTBpSa8oieVKsVT4t2CepeYHfCTs1f5kq2Lqx68AHDbmairxNKFgB0yOEVEsHOC2oR 1uTqeK0UJfSeVYLM5HcL5jEn/ujujJijIgcwdpryn3EoZpVA+QP8Y3h59O/M5r7oLozc8J6x/Rop kkvIUBOU1UzfeQGNujbBUF7F59jXYROIV1sAy/zjALuYXy+aJuatP59DxHLEdrSn2HS+VMaxgUQS cq0jNTOjMkJQvgpGsGI1Uv0mFRljhOx5yewA6l+Jc8gOKhQoaOGoNIdsYK1cHWBlzFMWstMQpNGp 5IS1gFr8+FucC8ci0ZFqo/OXmETQ7rNcqVvgH01b1vSloyv3Pg2mlPP110XjILAf3wKX5JLkMmrl U2dAuDrzPYTBebcLMTxPyJBfqpNq+T87Co53c/qnmh2VhwV3ScV6fzWRrpa0umWYuW5f0uzxGPcE tayhPXhfYNWzBihGqO/fsMUZ+JK4ler6mI0bWFB8U+8ei53IP42wDlT5JBpQhQvTyNSP/JjVffbO pq+iS9L9H4EqN0rjv1yZi3kjk+0KVnNt6O+ZLQgNNItx2ChVEtbwqap4UZ+63ehTUj1vgK7TlUWZ RikonmcBS2uyCXvH6vm8QarzKUwCXhzHy6U9FUSh7q2VOUGzTs0foezDzeC5dD3GXR26MiFeWyZH rIVipE3MYHRRDuq2LhW1KZ6BfOAUrfgBcfaGFRjppeQhfQnzl0fsnp6G3fiMHjqLmbcDOHq8N5mI eF76RsuExuJMzU9+hWDfu/vUkoy2rFlrKddgqiSOBnsv/CFrFyuGxD+OvcPZVIq+eSl4MHWgeOrH GNNaAIcMEby8UI5tVipythkwoKOSDSj80TccA1mus4setPDOPQwM13MJr/QKO+oic76WiI+0jmK9 IbYucdxQ6NyZmE2MsO9DRExhaBo4LqMHLr+GFHl3lqTLsy5rGE7Rj+cmvWAJuw9YhPrN5BhYIS+W 20tHwDGaVrhjWtIxLyo5SZhBWPVcUOZdTaXxDTgbavV3/DP7CanbDf6dTYYV0kZ5LmrVDaZ9m7Bx OlZp2qwi8al2BsuaXfSL7JjeyYQzkMYqPuo1XMXqL3lcJkNaeQ9jawidcIIiyeZeD1VrC9IcHPap hjQqCuorLpeh7MQjzPmse0ffhQR+UpIvoA5hTz8wtnHTFA4tWNSzGSrxYGLyFbABqFMsxegHMTjr ucl7VQO9eLlbHetZs9j4vONDjKhjccBZyfM25Q4Hn3hC8atpsCt/oQr2VZ+9JlwXohkLLTXLkc2+ ++uqBC+MjZwBZJ2H982y4pQrsgyVaPiR55mKtZXxgh/dXE+R8wgL64c2LQ5uXsJozdJHrzgaBYSg NqyyjJqO6/ty9HEqp2jZlwClGfikkYx1LwYdmv7ZRVlTDuZLwT2aQgpO4NJz/lHFAvFxcXks6wNp ewso55ACI6A/PRGl9snnRvMNFjZjC40eOeXPk6EMU/5jrPTgoRVJ+MmJar03nfCZuo3AxyfbTiZ7 iKZtMaWGE5wElWwmASFLuoNwB5gMWHZzbhGoLQgTeIWA3BQU4gveGscMhyp3DRvfD7EzW1xtNnIt BSjDEcE17hUZKrpSsBSViUhUOIy/bHN393R3i8KSeb4QnInsPF1wbfNP5LfRkPiqs89nQ9i+KVO0 494ydYvJkctsALazX9aM23mUQyVZ7kUpv5SWVfG6i8jFoYFyENwTb4LqfU1iW3GKvbIYoXhUVQIV JfyWnQqteksAtb//hN6Ia9FCZqh4l9YKQO3tradcRU+JW/9JrjfhBtSd0yopzHnGyg19byYQRFbk H39O33wdAMDVYaWv30oBORSlPUnzfC2i4NYiKj/ZqSAGezp/mi+6CQKarfIrQcZ1brW54HoO4mRD 4Bt+BiluAlsUPOgg345UzNqXvINOASr5kUIbG+z1aZw7Y8rW+6aJAaWalUy/mWKtvMqGTYw9IKRw tm5jWIQOUhS42G8Jyxf9n/GnaSTB3zcMprfWnzGRthiZT1Lfh/zdLK6T7ChCj9DxDX6roCqt0EF6 48Rdy72X7p/sGMldI3UR8IWrFv9NIFBZGYFcNZnIIfkGJS3qy7dp1mVUbCW0OjG12lPOr9sNT37Y 6clli8qOkbuAUyn2IN4G5b8kurlXvjhAyTLDA6diheTJ8hkNo5aMLkoy9yRFjyYc7j/RqGhoaArf +qwgUbiR6B4zobS2ZJ15IgcCEs2P44KiYmIJ1sZeIu4SqTAXLDQy7r32JMrTnzonpHf+35GFwxlS lITvSvxkMVhlt5ped0N2eep9DOzc3a3wFS8zHOGrfck2wMwexTE0svOrDDlgovG/HzVkYnCj/QKC s1YtFuV7ie/dzZSejN79tglYGI3tnySRuS0zkd9VuLU4GueF5afByl3RdDU4h9RPtuTFoWk0CHbx xvrru7QnOWaaqRViD8/A1gyIDGXqoo8GbEYQHVR1/SRMzmkZfzxQpA6XSjxdCni7h9jh7jEprarb zFEnsDHnEQuuOtNQdijheamCPzmcBxxIXNSKtut9Sb6vmabVIaEokmDfrRdniiIqWu+ar1Ec4Ix+ DNOjlhkn3uF4v59NFvUO/FDjRv2p0CzktKWIw5ukPlpHz+TUILuEE2KtiCoh42QT/p0lpz/5mRsD wZonMu9qdqOZNe0vzLgaUXbVBR5h/OU9VzpsaDTmgfJCt2Canv3b6TMmhxa7eaGOj320K0p3XISe p6wlPx+j082gutZMFJVTF49zg3i0+H1sxtK6bzf8kAO9gC0jr8wrhICG5dsodkATNvrogjp4TQ6n 2xD1krkrY8PX4yzfdzUWT22ewlt1jXPDkyppWcOFDkWr/rvOWV8w2ZMXuftqNmXpUGz4cmKpHQzF cyz0CcYC+GxW9XChe5VxaGDt9qEvtVpWlAkW+7/XfGvDVD0b8zmC2omJJeYb1yHXla/+hNkWjrCg 6KCasFBoG/haqHCBel5yoES2XwLRxYqdEmg9AsUnalpnwvzSABec2wzJree0WrmHyxlsomTPIwQB 8AV2VUaWAoVScqfSBN+1oTavNfKKl/mjMhRYvaA70Ic10px7R/ybMQZLWEjGP7ByYqKJbxhJEj5S pVXDF/WtUihap7Rro5wEm/BBLOWyvqBqXW0yYd1e0uV82IypP6DcCRhndZnzUB+YwcbuqKRdl19X sCGF9erPFRpgN+t9/NBBj8TQbpt/4Oanw9meG53lj2R7mbgZ8jh7e3FqkO/NxYkjfvxpBFU4dShF 5Lrgn4anP0e8LDSbkRrcNfKI7twhlKrm5dVe42ffJhnuWt8XaoKWBg4F/YpBUVqs06xl2z+AP1OG XZMIGDcQoXruG6qMff4EoqwE9cr4RI+sY4DBmQvtm813j7qBKuexgtRT9ABi0TRO9wV2Wwg/oiiD 9gUmDLk2fT5g8N0bfsLxknHjNOU5Vuk5gO0xusinmpjsOhK3wnqRpQQhweDhQnWTeYrlgojh2JBg CnRwmWVuSlZkrSDC+DKTldZdYjrxJPdIFxk3/2Lj8o5Zg+/DT1pDDOKXwBJ09VeGV7rkjra4k9av V58aZFFEkZYj5Fd8QB8H9rOjK7ILLOTFC2Xs5+WdTx731vs/AliG2PRR/y+PtMfxt0e/KLAGYBAX 9Lj8HiB+UpvoH4AyGGQKFsGXLz7VG3t+edo2Dm13lYbwBApeJqmbncci6NbJj6ZlF5zDxH58cBRE yCMPWjUatTA0CI5EdKZvnc/dkRz1RCADinX5QNdAnzzM2/pAtU8pMtcVdR+aNraV/30c2lOOKMLd rpeVLVBnyjhUDCoA+M9g+wyq8lNj3Jnswiuy1WNVI8lJhCw9feCqdkSTtaNTNTeeLDZEl+CAs4h0 BDQXBqZGcEUN6uM/W/MJ5Jxmr0fL+8FmaPxUO3AMbOASFQHTdqWfu53TLyp6DpL5WtgxjlfMtcyW AELljpD9brxY8j0KofJRHPYThAd7LP9axHrbmvabkicCKWzNRr5uRI1ejXqs5+oQqAOmR2rV2rYe uBDsCz4kcy9uE4POaryAmqLqaZFdd9GIlmMITMAqk+cFQ8gBM7Fw+CpPqRMTKEC90NfgmilGzFRG iLoo9kxKS1m7d/3ua1YdMuxZQGzCl3DUt0FLd/fJ95e+wvDT7Zcbdfyb0pG3k1c9THtmmO3oegTO N8STHt6dy4N3kXT/qH7notAAPenw8GJCjZEsNMIgHw9uOS56tC8ifGiOq+7qxeyDN8a7ydWVr/en /pAo7t6fyaxy83U3m92ubXUlwd+mkphMWyQhvzsPvsKM+6GeVwAWG86aWA69wS17shSlzEex2xUp XCOYFgK7dTyKa6OatmGkBy7vUVZ4nueaH+ciLV7ImwFkqGM4jz/QXSHckFE6lp6b/SCuVqetFejn 3WFyRsT0CLd7DojVdWa/F5MsvioY/AZ4qBrp08VnD46tmh3qfuGgjNLCNtN7+i6Cgtgf0q4FKI3V /8x4qG71IKfLhOgExpgtCUmpwVvqbUjm2r8HuonQrcz2jxCPmvPsN6zRcnaDjYB37Hl/TqjFUAVN PpkWlKuLzRuqks99rLpNbZ7gPWVPF055LY/180UEKvrcC5r4WSvSXn7ed/Cg5FpzzejkWLW7WKPm UdpWxbpu66wQ3wJXvpRGpOFSpVKKmTvecVvF7jVkijbA5DdCSTPzuVsrAh0ITQVZXnFLFdJmhd18 hNn/9V4F4T8otp96TB4I5y/kuTjYIV07O29mIMr84js4QuedVDHsmyb3lfP04n1d5mOxE+VHYM1Z F8wTvHfZxgyCCTGm+FCvtcXFrFNDhSMLGRC40UDHPKHTAaGypUtxEEA8SBCatpEFu0dEJtGVtEnN AQnCYKnFIXH6LQGVmdHJka4agNhZL2NuMaw2kHW1fT2xAnjM2NhsW37izL0JhXNapZLA44Kt97Y2 8pslp5Abk15RK2hPd/0crHvJiz9/v61pMMAHfIl572CpyTFSonGSEQb13ppZ6I5GGqieQ1Fxv1k0 rv8ntNT4fgVSC2NQZltxXQQXtO1HD5ZwXtnEIRPXFY849bERPBmqdfYnW//xKUN9J3TdKAPMFBO7 Bu+jjA8qPDSfURU2K04AP7h6ZeFmIIfIz9QGY4wcC+4T6ca8JqGI1uNshEMcYUaTDvnMM4O0nbNX SbeQfAYm91EkXrwMN3OEUPWEbHCjaPP2sMei9jaZdIOxyrPYuXqsl9MppAS1c9FgC2slVe8osuD2 ZAKIRuY4aRHpfmaCOFUWY0HJLEnbP1mMYd4ifvklumCNSPrqjL350z5gBX4As6iRBz3/V5oMMFA3 RVwq2nq8OQixWZSCl5UiJQeAZaysZzpqGW4whU0Cxn4NBp1diBmWUTBOqAnt4O0alAhurBW7vRL1 /8tlP/MXLjzoGruevOiqvLT4dSUUnNYOO8RRa8RSBtxPnu0QInq2lcePIFOMiB8ehIQVc7VRWwy/ asXMwxEFRkBMuR3q6hdqoTtD4Y1OZT50R9/n8xc/16WUYXD4g3M2GeMaQH07d3aeR/FbX5KkAaol 5sdQOA+1N0uzoXHz/XMvN9vtwKR1/eDlEl6rEmETkT3aXqep4g8fAnjWGVVBJwMpWInk91MU/aee dBgjlaecinH77kIHYukxzgXbjT2cin6NEhHAZJn4mU6QNcCXfinjOVDDS3sndosDWRn+1sxcSpdH DA6EAHm+jrfaO3Up2gDO/kR0UUCv8Kb/dIY7gS8XgPkNgQySfGXhrAP4876rHslDiX4wnER7+mLi FFtY+mRjY1sekIyoCwI7yyFumvyLZ+UwjJgu9/QtGdE7fTgBdnurPtWoDxWd6j4o6EFUeMhRbjQQ SzywF7MdzaMrtWRyRx7uPp3npEhnpxBVJTj/KRIjD+nQhe65MDhrLKepaaGWeJcvvgw/XL6S5K2O IysWB+pCy7ItBi/76WCjY3MztbnUPv69kJSnxP2mFDD8v3ku4f+OXhr5tQR44LDkjSVbm0OWeio4 arM11PCt0xEyJR5Mhf+oHyhZ17aUyqhOMSRNIGZGciwK0xpUM68ARSKx5bC/ftz+cZpoQ5gDixzu dHteZ9UjjJPf2ZFe2u11UAH0vpNGmDWO6hjJ7atRpC29EFDm+3FzC5si86ebpQOJptVXLyCj3xMn 4/5o9lRNzeKuqYjGQfcPmK8WquZYcuB6UbrG1wr2T4hCeg4ZgJw0M6DnF1tP8g4ysuNCaXlv7q0H KlknfUVwB6VkOH6EVu5B5o5GbvIFNUPKWn7Z3fVpHXU3chXD+vVGCzBXutFCXnVMKhqqUWY96mST L8CnuBEvfPvAUIt/LaSVpHwdDwjkZkzia7MzX9SGth/Hp2tdJwLGws+2yXS3JH3bYdcAHYIPmI7s VxCJHeX0aFbWHn6mI1xaTksCWy92eXUQg4iWmLX1MKXhLMd+UAdxJkmVhL7UYXMcuSGrbBPP0fh7 3nTwSkH0BVoEtgrTvEofc0yNpq/XW/II802lWssx6Ac6t2HhvRFbYUGbM0E+QfreSVhk3Xz0prXd I6r6zO5JHG7E0mICNF8ei65pazLwWwvB2GBv400XY/7LV99P3MA4Dj0G/nkVyUKM6OZv0P525ESp Fh/E97a0mXHwuW+QTjBeW6aeNO7m7Hfx1T9g6wrkYEAM6aphVB2o7z5KX0KXcsZg9erqS55o3Q70 OtWwLtwpFhp0bIsZR9p/NnU5TY9G6Sw9p51/z6YHx9H5cDJvaicEtUGslTAUyoKqMC6Ug96BaeDB 7R5B9gjwVxUMQ5HOK6kDIf4M4kZ8ITXEtGRZyo/pg5+9R0R63H5iaNg+GlIkqifcG8d3dt3HfUUZ 6ThnbvWgRDUhXBbGKGwyfdoQ+cc+Z12XeTin/O4bX9j6r9V4XgS+qDxTsGRs2uN8PYPM2kEOFK93 avEmbGv2Divqk0ONKNlFNgNxwFAi05Wm/AOFbhlxhKPp8WLTllZ7WFl5ZL1qxYqKp02QmYKAesY3 5IlDfkNnfsQwJtsq0BY6M5F2JkuZ3S9/9lRmEDCNQMJ6MZswoB/4Euop2wFUJ9B7wezF0swRsCl0 vZQNNE2m6lb8Q+E+RvnCJNb4cbdfctQhv9B3/p06Ex8H9Sx62yXTa3jJ8FleNJTcaTIzucChy86a kXSYsPq+XC35UpcciaudMc5gxIYfYVG+B3faxGacoWVyMJljNisQQTrU41lRDynNK/GAsfBsCYNQ 7fTlUkcMpu5csVTS29VnJb6sxdsRmgEQLcJZRXOCzOYSeoeFhlUnFOr5uy7qhbpq8Mi3HllmynQA E0vWNUxcmR3c8jyL8StwA5wws8CGJ9ZItCKhjdbol5P8UgIZQAv1F6mLiuAV/9eehWtIX7jn/To3 Vn4+hLan8LHFmMT3K8+KMOAt+Cx3vcqrjSl+5GFVBgAzZvSPCAnxj6XqCEdBgkb1sm5Wjw8yQxOh XW9McFhLMv8C9/KXv4TWHI6oucs1LGRh2ng+/Kx4ta6X49NkvGYMFWQWERz7FUBfcHuYm2hjXKqv 2k8o6jibkVMsYUf1Ct96WSqU8z8CsrgMscR5J3USVisAT07sVFo0Z4cZsOvD7UVs3FUN8U9YYSul 4xCcCSssTYz9csplU75HWXyO96j2lrxN17CupiN8iuBczREdrSjyG85fmkG5sgcyq2oTF0Zk/wwR MLseClnvwA3A9xfCzhq/h+DwsDbOUdNZS35IUgloYnRypKJgl2tWpws7vNyPcYj7j5rrFY0V1Ffi U/tDid7R+pSQLCXW/DN420hdQHaRNZFwTIJqgH/XOPq6G1u8TBbswtdu8xumbpbS3iYRM4Z2Fh3s p8DowtLccGQKp5UBpo1gykMlOqQuYK5X/ZrKBu3OJwH8Sl7JRwvIWDFS7hlooT5IskLG5HMacJL8 uAY16WWNHkCiaXLXsp5COn4RqtQCMOD+4q1XLC6HcVmLgJxg15perVoktmATI4u5LmKlZFzi/c3Q 65vz6w4v4V8QM9AmIzpqX+S73D8Moi+NmVZgExKJSHGB+7JlMHBaau3OO2uP4tpF9ltRr+BTO+9m a2B75Y1jbMafBD5C1CPOYYp5HIsrShyj4P9kpsBLayb7gRuXO43nvwxy5xTdouOM37YEqoIn6PoD 7ZltaHlXT0O00/2P7SduCWzLecYnQu93/m/SXI1ouq6R1jIuHyAxAeSy9eGlPiTxNUrSwc4U/PzF 4dDBJtVey65Fa/Ah96uMRxgjHJCDbk0yV/6QHu+1sAi1ZLWy/PglGx3ejZX4DJvCK1qkOG8M5S0/ wrGenAIokcwhPC6j1QAW4tKHLMdIqAMiaTNzJGBvbmeLyi5LJnSVZIgXwK43L+xg+bql5N+/ftEk 2o1TEh3501HArnOCINCRRoZCZr/OynpEfRQ7nm+9OXpVWnX61N9NIvCA65L9Ib35nS8t6qCVmoQE 3tNeutIIaTMjNroIBE8kho4i5x1pQQJ+YHuD0Bvm+IcLrFYBvdgMR3xMjXs1pdCnOeYY5GHcZa2R AM9tFt9I7tafG0RBvh+bSArP1tpo1FhXZgTA7mmk2QTd6ajX9+MvgD6/Gk8D0cSU5pxXqEcjkhQ4 kC23ofNediCMoZUeytNnOkyOlZU6ys3U0MFHBXhTJeUHI+psQi6AyVhCwT2aOnXxbEcALWNTCVp5 azRvdFtGRw2a4SV6+hCB0vgU0qF6uJsE25cQyUzHO/sqTVO+YgI8okjTKhMqlVbklgfm+GrVsV0O YzEvfXQxKS3amXpHVIEPoB3Rv6KI2mr0m0uJCeqiBYJpHSaLP4Gikz2vq9/ipttL+L7j7Pn/QRkY 0Lmm19sZC01zMyfiD0qzZBp/YELQkjOtvz/PmVucEwStjObuyg07l7jXrfE2eXTFiljit9gOp7wT rypNhwNesDbL0Jfhj1QfZIh7Q3cVAuxJujiaLYVdkS1AWRjbpNRyePL5c6ZVPWkc1dOR3aEH3fOz ntP6k2KK3YKTnZz6k0Xn2TdDKkDUOBM5RNbB0Le1/GxY2sqvs7FnzcyfJm568FzQl65CmeiTaWMq jF10ixToJaUKS6HvDVSTa6KoSqed821akuKcHCEb1D0YUjfbvGkECh8XpprnFuTr9cG+0OXfPQcX 28vbNo/espkDJkD1fNFooCP5nKSAc8SdxVLgxEyVi2MS7NU815XyqV1WGP9xrpIinWaWcPaYu3Vm VW167uAaXtBZfdlzr7rXJE1aMDstcbcyt99jNauN9ghUuni21/IqS95zrUnQpffvhXUP+10c6MXJ dIzoTACaPZZqfO3tH7O6WlnL1GOQKD0ozJmT6nZQWWP9pP/yji75R4l59AaCXizR18Pkc+QoXLnK RCRnJgeND6i7fw4B97Pe6v1H8TU5LjN9FolKXZhGXr/oyCo/cEdCGUKoc450E+INscerD30l5EtB w08tLOvZXEo1nGy8jodoIkh3mfq84YnKmvafaZUrH6ayqbY7nQvrfnlabofq9R4LCBr/IBOeq5pL G0tNGEHBPKvyqgAQNjeGDa1PtE6NVFa1bpv1PhUN2t1nl2tnj5valZg1pwSVw+9PYHWhWEgWsf5y x01slHCkgjW82nKPs76H/AaS8LzCq1OYG9pP7W7rpepuAYI6GQzjNh/mtvv5ECQElhcJKyPKtu7f Sp8zWXiujiRvOifQZxIZqPSV4/Cp4yNlmvik/hMpv8vfSXV9ibrO8cdAt1D9rQMJ/TGpRsDm1tqB 1ZLG6gFLVQkVxut6wyUeHWSmJTQH/iTA0b7yCzR5SekKhBM4zC4OVLWDwgw5x3BLZLMLaQo79GX3 FWrA2koCracBI5qYvUZzWnDPXa7lluz36UFjUSig4qT88Ji8hU8CWP0spSz/RQEkAekf0NsQEvLU RffsIMObZXUqY2Fl/jVhr9fQDXBPzwxaGIRiPHot6IOvUSmakObjrx7FuSnLemtBT3j+u0Js6i37 lNf585Vw1AiB8f7GA2dy/ZBXBUpJpfU4yEhtVkqiCECXiIOeFMIfliI+Kh64tBitQUQtoPfGA2WB znbFOHxwo7rBd+aK9X6mk0yqa140I/o9RZsDh2jnDDlwzHLwx3eV3fiiONUGcIXUOuU8M5O/WStC puBcld8PETIEaR4dUdJz1nKVhw0a+uPuwcomkLlmD8wbYP8Q1XV2emCSLChXokx2jr2Zdej3F+cL S+ryVGxc5eHeYMkvv2iLUgzVd4+MqGSJUrp9ToMAVbfI3ZddnKwKSlvnlzRgisdbTIl5AB1+iUMp OMWt1+LJEnB+n2l28JHZywRUUzhnw6UMJVaHHTiHhYeiZPgUqRpEeeVuQ1J9PYAcLSHjMNdxj8RT gTcFxbLj/L8/wFXXMEWOIl2kearUaZTxW4XEfaYDbaPTE2Hd6EJN0BZexcdw2uR+If9/zHtryQsF 7zttDhdjtC3m1aqOgDnFYwNxG/Vw6R4l1AdixHVjr9Zx+5f0lMM77LVKZeDfRxFl6hewCKFOHlk9 53QIKPe22PI4iMtcJky/FFSwJ/dPX0F/qHD9b77MCJCYcBQHLV+Ou0Byi7G6Xg7P2vwgDv27sU8z 4O4jDEl9+FXvFVqy2BXWQfxByglZbe60HOTQ6XXADl1YxNOTmUU5CZbFpBxE2vMQEkGYcoS+xhMg wbZrDZJ34U4yeem8HROFQQz9K0hvsNGtaz1jk/SGxJ2yjl633sj7fNmENxVi+PNDrdnO4GLlsdFe rllfspYFK+djWUMRztWCRo1ePXofZjsCXRL8QmQp28TlbjUlqwVc9X1W++i/ayceWd1s20E9Po01 LcEJsbSUwN2mt3A8rLk5Brns18vMQ605S7jK7uRkcBL9bM9LUgS/Iwxr0Z/KW0nVH3w1kBMQkShd 5jVOc3LLa/EJ+A68c8au/ibtIcGINZGet9reMjqUq6tyW66Egxt8czIIR0EaV97CBjPk9A227bRu OJxkZ3aetROu7RTftb4c1JEH7+IVwtdyHpvs/8d0nXsgJwe5ERS25fJGyuewIbMc9s/+/gLGwazR LUi70YuzhDFNVCjjjUJ3X3SV0jZVebFaDVrJeA6pJxYFnpsv5Uvns48NIa/T/pwtpUOcjmRwlFAe C2mCq/1LGiK0EZNCTZ+QdHKhfU1Lp+5J27mfttJvxPTkY9hVQxiwMp/hHpexf7NPMrJVM6/vKyB+ bwsSmIqyjQfGo5GWsJehV0jyFW4ZoPnnPbbPF0hR8y8ihM/Ef0DdnJIIG/SR4uQVgHSj9I3pjsvU rzmVnAzcrNlhnmrgIzy7dSTOHI0VEot7/Fzyx/Sr1lRv1OONndr8rHYCx69IxD5+HiWurui1qW9j /AT4RB7VlU+54GnzV1TPhMOfm0Td9d+EQv3KcbAfO40EAuq3dB9imLNikqlCoktgbuktXMZEtYo1 MG8IvKraN32tgcTljY39eYojsuJrc7L/dhgB/EDtEXTFNztZFrG88MUlEVc4yPXvkd5F1wCGPnlT CU7+d7Ibdkapm197JElzkCb9m1dSMSEiRKU+VRMgIq6QtpmYstIaaNexB1m5Ox1+k6COawfCD9/5 sfhuMv8Hr2UaF6FVFLg/WaJKJsybeyIsAYeqGt7DuN2h/1jR8UOdtKujDEDsjO9jZDwIx4JDpP9h nPK2pyZumEskApc421hmlpM3UMvcu3/9UKAV5yhi4MKinmiCqfVSMkXIHXqIeb0CPLFqkOZr1W4k /rK739JBoKxVUS9qq14clCJrvE39htbmZ09nSeBN0heJrQgCACLLHz9FT+G52weOFgmkXIEy50Ir nzx0nCt6QI6FtP6rzboLz7sShuq4MdK+cyQ0i7dxrV4IKwzNrv9nFyh5ITbeaD9XBxeJfw40X5o5 uTJNU1HcTGbNfPgBN6WDkA47OiRnKEHcmvje790ZG+WUY5H4YpiMbj1gh3IgSsVhPr/Zb+vlC3J/ CC5zxQKNFlSonaoy+hCXgrUnVIVImklT7IJjMoDQDsUu01yDROOKlk32WAY0ndyjHjiRYYrgVEBp gAT/PxRZRuw061TJ9Z9e6I7EmQygtjoD8ieR+bxV5v+L3WoYgszQnzuwC/f1tHMgtZBuRuszqNLE JWsnL5Jiw9K27e+jwyf0BpJNnJVWL9ezTNBM7cdGQiWrcNBUdL1nq38L2nZRlrG3BbpSKKwAcrSc bUevevwpTGW22JvuFc8Ezq95BhgZQ70idsPM1t2LA15oORnKxZhcVlaaS+CqEUtLLYvPov7q9NHs AgBbhmuMA6aD1JqrcYQAv8IYbVTym14OyVPYZyuT4vMpU3Jq7GCyrJDoIkoYBhQq0alDCKg4KZe5 sJkGMTiMS3SLDi5dS4WbGv8yIUoTxIyC3gngxZYjdgLjuJMB0s28XyTWlmrL7e4n2I2N36zrSdHs kmIKkUtn3FL0bZ3s0bsNkpy5ErkesIAPgcwUi3MycPuhCLrRdMugcVZKTNg8OgKarBp63D1/aYim CqP8Ix5OZCura/b+oWfunj866ZJwpc7M0BIQXtOe0Gj7LdjU/1A4RgrMfbHXK4ZI8sbQQsu3Ng+0 pOALQsyYPWiyy7P4EeSsG+Iq7ziI58nS8DX4NRnKEm3mzC1P6DATSm2Go7GGnT3w7MScFlROqjbQ rNs1ibDkXQ0Q4Cqe9wRII76GGcFne7lztMYeAB5Sv+L/RejbK9IDSWC11lHJ8mHfZRdgZqE8CQf6 EyEpBm13u3KPFdoj9ARdH5BKg211TLrCxjXcW8SgXz/TLiTYhKkQSUhsL7APefO2MeFsrNYJBYDF MJHe40thd3llt4hpcYVGjb2UIcEt7VdDedD4eG5dyAjtHteCe3SxriuCWV7xXrti82bQIZMPFA9I HBN0ZrQBlSNC7imxyabRh6GM/a0A73RYPf30oURDx6hBHZ4/5GHIgyci11UiEK9jQDSgPUKl3mEw lIShd2O4L3U7Vm8/cWw4FM9IUWL4dgWBD66RP0e2nRkshjIWiSvbEYqGK8i40R47EqTe9mUPKzK0 Stw1DafXNZmnPqBqcv3qET1+QJYRQaz8Qc008wJ69oA1mVl+XsI62AlOJzE+uB4QeZFof6I8vYDX J2esFnQk57bgHbyM8L7zZ4cwhCqaU+Ilddlhs9SOfnuv45IshnXs3/2ZASDNUs2An+dJphFU6pN2 G2VB+v4/lgDnFB/a9UqJ9X91JXnV0NWUvH4z81WLlgJVzBTqe3VHY65ECNHaETgWYryHBjZznVb0 765flysg2R/38Ecj2gTVBsc6srLZU+Ckk2covgDebdKaCwc0XfyPToKPF98WHQMjl8W2KjoG1W+q asW298ta7heGYaY1B1qhzt87NVsrSbh/W1tCWnzJ3+bQ70UOrjb+uOjKKRTd50DRhCwF2mUB2gVi yxK3B79WILUKGWHY3syAgDiyHnbgg6yUsudRextZpv8Mcr+on67MUj/PsvWS0T9DfD7EP0JUW3vE hi9Vb1EZz37tc5AKpbqgZ/pD55WxuWLYdiZsBRnVqC3ilmTa+8sbRJeb9BZO3N94Q22L42FY8/uL ryzYnGkmksl1MmetHwIyHbnd5lpVaGE7GXSJkRKzlNnpIaFS2QXE40oiITkAfGRuT89lMgL/3DcJ mg/gMj8Cs4u6y/EqcWlWNJtkTVDB1j8pYRJr9VntU+axWOaYGVCJxThbOLn2Gp5vg6gqRCSJI2o3 rUUpJO06PwAVlYMLKs1x87hYmYbFi6GgS2Qf0Bm0XEwYsV4OT5MCT2YgRkR4Wz8Eqd0Jr2M0mHCA vf3Rhjo6YenYKndEEATNgkNCE9xbvFf0hdGHKQeKFlDtcQ12g3LiTwMJeTCpUjAr4OPan0baixZa XWZVYOe9XSTMXZc5Fy6YtvvSQzs2EE0sdlpixYdkaIOyOJXrU+NQn32fD4ayRvIyk1EepeTvmsvl Y9lXqbw4Rx4zxloOsAcZYHMKBpFHm/7I1QWjEpFu5Ld8hF9aAy0pFk+4AFIOOd8ZI/6q5fWPHmnp vgSRB3SYGLCCiifZHATcBoUHrDAMGlsSddTcaaj5kSpv/XhFj7nQR2VBOYg0c/IqhIlcxqte5aNm 9MMXUiixadBUXAy7IT97Li6INzXHEedfQ6s9x9G4mqehVlgBq86RUnZ3ASsbQGG1oiFdjDI+OGFk N5/ok5Tr8G/j3O2WM+sp1qm0OTJti4r/hWpZKeLbxr8JvItXhpgQUDTP6pQKiIGKbzc6lbyBzUUV Y1FEoqwPaOXdncMm8ZrDQtcX1O1ac0qcINH/SFQ2tGHolLruJxWxPtaOONzIGkjdIdl9YAgWpYHl q9iZTG328jIsvvQyGYt3IxWimV9A0fNNFxl47ZGvL22W3NjFwP7xicSpnBsqjFXF4eCZbhxXk5fw CidVrRt2RvEGv2V2H+ZTd1pD0iPako3hqjV2PvUE8IRZu9Kgyq8sDhIJGjBnfofP8f/yhgk43GPz XgGZWhe6CSjRXL66z5ne9/XEOR4iw//lxtjovo8tqjEqGBiUfhTrelT5iPDdEcMo4qVhe+iOKjCN HPUvi7Kh1MS1hA7KAv0Ip7RvRZWiwW0FqI/yspJoupVnv286G3TXuMFDqOahWYDm3s5o/l71AsqO cZtm/XGCKtmrhrVMIe206OHt3jGXEqA/gsBky4Mlp2IhXSyoFe8LmkBe4TA/SxhxQc5v7H3WNeqQ /2CnJOp/yy5znaoOra3ICgcsXlhTKb7cOa4hQiOYsO1HUjLF9qeSELiFpxceijhJ/KUCpo1Po4/b rcjFLw42lz5wxog2hYIcJYZiQA11GNmtwCEz4SqSxsR347iLGcYN1DoFj4HZSkPb8QRgXthxwTJq 7XsFYflBFvKLDKmGQjp9liE9SXJdMVmSfpjXHqUZ6VTvKF8O66W3acIHSXCZZvTMdF+dbTogYA4J jM3Fvl8SZIQOyQ9U63CgB8poGy9vRer/1J7u91r+vWmPCWEBx8r2SgExiNRGDtKjkmZaEnBhFYHm ur22VQJCLwP5PsGf44Ao5Mq4jjLQtBGQ7zqTp3OmX5gpHWUU3ISTz0UlO6F4r0z40KCgIUVU2rGq M1GaZxFBMfGlYPYCcDCqHDxkNBbubD0lHkNrvEyuzC4r1JWwrdGhXuaE+LURYWOiO1E6RJKNj6XS 2X4YoUUYwoxbgNoyNuzXTsIenJebvmqRZTfUC+IPXd1AACjIKidCDghX4ZZjwUOQBNnx9XL/Z8/k lgR9snCl3Z341qYrmsO/YkmzEYPsq6reKUwNG6qq10pYuIaI9IYfaEjJMrjZ9ycDM7IDL2lByhMW UPB0ho6NqZYPRjykqoj92sVzhc0Jo+eFsNTq7p/EC/P687gCCMAivY0+Fy8XW8IBzVQ8WUWBf5A3 G5u1AOiPj5R6DiaEGqmZe+NJxCmoIDBiF4+tq31Th3JYu9Fz6zgwBwdvBWd/AfjxtUrw/FnhsiDG cJ28NaRMongBsTXsxPXMa/LVQlQMozaGsBnWFeDoJKa2azW0PRf5/4Q+vuA4andBZGqTYBEztY7C DR0u5w0KYjoSmngwkF7/1VEKXAiJ7P4M5x7TGC5TRlrz43RiL1z4THvzw4ikZF6PUq02flFFxtbh PWV13HY/lAUUXkolzprVdZXfL6uga/C9Twb1aDUoaqCLmG1cUVfuR7bzs/bJQgn4HxQFwTvdA2J9 wv/5S+EdZ3hRAR2cVGJOO0AYI75IDnt1/e1vS2XzOZbpPC0hoz7Tm+ksPOXdqNlQK8R1115WYAtF VqBgQpeQMEygQhMsf7MrN4YfTsYuQS4jL7nNtlA3PiXN2eNUHdcdTRN2HewrwDovVNjQ5HCSWCWt dO8bj6q50sIuLnosk79K4PdfwEA9pJd5Wmt0ZAci3wvc6W4a599+lAOM7iRu8h+GZDM5izVbgS7H 1YfB8dW9M6PFTF2edx+tHkwmJqbdGEhnpy9h72CuKUq7ZeqXF8ezHRw5CKTvr9CL/lLEWFJbN5jx l7RIwgfD4R/3/rS5469sAKQb3DXbvMj19MA35V8M56pF5t9/uezg8oxdZ1h6cMSP5aE13s/Ui7gR L0Wh3rBhQ/4RMM7t5yLg38Bk+lhiU8M6WT4VIhtLr4uHNd3f9otpCALBC0nzPBYtPBbOBfd71wX/ SBjqvmAMAF3YfIzD8w9+uP7ya1SRTgzFf/8NdYn7RRbKJp5/yQlnOok/i55RuPWxwWM6q5U6V9WG El9Rp1BL1gPOJx52ScZT+Yyx3TGlFxS01LJepb5cvOhpQQyJgQm+rhW6V+bv8TimqRt1pMBsjvcn RlsPdTzvMTI4y7DLitctjSprQ/Ol+Epjhys7LDhumDKdfSOyerc+nh1XhmfxEzJc/2o8X3WvAN5b YVOItpSYV1q5/adIAzALF4/b02AFnxqI2Qi5uVxWQSyPPsJ+nKf3pcRhFttHV6gRuJXpdEL+koDS cpojlwR1v4VBcD6jkK6F2FlnBTVl7UZQGSILhWyrZRUzmCXffqOdc0+Pigsu/xBazxLz5VJrJR1j zEA6L//SHyAiIheHuG2kR0/GlfbjC+nyA5Jaxplv1+lCkr+TT4ilM65gkAbLlYLttqg+Z5s+IKlR 9a/46kiPhONcolurEIu1v+jrO4mxeaawNe2cMAKzPaGstQ2K5t+G+4ARswLbYmF9U2Wn0QiDm+VE RbuE/tv5AB8QW5jjMv3w1Esedf9mLZmgesZjYjIEcQ+fS0zKTYCFy7VInOxeRw+2zwtJWsk1JeYf vMDsFTlApAWtCCVPUkAq7CjuUDGL/PXZJ8Xc6A64tMLab6/u7Y3NSMK/zirIabf3gxyV7uAHMxrV elCKYLMgAXsHeSdkvFqHRCqbNrstEmbEkENgahHhsqILaxKvCLFIzfMloiGJWeW4O9GN8ixffVCu GPm4pLebbumsFU8AYk/qDWBcbL9MfhoXH7OB/5LccOznFPLf2qWYAnSfL1fVakSIE6lN53oVxE8X q8iyyPccfIn61ADU7mWlVkdDD5pUeM6dPK7ZTHnLCoodG8xAPoBjPkHumFmoe+qb55cNWfJvLBS4 346yaeIdqp7KCGWKGMD8QHxql077c53hqSzgyYzcxIJbTSh2y+6oabO4s8PsEr+EGa2sioJMH0EG e9/qXNS8X+VXpZZ98RfDL/JZJRkjL7D7+x9mLbBr8PnOgkkAX6fKrdLcKKEqfybPXLt/7d3UUym0 xvvvxFtm8NuZR3L2vVZ2zttFvmLS9nl8WBtkBG6Beu4aGVi00nTgPB5oNGqExq3XD24jdFCCRZyI iH40W9NEeyHhz8prkQoTRVNw4VlVQGzKuLIhVrSX5Uarie3UWPjC3NXLEyhrPq53ybKQaojXpA28 t4F9qF1VyhZWm84DfTZYTEq+Een+Dw2EJpmmQPnJYg0dSw1Nz6R5kc+mGeKYn/W93+UOvvWASpYG lYa2srcygo4fY50ffGzBeByCvIcMavDPjfB/g4ehjis66LfqK6qJAHeGTwhuaaJNA90s6YgQIC6n 1aavbTNzz1g4IHOZZ+vU5zRQ+Xmfn+PpCKjG1nFv563G53seENuk1nXEWGxpd8NkU2B7jf9UgYuN ZLbVxI5UqeU7nzD3yREwMSQNYDj5iXXIWHig8PGbykV0lJJn87VE7TtT9itj5dFRELFUUu6ZV+mA 64Y4HJ4afNBEuelAjFgdmP1WddLJOFtoJ1tRxFzKbIMeyrVXHBqxiOSMosxNmq9CAOMM52D4ExOB kqZH5lZgy63sObxow5Ok0dfiToJr2h7q4EalENS7/pte6788a2ISw9QgtcELZ0V9sHgfWZT061Ge 3lbDel7cgWV/vp+Lu7bE5DNaJiDOghb0eAdrli/1ghsJvJuEJt5olVRjO9EJwvOLoo927gcSl211 V+Zye3U5WBSfBqvUXjbraZlIauS8zhvarOffUx9oyS82pyZutpfM9rQ2k9g9mTmTWndjwHrkUAln O3kg1AdKlt0700a3TweIZUFdDwaAXbPmJb9a0MqcF0wlxjf7C9HZStGtXzPZDTQhgp/h5xOuPslW hBxHQkqwWAcW7Jmoxm6926sjD5YNlZVjOZodc9qs6w513v+F9qI8uY7//k+GARhvrIu/uFFoaDxH KLZ9V9m3FwE5bju+AxEqE1UYjwAxmpR5tpUjo7D6qb7BrTf2cmKQ1Xs0H/0jNbz7b44C9D/dvWdB 8JQrBHBVuYbayp8a2cow+8VpvLrMGHPA1LXyWLaMO9+wR28U9QKntyMoj2riGYNu0tpkN+OO7ZAo ng8d6iTklH6cYllVwTMRGBNTJp0ZPlR5P9RPMceXsuYAzfqDzPZiBek3qriAl8YWm/cPvAdyXLRs CRrkZLo1B3ZMPFzbdSs7uOiB8L5gXPB1GBTQWJfPeQoBuwoNm9MplCzJXx/YCeqVZCA/vTLaLytB l/R9ShfCo165Kkgc0IRzErc3w/vEJDRIVJX3cOFhH7yIs9kOusrWhgNnDIN8MdZn8Mya4HF0sdpS 2eVIuXt5vzQRPfKeJnPHOkorkpQj+p0UvtYHArj4rpMgZpoKU652o0H7nhD5HomlGlq+TXvMeRMP x+tEgtvRPINM4eI4yyb96gxf8sCF0PSQN5iMRwJCNqXQZ604vUQXEGzd+tlnQ0onhD4BQVwwv0w2 pk6MZZfRc8nV2+Ne1UC4AuKvL8iwi2GKrLdZfqNggREvgKEWGxypNgPHI21kUV7RTEZXsdSOBnAe 1ZXAJdToX0gqLbL0CYC6G5AdWbQ7kQ+zZ7FSyzjRdNS8DEFV9bomDp6p12S0NzI6XG8rr3F5E6+p aizOXCr3a5GrEyASWES3iJwu+NIDxTRGg4GTeerjxvvpgVq7zLd3t/7VCdmBUwXmtgksJGGSQZXF RuoW6B4lqIcAN/5Gwy8OFaToaLJly810lI3CjdwY4KYgB76+i8a9u7liWZxKtm8EAGMKQogufSc1 XlzggX0yvPwDz0ZTzS4mB0OGrOXutJAGlBO6lYEHuOK8mC9NkzGCzCXycxzmmZQkSyV0pMc4Ln7x WDTsPwTNWfMKBHcH1uJqs2AEJDw/lglB9zXdyBlm3JMgnUsV0+tItfC3vdXwf0YjMPnWVG4JQVlS /Z5LHJz2cRA7FmUjzZLhAI74N0YmWHNrPusrvZ4s7zFNKPVwUJdnRy9FRdInUBLtYwzI4YnmI0yy /4ZyfcSfjndPra8+wKLJ0eAPVWRdgKZ1A9gyroPnSQZKn3RML9k2SYwUBpbjiZoki32fbrjmSw/C yeITFhItR/wRoWWNCmsg3JfJDbjhJYhyWLTqkcuGF6GFewlOglgmvobx0hndDUY4tdN2MUtvIU7L dAGX1+FdatoaZPvHZypXObAaNSQefXnffdNS3yks4jx3I4iz3lt3u7zvZ4F5onXO33vJwGlo10DW PeAqbMzRGZhsqUcYCSZX3ISE/C9PvKCnJhbnjy//e3RjyA430pby8AuwJJXUgEoCilCLcSsfCmXN dS851fxXFEeFfKLfNYKTJ3Xi5LW17WHLTo1gX3Gq8KKuxjW8jAYlr9jGI0LvV2Jzbp7NFa2tnzAQ zecu9wk8vS+RuEsOTBk0k035TrK/tWxCogtE2kk8NXyT4fcxhq+5bkVsSk539Wzqf/P/0LybobHd 2ZIDCPZx5D0ITYSk5Y5roMlohSHZDFP43oxUjGZdLC6EtX3ik8PFfN9bDz7hxmFQjtKCGRl2W8wU XGq0jsQ0jr4IPAeAS6V8UPdTzJJwQ/X6R7Stelf6a2KCZJudvaiEUwcsX+tzV5/U/sbZaIeXE+Qj JcvycOumfsnFgtTa5p3m4Sg6Ne9dGp6wVjSFO9EUhXlTJ+WqG2ZF5Gjkl9RM0DT0HbtYN/8AjlFq P2AjiBW2MqPOi6+WL1bA7cOL8nt0LnkOimnsPT05ZL3ET8MnwAxLfYYsLq3yfGzXXSoxTF+Q4/7Q YFWb25aKGv7gAEUb3sOY4pa2pO0kscr2MWikkh3LuND2f6YrIaKN/U5cDI2R5o9jJpJj2fRAi60W wbt/QD633sPASBp8ZDQ/PdobM78XEA5ROhwRZHdlhfqEGNnWOzr/W7cxJC9uHN1a/jSLdGu1bm8K woHZnOYVV7q5AzzFQbZFaxhTU3uJ4QycFQEjp8NTF64CvNF5qFOKEeGF9tNpFjvkeSin0Ao3St0M 2n0bcTG2a7GGvp406SZzKBFhN5hz71N8Pr+9UwBLtIt83Ae6BnTPaXVH8zO5G/gTrWac3y6p1A20 9LKfLNY3CZFYiDraCgiuyc/X5ZtWTzSlMYm8OZ3Wz8hk7YtHAzC3U2bbxYTjpzSojKxtRQEVdNZz zmRLnS0tpy65fCfczB1/ayGYPpy3946B2T6b4Im/9hNxVDF1eIsl5ck1LAerCksvx/pYDfZP6Q25 zjirF2W2RlTN5xGbjMiKRI2r6zLvRBSJWuhehZveyKNot0qP7TeGv4f4An14H9UN08ze1vYciZaS GXvC9Gd5kqb70PBnpQtX7T0VF45f7KVPIH4npw+8I/JOhFIbTcv8GU9sOeE8yjWUCpOPNGfK5sfb hZVVOUBs0NGOpsJS/gWE0fi80/ldU7KiIT0Qj5A0/u6jqTSSTzjT8BKGp+rgzMer6/BS60KvlqQo /BBEUglU6/XT6rF6jQW9J13i9IuAPI545sydwvbev7tyvFpPXTZI7ZpfqCS1k79nfPNShWLkJUPh aGDj4DSVD2FCMhsek7EHKD9EpswB25iOVEjv12dueuai4w6R236hxoV9g6BtTiQApXyxWU+P9rV5 4TMs5N8+QoVtnMQ7/VuOvl3JQXYmGSULJ6lzE6ToVtJjMIaswCQiaFkM+IvQK9SFsO0QBeyXS26B t4jEwT6xSsGDN95084HOl6+hXTWGcr1ExyZXyaE8MYkeRa/tQe1dnZM8wSU3Twc6PUFMz0bnLvHa 1VNC6AM9zZFWzSHykbixyzVO99XEY87Er8Sn4i4mg+uyWxIova+7qgcQ/QB21u6SzEWl9VeINrtA 5XKSJK6pgGC8U1ovWErNzgAARTHPxBoGG8zYY74XBIKV8OcMiSaW1WOLfOw4rc+qVWs68zNi6MLk OsSfycPvOnI7aeLsZuuJW254vFR5ejYn6ksnBn+HuYDpVK7MpduwT2UX1EYZOw7E61zQzhdLjpKU g92Rv+CM6vygadR+6eTg04GSZPPupVkjIMpA1zVcSEHpKiOrnOdyEzFEeKwM53uwCVchg8690LEI 4at9fFhwfi2VmfQ3AI3VUoXUZwwaJCB8bZyl/LcdF02gavAwb4b0nCxxntaR0Ra/RvK2XfguqBhJ L1sKulB/CKfu2FB9oLdSwHx1aIZmOyT/aVUva4LmBqPhPIdsDM9YYp3SWoTMpLkqI9iJHGxNvvdK yr76Kjn5I4tLHCciKwyRWe/+2zErI21IwgzZ2ngwUyKkaR98svwjz0IPij+Xbq2GGj5AzaaSRnbF Xg4FtX7hmQdqNVF3LK8BZDgt+2mJmw7JAz2wBmkUUmFEvd1SJVyn5D/9fqkfMXIQ1YC7+4HtGLvX 9FpDQ4STTf+e1n2f5Veyu76YKSFalxgH2vAD2dmDJpAC1nyPoJSVq0YINLRo/O1HBg0SGha8By3z 3D0qeaFt8iPgGfAvWr8xeDzVR7dK4/hz7/ph3gdOzdCozQIPuLoP8gdP609V/R1awQXVeUl0FvsR Bbnl8Io1PZwOo1YuWi56/fAkxP6I2ZoK0UJH5LbPS8Ai1XvVyVlHKYKi9LWAkaMzoX5KcFIZafGp 5YTiTZurhhCNfTNWysg6CXAqWZ5WlGtuvXwQxSrrXZqH0bp3GeMtjMpzXThcXpVwRv1bTaI/jM2K NpzvdVKltjBTI+YGzUfohCSkGO9Z8jKfT7twhtJDtPIIgDMxakfCeoIFQ6vxhw/EGV5Bl+ks8kuA FgNWB20s9/xwyk8EMkYvYAtV9BlCrJyT4g70+rNRjqJt4fQXS/S4El2kYFAYg7aDw0C0v5gi4hVv E1FLkI95Ng4m9ROACWf4rwLz7ltY5nhWMNm9wk+kn5P56dyYyeshbM4Qz2ZAXkB7SQ5dy1b4b53j bNnRSYApHD+nFTrrxXTUmuv8PKz0l9YABEDauRNa0kVV/ToI61HoLK+Hy7xb3Dj4NegoTLQ2MGhX WlG9imFd5j0kTlkK6B78v79u4W/4KCP7RdaWQzXZawZlm8H70qxfZeAZPkIqUiUwQ10rkfUWCV2m wCqYcbJHWXUncJ4VeMhJf6FiQK9Hn2+JjVUs2XlQHTVjCrZU2soe9PfnOLyU2Sc9dbV3iKxJBI5+ b3DVUmktmGGnRLbCCS6rs+Z7oiHIuhyeSZTKmznFRL1prX3PFs3ChV28CPGt3b3qJikYzvXmgEO/ fZGoZqL5ZXXAKdwG090vv6qICVnnSSg3r7ngoctfAGrnlkwkdSOQAJd7nDA0YfM0PNyckhWg84sn lsSxePhkMCd4UN2UX3X8W2g/AZCaERkXrt/uUmNQkBsZHVagMomsVBfCchiiZI7wEeyr9Zg8qI6x 0aB+66UAzJCGJxfPNGmCDAD9tMycFechzBUPwnP7FP3fyJwqkVnlbWuv4pZzBeHWjjRrlm9y7ROS rZxkzq6lLkjIvMhhEjs7lax6X5e5sP14RoC/0v/wtgbpjdVCuIqDvZt/qvIX9zURnF0mMQuh5E3b FAzCzb/epN2T+BQLkR5ndDGKp/iQsnCICQOpZFJ6Mjp05G16vdiiuySJog75kXFt76pfwnKJ3oES 9dvkmHxtOcpyzzrH6i9W7ObmvqANXdZTM1BLCyTLZzyb9xbKDLxSN3MpdFbhMeazx9hu/98u9AAA eWpzxV89AR7HX6lAdKebjufJabCWpNwApyFXNiXW2cPOVZfKer4+YJJpJr2NZ+fRtSWHOMvY0bdC UBwIVuCcuwUnBOdu4+gMKSZYbBggwXqHlxGklYqHIaEng+TtG7WOiiAwyLgwi7tfMDqgToOSFm4E zFlNW4j5Y/PnKSAiA0jGBJDlS+e9QRxRo2mmpwBX1QP6ZQClJhKtgEBC7VXKbJZ8uCWaj4waGWS+ wNiiBzQPx7e4qqpP83Qg0JNCLxU8kkVS1NcjNTQLFdbTvlcxHxkrAGJyp5XgyC2WyBX/xMikZooW 2ApOSlB0gSTMoLP3YTybaSBU8NxO/sugu7oZyc4ZP9ZciVn1r2Xg0G+dm7DMgcYRHNsaP9Giy6ez nktcbpOgy9bnzPPpqoQ0aXIvHpo+6CuzXeg5ABXcM4emxZDPNQfTDQI4I9DluSKULzLmUDTylJ5F fbyAIK35I23xTfwWF3UAraJELHWxJUmN/GAS7mUATNAMsEc10JTeTx9UgM2Ds7QBmplH4HuOanxe wMOxxdND3t03+kZfGwxy9jaegp5CwaRiTF8PJ/5Md4PUfjqBzFXqH4x7Rop/RBds6Uk7BULzCaFs dhvxGYOsROhMOjmSFf4pBNe2XgDkY19XyQhC99KXgYj+ulfl8J43OYH/NZ6NE52neHL1HwnYLJkb XzGiqTlXoO4VmKxJt/+OwzsD+gD0Fu4FjJuGzJiAavaJpSyU4SnILkfG3mdk205ZqU6P1hmkGApW SjI9WbEAXCETzFkWc3tRgO0nK8qWu+tbOzHuRBXFf0EOjJuIbJgIA+ZNecsipTmXuDB/2jAnZFpQ NgtmfkrwhTfqqp4O+KRCWfd2Pnjs+yal976KjtNqx0ZimybVmK1vHS/P5Rm98J8UaZtOhP2f6xv7 fV1NRkVirNNuwhQfMFIqAIX4FV8iilK6F/vtt3b0KCNm6e2LO4SVOHmGCqGd0DLC8Z+ofAcfLAB8 yrChBwqzrCSpOHPbpHwG28GnR2FpjIO9t42SU6NcperTHnDhgMjrjlXOwKbwvLD3J0zLTmLN1D6K zD0iaLoMx+3bsz+eDzz30W0XavFAWazvwcfvPbV1L1qGj/h0W75soEr2nqq0X3BFTgfXBSIiGMs9 TIMOn0TvzDiHHptdUIxfYOYsa84E9cNBNu0JUh1tyDCCDn7yU/7bIvnPZtP6XrS+HNE5JlsGG4I7 mJsxgsTalUlY5NAHxCYAspAgWhieFEoLKoV2j9ylUIZHopVZKDpAOusrtjPeNZ5nBBj2z19Lt5Ux wsrryav50nUJaHzoXrDp4L+jeBQ7XJAQKPf4b9Wv3nZQQQi1a5O57pJctqQJKhpDnPFBIKqNw6rx WQMOURn3sL6eOIty3uZtlnclR7zmZfKRyqFoYGa4MJ6Mq0Bz1M+6Y8KXVOWjRtBj3N85G0Eqq6Ho 8hD5FSTpHkK/nbdP08hJjqVNgiNHtBmvrkCNwlDlPRWyFUASmnIzch6W5MhaVY5+hoLFtrinGJGJ ixlOK7V4GAv4ZkFN3P46rEuJ450Ihaw+ntoMzlTaePFZiMelEkdxOSlEf3yR6Bqu57Ah3W9qR+OI vs3PuYu+wpGewyzKJrpGSvETt1Pd4MEm6JOkZYF39lV+SrzcKS/y8tiI0/1XjsaSiftDOmbY+oju Eic65JpMECy8X861m+8vHtVymD2RyPeJjXmMw2a8CVZICscWlWN+Yth3C87CplEG3r2xxzXPcbt0 ejo1BTGyQVBQHU7GH97OD6b0kKfh4ryTcPKRBToczUVHJmXF8Ds9Xh4Zq5QRG2BU+AchCUZR7Zg6 P4k38MEGVYTHV9v0GB7Ldk3xu6rL2nBptrigG319WO5hk1phN2WokR+wMwQdOUYmFpHX+iJvV1dB bzdcu/NDbnqeeWe5KyFERWqTYwONY7SxpLtiEjBQEZhfxz/1+nPH92QSC9mZNKEeIySCpR2QKfyq A1u2Wt87BGTFQYp90dIYOxpx3anYFQ/hxyCRt6bZ2yhONQAmRSLIM5g30zN3wpTOpEGq3j9eUuDp RjAz9n7q6hGMZlWoplVe6klxY5qybFlYAIDXj3ftO8MgOEVz3J5oJHmm9OcBnPTjSQDKs839Wyxd 2Gumx8JuUvOOhV8Qfv9chD4nWiIbMLThr01ymkgrDoxIvL/YPzygs+ikx/ahHch/XmOL+JDluh6V 0ztQilnK/7tMnBM26SecwDfU04djlDD5uTouZlLGdhxu5I1fUWvOarqC2vqG+tiiCiO9nZTynj2a 9lXGefdJJQFumGxuT0iTQf3LamVZ0vBgLD2pi04/cCRfdvB+vfjahElGjnq3XOarFkVf5qDhk2rG gJZYE3qxnkTdP3JNK1jkfVmm/P+Db9GSJLW9ozulT1sVpjs6qCFBt/+jERH+VRco21EGucRJmOuv JGIl/cnODWrBxNbtkbGQ05LX01ZLC3P8qLtZQLypC6MlGmF0jhOOQ60rheBFC/SNDhrT2BGmW9Uy 405rOKTkQOcb3BbetXcPZiHuMSP5oMJo3cMszPbVsFoolvdTdppLmWMi0Zr0wxS4G1NZs6i2lZAx KwQ3HGU5OV6PVBzsFFpXiOuoLTP1fTpEbsOLgtjb6dYfnMy/g0Bt1YV1Y16y/GDdnqzBGEGYUo5b VyXNJlTnDNZ/lwbv18SUSbikrChv0FtThM56OYu09k3wqAcyGNeymPZMBcAlmOuvbbifewUo5uRi Myzfz6f16xR3Uf4mmiZttlvCcq6H//INYom0Ub6+huajiHazcMU5LFbRTFJ0+jneO4J6pwjMNDmB hf6HWXkf0Y9A9N0zldw4liVNlnTSqZpHygFNWe9zuLUxK6oBUZTWunT9gG8evrJNixwCDzUt4c40 WZ31M2gyYn3i8gyXYyjnj4VpNTtJisM7IEoKIIpbGgOY5R7CUr7SBw5USGjMNk/rhCwLatH06ey3 HKmzcvLpScD3UjLVfH8fEPTVeEqEcX+/WbgPl6Bre0DmYV8nCcPwiP3rJV6pxFWVi+pyTX7ynCxn DiPc/OEQueGHSeDZi+ALH1Z/GtZkk0lDxfZ2zf9zycDjt6KQjD4q3VUqQ7GPFJK94+gLb6tUvb71 wFSn9IZLh+69GSjxN+CYkgAkRXjGNWtV5JF2PnDn1LppM5D75ZEg/GxcFinxdRoKtcBxx1lgICpP x1EbLeCm58EqmiMZirUvrkcZkcfQedl/hUUvc28zAJ3KFpKJDYgYyyHcVhL/KbncyR2LhGgt35C/ Z2rcQnHuMK7TkgoG6Vuv0GbL7MnThF5khC219Mm7ot3fSEVkymK0qpB+kbKXvZCg3h8SUlQLpTih RyUZD/2o3YGpErpCpmM0+SeRxgF6fbaksY1wfw1RFgOkGslMHLzWgc6yGDIFqPKi/7giovwlcvQ3 lgCe2qHCMWC294J3isekR6+4EBBNwrd2RYplwEaDyX1RzOukeTiwuTbia2RXSeYVv+7UKB7z9tCT hpz2fHElIDGBXAJ+tAZeRZKoSa1OhZjsfHbNEUU9F91/8AWVuyxpb1dvpkKaS74Bb0/0+HWS+Jws KkxmXE8YQZbSDlLg4e+meOlix6lEgznxiqLSPwjElKyNrwG9KBGLy7siv71BQfbvcmPO1GIjdmr0 NL7DxWFNqfNGIRVTD66my20kSbHfGZ1+eaQMjFNm8QSIXuKjY5dOEVtEf41HRCU8v8e3leDoDBg/ qw6p7UNSvgISUJNZSTY6v+SLUxlYvIgsBEKBo7GUAubaOxpKYf9fzdM1p8lwyELagYNZHb3dKWz4 Nf8GnQd+fNE8zKgKLRxSXk722d+8Y9ZmiLA58HLr+o1oaVGFYSrfqpJLlzgAo7+NSBb04x4i0Ilt K8Ry91LmP3nzskWmGVb5iNxP+SeLU8hxwhPVYhdXj136SS4ho7GtTCaQn6CeoMs/70z8pwSrQ6ko mQ6SP3bwsCp5RY31SsjJk81GzyMN77BfcTFs/6L9ihIbUeQi986TFMrubrGmk3ektc2on5MoVCEp IU3cGL82pLVYzftQRShSXbCaQai0uI1Y1XA2YqxHuZw/GQzKgFH9zb/keW/XasTtQ0qUYSX3MKnX fUz+exTHf5rBOA4eZual08XQ89H+m8FaUgeFh3bkUQhgSJKWJQ03f9fbcYvYoMCas2BIwvyFTqss pQflbZdmZlNOLZOqjDDE8tlz52D1b4Fn8154NgaJ8dQvyT7kuJyegpqkygCH6xMFdIIqsCj1lvhz E0z5+Q6DYr9EkcyXgwkOqXnfZRHoK02i14Rq0Q/5hyP2NCJVBjEpaT3jgwOzz9M+oYO+Pp3QE0NK ibaYlByyoPxdYDIqgsUICE7hYQjcuVVXOeVGxR5RxeQGzMfcYlzf3DCOSbv2w8y1hQa19rV9F/O1 F+BpRpLBfLv/d1H8EN4xOFSC6pU7xsrEh4bRKxxDHfsvftRUczLrBmKwkThhxC7mDfjBDP92xrsn LvXRt9T36lF6cXyHCJZ6ypiJTBCBNQFIhXMDfH9HuggdXAYGOpoOAINhhfHrYbWxhzx6/p4gGa1v LK4/PZdOkVlhbNwxbSy/bPFLg8/zVnnJ+2kIXz91wOYP3cvj3bbov2/OO/0jd1Q6b8QuA0mTwfD/ mJXWNlFt03SQKJ/Y+CpIWVQOpBbxLxhAN+1py9gFu59BxdBVAHlTpwUCuCN1+D76fp4u5j4aTgKI diiIeTECnnXu1Z+cKjLecd2SKk8CNQwWYaRR605TSR17gF6WAo06n5uaEvFdELfLVdD0GN1QQw/l /Z+Jr9CWe1TbmUkXZKA3MHldDhR5wvrQbt09xSzkRLYirrdUk6Q45JOIsbjedhNBTtWhdRSWuX9r kx8Ix6/y5gXEITPqexRzaLDk3cBB5AcJgCuLwJ6ZbC5zk0zVU/qMxsmJ8AA0+AbnWZ/8SMBqgSmC C79RjWgRYRJ+V79g7nf1CxLkLlw4nLan3eGgkjT4B2g51HOiiF2zDKKt01zItwvSnLNHIYgJBOWw GQ/GM92QalbrROwtz91RkoYV+AGLE0XdYwNvtG6yB3Wuv/iSglz3hzH6+xPFOVVYIS51O3/OA0ne /AHN/+ke3gaE4AeDv63Ld+N6yQ2oRNlQhjRtRx6wtNtRVP475sTjj8BDUA6dCh2VQIneHLWTe+TQ /FIajx/uMEx2TCfQcFQuXola2o1LMk0zP76eB8REPBvWQrVwb9dMRCnHhXQ7YDoB3IC/7c7zd2kl n1tiytXJ/KUfUSpuSKyqZw5urxcF4IX8wf93aq3IOXJ0bS1mfCOy2/BqpSkVnMovzw07/hRdsDLx J/wAbHIR+quigTrlBcfxYmCmuIhE0jEn79tZ8UGMFlbSz+1We17Kd0uvzpyYjW7LaBT4p/CNyTFh DXA7EkTbgo8H6LfCzg3wDa+iDOxV1024FZ2M8e4pBGHw1p20+6l3H6rk14/R76nOMK83Axq6CrEj Pnek7CV4CK+8o/q9FRJCpuFjbRSQdRgJ+mavDcydSkjQNoJnwmFUHNAVxpWPjGQuKEk3XZh6uyZT 6XU7A4omMgNeWdnM0w6/1yxiTvkBR5NnhVaACqc75sswk6i6OTPn3riS/GkMtT21wWfQZodqn6gP mvvVS8NIzfvjta6O4dKYFyQI8irjXIfnxieEcdTHcL+zYwnRPG5WCeMazhAH4ErV1ZCMIJK3W8Jx uo3KEPuzrcfU2BrjTnlcnwQ5PELXihm4sYtAEMzkyT9XzNYET2Wd+YFvfLL+TkFcHfjmCD7XC2wr DKSKWtpWE6M11/tIuK30zlu3lKhqFHkJhl5oH9WqCqLzvclGAzw1Tt59QP05HkzIvEi2SUCaMUHw XFxl14vHe/IZEMw8n1esY/lJqrcdEeLnxHM/RvCGl1VgQM8iJKXOS4zRaoehMaGpiX5BBEMKV77n zGypVDJLaPSQ0iAljJhDBYl4VX4HlUns1pHaqvN4TI+fZ3USR3MTgCSVVKPnIpqIR0Gea4ZnOvGi HqLqqVS3defxDWuz/Eo5spkInHijC3RX8IJJKuSUZDhqcA/C9dvsHkFkIxq9npFshlAdxPjqKgBm 5iu93Nfwip8UqQ7h/07Qivlut1QxZhUKd+E7YwYZypt0RAWh3tJUnECkS2nIl7EztpHXU0aqNiXv LrOusZ8d4nPuS+DNCvZYkJ5HcFM8Zg/HYpdSve15u+4E163DE9Y1A/eUdJFVfnMXrLJddlTl0E4+ AUVQbHz3iNRg73gY4UMBOCbmwTiFpitCJKSv/eWZKOCy8P+n/0syMUWiifMD3fQnWAvoB7SGTnoF GzGKHUj0xXpHQXQ6JLxd3QcVN5AfvI4FEXnHYSt6oQ8KV1cokDcPmRPpy4eo8xx2TpQOB56NLotP kxxBoD/HnlMh3Psq+QzB/kiq8ObXgf9b7asrygiSWjVffel++SpmURyvxXxpreNVNbHir9449Sel P8KPOu0L2PurTtpohidk223zhGdiDRBQYQ3XlAwQfOSGT4b2aElc7a4vBgpeBHaHNLb6LbbIkfDs v73b7nkQjB1sQXFq98Qx3gRldJJKxdGyEaX3z9H5ky8yn1ZirRXy/1r7pOi1aVYRy9xyx+GANGRC vKXbXQF8V4ArPWQzewbM8cCQ3KLVF5JJ39v5rE37TnP6LRbZuFCh8deswH8pFVnNxxgSrzCEDVsM eLHV3NPXWcRWhim+G19czKWbOt3zrqy05Ska0hXbk97TjkW3RoPyQ7zHKroIJY0bC15YcLhcsJzl mEdkDm/iwjghvpkcTpQ1RyNkjKXdROL+qkOdzIiTizulL4evRCaRzUN7xV7gF/KKCzoqk1VH6318 zq1XG+wKVr/04zwkBoQkG6u7f9xtZWsJlkpnhze2y2H5GsqJkRTLQ4Yb3fFZ9kxADH2Oa8vs3J6x 2RezKmcTZQz+rjDgVlBsJQCYqlaXM+WsPKRwB2AvPGO8OAsF58Co/MmxwWMHv2cuQWJDG0/TJu9A z0yiPWqqu23vnrKp/dfqos8M4Su8wfkySY/xtYHmDrcvAW4LOViTAJ6A6XM/DyeR/zsuZ+k0zkh6 jB7PJDHBlfp7Lbxe0TsIEzKYuwyXTw0lE4ikW1D7YqsJ2pUF3xCltLfFNBBXMlxSsl2JcXjCVq9d JYGjbaj3F8/k9Jpvw2PbqSL4OO1juMJegNcAjhydiR3+PZBODCxc1X8I7802QW/NYfwKvsKm667F MNJM+RNTQjCXQoDZ1jByL+0qBAtKaWXHtbRk7dWc4TvvKBbmbtVliUNx6r3LPADfxvdPw0Uae92B r0YaeTzfi4vnGhns866jsyLTGor0nVv3mgtVnNw+VZMI598X1gIEcj0YE8KbKDMR6NjGvzxaMwvV Pp2PwrtwDsb5RLAiCOo5p/fU4/atsQBF3hVdXjbeacnfwEqN65AJTNbJn9b+SJ12hS5YhV60QF4R nMm3Fpe3mM5tfFxK3xSiFP6x1B+vQ3eYJ/EMWVXcMUFRtTQWpFkGLmf1NUZo6VrW5xURmyKFhYC6 Wz9LJA+qXm6y37P1+QzXZanJjCwuNiEIkN2d+jzkRy3DIewae/csNJpViBNGXDDfZu7gX6aHq3oD HaT0bV4WnvtGoWlmUzSfpk8Rg+hhuyFgVaJY5iM1PhrvDW7nvG2ghnPuRFRhOyMyCm2NcyIVngeI ABbVrbEuEjGwOmnopBt0jmE2bqcOrMdtxkYhv4OzuBAdeRr4B6kmvjKU5TR/uYHAsr8PVT/wX+7F 2Os5ikuh0NMgJD4OsKDReGuaAa6exIb9McKhSkkk6LYXytyn+7/LpUniFk9/e/QTuGfdzQPMr1av HodiEMSNV+6quNfGxrTRH+oMenmNIP0Ksi4HIuaMekPjVhy2PIRZUIZLZKCiIoWI4E5fouIr4VbE dcYXaDWOSEqKRs7aLLLyfvuPsdi7zjaO878w9myg1QJcQs2GIcFizxFMpPvUVJwPboYaPTLFgWQd iJqBwAkW/85OX5Kx/iOrksYIYF6BrDfP371NwAVodvn7UUfhJcT2Gv0Py7R2CQiV6ypYXPsvlOdE caX1JD4/enGmcCG2csPQgB6NfYFGujXmu06h/By96oT2Zld0WANxeJO8WXaVjwCgPeQFW5c8n4t+ 9Fi0aTHl5yVkZbmSouS6ipjMkd/NU/7bL5tZUu8DXTXt314WyewywrqYP6QjvcNoupjmXIlTIa5I tCHVdJaVbBXsWSg61FMv9BqqSYjNhi0zAzwBXKsZ0vagttW1qSI1rAMdhQj3JZ43WbjGWdNod95O TpUPo6uLGt+/TEXVsN9JuQG/jQ3zleac5rUeImcuS55EKat/KIy6LjtAK9rTHI/7l0OVFh2BY17V UbTCH/VjZZGlqGlihmjczQOXgaxoD1oXc71XaWJ7Vjt8j0P1+eqBKTmrZjsxbQpvgRi4T9dOt9Zo wpO6HV2AXF0uQ8W8ezs5wLT7evYwCgWzSXsD3kXFW1TVSgLNBcHxQS5MniPMGU8WvKDPX6mkrQ6k tuxwjApJyoAPR0WD72abw/xd4EADfSlktiNyy9XpD32wzei+WiM3MXh/3gpZ3XGpeAbVSsXO3SkZ 5wwC1KTRISAjHLgwpIx4QTotsEPctzbL2bE7YkOSaxN5gsRqT+XXx/W4ssIfTlp0rGvETZrmVds0 tiWFlZs/UPyKF5JnKasF3bv6HWsi7yLX05t6yEFD5Ha9W39zI/cbOwsJ5eoc26Q5HixFw3wH22J4 hc/Ia9kLLa1Z+E1dVT10c2687kpmIGJuw0qdA4v+lWMUYGAs6n6CuuEkgEZOgezlUBiJL1QBV+yH 9ti5L49VNAqYzQ0jNjQUsXcD+cGVAa4XfLx8Csnq1S2cNILkcX6jemCavXOFfg/q3mt1ARc/X2UG 8LO1SS1NkykkNf9BhQkxLdX6uXdfD+qGYjL9AZ4wGEzOo3ZFjM4zLWcxzBlLB3UMkwoFJhccEWcN UeNqwE0NJf1wlxJyczWWr7a0X+MXCN9UZhv10/s9WPXpYv3hfRKk3W9k73BbP5DdeFjRU0QQQ7TO Y+x6CKiV45Bln8HmNPaebMGx05hhKkaWvzmQ0S4d3UhPJp2uxlH6+onc5HI2LKq1kphAuEDh5Oot /2ShIML3+DwDPrPRMG59cWflCrCQ6YGd7CRK3KBmx+UJUM5gsdi1IJbLgeMizlD6W3cKRFW9Hx8f DmfRMONZI7nkCsOYQpDeidJP6xnMaOtQdF4pZ8IFDb3o+fK+50fgNaKcpEAJxCvIvh7iMrwrD9Nd X7JURRBVbyY/sljgCWUaXdfixl+bkxmoetj4FnzHPHUhxyTsrQeQzhOmpqV8d05REn3/wlggWv40 FxEzzymnL4HPlMa7/RjVU3yuFYvdt8hWcdFhibLP1eIyPq4r+U0jUTeQTUuwyhrUcIIryUGVwlxJ QVsH3/vZrBroQSZ7ygWjVpPtNOEsHMy1dAujzcaOdEAJWvcovfG243ieUXSjiBurvvXNz1lNU5LP 9AuX2QXKbYLMFmC5A+Lao3ftIerWH4DgYzV1Pg4uHolm+Vakf/u0zInVHvQ7G7b5+6E8Kx3o8k90 UFHPwjCK1vS4+4QcVHpbsM3+8Jm4PrzOAFYaHLxWrKyHUHSbQ6RynEKfgXN1pZxZE0WKbP5dR/1+ yJWNYmusmskgOugMj9aM0S6E7OUv3mYq6Pdvr36MMJ48muknPKIRGbYhSLVa3ChfLk0Ckv/Ca1Lo n83OSms/gT9/4a2e6JUz87OHNJ5o4bpXsqXQPXBGmdKohFOXQntrGfztZN5n++NnjwZnQ3LD0Em9 DA3S3hE/D4HNIx3fZi5q8QLudvk1D1tTvvkFWLoTnIlWA46jda4gTvT28Q7nhbMntUI98LVw2A8i Z8uxQTzLpxnBGFcXGOZmYK/rIN7juEvNO6Oq0M85PjIZ5nb+pJNup/IVH/i1OpbQZS9u9z3uQnMe 7g3eh3DEdtSuhu+Az/ok75iXqIualDZ68pGoSImhKErm+dUPc6vk/UMcPA2TN/3q1LKVwVtXIsfm 0KrPa7Db9HYs9Z6Nxj5c+oCvv1WK9swCH7r8LInox4PUswgphqJFcveC+txoQH2RUsdEfS3NZZVy JLXx6ycbCeoV1HqHm3A1AN+kaO88QoTBa48+mj/WAc8rWnY1c/+4owejtdChcNhYCc3D20efDQ7H MkjNbidh89X684tSc7cNiLN8OyThMuGK6e5hqyZkM3MbCjhxYlMNXGOSJ3TW9Bks8XYntYLU6+Nb BCw7f0I9Leny7L4aIfWacEQBvczqE1fKZu5nGQFnt2MRREKJR13cIwkP4e1ci9dFlc8c9b/9khla NI+ODi5CtOuG8udmSflPLsPosfa4yrSakTkgrzd0Qdqy1r3xDhfAcetjf2VHCotCe8h65X/VMgF5 LyEhA6q6SCZz7EDqUeKseugfXFap85tUIdS2TwbWepAGmicg9c80drcQQZvzG0QlJTLmsKrzYacm 2fkRTJLvQmqQShz/fxaSuaNJTVwW5dtUPI0yYlYkvh7+Q9MvAD/enUJgjuPBq4gzSzrpRAMm1zJ/ n4ULFbO/ERDs3cnRgL85L/nu6+cGngVH9DmaQ/0pYYt5I12mLJXcd41NXcSWjUk/54RwIDXWLe5r rjgf3JAVIWMukkt2ja1pCzGU2eA35ptxua3mhV7iSU/3XUpQzSV5FumdJ31bTdgSpBIe3u/Mxc74 Qov3/h6Vr9NuDWKNWFwgs5R84+xa/A6fBUmZKno3yVeK3fk8n8XV9ClS5nRNEbExE30EO0aD+lRW aFxiC+43k2KZ1Xsn3cAOLs4vPrSs41naRCWCfxmNSxyeTBds0YXPMYZFfj1xTUf3BrIolvU8ZVRr 9o3exQrUu9AZFsljtM/+sf5s8pPFuyy6AK/TGIo6vz7THYrM4X5mr1h98UjcR8iIT6kFGaCk4NIS cnSrVR2roMDQ0CS8xL4mMj1fSyz6p1ISDHsn2nYZjpngBAoVqIAGFxSaCnpVQAHvl9Ymgvi9+3Dr QYgNZCYISvEKaaXrClvD2PjWSI5GmI8G2EMdahV/qa0agq8dkVqBV/4PLc1D8kQ4wnEHj+BXAPvt VtwnrSCo28LMkW6fq41A0wFdgWwGQAycQBa19SsqZaOgAdSalsKod795xsI6MRV29vHCVPwIUEP1 zgG2v1LpZKURoSGdPsd0AtMvHElaTxDbBm8u/kVhNUxFAsndoZLkEw3D1PTsKNqILAiPvesu4avc P7mCK4quOnoLJW6nkn20QLvX3nUNhhoP3cVFIu0xAFMDVNPbiUEbacbInB8i+AQNRuAHGIjvlCnA JRyno4kFhbXTZAQjL2BKAm+e6Kj77PxW2akBcIyQG1mC6Aqgm5SmPufiyYsRmxOASElVFdDufj5T 6MScgi1SthUz0qDzoP41qaya+WtZ3su7SSNIo2PJ8yxIr+Ci4zcpZ+ay7+yAucM17f3zxkgCOVZo PTb1QU9YpCiXFv1QO5+I8C198dzYR+lavaxfKR/0V/D5KUASgVAV3KUiCkJmRmeV0GBsxaBQmaX7 pc3QhfYNjNNiDZC7oM3zKQXg3YLq/BM+6Rdl3lDRctMPGFTuNMSJyocYg+zH16EoV8fgn7GmZNCX ty1QXSJHs+hzE7/lyldBXkfaUi3WhNTtlYeGbf7arRFA/aB+1uVqTgKbxGtY5aIFQ4SOazdR6e+Z 8DfYTrL2vQFPmdM3E1VzBkFAusQhQPPMzI9HVDo6QiRKyoMbng58OjGnTCJ0CMyz1TO4p0xld1+B rW8GDeTnA+oOFA5nRv+upyuPOobciaKN7iNpFNHMRe9SMJwlCtsWLvw/QRJ4Ci4icNLzSNWf/YFN 4P4uv55N6NrL/Ru5HuvHk6tSGJ+xAv2YhsdTZtracp21crR+pthua5H1ZUGTT6lZZTFHZfO7/C2y Vjks3dqoqgpq0d9obpIh5lgg4coYLNz9c9dhBr79o1SBu2HOt9Z1TnmrdUiRilJy55klu9Fu43zQ XOPa6TzcE21twYS31PwgNFhh/FUQTxgo7J1xwB8gLw+fgx8lJOYNhc8rVxuLaPBmDI3+MLy4FDlY /7aOTufQHv5rqoaHaytomyhJaIfya8zQh16UEvPDcfcgMNkNIRTyZDm/fa4kON+hdDc6UaGN0fSc TP+dZDF1R9TJduLltCrGzIKB3N6khqAlpAFXZGp/vCO0OPRKbIB3RDFxORM99JX7iU0nzrxSSsMF hgTwcR5jYE0/J16g58Tp+A42UFN26iwjrbiox7rJn7ps5wtRsm/T6VWf+miTJqdFLMvrFBBthxio kG9W8DJe7UtIV/elli541hQqhLumrU5pP9BIefhTVS9RPAtanKdIeOKFZYyUaRbNzIxOOvOckZlw /2b2U6WkIY6UrKcnkK7BW/pfiE805Qp3b+GCKI9yQJK96SD+tiCnO4Rk8wLxZ3FLvoORLkGC25Ps lDWq64suadrG693BZQxZY5Qc2zCTihVbqM/YHT1FkfaqJ8WfdfsWY9CHS4x9V0HX7L2XO0XNkpO2 WhBzP8f/wA+tqzGXHMjw4cE/YwDSN86HjfCQs3iUVaQxVejUmgprSy49BMdKy+rhGX5ZFlJQcmsd ZcdgNbjDvQOTYzfrs0agfYaLmrvl5P2NimvI5eypczv/mnZ89pZ9mYn0Nip3DdbsQo2IxWQPTIdx ipvT6/aLY7e0+UVrngMGBHveZ452YSWiaDMpg9sEZA6jHvZNL2EQOkiuvQ4hoKOib8oc+bcjFjbK fI7dGzxomgg2VSWGqbApUZE0Jt7Z+W1+sDC6FyrPGX2Ov0aJYt1QwestaMcVJd61FmUuxwUYnJfa kdLrbPV+W0/KhDcBchNgzgkINuwfR+c+E2hKy4EsJwD3CH8CLIPDBLKVQiMVslN1tttOMIA38xej tfUIs/dIyDscUJGs31pYtYLRjnUVrM7xo6lm7NqWqM4iARLLdJDsHMJzjKkAO9B5VLWyz6pnID7E V6RmhUOfZe8i9O3uTM9rVwisH5C8bdmTPh7Oxy42fjWzoSf+2rOyJoyRoXu+MVYIFPwa70KWjwPC ppqkOV92ZH9bPcIkdNSSGHuPHGcEcDzmbRgbnhwcYJY7R2kC/PXsj3c18TnkPQzaUnUKYHGyYPN+ 3+pjrB5Ig020Y1nSavQRDwCU4znH1rcsCCcxWk6W6msbZzpA81OIpUvON5YMU4emAquLiy2y/mGl 4MdZ1VdnKpQPildtNPfc1HunckeKCXaAJJiRf9xles8gpgTZo5V4tG4SUdkGGIFAxrP5GFzZcMpT 0val/8n+5ykedBFdk2dZm5aoC8GQUt3DM+RTJKPiUduIhu0ofCTBELBzrrwdGwxWBKPvqQbcg/Fi qr8eZvt7L3rxsVXqpS0QjsWfX1XDZ/105TFk769NNbLdCg9jXVHGk7147+FxcWwVPtfaBfQvYckp IWji7J2h+zgs9wzGhG5yOmq5r44nERjdWpJrglqGRoUDeruMM0mx0Y00GdxBxCHqt2qyoMAmKgh+ 65kW7Yi/q29+64jEDXk0u/3macv5ChyZJOEPMzhNueBjotcrk685VK6uzd+11YSAKTmb3kr0t9rZ fzZOSDZnCILz1Wrzd02OEwgFXz2VojVtlvXX7GZ54jbYSDz4r4Zrhyc+TD0z15aURnEU/p+JwCMp lJbYq/4tTjtHwaFDia57CRnDZwuP8rO/610mX2UOLDQFCz8tpYBY0o9y2sAzr4Q329z1ppYsqGRq GeGKaDUgIsIpWJ9Vpf7KnZPltGas0T91qBOkE3m8MZJK4WHpSBqfYM3dNlZRZZO1bHRbT9U3eqXg GhP4LheSdfKHg1oFMC+mqgt51sQSbB/La05dCQIJwXiXB91ug/RmDq/C5yXOkF/swEjlgYuDRJLe Y39VgVu979qk17dLVyTwozLKGXlnQ3JnNWFfJDKMkaLWMBnvKKPl8c1lcDIJ9zUKnhTRssYwTL3j ccF0uy5UPZbixCPyrRkBY0zHp2DXl7HPKRCCVEDmDkeZySRm3anVSRLi7x0rRyIz+/fagvMHRoe+ +odHjk6xkYvLN9c1nmsSwIwLrqaHUJ32iF6aqQSWgV49D5YTe0z8XODSDkPT30unjYXkzP7/FobY fdNniFEXmZnwt1/y+OwoTIVPf/i5+IbB3nnspsjhQvnWiMaF+yabFT2q3bMLgAu2gr9LVuUbVgyp liQeE3JUFgUdILp3cw7QDOpxXENfcdk+/U9ZStKmjtp6M/6MBh62F/BYfcc2oB+1eSQnPPxJjXgI lbATTNs2Iy+D104DlLRj80GQF74c4kA7RyXY8F0scM4BeCdcDUgS5XQrSix2e7OJuwuzQgJrcfKm nMQD+O4UVHNwUPg1XuZVHaZFqr2ZGEczFgWqT2E0u2nLVINqPHzrplsjUpgR45k4U01Ncr0PSKbt IQk0MisiQDacCzYLybWN2IQy7MJkkgIFq4VEi4tRXSNIbpE42bSi4YEMOSogIYlMKHGdyvkx4/YZ HWBA9AzWCMZJfQAgVklrti4Sp8k/F9IWwzVnQMJs/jwgEwiJklJ3ChLV8IvnWUAA/E9KihzuSlw7 fbeDEdOQGvQi/iC404lTNAxqgcKud6KCey9VDfCYB69bW4xg2iy4gf13x0HCY07HJiqPFkhRTH/U 8Vmv4La87pN9aO5eiVONH7BsNQ5ddulxRw/ewfiOXqdt8xuSP2gsY1PMNGdzwPjEZIIuXjh9HT3Z BEM2Ax/7SvrzsJtkHEV74MVTiCSyjHvUxfJwWdqe2lrXu8sverVzocHeUEMP5prXpvJgQ9rctEy6 qPIHYQNxqW2R8+utKx8joyxUNkhmQMyikL3QMf0aNmcQkvRzMfF7dQVSTT29lUpWGqVN9qlbW9XH Op/VQo9T3aTLUqRQn3ih2Zh0oQhmjk2JsncvE/zyiT41c+L+FXcFAT4YbpGSV25dr9J0Q7cSvLzD Qv644pRwO6DOMAEl1TLRLTIU6dPChzI3MXriE9bM3TuLz3T05+1ill7uifv72aROifftuCHLzF3J XgLi+MjHmf3a13X+lbLGewwdy/7cD6yW2u0AVPBGH53ko+xnacVS4pKeb0GdMZa4fEJDepmeOZS1 OalAuNGEzAfXjFIrqEovc4ZfXNEIs4HiZ1luFy7hRbnevoKUPqWid6oUqWAE8Yw+k1sSHqj+IWO8 nIW/RENxwWaQS8pXKT+vaD+197CzjNq+rIGJYrh2/PuGXWfG3KdvAwaf7sSPACUqydaUX9XzTBEI O5NaASv/UtsaiDuxMkyX1/trL3cxKWQBjjnIrzg5lDHQh4jEKbp1C6mWvbxhLU3hROfgnT54YSKX lGk8MremLbHJhrLVpOKxEjnD3GXmN11WQRvW5ikeilHcCwJZzjhhAFvYi+VMckgLEnxgnQlDPlOQ uqHgO3bVIakR9G3r8JT4Lp/laeN7m8DZzqjCzhVR2z5mI0EYYenQuAoCZYY1091TpFZtDhAv/2bc 0J3MFnvP3Hm6HcKJo2QS4ILM0fgvTZVvIVvwJtuYHGBxw87WYeREIVCg6KQMWynuuhPgwoT61a25 cGlrjFeRQBL9HYSe4IY1RrSCWo1fRAgt390qNaV5qEvRzpAYcKDM59KR4k5UClJmotZtjfUJLF7w Zv2QWRw0VznyqBmf65ZbB4b00tUTyZ67Eo79LGSZWlFnOwKcMfGdyb8UkPAlsh5UOM19DeucpMT6 /mBhXpIT20BvFsZSakBe+zYKUQXMhfWfgCjtxMUhrHwLlyUguFwb5xSji9TGna6Y1O/aA3SFhQsz UkCbEJpS8MVNSc0ohdyb8UqBneb8ryJz7FWK/KBR1t33YvBZ1wiw9+z7bPjFHAbSwbxvnALk9qD5 XkS+czEujZ1KQOiu5nRbHSD3KMmOk3asXxnrIfPAMk53UqKOcuNf77ZIh7eMQ7mwAyGxqt816STa Dfmt5jQ/H1FjV0DXUJPspR39INwaLANJXDOCFjN7Z80hjgNiq3CpbsFXBjnA9Frl8sy+IZadFI2T darAj7ijf2xQqVZ7/56IcSlZk1xGrVBC3DgcMPZefWimdQWeMW9k0H9kp8qSgJlcYfQyEnVSaTf1 GOJLHxOkRf+W7GQ7mhpzxeIW4SUVBkKJIMmFm59Z3+CbVh/WqnbuoIaTkchihfLY8lv3JPq3m46s QTL/FmbTWP5gTpnleUydWBwvql0GovjZlc3l95Ataqea8og5nlw1mOGL9uglXc0az5qMR87Osw8m CFICP2NOZbC+XezTOfmIV9umU0TJTLozrdvwsZM88hm2Yj1+L98Tp6/DqAhSPJGW3HIoYw2tqDiZ E2HlVn+cL1zkaHbO7tZMzYrykxhYL0WQvIUm6C1MeYMDduei8SvT7Qe7BFmCx6+LxUvlGleOZC4q 7rL+CCaqUxSlFFh052tyh5NK5I0QsV1D+eSF4ktpcJu/f8k4R7kPYDQhtZQ0xDnJ2RjLPBOaoEQv BSQYMs0ko852pCfaBedSRhOR17N6ByKznxoVQjtq6fSi8J/QgrCv7QftdC2n2FKtckQw81JZPs6o nQHECIZEe4+IDeaVFuGnTLmCxnQY7j1R88Bez1xsNuNodPYg2DckfASsjOnb4T9jZQ9E3K3WERg3 r6DNB5Sadz6jkqqWShTKYouC7aTMRXS2Stu5XdYcZAr3+v1BnfODVuN+B+Vpc3Ur7IXdxKDzA7+S SV5S7x/Hj1H1S23Ej02Qgt3cAGr/CJHJTcuJME6bA6/qkOvS3RZFgd6Yo1K8Ju+x2VeQ/RaNd03A hofUGablnqSNFbQ60rRhgprk0rHVN54Df81rQ56lsGBUtuEX6ttvuuRgRlgCPWg8i/tlcRjhtxna qNFzMjHafIUWCqwusuoxW1udCrG+X2C5WmEbDF7fwj1rYorthy7OLNN5LcPRvJAoAMKOQse/SS19 VSSHgHr2KraqSfOmM9GaI9ST/qlwSQSQkokxHmOQh4pbYXlLN5NX9vyLB0dM+u6LUPXixtJUfAss wuGK5zOCLNMoUSnnr5wcBJbcwMWoy4ImFNnINWjG+YlrQ4fxqaR7+M5XaiuIOl9J8TUD7/+ydmlW mYvLudbNrfym5hn+jUk6f94qK+B5E92P+HqZOGXkklkM4ChsMoCvH5v9RVJ+Jm5fWNXR58jOU3ts OvWl3PRwCHp02urjjAtMAwUJyi1W2hmgoIHJOLxQySi0YN4P4w5fgfyJe9YZwaYXAnCxuJV73SCf r9oZg3f6ZwFNA/RSJTY/AVoE6XuCsZrPitbbnp4lzqUqXnhP0fZ/NFWCCpNYplTQJyoc4jX0F/ta Ey89q68Drt1KbatjUWWuJC1ly7wxbBCqe4lBHdiSgAtZZeoKdnTZI6zqmOFZy3DP1CmlhgTw+8bB UBPv+b29FMmG5rcoL7lgXvgxHmGfZWKMP66wGEStB4DheWZhr6968Jz0aHL+T8eHx6+P1nqZpQIM xTV2uN1pZkal9vGolzNOTSPhI6mzCjNlsEQhIZnqqACHCVd5AAdCxWjXfi5qhaWAgi1hqRIHFVt0 RKQcArQ4peOb7FVVKh7aPZxEoIvZ7Juji5gSa7YAySKoQq0P3YrlH/JPWtyiErfEGPlUogzbLKnG v+SQUogh4Sbq2oP3el83o5VkEDCh9wAvXEqCAnmyUJt5IAS7dMVwqYSRqP1FhZjZhRqZtt5HQKnO YVJLzZqxLPodTzYiWa8LF7P0n8Tvo0E/ptjwXWfdyGZzmA36Pu3bVIdGTKoM68toiw8uDy5KA3Em 2HjHk+jBilYc+dEz5GZ75uduB6uqyPli3gUT0WZ64ljvLrWB1oULD+TcNajJb0vzfkwML+qu1SCF /hfcup6qPgz1ZpApwjs3RXOZhLIx0Dr/SGcoxFNFHLYkjnWF9nFMntlVEQ0QNzEbxFSxNc7qC1aq sWHNQDcy9KEdbigpYlv+9q2qhCL4i3K+qi/pC0VwZ0v7RPPYp0Yo7A9KFdSgQ+YAFiu3mOGjJjxX NP05JvuJj6z8ose3r2gHA3aB/yu3s+63KCD3d/wtNmAMns4/BiHtnJLKsHlq5psdDHeYmWb2WVT5 wVcV2txyayEb6cSLYgUfTesxjw8jVFM2HtNimT39wywyAGpUkRApatcJZbTqq6GjYQmiy3RYMFM+ h6rnf1vEQadi5+n6+wx7XvpGXjzS4Wk+KCI0eUD6nQItflWDH65skx7xyZO63D9wcoMvIV3/3tNx BJIwSED/VMWSVSR+3fTzwfsADasCkLD1TtOoycUm8yZgRVPo4RR0V9w4y1YLe4ncZj9qPxXRMSkM 5Wnv982l+AxVFwevC5c3h4DTWSns7iki/ch/TMndV0INZcnFw5BpHDbFOZUJYUKbFLhoHF3dlw6C lh5Het7sbMOuTPrA8Swwh07rKOVqH3DXroNjwjprpDBhJOJn9bsmHE0OYweQmlUK5wvArPFqVlRM VJYkFaODLEay1COJPgdYP6SUd5PErrkB/M+OIlaf8251/x7Y8altk5ZyE2ZsBMzkQ/97evXlNXGW UuktUBmTYJfxzqXdMZ7nl1G+kLxOhZeqCxntmTEiBG75qcitWv+hATs8oBDeCwbLcwnmrNyrz4a5 btnIGMA7TJxnt1hvfvBkItZSNX3gvRk0MQhruMgcc//VcPldIrKDTALwYyjhOnZ6Vp8b5j9WEPkD UmoPcbFbFnlNN4dVQN9X51C8rCLuFzitKLPq2Z4iIhl5huOzS82b2fANgS02fGz0srVG+0np1DQV BNX183XEbrrpPfuP0NtK9zDDHO702KPnM/EABAtgGFbxTo1g8R5HHLlIEe6ek7jVR8OX2AyROsQ7 xRxOIx7zBEgcMJWtI8gNUXBlF1t/qWogsiJ6a2bR5JExEYKy4YQgFVSHIdKRLHxJwMXqRvvLxsrt isHpp41AySYADDzSrt9NLthCo0wHA32ok+HhLeav1BLJIPla3pGjMpxm0A66I3Krsk4p6Q59ojpW yXHQ1FSRDlVWJMDCKCRZnVtYpNPdxEU0WzlnXULir10Q8bQ7eKpQ51OitQWtCsUOVyRN4V2pz8w9 guNlQxOyqKZN5MtCU802k2Y3OVzcC0zMzcRQ1kM3crxMkATRkJmXn2hd46V+GkWEkjJB/3+JZMJK 5aHw9wpSSw9lVyKgT+r254R2effpgzal6lqH7RewVSmngLTwLV9+tOUuX5D/Fe03UpFqwLNtU4lC YoYq/8Dy9Npxf9/Fzx9w3YIVl8hA5r74B7WqwudPepQDiH9W4dFVgZh78ne9L76dJRxsO3K6tAqx ESXQofMPyqw42XnDNON64jt8+NV79zSif0AluUGrYNdKVid2g9LpmbgsLfOt0TXiOB4psZUmicI1 eSWJx44XNZO69UYXz6+Sgm4lX8dtGpLjOQnvS8irploiiVRllr6ptQuDhnuHi5dLPbYEYk0L94vv WqWNkHgdYdONWy52e3skuaLs/TXllXFnGnXsh51Mw33JD51wCj9joKrVpG8rp8kVuS32at/T4z3R 44+fR7Wac9yIFuBCKkGIonX4JFqAUdwRud17pPgmwAwL+UAYYylJPkHNlR67cVwr9GEhnUFjuM72 cougXjGUFXMyPn+55s2q9tE4CnKkya8CG9WV2zDZ3pB9SRrDQzHB9f1EgIVCmMbtGsGMX407FvKS QPalkRDy9+3b5wBQBszq4wdNIHEVhAbFj8i4ylANKhV6b69dOronkboLj7uMkJG1DMOtOMUcY5fZ ApTAt9K75g1rFa5zFE6NxftgvTOO27aoh9121YZ0tjpyfJvSxmqIPl8LvS8JA0RPRR0ucq83T0KE 10tKPnMvEIwlOyh8ke4j4CW+HU33h8LkrpYCrNKvRh4xr0Is7rvKJ+pX3qb9j3ahiqWYeGKBim7q G/Z52UCgsAVSi2Hipwe+jteeYlAYZF10L4Ds7vK6lNFgxHSL3fXbga9GaUwSWE1JYtMJNWlukZPK CRGonj4pt0nzuEIjko5mdXAlCMczAxIulZje0QWh9UH9KXLod6HP9ulMBAo59W00W728wtdzEgIv duAlihYUI2kzfLMFGhzF25BBHAtb21mY5nQ3RFM7hSvMLbuHOv74xf6NfZh1xHUODLeR1sW9ABLo 9gB9mbwfWugO+mHRq88CvzWpJ26nsV24pXImYwhFm6brK9aiReD/kv9XgJMRgKmFOaW7D/Av+e2a 14Vg+Iic0oQSaHTuMd16b+vvpyPbbZPfskYHSpKeyLQR5oRCBHTQiKLRna3WMFP4TDPAoUruf0K0 oBPeaIglX/ZiNDE2rKnnQaffMAU0zIpE8luadCjEr8ed8f66NsEhh9PPPcrvYxLsYYxiEVW6zsbC iAGC6S5FCu1V7/g9EqNMfdPjviimpW8iKVbL/NTFqY+3ZPOrGccb80KdiDJmOXu/DhcCPMhnx7/H BHDV75UmnPtYMKQEIalge5xFgUTOr3Zr6Osaey0opzQdmyYnxxYJkbkG7bum0J3LbcLxYoOnWIbD MWRAwVlZ3of20C1+nPq97YfVHmtnkLHQuO61jUMqq6VXh8IMJ9o/sRS7FpLy4yNg4ll8NO1NhHfZ Xd8uh2a3y4a8nlwEfbRk/M6j7PKRCjdX/iiXU1KIZbvbGAf35UayQR7PBAbKo6V/hyW+OLYiIprv 3kq1fyCQVjGq1e8wDHDOQqxw6klGf9pTHSUvdO5WnwJz24J/RKpquCNEbyMll7gLGxKc5zG2F8px afMaIsckMdtM+zigT1SJZOmaPOArAKlhbTxlynJ73e7pSVqpxI58Kroa6MQufHTU/XdErWSIBTOh ZrXY6NX7SrRu9Sc1KWI5s8ti8WnIVdYpn0+fUC7MCy0dFaeRnNKz4vIDKtoy5NhY8SvG0JTkcBPV jzAJuanuCg6jsmGRKLcy+cPE6H8GtzgKWCBBWeVAd3cUiSquKCYl/JpsBFv3O2HRtX2p5yYRmvyN rISQ5cXCUACaiKQ9RAddVinirWMR58lcQGgz8+574deFNAFhnBSbX9zYA7+Be1dkT7pIZrmUzFw/ N05j/F8lS4owNvn0byzOLryelp4YSGTD3YcCuXOnHKYYmY4arGt/VlDMMDEdtANGnrIqawB7e/Hu ZdolaE+HV/xDMb+ejObqLOai1KJDfnCnyotb9CSC4LFobiZ1EOBWAD2ncTXxRO86NtvbClSamxlM 55x0mopAqQlmHNp+cEnmiXP0QSxT/vCecHXoM/RR5ssXc15AXMfZBckTH8GTt+5EmNyfJykjWcAu ncJaUNN35VO3aPMKnTSdcOHGSS9ZVMy6VHucQEh8Q4IXuzZdDHmrj9edm3o4aLAxVboxDcEDmMcg BXnnvtmHasqPQ7/hWqSoxyttJsTQTxhaRbKriXWbKal4K1On/7VNmJ08hrfRxwYI2XEu6tPYUM30 LmCA17B7PrIaC0wqxkA1vSX4YU6Zkr6mgFzFiEENmCySGkGh52tHRciWB3Y3bAnwm26cnv/hv70M EnmZArme2Nq3FNJyjxN+XJUBlfB2VthSuV/TjRUPkXQnuSdYYT7HDRifB3/WSOpDQRDcC/PtD/We iCQzB4PU1gmzjo5pXBwhAHvJmuTwXAeXwzq6jVF5oGtUzkXXqi6OR72EpZE5Kk/mrTAqHRDFcRCS LC8opSfaCUw2r3QR3lXLnR9+Bj7QxDS4qB01Jy/DAb3dMkdCv8oDXGmd7OaUbiU4L2zNpHL/Yrtl KVaTLN9PlTw08KB85/JIr8N7Xo6tnDZ7BUhASl8/s0spDrKDx/uZU9lCDxt3e0MMbsyTVwGKNaha bs/qUIShnkj45O2Glult3Hp+ltfdevo1WCRD8Uz/VBZr3HwuiZswCobEKiMkctrx95JnUB/Ogelo Yb4wUvTGJcsVngoyeZ1xN2TkoF9b3ZCvJrmW6eal9qImtqFEKmWNkZfI/d+ddKQ2+NUGFfgyFSc4 f20bbzS8LEa3Wf5gP43Z+HGUeZ3Jl6Kj1bYbt/B3Qy8BjPfy6l/QHhy46HGKHeeBI6V3uPWWNpZA nzsps7oAn+kdkE41gt/bdf4/U7H+gAhPecQPHcR3PpiabzXa0VAD6lSEE9wiZ2SO6h+vOA/tA3ly gCg+UcwPMId+K96PykBckNyKar8kykzcrTxkIOiPI/FhqzwlUsYMdD/lf5BxjVhYwG4GdTZwP9mz 2KVz47UPOOtVEX2mrIUrDsYsEUip80KO1LJl7L68K6PteYwujxgzayIqmuM/r2sTMGlBqM08nSg/ sm/W7bwnYKu1OMB3WpGwNzABjD+I5N5q0lm451Fh0cz4HEH/VIhvd77dDNlF33XwbeA3JW5JzJhl JWUD0rpzaoWgHg9RkhDTFsQvYi2kPixr7xtuwNz9eBrCD7fHlAdxFr+nqj4YPw4ZO6qHfFircTH7 iXg1jUAyBjO4utyr/8FeTGvjNApRTHg61zTGEMfosAWkSjCJsx4Rx5N+4HCO8rdZ1utpfaQokfhg YPDtjuqXNlWeS7KR8DcmpWs19faidcg320LIXWZw0NVXCAas260oC1ngQ+yPOZ+XzakPa3qCfSaZ jFvFDYQwSn86UU8Hmw2FZJWCI+wBnTfbvwLKgdNH1EhPzP2HhGJBkku2Krd+/VNwf/cAZQ70rzfG yGCp6Vjj9Tak8qw0jOESWui2Ewbp1DeeIyQqYEhF2SaVkrTeHUWOV2RtZfxwKdgJer2hJ6vPjD0+ Mdr09NfzaVgDwNSBp9Z8oKVe7+GyxOTqCUnVMkewD0ikgZ+JKp4WRf92sfVzEr8FBnVDc2yAIdkV DZCPxNAU8VC43JLWuss1ORdeHyfSc6IzhmZixjzn5mZdVlCfUZLxTWXCFiDO0oM3++5mx63LLq9i 3B3oDv67BJKNptSKrvOFVG4pt5E9s/3PVUs9hcgI8ge+Roghp+sSqQzDAD/kD/t81xgxmDtdU9fF AWUcSSSzIaRBycgtY0WJUIEInPrUHizv5TOj0XRE95w79O8bp0PiXBAB+beT8/TnHx8nP0ejEwJ7 Q8mpAXcOrk/BTMbAjucVUjkh1saxFay+KS2v3GmodSi51NUJLtciVYCv8ZzbLcPA+0nTCasNSG2F 653TgA45dOZcdqb+IdtzvyG/7u79au7dmBKBF99wnoLWHbxf9m6RaMS49Pb3TrOc+fSX6dHspeiI CYdSBE05Etf7nlUUQFFR5LyCBThaSasi6F+Nhjwx7BHydn8Nk/myZ4Q0aiLpREbvvNZtwRQNWOh1 /aZWQC+vRRZj5eUqFrUnlHcsMU1+fdaty+UG/KhQTGxssQwhwxMueXWQafOC+Y8a7cwqgUKeBxa1 VZAm3MfsIaqENZGCWrmz4bw3HVOmd1YuBW0p/uH6wnXtxTGbizuDotJliFd3X0fCA05DEqug3dbI dxxOhkv9+DkWlSiTgs05BnFFjkcF5xEz4SvdHeh/Ack3YzaQry6k6oEsC3PqE6iRfdL4eQMe8tGG 2KV7lgXqHdWd5BsS+3duUQSIVI3LJ8+r4Mu6okoF0ATLxn9NzygixPV2BXyzaAb2dWdXSykralyS BF8wjOH28k4sYLKXm97DoOy7GMAIidgE3in1D16UtcI03shCnmRaFN9fjitMeqUIlWZh33ykwJ+a 1fUp47+Spahpju0zhM50bfeR01G1FXCcwabr2dJjsp7nobIqnWQ9JbHqO8Wkx6FmFbPk/WsxAydy gwZ6fm3+lS/sGmXSu34jSYT/JeT+hicYpdCimLsT7Vj0I7zUxXETZ/Xf/kcKgsPYPg5llgvie72K QnCUp+a5QN0ZuN5Rs+huZc00SX1ImOlNBkmScHw0laBOmmtQDFVsWy6qO7qosebSrDF/nhoTuNBO WCjJbrKYUfbKUu1eNwxi3AhA3hvxgMJ6th2di91ur/ZhGqqEAsKQmyku7ESYhS/byczJ8OxATPiH QgCpQatCy0Qk1PsQQQcBPPIHoc49FdgeZduA9Nj7hopv3CR/nDkfwD3DaNFyVS7JILeG9abUcp5X kH8r3yoSbolsyxc2WKbrFNntjOOR+D0hSttsFJVK1FuHkfdCdpGYFO5T0lmPCIoWICJgfaitIoFL yC/xvYNT5bhLGifkemYgdir1O04JJPTZ4zMk5KjPbYT17X1ZsenYQL4cHqd2wl1qr/p4dS7MuUf7 iZFYFdmpiqvGADuvs+ZwWrk8BBVTeCzEniNECbxQrXRXZ6JggfOlkcoCk6WVZ1nDd6UdwMo/abqd TxtoMWkdZnCBEHke04NwlvNyz6mpYMAbREqmWU8qt4r56Fxwqk2+4TTEVv5TRuMEEED4GAu74pSv cVVsQZDKSADD81dnA1LeS+AAZKrem8Ehhl4LuosSErG9EYW4cNYYgTaZxiunoVEVDAqb7UGNvoES mXExbVWa2uPszan+k6yNyVSDQVBDNssboFs7naCxtnacxCfd+XyXfWYlL14vBejou8f/MN25t1dZ JEi3xm9nKdaE2rt2mkjbY0f5ICUUP+EtSYJUYaAL5i9E4xByzEn30BMifaNXbCofU0I8o3RUWluy cdE5ssxm1fELkK9k2sVRgz/ZMCKiRbr/M87DBc4kN3+EHRG4zc+uHr3WLwpFz6e3PsFGxHu2D/n7 pyQz8AJgmJvW5Bzz8XDJ3JeC85eBOdpC9gTTN+vHV4ybxOc+I3lkKyx6t+PF4rOlzVtCiOX92Fq2 OmV6+5Sl8spj19lGHSqw1HIa2rDFzP6xcRRpdSPXw/cq5VBKd0hZaud1R9O051EhDiOMouBIW1Gl dgd+SaIFNaggGC0IAEWShIPmLSGgHzaU1ClDNy22dtztbYQxK39apSAcZHBKA2uh7H1JuVvug3pV 388S+tgIvyDdfknJn+Jpryskv5/MAwKtXMYCGUocOYNdOREzAjlpsOttmSWOiSlK+oJYwiwoZ0XX e65q6cXvNqdHgZnBbI27LeSG/NZxDoodX76y8SzBBpB3zvp78JcqOXhNymJ6eM3j3guLmT2h1LXg YpTE/yR17HVtIaD3Lccq85RHEe0qjNfcAelm4NGADkqG4KbG71EPnIaW8t+C7bwtGGMFB0USWjr/ Vrg6Xp3ch27go8nYUo+WqGsTNSHRBComeVasYBhmtLXxCt5vCeAvkeEp5SZojl+oYMRoZa2Xzi1p IZyytWdbIQzt9Ag1b3xfbuq3/UJJlCdaAcg3ZeGOL6ZgOXrPIoDEJ2Lo0iSbKU9syg7GoIk0PWX7 VEUc+WDlywKQDI5G2QPUjeyHvPqHb0kg8lLVIW3diSO81f63QyQLV3Zs2DGFJies80OWtJUa1/v1 D4okAbfHNoRwHx0q3cY1VlTKPUOhYBVvIQpOPFyGs7+FXe3Fe6c5Ojj9IEXpSXjmbhtVBmiEZY9S DBtxFHWNWkVsSr3b4AcIGwR3EElgutlHvZbHAsKL2RBGdM9hUT5YJ/c1Mqoy+pJDdw/rF1ijF5RI xFHf5uYH0IvU6HAMcfHwIii9sSUEBZQzzFvbOhp9I7H2I1U4WSjoFgf1CFD7Z8pZybz5UHI12tkV HR0FsWgd1t7jkDwDBaYLpuVFcumgEqL4yti3FyCV2oEqq2s2LiMdzXoKmJmPXbXMUChIhHS59o/B igPqtUjv8n7hQeaTm78gkXnuLXaVhL6O/45uAbrvfhqeWPNyL4fOiyVq1EZ01cl0klkUTgPn2mzE zuqbUcT1WoP05mHdNCZT3sRO2cPhZ3sRa3uYUSB/iWGRKA4G4HCnJaooeWTsiSsIG1NrpVgyblrK KPZaxTv3HoF7MJvK55QPVb1RERSGq6FjZMA1P1UsWb2RKcL3cv873cFa9nDV8amX9pvOYZqppX50 u/0Nq2dxmSZygtn7PA226n+KHDSOa2dZHPvORepdzyryqo2Aa8KTo1tVL2CrJAA33S9ae8FHbdJj 2jtNahsAlUwUQRQlHLsUCP+iC6uoaekBr5eKS38CQVkrczTH3jBxCc2Xt8cvIEBeIRo21Q4nfi3N PSSqZLxXkEMI1q75Z3N+7/au+krjp2NxM0q4/hN3cLLktMx5LiYOS8vcEzPGNjx55hZWEzlkattB O8l6mpitDpuHKClkPr+P5l8lsta8XT1VF3KzmiZSD/jZ3ubMev5pDzafGfM5E34XL1y1A75IZSeW FK7wX508TQDofC/jdKkpKCJ8Fy0B1YsmSxTlU/znxCImZEA/uom5F3WAVH5IAgoybHFSafK8Pm8i OruKh4MVznsPQnoO926hZXw9cimB99XD+eBrTEwPrV4XDtIMw1Zr3o1iTtIkLr9/GgURNff3HmVO olgfAB44hFNc9eoXMBDh5NQ9MbnfjqsPdD4lTxdINDeo3v554SQKzDavcRopa3LOP2CagWnE6OtI yy2lDC5e8w0wwFqRJAyo5vMEhjGAZOD/xNKIcJtnWh83bOmAS/8xrAs5FSaC3JEGx5F0CqzVOPS3 1hFPb/Q40aTr82LN6c5vCEJ9QzJwWZnwrNGSZ81XER3r2sj1PpzGkeWHKoc9pk1aPZmNTWoHcjkJ 790zCBaMGfE7kUjmvxlMTpUXZ7O9G+DkM78n9k4bqKeQ1+M97sQ1Mx21Nd9droPQUfcnkkDLtulP 8SkHU0GpO2uIbJqBVlf2c1HD6dmWS2YA4RCca5qFkK+/YMOKatc3VIfHHRx6EuEJyCneBCYNSeqr OKSzi8+bqc4SEVECbe+tuT12RI0yT3PcspryW+ubVvqvhnB4Z59seKMCoVr7AePee7aWKqhaqKdn eIiEBGJQaJeBbhvdQ9Fs0oa7y8COP9mTpaTMkm3rxSdc1riztOcLIctz+F8nJiWKaNVzkIFAYOOx OB0lVhWrQoF8rZtS9Gpnb2kkTNoZ+6TusegUnoW7PFYdLPLEkOpOAdT7/oVL4lj0osaNHpFXi2qP hOFaCKbAQc7PX22EQ+k4Ttr1iNfMlDGKAdsp+OGs5wSD7UfL1EL8vXp0RCg0dntmeexDisAAtzVN x0K39vz6dO6vdT54T18phYJPIRklLEJABMdgwD8JOkKmzTinKYlAVzPEr12Qs/BoBwTZ8u9AkkpO ahvZ3Fmp5CIjrhYUKL7iosdW8NrexC+vWQVe4r0TkFtPVmoxnwhL96oSQeKJio2jJW1hnqjt9D6r Y2/h6iw4eXvXb+qcQLXiOu69wom+hxBqvbfY/m0K1gVumf03cWlRh4BGvMqqWXq/1K7J4CeY/CTk Jh/3aVojUtm54qeVMhe4jaPAj5VFR1yqWzTuiduxr2d8VilKcrm7TF5iUmleFPJvP5kXJS4050nL Jruv9KmXB+09V1nAtjWPJLD4qjRfp/dpB6le78+olE8/9zNfOo4dRuDZVkG+xVgNK0sqy8Gmxzuu +4BBtE3IGPQhkBtVlDTuRGE2xjWyVUVgI74sYGlRc1ZYH9Naiw72zQ1NgzUja7Pqv4o5nxY8h+Qa Ldc8xvX1jZhpQ+32T+kyS9TgpVd5S0mOHeWNCNw5VVLJIbjjuKyfNZcbuwydbnYcWw+OTIkYI5MM 9RFKKozogi7tw0Av4d56B+NZVuTptN0wfSRxFApz26l+L5B6vP4jmSv3inkpo1jem+jBDmjaDhPD 9sC1nvnwdtzlTjbWmEM/R0S7+fmX8qEw0j+DMCSPSilIJRLIGWs7m2xaON6A3RewnIJRsHqA8Hz+ sc3cPOeWyqJroYeb1/lu3kGGiOuTLjukN+q6wqQ8yrDSgHcgejdbkI1SsCdtp8TqU6H/NFK8D02o T+UE7Wi0QHRboAM+wMWDIiXJyc9AjLLIl/w5jvwv0NbZD57xKJku7bxzYTTEPZwUujTR5tTQD18k rl/bsZa+E0VMo+gFY+9A6s7wXRZ1o79kpKxEgR3uysLrimCYYJDCGn175Q2DupOIfa0/1fmYcrdV 4LqFpbEQtWTRGL4ReVDEBuFP+cVT4mXMCIH8nE//p1G1/22HH/3JJ8aYlALCRy4geCFxqltEbOut GRMJyXPZpL8jytwuflsr7wEc0J8yjsQUJzOyu1nPOlhIQ4KpT4SBjPULmGunKCx8Tn1XB1kp8wjA YzqS3eXzP+sWvbD88l4RplDGazqDYG46LWupiQUKrdMC3fukhxxofij3Vpy3PHoHHrz8LLFs24Tz 6y3m4xw/CvK5UAlbn9JMztSmgnQAham068FOS8q/4ImOePXFCidFAs7MQZ5Yq/xZra1dWRvbDC7q X0Goq6HeU+SV3qi6DsK06czHgp4LdLPrkL4k1nI3Wj5tfmOzaXbzR8NPxLTa2YM/mM5S4U9DzNfe L49kRzzVY00CTz1/HUvbYQ+cfMAvdhbUSKoaccEnLiw9MscwqZ7mxd8KAvomx/me4C7gx0y6hjPW l9MsQCDkeW2HzS6t/7GmrCkxR6RZZWTYCD/Avl3ASB7kMBOmD9Fe/iPH9spYNrSFDIs/0TXDEl+1 r0IU+0H0ME2om1nVrjdkZN0NKv4kCoEfaCEv+BSRmXuOO9oJvcWkZCenYHH02cU/+oTDEmfWzlNS 1mVQfl/CoLzN9gIt2mKOSTrHIznV2NIZlhi5BI7bJU4LGIXe5Wdq38rURd/C50Snep0e59zKK72x YgWHq3rqZVWRch95UxLlzcQHu5HgTo2H+EjK+CoFARQTA6XWuAXFNSHNX1XElJBXpqaREuKFoCKF bKgu6JaND9WSGcRVphQ13gTb+BYmJUFuu63GQtWPwnaRgXJzQKLRcKpDhThB8aIWN1O55F1f64fQ 6SsaxqDFvPNgkzJkcxr/UaDxx9JjZcHdTnnOZREcN/tGW5+WX9rH5IN/FHcVRA41qnXhiT2dCTYE 11BwDOsAzIpXYkWg+AibtM16s8+rBvQOHK7C7mZ8EjQjRrn6FqZVPewzD8UtkdI+OX7KvQf1nqEd 6GmZW8+W1eorUthhI8Sq5re3S//Ppeo4ZBc7/fssR+PSTqk5htp+Fa5eO2BeNUiMTCftrr9AfCKW tiBJKSTiiZ1RM0XZt96Bt0J0lh6/nIuXG//FNtdAntabHvYmADGlwhaT0BPki7/7MuGQW05Ty0iX tJPGOpRVwdfqMlLwmgx4D4VAsTIXjkWARfNChRuhUlOGnXRhQ0GwavbpwwiK7B71TYZ/mmh+UC5Q 6/2tOfhvNVIscz4QjLTWl8iljwd9mWSuJ9ET5/OEpesKmFXCfHSGufNmcS0BvRtcDgV1VfmkVLUW R2bvaRmr7AaqHfmqW1xqbqeTzBSplMdwJ0r/IJmY5tViBGj1xqOkIcuxFn0QxGGUF71KfX9AP1nz oF6+a1k/NNyiozJ60sJjEQwp8kVr/Ur02nSo9KAZVs+SvJMjM1ypIowjW+TF7dykf3unlgrgcVIA EkgBccizRzuHWxyGYeNqaMiZY3xWnOOiPdaRccVwkvW31VUzsp8+iwWVS+m44o5WiYznfTe1KQR9 Qr7qq47IGLBa2WkRdElXctMmzRshHpTnEUUZqY1CeO39nSaz27QJTr6tmPZrweJgUMEwhDND2Qx0 fDAw1hTZFf9bBc70TC25lHG9mjvqRnfGXmsKD/BOcYBKPJS/fKaXPpktmmbGYxYeAOP0eHaWcUVi ej7maLOX6VmmViS4g26f6TZvr8+1Eo3DTiTeULyibilUG+ViBYQV18yYoLXHbhxXwenMFCKK1U3/ 9j0/vrZYCs6kma9Tbw1T9Lsi9iiYXQWe/4d24kPWgvMv9otXlEXEKypwAfD7nHQuixZDdusSdezS xVO8HgkoocVHfvP7NmZUbOGO5MV/dKGSsbci3DE8RcFf/kMvg3h3wO/cBWK346bMvdl62EkIfplR FCbnfI1Dt/zYYyMtvRVNA8NSaakHKwUO75M1P7WduXybDbGzPSC/4yFJIz7jBf+EayZrQKqguUYS mU3DfrvUtlHKo6RrGbjFuL0Fho+zP1kWsBhlhqJVgUq4ox9fMlsIUFGb4dCTPjHnhuuNdFGSA7BW aLhtwL1sdCFUQGzsgEY4JrjRcvtJMcuJR11IVAZn1KB5Jmt8qcJfakm1bzKS8H0YE0NtIU5BPPCc nUqxBIfzSpfXNKSOtS60HEEuVfB7OZg9wb8iKOR8Vvq50O63lqTZ9a22cJ2emKmhc5trUfIIBkLd 7EtsQvHotnhbho0fvzNLUq54fMWH+vuaXwj5AHuYv2dbchmGHT7C3/gHYoPGMbJhCP40YVyAWYZz klYf1HHsTT2HeuXIwE7TEWXb7uULWKINnWz5dx564Ti0h/aDpCNrQQ5nORsA78Ik3nN6oIPlfH+l XKcBBXBErhK0auiHyHXZAsAdVdRdch0vE2t43DrDuaAPq90AoOUf5/jLA6zUfkxa0OHvJGJ4QiWo 16poTlb860HGcHSwOLAMSMqsZkMSBavmuC7tLZyuYs7zs/kkqlvyyRb4tAl4ogfpztl6umeHP88Z 49UMj+bKxbnJwdWcLmjilPXupZspe0vOaA+elK0xfk4Gh46NhoMhqFRhkJ18qKRu5ta8MWQ3Eair SXmfENyn68/yDND3kiKalyNJU9ZvgReYvYAu8BEhd+AGDsq08UgdOkSTsB5Bo2s0sJx8u5nl9Dwf rArmQw2wLTY5OHEWE9OO67Ju+VYmQ1/IaFQCIUdPryqpTZQ6VSg/ICZfr0KaCBn7sMBanXIDD/E7 xZ6IaTiY03YRruqV9VVHq8FYkTePlQWMkjL7kJJ28t3srieyhiHZCno9o86LQFquUuoAkEZRyqXd 8X93KfbEAazA/6sL10WP64cz4QjwDFVr8hDyNThWD5hPLHxRi+kk0OVHDWhxp8XcQswfh5NrBlfT 28ZhSInRIDz+sqL3OuJfuIX4GhPGnu+aaf3+NCfq6kEuQQg0WUXn7JTgtE9snn1Mf+oqToERNj2i ilJiYgXp06u2JqSrAzZheXpm+Ve+/26oMj6NRQOo3ETuDT75YEEPCDso3Rvajf//0nNKUjA2X5Bo OKOtmk9DBKgkb1p4T+ZgFXuohq/9JNyer0UfCicMYAZPFPEsSs+SxEyUYGJAqdrEUrZagZVOah8P Y3MwBbXpcfMvSIdNawuUcv39en91/lx53evMGlHUiooe25u+HOm9E0GRsEkGArL3AYuXh3nezkmc NAenNSKdv4bt21KcDnRGJqtbsTDH4GuuxLG8lPbbnXHCSI1FsACh0ct2KpRgW7lgUEqDbakpv7rS pBYFSBkozKdzqcVs06wm5jkSiQifHXunOWd2RogTibPdj/BhlDtk3lCx/PzAjuWZ8Pc9Ewiz8akd mL/rN3DIY7B7ryyly+aQsLb0pxGK1IsOO4txEeP5fLbKPHKLRoDsQCZqLTawGkGIcKWyOm9QEySM IFEeMp0HjqYlaR0luzlmxKuyTmICqtomX90JwQ+Jaq7FK8kWqKQPw6v4YW/wCWrdtoTaeTMsLrw7 7gAUHs+QFCaWm2/WrTxPmgsKXm+Smm0l7l+QJ36tzON58bnf8kqTKwpcE9UrAw6VJeTAXWhETgdO wPn23LrGtY0d3OBw0uQD7KmpMp6a6amypEPAwYG2TJkuJlJe/ew3PgUs+F7BNA4bjVosW9BlPMfi KCo+CYB5grPuXfcDo9Nxw8vn3vqrR2yL73AVlvkXkCrUcrB5bpE6NWqftPVX9HykagPxO9c/93A+ 5Jor053sWcSYjObEnFIoBwpvuyQi1HfwM5KFKhbWw3DrufWUbQ/sAmP8lrvzB/Gr+/oA4ruapqYH 9n4z/z9lbYkjuSHYclUe4sFQBVaYxgIsp0FF6rlOi5Ftje9MrktG5DUWq/8YsXfANbGqFZ+XFWtb UcMZc4EnZBJOEsrZCounAchCHqd2xfHtDtOD76j9e+g4CFmH6SXsEEcSEKuZfcaC6z4sgpzPrBBS KzIrQ5xVBX2GDOt0ag9jR/FtsLfC0uNE0UEFKDNP8z+/x+/1v6HHU4QxN83ve7hy1S8exdYSQEI/ 8Hn8lnwmXHhwKmNx1yZgivDbG0ijN963Szfn9Yi47tJumwfOV4DZTthU2hUP7I0wsIYssG8oKFR/ jfsvrTuok8k1zZzXBoRTW4eF8L4ozK5iXJ2ZQ0BR8GV9UVsutAH/b0OA1zMlqBZ7XRb30egmEx+F Ff5cIPQKwWdDkSynDmRNUIDEU9XRnWcb/pT1a2RBSYtXYUbbY8w62mSl5xexnhgn7VTvbQxVUORQ NIRALU3pcKfvFbNtoCc6Tz0w4MYVFJW9ZEEkZJL/TfSGMcQ0QxEj3Jjnjm8dYQHipRdA/kh0CbDk jZ0LA67mbXDp9vz/OO8oyPCKGFbKs874CtmkfhOHRFLEqVT8S5r9RfQk+rto/KmhzQoAuyThaZNM DOszbJY8nh1FJipwvJbBgbkeUnskf5FC0StGKNJWv2J21/46MitGnmwjDQXmecpaNAkojo57Hxiz A5eia3nh7yw0qzYh3t24BEbkVFwClp290DNgec1vcTre8f+2Bl3m4q15irKfMUPSZTC6waTi+WRE szlErABcY4kYSsyIGsy4YSq9ZmHAs12n5LitvDsG44pdRo4gUhHGy63m9qRl1lbP2Q8qf47dS67T P4MrtER2ME2xzisstqw34OxbJqMm4NwAetn7Nid0vq99WhToGbk22QJcXdFemSIr0o+skgxJMQ7K ds16JX6wGoAWYoQXNGAmASFD9PUEcDnbSYBlZ4e+4U54DZRYME7GusRPMNGicD3wXp2sQWi5AAU5 r+qQFBsPx+G5GsuDSeCHWMn3UTCSRG2fw6+XgsiNuutXvTP13EQXgkbGSVNH/mFc6VcR4CuzOSXq utRnQ9bRg0GPyXEx21f5X3Cg1xHbf7n06Zguifb815B1hcIYiA98lWAFWlA5spujv5t5/cjFMjZB SO2Oo1FcGFMVDulnAzvmso5po/ZiGTXlOnqFzKzbKGQLV3d3bZcu7VEdsIRSGWoSxZJUVYXYMNIl PxaVyxNt8fr8aUGuFNy6cQT1AabI/P08B02JZJOE4BOtlIKliU63ReQMIoY7dnVJGsfz8ZZwHZvt SsHX9sxOTK/cqIDgnH3K6SeBgLVB27olag9h6kJ28+I/sNmYYFxDIjrDoQUjZMb0/ZXmuxRqFbhh p2tw6c1vrHBTBja4p7G7KiKcvELuGW9WXw8Te5eb8hHjWbPVvmEhs/BwchNM+14muyxW2IacFCVz KduhA8Ci4mzhgDyluFM94jaM/0cvXUdDzFgmnN2gx04W3geMrakVMOnRlY5L4IcHo5WLSbKanXay fARx163KfzCNdhwqM/TSYwcDiH1SzKV038EcI94M2oeKmSzPnSo5WkptuH2wPfZ/Uwa0v/MrDR5e TCRWloF1ACMcPzTJlJbD1HOrGgF65sOJ7vy10GX7FwwRqo1TsddwlEfdQ/V7T457sZUkVO5gkkYr ZSY87edt4MwJrVIvbJBRhDZBGl8tCdLOtMJfUK5Y2esNXBkMbz1/yoxuE7tIFtHwE2EfZ9xcpIrg LzN/Jvmd5cT9GiH8ceYxP3KjSQT9LW6YlXrARAJGe1Hwe9dpXxlhxLPlv3lGefm4ZeJyHbwsV/A9 mruu7Q2H/SlBBV6JHjdmWv1I9kepTWIaDtE4rAxr++NRe+JdeU5TlTmnDJiAL7vwOex/mlmXL4RY 2hNGnrwLsMONxxZCaRjKylwDJirt0eB9dad43D2rpkopcDGeH0dvsHyXtVkNAVFPKtnTn/5JkCtk oWFfnORtGBA7wCE3qUAxyYK7g1rcsGsl/UwKJ5zgKaYqBGM8GfAzzk0XMlJYx72BO9NzuTEgiGVh uU3aF0ihNOAdykJFFPZPKGMqkWziy/sa5MiyYWQHOqvl9WYpP2WZIyUho1rm+3uFOcTWh5mYBxqg vSJYhwx0tcVkSTkgXt3sgBVdClzVzYN76McctWNQlNUNIrhwxnUACYc6MyqPKbv6eikC05YtfyZv LtEacONva/0z+iELecV1l/i+lduVBQp86dbafQYauR+0DFQsKlN/LBgdouvFgplLnF0N8tt6cov/ 0zueyrOZdbIaAvCgn5pRHCahbGZmE/MQGYhjTAaZCS+iuShR4cn+qLcsx9o3PUBuiblW86W/rp3t 6IDbM6BPmGopz43ubkf6T4yFIfID4tHEKPLkn34G4EmTcNjlAXEOT4Tjkw96gRVW7X1FdDlsQaLo 8igFniHKLVuSdm1+e4L0wb5wvDBg8jrM6RG2NUgScN/XkCb0rGVEnB3dLrGozRqF/qEQeJIRyjPi xMoNQTW2qhNgxEyUkAG333SwTJ1w7mdZnK3kM5psQRSSrHGkyjQt6tF++OHcq0HQB7gv2ULPJijy /EtF9o3pmnOL27HQT4/Vn18+mNIMzxJHWgwHvBG2lBlncLIA/sqYLS+R3TD9suwmTvTZAw81osR2 ofKJDrG+mBQ1odsrpNZyEzLz2WmiQ8UoS+ryhIR8j91wnnGp0v+tjLv4NF8MMk3xuGJ/YDEgqJdM S10vUrcI9H0cAtvmOvJ5JdRbtdhjsM9sNYTSUGE2JCVClAJTDfXeOqteEI1QgXx8QB+/67ouA6nq eZPDlcbzZM+RjkEbCDcp0w+DEy/QtLrR+bF9Z6yXzMAwp/JtRnC59M1KVUki3mRL7rzEZzI2IlJB dRmjeckiDZa2fzyp8ZJIG6AYf6m3pgsCFej9TwmFJmxzd46tivwrVM3gsvOl05T2NXYS8WiE59Ub o8GHVyOg7STQQwxxi0sg9m6tdE0NySF85xgYmXblBu7GgVrkscu1FfiE7eXlw8Det5OjRZnEh3Nw G9rm8i1wbC7PEmO5r4Sn0NZQKHIkGNr6Le096e2rQ5AFYwGP+8BpkM3iyq6UxW/5ICmCupwZSao9 fJaE5s386T3aTr/1aO3WehLCddXDPNpQdN6DPessXK6AhTr9SCKzzgrfFzheYOBCUmY3Kf9wyWs2 QX+oIRSNL1zun1b7IPWxhiGtAisvFVZeplv6ZkF9k8+RIYjc4QuPGSN/gO+rGFqBQzZIYHvh6oG7 W8OUvCBSZ/BY6RGgkTZ2FGqJErLhgA/ERqlCwA0ePluNdv9kv6oYP89RvOUlbT1pOjf5eDV/Zo7J 11yLwlx+1jgxXngc0N5kdZ1n88MC5VFaeu5VhMG5ZTmJVRjApEHQ1mMyEsXMYnUd7Y3WSnhMfRoH hoifJNSMjw7cpny7QmOK4Urpm6uZpypeWO0QSP40Aeq7f/IDzlkJs4UJ0OtwA5vKeMiHsqT2+ofQ eTFO+IUqADaF/uyQlO/eBZFs+ynQ91oKOw5QMa6/1JGCMuD23udGCiy7xIiyxFuOi2So6kE3nuv9 Em0VRbL4gYvTW1WFLVnnn0kQvbidoFxZeEu+FPBotO+4EdgvO3TiDgJ0P38c26pWS4z2FXv3+4B8 4w8kubfAy0Z7ddsCehBxZ76tFdwDVZUlBYqTY99Tny4AZiBKFRzYuGNrC014L4Sf0jCkUtuc/T5R Mgt/kND9n/NH2PYtchYEHwSL5V1Z/h3t0ldU6F5bZXnn1cQCV9d7sjB/Psvj9q2HVrCW+5jLLLxo t6Mr3tyxtpchgNveD2vyTFztzfx+d+B+Iq9zkAgzImPRJuYgW1zBa4oBOVIwA1cellDu76MeYqkv 35aS5Zvg+jv3EzbZxaGMJ2sAvD/yytAl9wud9T8JuinOyo2Db0AYlTz7u5zkWSVC3wzo9AvuC3UH efYliq45P6UVs8I9737akweyjGPLjtynI4zJ+X1g70iiGe7JuK22ZGLIbz90iuX96jX5dwErV5lY CBXgkpG7vPRRQZp12xZ3W2cPAAzDd4b3IoxT9IV6vpyfvmimw1OKbJORZ0B4b59n56fDaRHWvqL1 HVQo+zOvTZeeGOUhWgsAeZBGSBqxLWbgYZwt0WD5kWbSXfmxIP25UJVLYNRM4n5h6UEmzLuUbSBO lhFDDry6KfgqeuTsqJz6vtlr0jxSHoc4mPASDvqZxz/oXFuBNzP949WQfUIMLh8ktNCn5shUGkfg g4XLhKPYfJqKowy+dT5TpitBtryEIg7ybms4SzJNL2wrC0TAw1te1ZgOSh94hwDvHcpfLVSCgIAw PB6WbEiwVRMOcneE8iaOHNTdBDN9RkX3KPj1YF1xC2DICLSct+KboIj11uiByZvxNGAPZOkfVMA2 CJh0t7lellVXyP+xKD1exg8pLiuQGJkFmXCRvuNjPdCOOLSQjGYaO6Q4IZZBN7zSCdEvXyLCEywU tHdbsgeIEibEUtterOQ8EnGbfJ8VLkQuXgPW/vsT9FVgDAEW2AuLtAmM6wxBa5mQJ3gqamgx6HuF DmHcGb9J7+mgQbKslp1ruRU+FQ4UYH/AWU57+I86lp1LB10h/X0TmJC00G+dd3yAPMVXCpuo7FY0 awxNhi9D0crqaGwNpxRpH045ee94XxF4SpRMz1SERjCvki2/br3LCurmyxn4q3CWNg+cI8TZM8c7 jVhsldlXdP7/e7hPOT3sp/i8oRDXAeISUhxCJj/ncMMlrm4+StdG6OixwlKxY9++EOZeFdUiGQew TBtcYuF3lSJi3+8IxdjNUI0yHd8XPcICAWUZpmRRWGTBN6Yzo6vljxxvhaIqSjDgdOn9TSVVOQil /rLtRJgzyKHOXbcIAOD+l/Ex/ZVuVws+2Tdses6/y5ziWll81j263mImkBu9/gFqdU0un8nlubZm V8S0U289WGmnShDbClnp/icyWQ4Csxcq6Cj0vjaqxxmD4zA7YUYjbTFdIxX520Zp27oOg/rqFi1+ vjkA+2r83oHOiZGVRgh81APT3aBfpEmt4+LkSJ1vwhov3+tX/Tm/fFH8hQKN6GDN3UO/yEsIiLKc YqNHUQSV4/MUisx1OqfNzWTzZkn2hEkkq9okhhknHkmFwqV5iJCVRgxkl4vcPfk/aaaAjvkhlx+u oPBDLUC5Jl/LmRA6FMlgZ9MQ59mMU6y+szsuz2LWzm8b2T5ZbOo1Qyd3lEANacjPNkga1sfopY/8 +SewS7/CJLWrjO6D4Y5W9wflwdygx0zF7sbGMqEGs9ulqPSRQ+sI0xpW4OxubJBjeTlpaWUJsmkG /YidgDbAJ1TFO7+hoLyUfquurSyn9wi5WKp62nVQ6AcyoWw9cEZ8KJIibHvY/DSZ2bG26LhhHIXo 35g0+QOHrjWp0pryIs0oRglqjvql9lE93snvRTjau2pYFm9xqFKUYkUXwBoXSZ4as3VCS+3Xt4ua djiKQHupYuNIOhNAF31If0oPVsGmOzy2G+8o8JcT3ehYvLpCHA8isNVvnIXVBNjGtPoAFH2LEflb 3qfjTR+WXJwJVHlux3/krOm28qul6T6YHtq1hiI0Li0BqQ2FPDRL+UV56mPlz5FOBTVj3QQl86nY XkZTKSsP4tmr6nhk8jytRfgfZF2f7x4oSTmnPaWoT7HAZmbeNPZd/8vB/rtPS7OyEMBtPDx3IuEo l5DqiF+ABI39kSZtnkkA6zSmn2/nVAGA/G3wIqoS7z4tTbnkDtUs7ZjaaohWLCiWnoya6JLXH5gd P6LR9hnqjUvsZZwMFLuBDqnNDryEH4TcO7XOiPglE4KL7Jx29Tf7NdiDTAq0HgXo4uvS3Xye9+tK Frd+/gOEzKa4ErLU+mPVkS1eUnvKkkeYb3VnGsHOshUOwTZNrX4R4QbKkiRPIHE+vrxSBX4e1Ria dh9cf3mI8zaSu7mFpOUrL2NkZD8we0xrkWCPaRosE39b0TqyQNFuBbsErksirsL7HnOG20dJaUEz mqeKaIUgrxfNFkhmEelGZoSetN9v6SnCkupbJ4XKgKp/DQ2gmgeUh8r92FAJXHHNPJnf8rIm8IdB Rnhthidu2JtGbmUQk9Jo1hDTwOS5Vdn9ptyBBWbdH8DFvHWA0lTLMCsRlm1c+OQdFqXAgxzuXmxD NsXm6EhzvfDg0p4C8Erkgx3EOE+z7Z5D80zVksyGXA2SoqWkwojaUm3gpyva+68d2rYYg+FodcWS 40TYTaLsuE/T/4kiS0OZxUlEEKD81rqVdg+eiDFdgq90DWHdn5mP8KfP7B8KT1pFE2stL4DHAxZb g4TzNUHPU/i68DTinw7CL2MXwoq+Mpkx0FhcT6zSlkhNhWgl9RaQI80YiSz2LZdJ2QmTZ7gtR4vl CICSBTUuzsuZt4KLr8QYDTDmDjszrDnkSH4M/1tU2jAhQ81w0yt4+y8xQcNvUGzkLj864wGw4Fs9 FbqLtkUgJj509lRPS2ZnAyjtkVa3h0T6tzx313uaTB2rXfoybIbIPGyMn+YYw2z+NlhfXgmB8tlp TXaiRBzQkPp7xlFDz75XfDK0ifAH89pRZhj9JSY01cavVF/TWPf8/wjmy12S79ZK2ha9EeBxxJPJ Bkokl348AKwxoY91LJQ5iLbSgh005Dv+2B7uXGOgcaTkeEFmbNUPwc15wkFBapTj5SNfeiACv+0a ssLCg9oNR/AXY3zxkJYmgRSkcNphHVpqXjbcXDZ4fPQ8hbq7s9kZHG+WVcp/8a2TtLR6HjJLXHp7 s19fG96MfkIwnYsrwMRqleIXZMDF8CDwxA2fcEmYzBGpfxAh9MBLXguMAj9ISSiMSlv5s5GLz5RD 7K/phKEMYqnV3IWeBy+4WBvbR9buhRIQXQ1nBBE/qqBEjYZgOFR964MyQMVzqApvBcZvaBZKQRrp fnmRxq8bZ2C3wVbJ0r2qTbacxSB92ZZEkqCY82ny/cJAwhODqaUJ/41hnL0c6Tv6qVJWPXHiLgz7 mdcQC2xGppMq4Rg07SPFZPzCqTTdU1CS3LGLmBwFw2DTwh0GA66ddqiqpukDWXexMx5dpB8Tujtm vwNyxDY5Efl/xFWSmjxJ3FcJvm+EkopSUrWTde7urlWzJGk+c9JydgFUVQJGcUepqJBbx6//mUVw DbrDjWiD9x1J/oihck3pTViYMmpgiAlMOhN65AMBZ9LMyw26Dcc4dQT/2/fe+KVvclSlPvfttFD1 lyxHUfHZOVoNDLRIW3zUS4vCM/VuLzSoeUegO1DWwmY4QCbxR+Joh+T3ldL5nS9G/Pcvzz+ul5+o v0gM/OpbJh5L7/OZt3lTqF+ZBRAVD+k2FlOsyrt8C+BYDdlUe050y2MzWIPH3Fx8xHfNdieZyWjk ncnmuA+08kt6Ph7XIYmZWs9bTr3epf6PGznxkO1uzDaL6f1ktFozXiV66na0/g61VuNjetiof/+y 9o4W4S7KLBDRD8B4bK0n7jNGmegcQ7WAwRzrxF+7dXb5d4qvehEZIgE0kvfYtN61RP9OVlPARdpP gXhrhE6lmnAmJlsCtKflMPRhXMNKDqjzetfGxG1macHKIlmdnsNKmyZHPJZt+PwG7RFDJjFkj/GZ 6fJs2v/QmH3jB4Xtmv5260fZ4bRt6ZDH2qz2DPtwP1dzPufcA3cXnct+WAMwUqVC70BQXWUlV3Oo VQfGUJEtRumhwk5wKjvrywisvXTjrq0IxaDcuWSH/ezfI6cqiFNXfpQ1KW8iJzlT9ndiJKadWqd0 DqZWjertYfZJK1MHGp6oSJ2uMbeQpNTKZ9lwP8/9+auV0O2RhmXnYeVTMNCT9IbKcZtp5xXG5vib dKOecdsCbMjTOLWDb4iht8w1uJwi91mU/sLos29hqc4cJQPlz1JhDnoGYmdGembm6S4KcaMUOWpB cZQAoalV+clU5Rp/mvtfCUINzmIz31EcNMWDZkOeztLz83APkBXbo99pNPoT+T57dHZGRqOFeHgQ CfsSDy471p/YRFgW7PSnHDDE2//ys7WVZTpIM034BYQlaAHbtoOWnl5CAtTPLC3gMHjpJ74FBzeH tsVOyNWBlOHGUvzGxmjykeUxwz+hr7rkeFbbwXF4VOBYJDg9tSaSiby0H/o+aLWwtap240wK/QYA Zf+tSK/eiGZZ3Q2bNCLA7UM8tUgrWyfqkc6IphXH2r62F1jJfKj3GOIA+siDHR4Gtyh6mGbMJGs3 EDptMgrfnz3xDhMKYFD6Er4xMAZuQgYQHtsCmLzWRPdHn4jS89TrWUUrK4l/AivGiTtcd1cW2XGN 5dArwd6O0cGrzyiJnf3wbUMkaSbL+IvH3oAhz/M//ccPL9gBB01RFgsu1nmrXOBCyiA+gCtGTLR6 6G3N7YxkBEqKfmZ4iteP6PMvmoNWhAz9S7YTetym67boRcLRuwbsHChvHmRrYP7hJySzflbSJmFe 5K0PaO7FYcWBgTVOzkB19d4FUL/+xgHm28wFowIp7Vv5R6S0PIRWdtnFzrokMF29udoDr9ncvll+ 4AXVUxr2DzZ2So2vSqKsHZzrPCfmWOFvHvybw9soYSBMnI1fvjM6rbIjOYn3CTysNKGcliTUr6zy pDzAueLWRrZUXJzzLQRsl+p17DEFe+THhYJywC7fNMFwaznkvI4JOYdBfddyjiEQb09orl11P9lf T8Z9J73ZGebSGTEnC8xOMcf+1THUFAHTowAVsTKVNuG8JD1i3GO1J9w75a2vf2W9PA/hEpYZ4sJK QrkPnROcBxvwhOPLoyNEDhwt6qqRRjDN2KWY3UMZBNAKseXh0ndUF7ciSb3QNTEaEtIrm2gLjkeb N6s6z4/PYasUbSAKL7YIcZEn256PeAQgttxHHsdh29uyBj2yWKGX90QUaoRrmHkuoSRpliVnktkl VCWSIth376kb9nox7sxK6f3eZHQ5gKbf+Pqkn+TQRnGWTNFi/qGQ+LfwFeol/Ubk0A0GvkYWIXuB LQzzRJidjRVDJ759Ew9E7VjMHqxJSImu8iNgEZcg6D3NOpW84wlm7LI/te0gNl+3itTwJOgZv4O5 9uDkc1j7NDb6MT7YS8u6yG59sXH8VX75eDtrkqQr42HKPmYyxTXBfzp5jpt0np5X6imXh+G3xiKw xXOXqH7/QJ8Zo7Tuft5WFs/aRerLlp8laVDCrjiy9VmSfBnevwhUp8CsCKNwveXfIvQjSLN972xB qHwRomYmCBkeuwDJOWPsMgEv4gH3MThz590RwZceHL1rUOAU/7/F2qRLCJj8ZRTma1NNX8/ZnN6I 2OY7SAiOATY9WEScyfgfScF7rDgdv7Z9mpTRSqhzeu7XsXFm07XKqtMZ8zYynsBR2TgUKqaHhj6g u2DoiRKlPy0dTRjYQElKhbLf4BYYR0yxxuCQaEsrxhjJsyWkCGpUKVHe9bnNmn+666jnvUSP7o5G uqJB6Wc0Hx9q8+bfM3GcTrgIywEC6FWT0Gg61REeXn9utMrb31tSlKqf1hszZ8mhWx77F+Z/2ZmP F0Fo1ghHFg1e2iSrhSuS1SYUGq/ZsQUbQxM24VQwQtEXgyFazJTAXo2hentQW0KIWoAPq7NXzQZO fYbD+bOjVyMctvXejcbUGsiinDN8PX5tLMA3VHqCDuq6Ku5uNqu9q9N4JzG480z6Uy/IE/3oSM6h z75mcwMaEuUiyZlEwff6lzRfr/h+Eyk0XMavBhmLlW9jvIeisFT2ZW/H3zenMlLdA8E+Acgnx91G Xx8e0p525Imv/SKhA/cl1sVl+bpRcUf67CA9AFHLoGA1AiI8oS39Udv7/3vKf4Hr/smfHTTM45mU 91on9Hs6AIzPVW6hlBZjnmhGeQsrwprxC9X06GAquLHv2C0fJGifg+AAWtcpDQrKEXCON34a3uuA qcG8j//jYFLcyH/2U02G0iOLJRTo4k2wAShVYSfmus7K9XnaP6OjS9ylPjH6MSfNszFZFGDX19L9 MJVOo0rJf7lXuhcACmcpiAbT9JtMoLcwPcT+IZnqRqU27QRwhiMXxRZNCGE6Q5ISvKb9tefNzIVI QSX34LP01soL7OyJYaX76450cZa5J32Yhrw6DZ2yGkMcU/L5WmIzKGLBXjkc67JQgfgC8GdXSyPY VOiDYz8zZfjcWz2sdmGxs5ZNJ6ZRyzTV2XDDk+wdAUbJHV0FFGlZ6gA81pdlfrDZ9QYnfCUlrtBX hXZrXLSK8wcUKBAPbjDMM1i9LKjocLi0CLzhwhA7oJybnBVDSZMpvWtJaRgNZzv3MsketMm2ZpJq jEAWsS24IXD2ERIW+6CstQhhu95HkPOrTXMDMfEnqIFveU93sJgJm3dDdz4zqDi7BKCFTaYa4YwO w3eb6XyfcxWJTQE8GvpichNXZznqJXjUZfelivsZeFqSwBXidJwAimKUhX/AI4goCMV9maNHT+00 eO8occqbDmf0qssa0cEMZg9r7tFMkCz8FLcIooQF3PoPyoMxYVag0XLSRZ5mGAD47auN/4hqIz1E 1R2jVKgP7tGcxwyGmsKitPHQ1GikOW4tcBZMQxs6XSgfLTVpfK1zcz3x7sePYB0bUXq3Lmea0cNU AIzAnyyfRBzoWoqbi04l/nGGPoBbtWt3Rju19RFQxt9BTaGmNMMOoXnKouKRaMq6AzZQx9nDTiM7 AOPXKxpFl227MCVQfugV+lSpE+Fols7u+HjwyihNMe3rz/FOzD5i+ztyOF8Hm/M4XvzRBiikWIIp ehZmytg8OtbHSIsJFow8HZX4hgdIUt7lmo/d6vIGFiOR3nfh2iZ486piG62t2iEnurDfLERI+2Rr SBkUqrhAj9w91EwfDJ9+ap7jadr26LVlBkAuPLali+RQa/uIBL1ogFI2nd4BIJWVK5CyH2CQKEq2 wCQnngvnEXTndl6LbAronkAbEUHsTyk78aHFrUCEJ4a3fhw1GWGKhLzmjvXUl55s6OYag/vDZT8t WmV8HpfAKKpuOOneMEtIY2MNy9+GRje2IIeTTabk6nFYfFRb43tV689BiceP/F1eYaytYI3aEQ1q 2JEaEkcCI0oF1qE7pADL0AHf0lB5RR/4X//2yXbzpmjCea09mYaJMnYglTTttgq4xRYkoGDC+1bA a/+xbaknA53nJq/Lu6QguoWJytTjhNhoXtcamXV9H9pPf1s61ZwpOe0b5jnl3VZ1JTZK2iAWCY9b xxnbdWhlKUwxcs+bbCN65z2jlkmZZPDOSQl5LcYd3nXNUwpcsTElU5A8WyU+JXN9SiDdWidr7PBO jPY7Ve4U3ceVN/beuPJ075KXOnQZgXgldRCyyQvfr2Gg0eLuA9qLDHUN7v4NT8apn3DIo3szKacY Eba27egasVDKqKuSrSHtpZUIIMhui3G6KOKdrAiuMCV6BdOPuxUCjpq8T14d39bvkjLrVOYXy5cY V6oHJFtCctIlKWYEc7TqBvPddZVkCM+N8KGx2nq+lwgzf1+oHFCCAkMRF5RQ6mhwiNVa1irkkf/h jT9uGvWHBZZsAzMiTumh1Gnae2r6JheamB2kK8+Zi8lY2kQT4LCt4TXZ8ZVW8sBgNAbWLJcl9Oje sPsoi0e0EZiPQbcBqj6DE7a0wez/NtYL9YA5VL0+ejWO2ksKwFnkzaMJEE/0ff5h2UHVKZe0/96H GOFAtgtY+b1iUeTeqN7a6SYDN+mgudK6oXNLNp6CW7KCO/cyyC0Vvf4flUVa+94EE/sv6YKFWoTh MffU0JHMZHwFdRQZFg12sFDkAbauttwy8B0QYAEkOEG7V6OENkyG5PAScqHK2LPoY30k18VJwrhV eAjD93Sd0awk7Pkh+UgjmQ0KUzbyqXQRuMyGH00TsLDRPHFknn9D9JWPp07ViGfZhG5FdMmIVXX9 70+ovs/iVoAaBPpnGefBOki4seJqqtLrL8MYg00xS24YjH+PuoeGCHXWeBIH665euISVlAqTWcLF aOknUVnzASWBergI+zfzq4FhWxo90CPUaruTh8ekOBs+SVphwwBE71J3D1L7LnO3KoLYt2Sxxct3 GMxYH3ubze1UVLd4ByrdUL+mSzocZfwsTyGMvqAsehpvjF1RnuWN/mLU1AdHc3VmkEd8e8ORIsmF 1CxLpifcAzzVq9B2X4eL681mH9flbyNA4bHNtWyP5DD2qovJDmjBbjV9E2ey2bee2waNGvEXzxyo 8PvDExHsI+o9T+tjvULuVvxjR2fzUjKoubWm8SjmkE/LN2KFd6oRFpOyHXNOYiEO6O5x5gWjY1aj GRyTlWTzscmeQKSz8xZENjtjZDrPGoWXpiTEJld+XQzxsjEpLOKkCOaYT/43t6DUBZZaSXezDtJ8 /LFOl61K6DYvJqVPgwFQKrr42681+6e+aRy3ONerXiSicfkco8kSjuBhTJ+l6uaGbdK+Q3jSmZfz QXXa/+RCtqJ2W/Yotb/oFVcb3c5weenGZvCSfQXZqQchd7EKOJjhGLHLzOjCeybIhROkrGC6S3nF iWbpQjFW+v1YxsF96fGkcFjq3iWNASc8wxXsD22DfosIamVtmEEv/iE9B44svEWwnFzA1CikTe8v 9cAmfKXV4yI2p6ARlo9TRhzrXlEfAkxT4yOW1ptUDYupFsJe6cPIxnl64nC/ZU5urn9TeBsdyNqx 1dhFEi18qoY4vGF+zhescF10HsgbswFU1LrXOlJM/K/U3q8Vx7kyM1V5geoWAYnlxBnSA/NVTaTM qQzGOlionW0L27Y0DPkoFN10M6Ze2rfFDhXRRSOkw379LzWMEluW4wDhdOsnJYCl3A88ADmHhUFO IF6/jwHaDN6oC9CaUiAUDaB95fgVFYfAV10UjxH/UnVEZEVc8pVt8RssoloqCbRPOiucNX237tLI y9JTAU8va/C12o+gZcnQG63o2lrkX8sfeb//RXpjDVDGGLZu7F95owTAyylqiv5ndLpZio8+aD+b rh/eBin4WFnhRDyJYbWEzMmGmclWk0XDy7Ov67YegWVMjnXn7g9Av4fL04vsMoa6rEEtQvOUB403 1m/aqHetPiex+c4dRjVuKV87NCM1dT0ExL5c2aOqFjE0tcxF/BT9SQFq3AfGlIfIpbvB1DoooYSj kCMaOoHssPbUuI7f1tsHzWLyzRp099FbuI3A75pYYstfnf9hnuh2MsrHDepS//+fjq486tnlBRf7 8S4+4sIfihWoO0Gf9eepuTZTpxWMdbsMb+ngK7k3MmSTx4LD+T306pSmblOD6pek/d+saScgqWUp v5Av+/KXGRbFvgYNeOdAneEp1TOPNmavlMmRW1F8VoQBvzEChPlm+Fj5+GlAW86Iu9iuQOD/iNy4 EIl2gKKMCxkyzOGHyhuWNHhRNP/CTlO2kEzbJ8GQUdMokGwcNGt6IihPnxRVtBpTHtu1m6i7lr56 2o911M6son2H36jueik61VIX9RWyyJYfagH/YJSKKnLoC0QZxtSy7uYAKS8U5J5h++I3GdMhQ7K0 iCJl6MaNJaQ2ac/aPenoLB5es1tJnebpYGWwaoj51ee8PX63ca73Tz+e8K4IolBCq7BBkxJ4LUs4 n/0rguuidJvU0iImyVC4nOXyGrs84yRkhsj6QQKWL4B7IE43NEs873N1JZ4CUb88L2y9SRscki48 QgrveukBfkERF1TUJpSnxMOyKQHPExBTJ+MdYg/wprc6JcHc2vOg0+ZJ2TUo4jwy9Sj5namU8XT9 sJjzd1/maydprdJGqdBWcECYlUS4P2ZGe8Kg/sV7ogMCoZpNrdINfh7LpmM2hCfzIHqV30qYHz0S 7Pvv8+o5hRmJ4uYjZKSk9aR6nZ02whyDUjJGU2cNayRgIYiSOw4Gezeh7Ney9zUavmxBRn55/Yoy fbElzuLZ2ICrU650I15IYe1/lXiC2NUxytJJnfHkaYvL+lhAIqx+QAFpmerqK7GKcAh12VhVJPfy cbXClekflOkF2R+aYwHNBAlcCS8N4lCE02twWQOm6XxCv9PqA2zILujK/Y52zZNgJZ6qXCL/68ui jeaQNFj+Zwb8XjDrNqUom7oD87fEyvEigH6HmhzRP8NIaA5dVAWZ1Z01RwOx5D+K1EIXv95ZtmSV Dib+PrV2pkNo28xCVZyZhIuMRJSsOr32q/0gXcdlahAuO+NS+1NgT65fL6Ct//AF2d9kQkWNGARe Yl36Z5K/5TBMQvVY1AoPx0HnE45PUu6I8sXqFttTPVYJwnWYuuPt+nnTIAUUTcwA8Qj2FcuCGjPw BnSUIzTAC9/4GykNIvOR7mdSvoRG0RJ54p+ssq364Gah8WPTI3x94psrT4AyHSeOHi4JpLGucrh4 U6hXRXCvdE1VCGF/t+jEKTl8ZYcE4AKEff2psIncBIQQtCkKmo8HF/UjVfMqGwdB4HXzbVJkPDL8 2unY/4aptkglbkc08TEd7rLlpFuCfy4bKpPxWGjPWPlIRHPftduAKrd3aSGodc3efmecqIEXEORO D+RYJOxeVgasdee0Lu9Wdj2525k5CHxbSpbnp+eqkGcqlf5mKARyHPNtr3IFxK1vPCrJ9CyIYr5c w5I88XKgnbkK82wpz/4qYJ5iAsI87KGmMwDj3B3Msedf4t+mHBw6t03zpT4g3AMD46+aLh8FRSOW ynv77hK1rN6QtRYhLZlD4tdQNxlKRDyFNCDGefwKSVAgFn+cTtT08eK0a7e4OwEzkElWvrZvL41U FKpu0N14wiPmrPvn7Z59hMtKEnWRKTPKCY3y8AgxZ5ZJnDqn60R/+WrdNxlsqHdB+2OS++KJPiXy Y1/fYpWfU2iQPxI719LwLnS2DNb2DEXdRTrvWQVZiImTveO1Q7xEWIj5jk8eGB8Q7kuwZOKAJJbs CftGPYcHuydxZF34HC7Iw2ruYr4IX317edQiUmk6cK83z3DwVDrxopA0MFqC1nC2B2S3YmIJnJXd WJdkPmVxC4nycUkKSWw4UUe+Oc21C4uM1Zm3yCGB1R9b30UHDL4FGpVsu1d7a3uKm/jGkXpr7KXZ 9SZ08rTAIdx1LfXLn5JfPHpbTWMwS4pVvO9Um0xd+jsEboPAmcSGP6sLaX0rtBo7nglm22A3uOfu x4xZj2Vl0FTtb8jLYB1hXYo+NMrEv1A3n5XKR1alIBhaYBWMF7n93aqrsO1vtyl5UN3znY9hvZT9 IJJuKayi1p8jWEA8Dre2+DtxSmKDrB6cOGeObTPOu9W/SUNs6f3wsYTaqSSdj3WG2XOKueILNPnu NDIDptoVhARahdkBPakwv6m84WivRH/OUBSQaufjKsXFuR1ic9JzIDvacTz4PyQkV15wxufeTv0o KDLHYWf8rk+Mhi7nlhs6moOiXaBR8ygIfp/16bhNAs79egawTCPGu97kOSE65IYELC0o3OhL0T9K 48c6VLLzCwt1DlGUUFt1od+FeCpJ8MYUBPJePEC4lHP3+LzSTKj8tPrhGBXkavZTArVu7hB2jA+h tE6Fu3TjVWNRWZtsEmB44p417AEURP8mOy9qgT1/TtVQT8vCpQ9G1Qgxg+773fuwif8iuX1HoQp8 oTppUG4HMesMTgd3PWKR5E0BZ1f1voFCwO887NJ2V0bYGcuXgAO+0FgtLgNLDb40fCXrn62lF3zF QlyT8z2BT7xITQCM/pZYgSBFr35g/6qnKMIfRRJRJ1Wq6TT5At0PFIlvw6XLnAEokD73DtpXQNhP Q+S1uhDWtcrpq/DTqjlZgVeo45M0aaw7nGXyuH5TI7+T/1ZUD1bPgI6i0PhaZHeKYdHgNbppIKrB wDYckg+M/+vy0yBAMZGQGMmSCYgriCG4Lj6hj/ecyCeW33z2xxS8KmY5C7OBwksKOOvahP0tugMY X7/LGLYVjhxzSCSjxVHDFKkSyMrub0HEVtfB/Ja8JA0fYFXO2e/D/TKys0nktqDmrLpYek930KYS lIdg4Sf7Y3hQZTA2dOCBvyETb5m5Zigmb7Mo8K/5WK802QqHc6D8vqxbKCl+On8EBW5n0kB4DQeu uT8KMCwzFXtT+tS38jcU/7hw4vZwrTg/UqrQr8tbaFW1GZ0ujSp4EFGNK9mSOditqPgAgNUGktdw uFnZB0Dkx1D/UXmnEMsqnJ7bzPy6bbUCmqlk7V8s0m/bvbK/5ioF6LmI8kS2jpwy7x58bVgWUzPf Y2bhaeh9PELxHUZwQC32AIVMA5uI6hzbfbelE7iX/fd6TnjckCaomOF81/MmJ/hzUcPh9WJdPg3f hMFwQXYf7x8trLZ1IvHIKUzJmvJmYhJxeXUURws35etQ03ka36iNfn16K2I6lyRV/vG/a3bffSFL u1LyULGiUrq1lFyzS+PJcDmlR5B5gI2LdOxA1G+d9WsXhNDUzwwqR4g2kjRJj82VrfDDLHN+mqni BsGIIPXMxMaSnli2R5YvCtnUaqI2hv8HfnM8ESVwN516j83iovpuuVGxNVOzQV0P+TeGoFXgVD7X +0oKhXzeyJvLobD7LlmAjVquIajUj0Utl3LyZA6AQhj8BDwYZBhSIoPyyRmUDfeBXzCEb96MyGhZ og6Rb0qems1BdAPeGPrHriGxG98HOrTDwuV6p9CjbZVcRPNvQusq3ceqzGfTAFW+vUq3d1yNwUiX jznNQOrK5+FuNhx8pImVQ2ZKzJI8vn6iR60+OHukWHHbkpKaFQs6pjRuykZlza/sPV4sOwAcmXwX S056HHWu8wSedlJEdiVDQyjw3VThN+W8SOCTSeiaq+NQVxsFQX+aUVSXfMop6ytMx+xAuBszbpGz 4iaopY9prP25yv8Cj+cZe5eIZpmpvdcAmI1yEmLRrkDDgH14hWzhBeUfzRMDiYrlo7/zBkNb+Xed udfsqLoDBhglmm3U+wi2ShGSV29LGDUZXezyLq855lqHvKPP7WpMr0bErJ92/Tc9L1rDoK9jZznf RuDdd+av6ZvpODsmYTe1yykCwm1YPPAu8Xicb/l7j+yJTk6/mbfaX475CCQxrjl7A1ih6TOcIVy+ KGuXrLCNkCkC8gcq91Xz/o9gH7oxNvM6s/qVpN8JuzP1sGkmOOJdzmK16O7FdClXftudoi3oZwis deYy1UmgITWChbJoM10dDALaY5BZ8us/fD9vzwTfW4uEyjN4CbakBr0IS0Z6yzhLZJjOPJ+m6vOq 0/mvBLDREqsQ23vbDYG2jLzZ/uyupL7F3Nl7kZRdbsUwQhNqgIFqESBArBEo8vYBc3WzthWn/5/g cbI0ohazQssLE/6SeZZDzO0vYtL+N8nto0MVJvItfIdJbzNIasHVgObq4LiSqcOCfIIWAfO1rPg/ S3urbO8uAXd4OpPYNSi3s7kBDTQvjB8vdKohvVFLXEc9PPa3BnnjGbADaJs6FqydGTj2X61hL222 FD/q7zy0ymurGcBEwXS/c6wwMg0X80lLztSAt7wQvL1NryitzuqJLk2VZ0lhe7ViTAEI4Aeifmgl Z3nMM7GMJw4vMJ2DqI77qIWQwqINYZRFvLtEuybiN+KnbYfomGIsDO5N+SXRyCqqaIU216da9xvA PvB6O/hqXmcFwOHzqRRDp4h5KGwD60Qn6QT03I9xtUP/bqXvauXX8ceB01vknl7xXD4gbTdljxz0 XU+WUA0L/d1ytVD1SOGU/KUouasruXs3EfbIkyK5FWllPN0h04cAVB5aHqxy3TSt0ZVwJN11bnSF 61yitzxfG0tysCMKIW2u34P2SCcxeuoHRJ4SF+bRoMj71wx0Ejt1nkPFwIOlC4zgNpIi80VVPU0b ZaSiNmV4cXMLoRJU/gk0jsQfZlMJmRiur/ma05G6Vmlu6n2Tx0tqbmVWARmj/CTuYRMUzJ7s6uIl rFMscTEhkDANCsb1K/aiX5Hc0YVtYt8koWiA4Ois1CsrtIEvf0B/WTCJ2hk+bgudo4BzR4Wd0k3B VtResMAYCypqHSfj4fxqEVDk54lfyas7Msu9FhdP+YelYHD1nORPjDymbLFFHxRfU6ixcLxXCgL4 RDCIt6ka7yEeV9K7AncaxBpcXb1rYMH+20jcGLKm3b9K6iVOxgs53+o7hUD+4BDFRDmAE1nHGqjI Xg6eEqA6/0JIEwm25vqBdG6h2+V67DhvmvE8VJSS4uAnhq/esS10Sgz4Zxyna8veWEjhM8/y6OuP dJ6eWCPIitFtzhq3rQRnHapa/TWSq2TN3tEU4CEZyyv0A2kA5xYXH+gTOTT/htwwi71XEoqEQQ9F 2HaTba0HaMRTtRNYdKVMRtY0zKr92GWObM0HX+MVHIfvlYltKbXJU8jqum8wTAolhs10xEwzxZwE zaD6bRk0U++Y2MuNao95K5Ftn5RlEt9FsNqgJN3vih3AktqBzd6tn15I2IYoONG0w/96FP03uDfK 62qd5a9fi+FTDu9GQEqtg6vnRzjqITLyHXyinGELN1DCmHHPEwBukueoUYX9u1qYhnrTR/lg59Dl Zt26Tsqhv2iiGfWkWb988BR4HPLDaQvMndSb3Cf7hs5cN+PnEWf+7R0QdXaHbf0jGJR7Izwpdh2U nPPZlbhHaYbN0ogN1k1ktE1u2a1pjKsMaGlKUuH3knD4s7l44MEP8+KJvnSGOlxauZl465WKputN lMA61KKMVkkV1vNSOgA4LzUJVpReFtiRKd+vPSUGKoUBDS4QzsE6MKwxTXiFwnKcH2/yFNAQmC3B AGfRp2OZrp/7d5Bjt2ttJWmh7nJcvsfWStrPq4UEBH1fnTvwEgA37j5ycHVahbO8GKhbqIVH4Tza 1azkq0etEg9WE7/Atu4TtRq1lchGm6h3DO70ZniIwnuFrNpFhoL6L7PpVNJ1Ibz4BMlQ34TF2Q7U ykDlVaqS+OoUfO6EMBUvNgHO2nAUxDh06NAS6nkahpbCx6VMcNv0cR/Uslbo1ohV2Zl+pjk2VWB5 Emf4TH+KvO0eGNwcyJXu37xiDq0uPrQ9//1NAn80G3/Io3GUsGMeEJrjRKIhQOsHc+aGpUyY5uQh sLzeoZU5XZGMFN+i+JCX9pSz0kV1cvET9m4c6ji6HanL4Pc/jszNXexj52PPwuDZnI/Bai1SLIoZ 72hWGx8H5aJjcth8Vbz4wqrLGiR6x4AptpUOyS8WNHObFi9bCWpgfFmkS0I/U27MkRBddWBf85Uw sUtEfczgbLOOI28JYvY9JR4mqD9nmxzz6qqzPNfHcXkVOGPcSU5bGCewVxl5dGruzOfxj+mWYUrR XwCHzspCKO4/IlfkkxBlfWzhVl8UBdyj2bn6B+D37dBl4AONvAsUtJ+fWn2Taw5VwOe1d5vElhGg 3qimf5kKOFShPe/F+8SN4ZJRKCrYylwv7ZaiyvDN1K6/R6JNzddB3Ck/YX5+5vA9F4kjnt3ugGZG 5OMQ9HvUQOch6YCDO6nU2eZ/w9nRtPtHKOwJ/cskrYdFm38Qa/LXWrZsl4MpiMx2R/LEGEd6m2vs cAwXg/RyIUv7CZ9/q6nKAlvGuKqrK7vE44xpGldemPAxn/zxxNSnsoL1DIIA8D/7+UUeZxCu0JeQ ZX87MBCv4sUENFO9WyZKv6a7JdCj0cTYslb+pjKTcOb3QhKL8vFnSWiycVSVjXMFW8ZOPXZ4r5f4 3XVI/MDLEnLCK6YpHHvnHirTNvXWgUXpV9MaOfBxzWSkGYX2iF63OGiykOycl3FSml0CJyGt+6aM 9SiMXIY/sjiLbyCi92f9aGNjFVw9sq4V7yTMNSJ0fEgT3t62mr2as7T2iT52aWTfjjZgeh4511/c KiHNlAGVhVElOUGve1nAFv+uPXDjwMu6wvfWmtLYpdm618vkCpGhEwbfUX/jDQBcU7/TbIFb9kPQ Nad18ca6BRd8EoHq/RByrnf67Ay6CEdNL1hHH4y4fpATMOUOT8ntQXuvK4lhpE25bGrMzBVn+LRP aAONUUsgZEdPVks72sQLD2T2tI1Nm3uMvh7xJLRp+UXj8GsC8ynQpjfs1LWMV5HiwdjjyuJ5LYK6 aNRSs/rof/XtfyjO1iDueTCx+D3Kv9T1FPpugSU9x12l0AtZnMpufvqjRMZRP8VufhokrRFPlDUl 9SeOQcw0P7suFY6b2Bzr/E1TTTbYlEvBFY6kzNK62kUA1nFPQyXvQtAaSwNAEbdc5l0Yg+ffb6dh yRBNIyXHzJ8u3pbOT6v54iGz5DI1nKSkdsRwK1FmWM70jTvLM3Ncqy77Vv9bXc+nJiRurbNJyNL+ 03us9X2yI7BYZdh139IEZtDmQOnItaRnkkVN80gy2F8ufjWsfqwbwAAmjbLRwzyfKw1Sy0aqCjVW XtRVbvu6/zFpDISVTn9p9ncGbPaD5/0uiW4cvSbjC3E5hNmma0D3Btso40oqINMET4KeJO5y/RH3 sfIHDtu4Q0wljr95SJwJnyTU/fNLhRMPtogaZrgOaTe04t0sniwpAEpnDWFrH7mjN9+W7s2+S7I3 VIzudOzgUESZMeLP15bRWSq8EkX4qZ0s8jhuWFE6CxW2L363hIRkKG6TUJmbrt51VAhfFKDgK4yA FcTfyng7hytZm/uu15/f4PR70zo38/ogNRfzNXHhXFvwLwK3Oe0ipPJORYZUX++QpN4TsrdQ6GWB 4TMTvljUPBNzR45Zdb1YmMaVykBWwaHsYu1K/3/8iuC15QmR27LxzMnewU7tsNlUshCp1cnIYKo3 DaLCEs+4cBNvIcHXVH6Uj1PALCudnlvE2V5MvjH1SoHAUIdsr7hNDkFSstpwBye2y2lKEJneJMq4 +Ls/8+youfRcJUpDgy8weFTgOczApa7VIpgjZX9sutrWx0bGuBsJDm6bdOv6vTLs1MipqiSuOuc4 T9PgBZt1yD4DGFpzieB1EkfxiBlGl67IwGZEN3tdq76sn52erNNMWGTq/7Xou2vMSKjwyGeouagH 1JIMI/2KdluTvTloHVf8GTXUfTm6TUKK/BcPfEofErEAX5YtnkM9Ah9JiukYPJVNZllYr8J/A3kg cE135wHqIDFu9AEQ9UlmoUm/ew0j3AdCr7lFcjj3jR5eYmH9PJSYGS7uXFDod7xm8Mwr9mXu9TiR TUncTxMhWd3MiFeWmn+OIVoELJuZAYhmMgM8MNd1bszVCl9dP7DEi6DVyOxpJQrJaH8ejSf8NBYd B2Q5ELgpoSKG5i27w3DtAh0FZfqk/9pk86kfkVZz/ZkGFKtrNVaL/KVL50kP4ydmHIDMx9KNE9h+ IWfA2nUah2S8guZAURxVb8bMvxPIt3Xffpj/r6gBN3C+Fyo7rGd+t4qFv0VOEcosdIVrJyX52MtO kwAMREe5GgseVlKz3dxAJ/aktI8j/E9yJI7IytynldBayjkJAmN6HlQwB0lLktNzL0qxqqET7nlh EBs3at2IiNs/xwjlMrft+fLb050fn59zH9FA8oRcc5gowS3RJSLeHXIuUrdxaq+0t/3XFKoc36WF oaPqJYa7nu+GbJKL5w+oZNsN+Fi745bgqAO3kaZXMNkN/h0XtHT7cyChYNlDu6JdbOkqnpKRhX0R ScrRYMOQnnCV09UnfKnYYHBP4PwNOkJFzgVNo9rMWfiYtftv++j84RborWnzTvQucQAEMySISsXQ 6fiDfZjbmxqdqN/wziDXbpr4VpEaEWwsuzyfX5+Ex6kygY7UyDdbNfmrC+p54D4PRgHohvctfPM3 k1DDg/7chJbBNdCubCCS5dte0Zykon9L2O+qCEEdfvuU1xACX4TwA0DoPovm6BwjfEyzGFOJ3bSz URUPhyEkI/PwQc1+ZMILj3K+Kkf7v68vKhJxZLC6MgRuzefjae7FkPtkulJ84C+hCUqt/8YfgV/E okdtM9qV2XgILPINN/CVMcOMAOEz1W5TBrNV6jtEIbRx8/Pnh1gl0ANLHuim3sGnX/VTJ3Lbrz5p ChhQcMv5vBO9Z39QQC6dp6cfzdeY0vX8MVlB6bsIZd2bHxtJhPcDmSg58Rz5ZBq1wHVgKtcTHzPR 8vmcKImxa/oGnlNx+0hZXR+iU5GlIZmuVo+LdMvdwxywnICAQXK0dca9hkhGqwIQRyy4VZd/IqFC No0Pl+ocN5ObN3DNOGbwZCt24ZJ3RsUNEbRDKn3gJYJnhTHtxgmHf6jY+IP7kQifjQZYPenMZ5oU tD4BYS2yvpPYfVyRSAtKjslG+qa36YWdp3gCjOb8oreF6tchszZSycHClD/9kzxidbybph/+wTCY xHORdOIDGRuJt5WejrcNe80MRVswQ5VICjEAjh/m6iCw3krwuGTfbAYTxOXO2hvvSSI7q8RzGDZU rUKm2+OnPyfbVJIxA/U5v6eDvNWFXuEFaW71Q6LiEUl+fHZ1lmUZzgEF7sVxMtIbuvksIVeO6Zrb j27n90IWvGi8IFbfbGPERnRj2693F2KjzS3M0EenZ9JFpl0Ryh63DmKSacHC2Pvn7r60gerofjrT +JELY+1bhd9dIRfthxBSkZNT2tV+pqkiGPlK+CG7oYOPE2SUWiMrdMroJPPhuYfNeIvZZIFTfsui p49Khqi5nahPqUkNIE3rttMNCWVZaPXO906oi7HMlqcpO0ZvN8Y7w0ihlZQL236m4sAuGFmKkPgH xIGODdJqXxgVr7nViPUSWjcAGbBkA5oZqW3kbnrNWjHxySwtP6n3dUebVnSuD6JjILwlo3nSWOXa sU/CICDXSXv0o5qdlWI0FSM9qeQIN0jhyzKhO7uLtYh7Du1ZLhynjBmFgYU3QPBSElC10AMgkrYU OZgpTSoYaOyta+RYrSsgoHPG+KtmqsHGSpWxEIOFjNZlvOgg0QuBv+16il4ZHUgq6UDOFMWD/UBa EmZeG+MJE/07nfjQQP51GFIbXdERzjnkvJUPa4eb3Zpa9LXbCaQOpLLJlO4mDL9De8+MuAHu6EPv YW1Y+LG03I89QYIkG65g0Yh3M/17XofbWySqxwZuNSW8I6SHq6N0pwW3pTQEbZVwfhlNZfkzVt3Y HQrAx7x2Xyte2zmLCFhrdvjbF4kAUSTT12mfNXDSCm/UTSxrN4qxW/Jr6y0bPhMSK22uvxBhmU3O RNVGK/IAmJydZtAcgXY4NxghfVloxRER5q5mnNT4iEAPiXKM49XST9oBTaUgKJE/O5wUh3KdxXM/ c7sbUNido7tb2YCTUw5tHF45e7UGkPBcby46Wjhk4NYNuS/ANZxz+P/tuWmm0dqAB0uhx9VTG1FL +cR1L3ihqYHaRuqNmKmBJIIKQ2aqJciNWCPYrRDRQ/X8nRRND3yW1I9gfYu76Dxnip8G5GVq9kfd DpX14ATAT21B7lZ8N+PlVVAVa9WGWPXzWlwLhUZsIstNhs0g2gclTxyGh8yzAmrHxi6tW/A4547S a4n1CybUmEqL+ujgalmPfUYWkFKQ0C5/vlTcTw0zxlx9BpFpYGM4Vk74CaC7XCxQSPVroh7kuFYf fnhY8ifE9LniVfWA755DUo9IvJgCo4eBOyKvW1EZjQkB0sPbMQKTgpCJPL2zHVyDrQCiAEZTVC5g neOZBdhw48Vd6P/GThiDz223Adkb4xU8NbGqRusK7/LgxaUpTL4bdIKczlzrHUieagoN5qITopkA YXGjIVw3ZrmqlpOCRlra46uJgZho9ARfa+9CzgrdTN+SfWMuqS3Wq1Tlayzc+hX0Lw0GXK1dY6eT Zwmkh67sR8WnWI1skvNuzW40oghML6xGl7sz38lr40k78nR3ZFQMdGhwMNuy7E55DBjRZbtU14a9 2+gnHOZFf2N0BkJvuQrHVLo59pZ5I36jOLL/Z057922sEtKh/py0aIpZ9iW7/j/AHDUhOUQCxEr5 Lbe+oQ2atuz1QhHk61X79XHbM/LUbCXVsDb8DyJTEFQ5Meq3rNg1ZTkZwRL/oYGwDD0Ydo0M1hib TW4zERi/p7j92GvStbE2MWgpUXkeQHj8g5WI8lDFEoPN5+KUVyT99ctRa6JL5YOaoDJBT3XZ1Xzk AqF9kr85IuiW8Guyl0I5MMwcRBQNN3plXnOoWqVc+JC9Nl4WPWfgRwI/ElxjwxylEG/XqHXY/3e5 OnhfqDMAXaFnWx3N1x+JnsTeQyMonyeQu10q++6ogi6oKZbXYcbYdK+nStJSAJ0xkuRH70gWLtmP k0JdKN00vlAWyWizuhqFAMtoT3iiNnwmoQRKHz09AWR6GaOIZ/fNgoLHZCBSNwuSsz45fMQDtU0q OsIHDQrNXOaSbHRaI1mhIdqQNUjkhHtpRLdGML7jw7PWLGXl8aMtv9ikkt0y5YSIPNrWjaWTnPmX YVEkEv0xMBbY1tcU/k3EBGbQkci98/DKEOUbNo1LOIKBWYZsCd1WrSlpPb9YHTpcEeUofQ+aAJjw CUio4w9Zt0HlXMfMIH5fgDNF9rvAAVDDTIcIn9A5EXU4fi1Y1X9cTiHbYcJJ8e6Mh88f4E8Ru1Bu HOAbgM8D2phIVn/OObnaGjR7+ZrADdgiO9ROhUlT29bKvVOMfbilD/ip8pgQn/sujeS+uvLglrHs rKLgxUrkWnnDbhkImG0vkEbbBOJhtl4WB7C903NZm2dUSaij/ySi92R/CfU3L3fLAeMkA4zTY6bE sN1kXsVH3O/TgSfdL6OQeDlWLCjNp/C8O3oCHzrF/YBL9lKLCrvGbUbYiMMEIfX8JOOzXoJ2N/kG nh3ULbsXUqdkInvjnafktExRD2aTSsdpwivd4wuNIbFDwaJfO7vqJTIDhf0Jbfn0FDbV87pW945l IKwO3lr6h4iViAtNdoWPeNftkJPkIc/OhZFY8YUapCmEbWlUc2A/Oe4RwPhRUDWxyH315Vo4jiIB qDWqKvJPqQHRGNId+ITKvJWPNWiY5KU4nCnCYyr7a0gyVkrYdkws3NRqDDfN3aFKOBvICDDF2GlG IaKzJH60v4I72JYttXoJD2ioDFh5YXjMrbBPMdzQB6DI+Vfe0yETnMzBCdD8DnkyNEP/8TZKTU/2 YgTXNFgHgOrQQTyU5K7q6DwSmdwkKDQFUMiZrBIiZDyVUga0Tg0v+X4oKjdkkxexsXad7X3YG6HG UN4BXaYJWnSaceuf+TG4bjQ91Xap9iy0kk51uUYglI3I36eAgJhDViMC2XX74duQoB96JAEVxIPM +GHJFID7j5qbuF7pbwcqCINxmkqyhI4cHLawhb26qBWnNZ670MG7u4jQ5yMSmwNDTjlKLU0FFvY+ U4OxZLg3/2mdl1JFtXWRdGMhY5i4rHTnsJhvHglvHsIp7liJEcCrGqpk2n75E/7UB5RTvfgHtUCS l6wJt0rHrmlu1Kg9XRdtYPMzomJQf/sAARFfIpilEvNQqCIUFqXLw24UlsauKBEmaAC42Bhr+uNU OGoSh4vqKqbbb1UdX/L6O1k7EGo3v7XN20z3NrUbuCqP0Fzzt7Gqws6ed/FjsUKP2Rn59F2hR1U7 Sfmg7AC0rKC0SGmeWHDI0gsG+a4CKQYa11a6++zBBH51M1+RfwnEYF0jGtFGIfw2tEla4pNcP87Q iWTKdUCLgBLkD2dwinoutuN31BL//UZqtLpDxNEbAk26RBrWrasJn5Oyhgd0ArpQ3LXosN9dWvwJ h/27WI+qPZiET8SDX3NnSLSKU/vxOSbnbQGHdehnS9mglT9dnMHanEhwXFNiKAvxk4F9yXSUokJ2 3Qwx7pAHqffIRtR/5FsSY2XBH0a4KHM0zd3Bn1zUz+OFJy9mTCHViRORp2PF12kv4cS9W29vt+dH fsWL7t5OcuJmdpE7ss2NoIRJ5EUcEB0hHrZfghGHVHVezUnZ6LlyntReKgo8PpcwKciLWDeoQ6hh gfM9qMdiaAHaXkbvlUUqj8D5fTHpV8y4niqz70KEuN/dcot7VBSWrGZZKSHRYAFNE5PH4quoy2D0 Tk5w4rpAMZv4zAholPwbEW3EQqr/RbpSXlBgj4+xR3kWXLh6l+l3Hsv9WBvx6T9tdDYq3Gw8+P4z mHR+XoMP7aRiBa+yM43Kshz9M8QqKfGrP9uiXCmjRFFIGW5SQcJoUdGBFM8p1PhdPhYmoukz95ty SCb5TPuClt+jI82aPUClxF9tKQ5HEJSteregwVAxJ22YciPh//1Qg/1+alcITKlUlTsLNgU3CiUV sEZ6UnCdiDDTwqO7HhSg01pfEtgqtWUOrNXTP2Dw2g46aeAnb9Wty6VG4QaxFx+ey7gYaKDlON3P 2dNxE1S3/qjAbrwZYETb0wXmyiyM6ZS9Iy7W79+gPJBGA4rNwncid9qnLyS59t+8t2xz4K+SfnWm 89WUUPTC2O1p3R27aJV62+F9E8Nyv1lkIHC8W0889kzqQLMxolgpkcFvqitduHZLGdci9bkoVr58 KDTUYMD8cWbkFczBaEOXmTsR+0Z6ZQpgSjdHpfkWLKDevCyVCktDvtmbufKKHR9xCl7t/iVRGN2P kJ92ljQkvNCJ7DF1rCYxYacfg+CRCdCKCBo6DC3zVzIfLi1nUSB/Ltr1tFt89kiCRutCH0aIXF05 ovxXINAWQfHDQx4a2cRRLYCIrgWfBVs50X1uMg8qklMd4qjnFtnRcMV2MR8ZiiXlTLUDlZSLni9/ 6RH9ud4b7x9QOSZUmJl8zOrItqDE46w4PuTvHfybW4jqOM+qiGShHMeG6Ybgua+BZvfalZJfiwI/ 7J8PbTKxiwGQgmUDXpQ1hBvc9P2pXInK8R3tZShVNLHEYS9eNHm8gAlJk7dzCVd8zTAFBYQlD5OO sVPslY/iDsVtSGjl1WzWxwf07RoY7uIqYqe/29xxgXzFqZOjwfRnECDnbpdsSmxNIljcTr0vvMZR SIgVVSX5ScCkKkjWMtVegij4C/Uokg2S5gW2aFVDV//bhIXxUQMC73pARkWpqBwkCEXDBHSmyOYJ NPWFPOfwUM+KfsHVGY0kbfUobtzrLHBkyFPNcfQkbue7is7Ki9CH63m0cjbFXaKo/zRwOw3H3ZHL oZBZHwd7TZqKafqVMKcCWd6V/82XH1iBCjo2Z9bmVYTOSX8M5VEKiXB/18CHdnn5//eOOlyuPPCZ QQyeO8SJzkqrepcMrDWzkZtNavnNwAR+ZoDMU3Xv9d+J7yYwevCRYqTU66/WQYmOY2wwTDxVoVrJ 19kksRCTM8GHILjCV32zMoP+UcCQYxWKRhJ3qLjL9FF+mUeyFJTiR+rZzLBJ3EPIURUq8l3TglzY N+vbkGbrpfvcIqYc3sXnW0p+dVXJ0DSFgWZHFjm2iUYmTRIZY2orduhw1XMmxgDoeoJm3zyVeaue YIys9NbdabL9BaxG6XMhNynGKMyIxfBHApk7e1mp507jHVTEAcpchKGMHqZvL6uceytG1W2uhm3j 20KYIDGbnoOCbQkNxRGSeR/TxfmC6gLc5r6GGbh+Jd5L+q0pVJ8Zf4dEb9Sii6pBnpySuWyYhZdJ ZhJ3wfA9BjcKPiMLhaBEj/PA3wmU51KOPTaaBkXjOYhHsdPmPypUUtakpxskH+JjjnAOYaGlX+9A 3BLm4+Q+V6b+1cX+QyOG+KzEM9gWVqAdPijwp1lNhTs0UsDbTDY4JCohIOkFpajfbsxHrP4iSdwS oYj8lq7rmtzXIRAgITqBYygRM53vftjrTi6f9N2cUC6fISXldFmAaaB0zQRRZWL15u766PnfZPb+ Yblf5IWutZaJRIlU+J5i0hcx9mL1k0pgPWIdPs91bmE8ODN8P6Fn9iv1Z6g9lqNI2XR9l4GfNxe4 VEJKF9BF/wmQmNoOyPKwinP9NP1Hl1CdtM3eNPsMufAZHLBOMh3+BgJ8bOo7/6rH3L3Rri5giWd2 GQlkz+ahZa8Ogi7fCPVzQiKvUclPJaT61pZpjdIvUpTTtf/+18XIaxys68CIgwrndEYFFYQyWJ9g PaaM5jSG76vdAmnej6HdaEFyyI16Y+GVve/w6gJNqowSrMIFWH8nqAJGfmwt/V9VNvignKSx0IfY mu3XVJokGsNAEumeXch8uWLeez/WynM1W4RziVRkQoX0GpmL4Hc730Rx7+eEJbVLI/qn0rNSBttw O+laczzBLODDaKDmzjOKfSbHC2qDZVBcNu0oM3IjeIcsxvzaxCHdasTkQ//kJdLMW0zYdbHCs7du 6Gt9rjDc21/CZ2B2p0tJfNyS4V07HVsubpbJg5nL/kv1WE/BU6D8+QIKYO2S5IIDIbjjYfuIWunU EYuQG5A0/J1BrMWhsuHYoBq+y03JO1fHwlszfoDjMPz2HI7SG+9fr5X6lMJ/axU0188W7KUI89lL S+H8h85QTW02N4z8bk969n5HJnYlZet2XnE5cXotNAFqSOhjDVRZ6jC1L8U03Zk136hJsnSew8oC u/3w0RGeMj5Khrdo9pm6kCIXSh+pIoKLWhtvuoxXFj0KarYEVE5kPiKIqfnWzSAgoSp9YZgzEn0g u/YNe2e1nXYqxX7A0siIgZtdTgHgJtDFn6JrLdhXMytrkiJjgbsqYZ9KkJwk10OLbjtHAtKI5Bhz p5IAn/4mUEv/JNwku6JFcbZd2R99JfhvXV5PUKNuMEgTI6BLhuPPuAdOJHAk7MaCFv3LENfEQD6E 8IcM5ymTWJIf2RoiGtzzvQmgvB4Zqj9SAqdGtDvKwFScCczfddURGzDb9THmY479HqbPaDON3KjR PWoBFoF9xhwKK3ePkWL6qcvR5lhTR3tvTmyYrM9g8YKwTdRcKQaYMgNf/AQiBs4909dzRxm7pfvY IvS1yTV553bmjglRKE8/ZN6Xj6S8nHNtf6GPsz1MK83447HNrXy9a7NVyHQHAY1Oga6L8cK4fF9F EsyLgoiIDVNqlRZPCK6G5/dqkcdGeBKuQHszHu8NpXHutfcLREShtzLEm0hAGeG7qFr+aWHzyl/Z 5yWCy258+2P97Qiq2zY6zx0g84VO7N19yrkCT0bIfsnDjD3ngM+71ZoQoIumgO/NPQ5VvNoCRT0E OKLbk3UuHKV99cXmYUSgUNK4jUne1zTmZ9th0Pg80Eiwbm0xyGDAAwGNVUisYzLc9YF2XpDVwgQx Bhn880oBhMg10OeXecVkL0BF3QLG27Rpo7Hehml4YMr4OLrrEX8+dUb7F/CAZG/IBt+3REohqPhW fxhKETW7r+8mJi8BU5o8eIEumSq5qpSWgR87oLHRuPXZgjYxCJGRyCqZ/3yc86bstqXZkSqdx5eF A3a45Oxbx/IX9qPiiAHNyKha9KlMqHX9vvDPGZYT2GNkKC/Q4Qh2pg/XZDVp6ubKDLvbEGenlR7w /86rtQmt2I+AnLqoltsgyeT6oWfvQrXtobphQKFx/LIJ/5HE9ojY429FlvM6+WmQi8jLSTY/dFTF zYz2h3IwGMhbyaIvlDykTyv7W/mdEJLToVmw8qfrRnr8Yd8JVkGqOBLWpz9ChdV91Dvk86OlRGXh P4hLvjf/YkKavYUC+5vuYcmKkp1HoIusSefoGvgAahUPugbEM6EA246wkbv7LsPaGUoPXDkBQaNT mPGkBZ1u+PvwvCe94hwSKmPxhgNhe5JCvFP3TUi0L8Zeu+mALTvoT0BykAZEj9RBM9KS6DIcSYkJ y5OUoo+VQ8Albxad7qLkWVjLS1WBJUrnXCr5f48w/vZAMVaBSA4rVERzLfGvZtYR6185Qu94BsYl reOjF1jkoZcUbwDqJJnqoGyp4QjMrw+Mw+Xns0jFe4w6ItIpi3cK81hij6gkii/rkJ2PDlK9EgQ5 WtxaroYf5AfcJdfTqWFuEj3tf/3vCHK2csWwzYHMg76hGeQaOgwQScjAVnd22+7ZXB3htoL42LNj RMvemq2fp0SR4iIV4x4QoEFi8pCsa8B48CUxjVOi4IvHkk/5eMj+R3//X7rtoRwx/BekS7RCtXK+ JYDZoxN61LCydzfUoL6km7U1D4uv43z2kPKS1tsIUzmnTrirRVNtiS4nUd52FF25thGH3XG8tEpu rJTZqc2Ppck0DNdAL5z7T6BzLbqN9FbQKPLCLBAoTmluHM6d2UUqUvQ67olxLL5Ki90CSDeDb7q6 1NfZ/KOMfm8uOLjE+kg8qsJZc7wK2F7cnkyGs0NHEWR/7mH3pEGBTAiP+nzD8YSaj0A1kmHVj2Uv u9ZY5rAKal0QIjVvpseZ6HIOTEbhgAUhkiIZyFlFbP51QBf4k/nRaAyXant9ZqnZ4dCls1SFZT+r S/Lv261J4oOt+1+CkopRLXpTecYkuPCzGsKJUleVJ3a1HkqxUCpZA7sn4IVvb+WD5PUH4fO5cFFs 3lV8swLBuIyPNZxedhP3oAh8IIg2w/71VO72ZoaBERgAN/imGRmZvB4/afpSDMajMPHCf8sE13iv T6ldPCt/FeAy9LcOARK6fiLF8dgmTy1JyFvmSRCA5e4tmlyOAuvD/U+Gd/4ABfs6iHv1brPLHb1l mxkfFL0Ieqy1Ekm+cz8to+7HaVcBVHKs9QQZ5OZ4tNKGHhUhysxfHvaoN8CjVAj2ZhCEYGUnUwqv /0wT3LSAv8hlsev5AL5CTmMhcIdloH5sRDkLS9wOAm235Csup3p7m4IoxsAL6d/YmhPy85B5rIzW 4Pfbvtr17Fd45RGyFkcH5S8U8Y8y/B6gfnslJfeHuQ6CdQb+j/ucYsPjLb9LtDaKTtIk3AF/FO3X jtQpaEvQowRrpA0l4kWyJUtha/A/DGOM09mGeKqyVbF4MaNtVW9YKt6Z+iUkPD914e6sqOFczOj0 9xWTLjAoGbLUqXZBJ8bHPxo8/9jp5mD+b0CbKPIGkTzsoaKml41nBknYA2K/tXA02dU2brZA0ylj QwX3JzknopsQBc/PYYpD/ET27VcZSb0GFtYfCJuJ9Ncl0ao7Lzn9NOeml0275H3EZlFeCJ8ZOjVt Br8h0PYi/Mzryw8OFYvmxKZ6yum7ZJQvU9Ik0Wuc0aiLiCDQItgJCpHQPBvxg5aXTmcOyDCUQtNl bHxOapRaW1+HqezOTIkPaTjOG8bMNf5t+pclIsR84acyGBLUZ3n06+PRpTE9Ms+IU4MNlggOt97R uKfe2rEzdgkH8wH9PO+OS6wjM6a4+uCzjWCzwP/ne0yMGBs7H0ZZ02zdb9PXCMcNlHnk/X92uBCE WHoHiE/4UGCNEfrKV/K7Nn9DGicMjIKiqujN9wCdqBkjPjAK2oOKQSohQrLDU8GS9e+VG84SpeVI T70LQTUkLoe7s71+SFFistZOtc5dikqTL2RfwqXRVpma9zmqXVodhSEzfRCTY+fNbK8+iM0Uh7AU aKAWG+CaV/R/6sOey6d6bRh9lhgr49bYz2o2E+U5NjlOzY16IeRUPmvYrFM5xJYQYhLfJ0drvtZI EU89G7U2UzE3KpL477G4BLUoDMRHMJqpbX/YKTT3UAnAi+Cn4JyFV1Lcx5QAP8zElytJcA0So6MD ZwYsewSduTxz9GxzN7ytgtYVnxofHqBkDYIJhRpr6PbK9CRyX4mewwRNDBvIOyc6F/XK0FfbRxnC uTEGSASe6RVMaAnEqGZnP6ywIyma/xuR2fztm/fiVCQvhp6gef0GAdMpxw+MpgNT1wq5sLzCZ8zE vKlNM1BZ332986uDzwS9mpc64zSuhfHfsw6Zmov4yyw5nLSoGfP77kVw/lQoO3exyFSymSs93tGH udKwJ51Mu604Xb4/Kjz1LRQMQgSAyze9+DXKjZ6N4efuXxTQQdpwF13NCDGqItVPGrU+YfNF+18l aCOCkUQYZcqWvwn+2RXt1UqzadavP7YLUyUlC+UhX8vsF2/t4OoiYg9lLada/ZeeaBLDrnmExniQ X8c6w7+dmkpd6q+szc9sHBDWJFIQIc2cFrIlPU8FbEB9X7SirW/SQqReCviekPSZcLK288Xi9K9K HJyz9wQNmb2CJIVYwnhIkuC5tTJ30rPsh62ARudujO2OZUj6FcvZNUrOycHpx9pHlKYJF2saFjZh aajR829P9M6XZlFHSxoQUxtVvwGnVM8pIWzL67ZjeXpBIwSrWM9KOJvYVbr4cbhWwHxyY18cJhke 8fxwExtkaboxUGadpFmE6eYuNl+9Y5cmRXoGyog3YJqlxn3Ay5mYMHKEmLmFMg64+eJz3nye9B/J U5+Xmd60WY4XG1i3WqRhyXQlI16Nud2os93mJFt8cdmAAsYGy3J63NMkj2JP8lgVPCSS5Itx6dKd C5YlyFbDtWyZTICIxTOtwcysQu1Tjkywei3GmBb7LFHnPC6y0Bu5FInRGwXV1zwZfSdOmMlYtTRB ze0dmzbWkBX/TfqYtULOCEbYH2onIVe+TFsjMo1M2aCN/9BlbCTAVXOW+D4ZcIMDRcuKqCwetzgd 3TRovQAQgIWluUhc9h8RVlImCXoJGKjHZjy7UO8TSZtTWpR7TQKh5U9BJuqy7CppG6kQZw+G9v0z FTnuxYHg8Cv6/yvLYtOZDnqhizgKh+t/fookatapvK7VolRSfWhWbMl1B42tek5rJGP2N3RcUunn asvRCvdySR4fTpWgPXk7WNDB7ld3nLLtX0bya0L/m2AwBm+JtBJdIMuN8flhOoE8AfA215TYfisZ hkPg3AuHHGxGJuFujD9WpDu9C84xZRUuuNVXH+zLK8tHkYAgakPc7x1JYB5c1RqiyB1zQ45cHgjX yT0pmLl1pTsM+6jOSoYRCC+7DYlV34v8qELlCRkOfL/CfrH15U8TruFxfOdlGFbulqEOj2ctr/bY mdFkofoeiycrobBtKeo8HOGwTtE4o4yMN07sQLcxT8rdPiN6iQB8DBdnv89jM0Aw9uZhQqECgRnf LSxDumQlktnOhKub0UyIt3TRaES9pANdIo1YxYGsNt78+09mpSlM4PzHzUNW9nHdaTVuFhDbnrxm cKly80nwHAkNilHlSGaodbGvWVzbCUi2+7Dse0j06kOF90YVHHdW9nnrO5AIRTnwgI7ci9Z+rmuV Gh8RTWbEounhZZG2kVNrbmPf8k9BMxfF8JIEp1O0V4K8ie+Rs+tOaLrUsy1zYD0FWvO5ks+iAfiL YnDCN5IrbFpZefM0wNdaXbfYnuKrEG/MaxsvycVuQauFFQa+yDq81p487Vn1349AD1W2IZu1LVpQ BL0TqulSHlwqHxahD/gvmN3taI5yzXzFRNOALEq+QSzk0AtRvlLuj7u3XfQ27SsxrCdTxqJzw+yK lkfZ0MurHoBJWNDJO3usFbZph6DrSNcYnSFz61DWqFAR61SzSkgjcpUYcDFyF1hqYV5zAXYakGb4 7RCC/PI1qHy9h5BFWg8Ov1awCqpbxx/tR2PqKkqPVi01WPtm1kZfgZZT9E/cPBKriLIPHPxoppj2 kspRVX9fyG1WO0hLtpsNGZXC/seR4DbgZ7mCem0wQPctAUG8vrDhCSphDSnDIABKg7WvYI1kKinx iRdGWRibKUCAFWFT5GpyDsS9TxjzDrawmJPclNtrQpBbjDMBlM0BwPpD8iVwR5CVNdk4Un87LupI 91FPs0kDMPBlBDxB1eHUnIxL59PdsGd+HShhjIhh5pA7WAnHy6xrCzWGPrQnV8coVFsUe0gu8ESf UKTouS4H3RCMNP0DnoMX/clO8MYBFSBMmvL0KJvhXLxKSI2DGh67edWiIjv96CRf48+MYfV+irdG VwOvqvgYF2CY9/9i4mbP4iNQtRtIKuhVlWj5uLNu9g6RNjc64qlituD+0IGKgJ+ekM4HQ05aykR1 MR4AteoPCI01GsNT/leWb/8hmKLZ0ojCRM3meoJ/z1o60W43MhvLAcj6b4O4oTB/NJ8soGoWXwiX ACnXotWAZtgSUEBvPpBZH/cWs6wUe/ga2mq7rp/n90AO1b5AliBQZ4vkgKXPMhhlxhzdmEfNuUfh 8j4wR6o0BAkbyhJIIiWgYLJVkkTcqsK+HFjLAEu3zb4OGPaEqDqla/sGJWc7OaZQ6h3KyOIyxXae aQfOuyhZZEd9latfwo5abycLtgWkDg8QYdBzf10nwrB9WGBby+bwIPHuanLRlJmaDK+6Z1RhJhmC hbjd05GYtR9ecfUAB0ckbFd335waUGX/MI909M/jFLLscQR8rpV4i3KpndBDh6zjODjdvUwT5Hkv RQHPtiKamFsdsCRISYz/1H3HuiJvlIWMRlWRXGXePOhuAO00J6TgjhveT4++bYeOwnwwdWAcbpMH krDx3YfxS9TrFWDuPI+nk6QQqKXLuFo+rdjFjUSbPCFD3eakk6yowqDHFMqobqxiXo/d2lHDrQnX JHxNsZ8kVrzXqKmmcd9HNBi9nzG4UGr9BxwZ5+j8d+BRb08vYR1NE2H0H9Nv7CVD3f63c97WhvkU IbwQlpgZsgJ7XuHtVa2/u83QZ1WMjq5KvC38krVp4c5+K3twUE73hOQEuL0S6gDVPGu/EWbKw0vR Td+1sxdruFIK1mP+OGHYfHQaJYe3pB/Gr2CCtNf+xZM7oAVM2SlAia1ehhWiBKe+UezBsyxBH0W+ M305oRJBahejXrgGBR88kMucGCdvXnWqzD2jpmUn8ih29btPGCE6j38VlGBFge8/qROdf1emPrCv +vgzmfvvqlH/fv3o8fXVd90r8aXeAtnXN93hzgdored/yAKVf6IWWwKFtTJvVFQoi2gw2e6YsoHS IJdTqQjNJsQe9Fa0QVPC4ChbKCDrPoqPZ3RLQX9Yg8w2Z9B3wQBhVYZW9xh7nklhX/dQUltEN3MS hzgP1JVoxaJw6qsQjM66n3SyXQzJLX6EYc0k1QtktjnZ4dHzUvmoy6ies7ADICvf0d1a+yQVD6uU kpBmki16CktLT4LE+OS1sP4QS4jOlVnIJbQ6KkAh5AlaftEouvISnsaZNH/auXv+goLhiartBtEs o2Tn/SALaIoa7tWsiTlCmR23iTI1VbE/UVuhfAmg/tjKxkzg8wvH2bkc2hY913/5Q20MwP0RRa6X OhsC/PAfsJhQr7AiLTZMNL1gAZOdl/Zzo4+42tg1G77UpZLLYxxskc3XFQT4bRsVeFhQ4quDH5kb 6BM89U/fDvW2amDLXy6muIwIodYDTQFgcrqhctclSgRvHCgq0qht02oj6nv1dNwbLbQ/MZ/EyCL4 YPFwy12TkfsOr/HU1YMWUrnvCzA9/Djtae+C48nrKsbRK0ZLSv6MnbrlrqPkDRnCIgav+ceb0qVy mkAgm+SPc0iTFMUTfFpDUjaIhSzJHFb6qaYWwgjo2az6l8IT/Mu7QAP2GNFMb1KV9gu9bVEHq02o EcjqSqpnaqr/prVqow0h64CBhPPPWAGsI2a89H5mCQdtmLIf4a8Wgxl7g1nI3iHY254wdKoUe/+S m9gySPtR2KqGl90aJWHwF2XNk2KWw8gg45p0mTIT2fKDFvsI58nn5ml9E5XZPN8jNd02LmtqU8Fp ht+oeskGFWcmQHUmeQ1KgaVvrtFrbLY5/BuJzuw15tpO0umjyKNwIQ/oqQzBdJGQmaSXGBR+0z5J qF/kwnw4Tcc9noDzEC3bmrLLecEUseRvsv9JmKHiT40Wkq53Lbxkyeuevm7dGDBxIqVH8yn1kzhO /KrEbqTkS5pzYbSWW+QfeRr8aj4AysBv91ENn3cVE2WHnrX+5FMHMyVPZC2+y6+jx4ApbkRStlI1 s5pvnd6RjWLonnc3NfBNAfRfs5quW8UTqbQghp7LtEFANLll7xEiasjzuILJJcoxYeBxqLgKiOXC lpCWJ5sCNdb1ixIh0ollXRy0+i9YK3a663w9DI+iTcPyV7cv72Tt6AOI1trNfz6Pq4UMjhwnQW1p ou3XsJnTYOtE1N+V/+h8nXVeuE0PRFTjwzJKLKcbA2hqOdzDqyttKYz75QXSgN/fdB+WsbYnLgUs nxzR/CWc2dMlYD/hqn8LmUZKAOqE+wsvW93KVfIPxS2jpknSchZODUggiSg/qj4Lz1VTJqz25Wcm bBjPKAieGurFNltG58kwLy7Yve9NiK6MTUZ2ik9u6KTHKxuFMUA9QdvihSNtd/Wm7rBGk2nt9tI5 Xlfq8X6tPykxj869jJbuVbiLWOztFsTcyAGbOG40Le3Sj1PPyu7ejQJ2qysTNgQKuGNNr1cl7mb3 XfwQWxaIsV4jIsWmnfSRDE73II4ZH9gvVa3pYq4S2lMUh4Litf3xsLMCGRmV0lzBzlhJpl65uBu/ ZWtf727RbrE96h/XXqrpl7wu6gTZ9QOYYj/sqDQevdOgRgJn3MUQGS+YbpW8kqWsTjAtqc+tBO1f fauIR2UUXU6hh0hZ3UhDAbRO/QSS/jgDuj4rRJO4Ce3R8DBu/66llMybpjMZazFv/0/7A0LEivEM NWznzuC1r4Vo3dGj3PeU1iUWOWng5BtSvR3W51vw1xbVdchFAxQHx2mSlhNwyWoiYI/SL27g9IUE 9f05lvCrffCYlpHSHKUbRsBp1bnU2pz2kx+V2RI2GgHYTtIYuu1IrKKtAIKdigLyopn8Lthl85aT KNlSkJBd12zRkUYfVJCHn3oqwdTrj+iVJmDbdmURlTUaN9H0EdhicWsGtKq+JIBgDOz0mW1fiw01 FXMZuuIFYpPj6cYtLUN5CCerCYH0cr2AvHcjmLJhXIeic+EEkbN3m/p1nmxMbaJAW1UoRA5FbUm7 7nDa8c5Wjcyl3ZRwfnO6NmwG5Util/HuxZYgLb94exLcsHhhFXU0F5OWLeDxJl/6cQXGFGgg0o4y 8PaKh+V7DtvTDh+UvAnNfG4wqLpcbYGXb9TcZvwIt5niNCpNE1u4/+5vDCXgZ9e4YhlL158cnYMW 59Ibk6a+XmCuwnsxH5chZO8N+4WnUSJEcyDH7z3uII5WC5aKTYo0ssAI63vvBPh+lZ5WpAH9p+6F P7yC4BYmRYHGOHQpHyMOtXvz+E2Ckxq+M3By4iyU4WNLeV4mhXOUihPpPTxyA1pflEqI1FOmvsJ0 lMcv5B2SO88Wy1TuTduHy/VSBYNZouSNV8RyYJZ7CQ9gF/dsDH5i8wRK6bcxtI1smX0Fqf38PJAc 9v8BEqa053CgALviU3u2jVfVKNL/Quw3mKHkGyO8c85H0wPGlXOjK1K7RPmBEjWYRIrcxJgte38k I0yQSHJQgloYP3HhxFpYPRDqhw+VDi4K3xtNUx8w3LJLX7R8PbbGDlQg6SNviqkxJHr0YTMKfMos ERomM2QrfV8yNS3v4eQomhuqXWVN0o9lJY+vvUTb5PCvTD3bxnT3VvFCGF5Z+UpBP4kUow4Mrhgq O6dSDtY0VOGZjsbclgHSVQFicbj6pAi5koBijIAC6x6ChELFGOFBsiInvNyE2aUQV4ShvoJSKIJr e8Pj8q8ukOe9qvysg4SchjJIe4HClhKUWFwx8GNF4/9ByFyOEg+GINn1kuamSjAOoadcUQH4Kr39 gfrqj5y7WOurVkyMkHzq15+r2UwUj9I/eBkoWcVhOvtvEnp0eDopeO8sFIjanJsvphJMwKJI0Sfz pkq5e+muEbMrAd3jgyOv/4eVlZmhM7QWER/YrboYUpNu4HZOUocVJqWcjIDkKoPdGsRT4Tp7VYkJ rTWk/H8fc9Q07E/r4bOH1OIoBPkA8wRe5i6TXHmGsy9VaLmJBMft0faOc7U3LKgyRNQJjLAUnCVe /wgD4tUs1eGJWDnD8D7+tpl0GiLgsw+gJ5/+QNhfA5J7B4L0jYrKW1v9X4pIyve9bVXJBydx6Bpn d3WHuzh0ucLmzO5EHjRa2Sr/jM5iiJY1NK73GaB0NL988CzlLt92i512y4T/eOVEDdZxH1qH1CSQ srLfyYD9Wbefbh96uJkC+tC+eDZGCUal7n5nHdg/p8TOxsq6wpB5D8mIUIE5Yvzc9N/FJIF7sWq4 lY6ZovbaDk0gK6sJvXUyPXTCmzqBSlD9tysZIX9e6MUacrYVfjyvCQy/i5n9BSRzwpz4MN7SfVDX v4EDT2zq1uLsLiTa0NBiZ1j/Yuz/NA2EoIEfu17IC/5o3/fSKkVyPdFf2kP8VApcaSGcfg5x0yfR 88WDrHwGLq13dFFo5K2G9J7/71cflZgkRuqCb+pTvHFYzTS4NObYby3QgcZDYvvgje66+r/CqYpa b4PWOvHoS+olDraZZ+DUFeHHRSAPQ/Ga5HQW6tN7M6qEzNmVKZrk96HF8E1/Bmbfw8AvMe/Xch78 nQNBFHCpC4IvAdtWexNu83LxBFeZnfnO1N/mFYdkSGOapIxHPOkqyjQQFZdy0V9zhX2lOF/dezNh gfaOS9+CiJ7UpUJKqTCrFQeXj4Sj0z/nM6lxFWvf+HRvA6PUx8gPEkekO7FkIdca1CgxC5fV7/TL QS/AYmNRiQLPtMv5+g2T7FYr8BRYqa7dN7uwn1HaBXzpLWjCv8djw3jzRVCzTk7YLlnSywDF0BxD Mp6/A1gyDFWUVYoV8cKrc2dRqkzDXmjWR1SyDJfy1CAzuEDb7+HS1Hoe81tLdhexxIf8MgfQoAlG 1bSniq1MWSS8wTqQ0q/SqfM5i0Re/k9rQajepHlfMO7Mb2U7H8GzpQHAIoi8gdkt97IsEP1063kJ 6AZ8wQzeea+O4B75WID0phGL8mmW+kOAfRWMr9WRJnYtZAru+ETXrFPyM2foFIS06BaIjlZlGCV6 LJNy8gsQv2+uBcqBUrfsXNS44v7sXJI0+x1GFF/H4+F3Of36pLynCrhV4Lm2CFF0S7Ui0kk8I3fn YTz2CHCD1cktiLX87rQz/We/Z9vkSQuXsc/+d0x/vbXsQguBMgY4S9vDhPa0RIwxzwVLDBC8hVLD qF8AYE4L2tcjzFxqYVZTsUicW3P/8jo+FIh3P8CCLXAyW3KQ6+IC5OjSHT84FrVVz4cCoZ9YeS/C zE/26U9CyDK3GzkxzIItoo4mwnCVeVdVbZ+8IIE9XHf0RW2XzlrJhQGsVmgYWrw6oCdOIqmZCzce HbVr7A749o3Ch6F0VTTtWB4AKbXte8sQIeP2MQEphFFHZTaxX8vwdbHdQ/k7qCM9p1jZ7ddkmYls K/X/qpGAZdTDj2AsPXiXYENI2t4icoIjuSHor7bj1MFdGghEEfU6dES7CgDBq4hVJUSAnZWkk3D7 nITuGNgTjwOJG/cE7l3cXSF/tjz73knyWvIvVyH5jK4w9AwxaaU6iW6n4idzVmW9M9c0156nxqpE pnHiDvNiZoXhmVgo7uOeXG9Q2ZCBKTS/uu3uDmeI2PfEC6UDvTiDAIB2wfxin8UxwEHBna13zEFT gzxHJMhsHUOoEnWZJbVSCg5qxY5JEcynT6px2y20xlYytJ06Ayq16YHA3bsGUyFhVocUWd/1wBuj HgDMvToCeeCvIORRsW/UNzZFbVsLrwPkbH2abwryTA8F4cIcc27HMoXuCTibM+fD0CD7ggTIZ/Yv G/LWu3Xjy0bpkr3s93vPr95r6L02aSvi83BXbA/nZCS73alVUG+jhaS6kdytyesqtvxfF69E+9sR sS2utzJRvhir2Lkn8zcISakNx6t8XXWGyuRdnoYB93mK8dyHsazKiE6UmoMH+qeM42XD/gzQr1RE CUj99zPq9FBl8x9PP4zA3PV7MZmGWvGgWhGIrNMjsJwOgy/CHdoTOuuZ6qhJTzH1s90ILUsZcWAK 2D1yh7+Kr4QDDRVGaCowgrVj90Oal1tNLHWg7lynaFOkbYvZGm8rId04PMBUjMz8eUl2fTqp2CoB 1SwM9trxFl8b0pbkNAtnAdmQ+gu0A1z5ZKXJzTM65bNfyQMIwmVPu3ZGqiiprErdL0Tq61YcfVGN zb6zFJgoS9CQmGQ1MlRwywxPzAVePmZW1u+yC+q5oIxvNzXusZGhq0chuC2f/wCRf6nQ5x0SqPs5 UG1+LXTeh59CJeh5sYLnaBWy+vYS35EFo7dmKkUnjOymYKVRqq1oSJ1adzlef51riV3LR/SxjzIE cPmBd1B11udQGtHvwQk30BvufcHK71ZftSvZA0LDGsTUcfAwEG6TKp4Xr0T4cMYZRQJMs3qu116o 6MR/4K6FnoazhTnktw+iJ+zqFzxt8biytTfERfFQmO6H68HGbZMC3eyXmT8L+TtYDIZYwl3dk8c6 dVHmYntndBahjn082PduU5FfnJjDbCoMgnx0O6WE1q9uIYft0eT0ho6KHYlLDN+cdAjrg72Y/Df2 T95StQBoFPt+b/1MvNcWNUElP3WzUe4Li0QoEQwGMPn31qk6WOv456cHQWjw5rjA8ZbGMvAlp7ZC +D7Aislvb8R3dBB5d1uo8zJlvAOJ1CfxZa67DH/Is/DGwFQzyCgZKDlTynncXlXBOelgQvRKTIMj j5V1V/KTW861PV5puKSR3pSJ+TiA8mcXUNRMMMZNrgwfmZGCvY5fq3rH4M3YNQgRk2z5twVLnwNC JTEXh0FD/OWKt9R7I2FncgQ5j677vTDTSABG4CzzrBCw7hJrt+b5av49OwXBBC2c0QUwRQp4QkEk s4/zb+G1stcldi1WTWl91e0E2UTW22jFWnr5wXFLaMbvxlpkWr2hzsjM6WJXkBj5BzT6rmTAICRI HG7f6APiVwFZQUvC4xOX48u4ihuq+BFm19aSVW7asBcVSI7a+SdDgcGdk4lXx5UZA1oT3r1BJdgb bdfWm9fPku0OaC3EkdALpI4guJGVvrav34JVV/fzWkh2hRPr3ik0POdTX4AvYseuVOnGUx6b2x6l jRsxCHCnECSpPmYufqQV1869PZ+TknNPoggFy0ARbh6Lt3oHz1ZebNSoZGP0lT82KVBlYPaRK97o JXYb1TwRKMSIXxG4j/Z+5ARJV/s0R114CoDdw5wOy8zk47AucbaKkPt/EMtiZVF8M0bhkpCLcI73 J72RHoMzvbLJYdIVFT7/I5AF6iBnKPYQBtYjeMz7naeoHzxrq9fGjWYTdt6XavLB8/htLJArO+l2 78Wm1Bh02s/fCIVOzfyZ2dko9YNPqEpwY36Rc60ZagmLtgm6kKM57CFAsHOcgvs47N+BWGqTtClT ft+GD4aNMYuwvzEnvipoTz61P6cFc3qEil6El21MDmqQy4FgHLWQUMN1enk+n3/mm4+meMjYS/wD JYwqP3VEHVtFQaJvVzw4emL6MZ3BWm5YvWreHt2BG61QfIPnVAI5UbHoH20ZYOoeA/wQT2n/+pKo WlCSV/ZsDK2vEftsAijkor158KTOhfd9L+31/a9jH/RnwAY3ktqFndp715kG+1AbWWTWr+oGgcjE mLeAbzro+tn4t0faKpuf0b74nbBix2+GQhOUhrhTUowW0f7d0lIE1FXBuS6wVhJFx3xsbwU0Hiqa 1mJMAeU2RcZSwQ06SiiLHg/9TMX79ddA1SnWtPPgulEHSYuIaeQeQF1Rhb+r1/nSXmRVFD+kv8mu +4G4Ps4PsxtUbK+GxGrGmM0Mq6GNhM3hbgk8K1CCOjY2UPcB37neyRsidOXw1gy0nuie+ckBV2Xt c/iy7/AQfCp/Hvlp211F9D7TEh2tFzSRKM2SJ8BygZVnOmqOeP6Ae6WM5zXHy70GHHb7oq3GDcNr vGfR6XdcUVLEL3adVvcwTX0dxzR3P3DYb7d13PINrHqkCOo64q/UfgCMWHlNhhtPninOTkAiH+wb gKXMRfbHx1Z2UirM7e53o3+jrIqsCtI+aFBLp/ulUWYre1jcXOKxNtJLZ+LildO89Tfs84l28msv nBgd7oLL1f3rYvCq1/0oy/dStOSN5iiv5F7bID5w/dH4glvAcORpC2g/JBSr5MB06/RDcRdU6r0+ ZhXHDpVsEUnqDdN+sExVulkF98Nh4a8c16g1Pj1ElR9UxgdnepP4IpN7BHmlCGZp96C2xQ/9ARFL q5BWEy3tivMVmOtL6Dsix1aLIjO9mrj9gNxgNZE1FZbJAiSBljNCxObrWukAiYkeQ9NdN8SvdbxM 6ZIVeaxLb7AYbrPmM28r5xpcE+TsFkO3eh+mJpnC/aHY8Ovbqw/GocIHZgWJj6ff172Ti6eLJHi3 cyhIQTfoxcAl/dRlFBPpS7oiU9L+8XqnCO/lkZ23yxh9KbOQWdOcpaYNP90SimrVF+/pTBKlr+HL UGgAkTaVbv8aLigU4iDRBD/wogFo0MLP1nPZ18p8G9gZA42pVRATM2ppzO6lWEvk9ykr2qlxFEwf hBhYZw52cicqUY7Afz7nS3xCXAd24T2I9oJLkrHnZ6bARTjWagqM2Ta6Uk5DclAfaRkg2wyvUjp2 +s0dram181vaa9UWwriDKTdeVzW2qmJWUo2F7joe4sd3HHhSVswO1OnttpSnL5wVdH42KmBy0iDc 5LQjw6IRaBSGFIRwzqOoCsGuDXgdmmMdePQl5MxXNjpFtbqkczb6izUAZdYzVJaac2p71Q8qElLD 4NIiREt1DPcD8BzuTnG4vzEfAjpIRu+u0U3q4ET6eBqGutXXA4F0ZP7cW1960h5E7n3SYbAXuVcZ L/VrU4rGSyOqiDHwqP0RwiQWpo28V2wkp4naogjkXOVYyMJw0nGm9Y3qdGn5/MsX1O9jQEh6I+zj AXa/zGSKTxQIs2ZDpjRLPubVcCBzYboJ9uPCI4Jh+akH7JtY71j/JXasBkrhlyaI76Rd2pYEFE1A dPCeqUaxDIfELGU0N30Erv0Mqj2MaHuJvK92oocgJc1gPVLMvPKM0q0o2luORLSMjz1z3LjHjTKE ypNoP8y6Pp1t+g/BQo3mmsoYx2xi6c8JShcfvuGk1Ajp1yaqTspq7FDt8YkPPvHluu5mgTAuVJ2E wh67/VxBY6ZBOT+8yW3EpKvZ2O9kkCs+l6nSMVR15APrUL3T1asQxIzhGUIvFskH30eWM1fGjNIs NsyNVL4M2+cUPKBBaci6bAhJ4h5QCf+22Lxj4wK74F5yEpXo0FNzojIgEzbxD7iJwe0G+7kKWJv8 Yg+wBNSEXzHVjAklZ1KXOAdIGHNR0gOl0rEDY3LlcPGXO4caNhXM5SaRnTmu2nIPGhBlMLvuI2M/ 7kOmpAQFbiLmR3w4lrUBkLqCzETmeKAK+Qz9IeNAl+1CUNf25bHw6ZJawEiNLt6pIpANzr6K5roo X48/2j1MQ9rxK7ojmEOr19a3uS5quEbs0raYq2Ngavr25YAYccQmMQLOaaqQCfwSBP7S+TKfw2ve DeUVD0zsDtmpS7TkUqd5QVN3eZ3/Ce26Z4db5bHXWdPBerxkDk3tQAHzMWdk+6CeS6OYpDDHypN5 N3AV+X1/baF1EBSZ9WBwfKCDdX81uJzgNPxKAVdZJcNzkMPAL/5GdYfWvyOebUFSJP/vvExKe2Sz bxl0R2Xe2z57ShtbzDDAB6F4rw/B9Iii73XV4+/krSASL0nhEUCdsDZg3Vmcz4Jzvfg/MQL0ml/C jrUo+OlNdyQc5DmgleVVcXKezaxwrfoq39zbacDTczuP+JzQn+l+h31M1Tx1+Kxw7kyikqjJfl+Q dPhECsT3Z0v9d7RfpjQq/rGHMfsqfqknD32hpmCYBQ/icsXZpX0v7dVl/1hd52GKK5TTejptfrCf ZSkpCPxKhqbQybXIkPiJXhakTI3vUyWixudvcZdEjKKMGGaWu03yzvLLNDF9D1khBN1Mu8BLm2NL wYC3NN2vGF4y1OIDMAh+uuVDE98MT8lrhhWTBiXsPbBHkzhpMRMqAesud6IuLVbaj21E/6C/0EIe c+8jSUCpYdVDsr7KGBvoUgGYHMDAGOmXM6r4vHVCxXQ2TgwrvcUCC3SiS4qizoBDYq6nJuF1vBbp mQ3a4u7p1hz+Rq6lTV+4u10dIeCFuQHwGncSXFwL4xQExKsHgo/DkwnBdKqDh6zYkLtlQ6aoEIlN 7P3VcSS57tQz+i4wPOOygZoC1a7UmeGzbL6vXOHhB0bjlMiJQgaGwsbfj/kZxXElAPTnpSXTcrQu 9bkEijv6a/3GgaCN4j9dp6M6DlmXs+ngWi/P9UqQtyQqVWLEzB7EhMbjkZDPWlK0AmWMg5k07Pkl eEyyH5dXFIKxYVoxgzEwc9ck5VihSaVxLiYFILsEDMvhhUifV4sv8xdNpcPLNh8+7HbOOwEZg0FC 4YTUM0ac1Gg/BosnHUX6xsCNLIJNMgBpA8/s5jZZtMF3+yJeKkBOGo7+vF3Z31wUP5ljQRsNLa/P fxuDzXLHg3Y9SN39Z2HaU/fZ/b/VtI+qGgxFvT9NL3l8Kl59it8CiE7N+r8tGCscV15Z05LYi+4r OTimebav6M1TXPI3tqO1xxB7dpHQBBXUCkGL0qWu+KdtvPSQyINbOFfVfa6n7QhxJpu3jJ+leBsA ziMGI7+JgY/WhDad01ezJe6rUUrJp/VZdtUYQjubf8dWFVE6dFRmTWiylkqJCBvixleLzmTbeJxm nBujtsxRQ629OhPd9jRdwXlwal2XcmWs86IIM+GMGqWvNRn3SqeN1nEuqV30DUthhAA3K5GjadCE NAhIUeyxExaqko722MqXmQlgkn+yHDW6ESaA70DZVf7ZNFachP0lDmeNz8jUrUvhVGEZeLv/jN8P Pt5fhIQKivjlvFLRagZS8qONtfkV/YJW1yWDb0ib/PrS7KJpBt4aSgfluCVUmaEoBFM/8/Lzdg11 ozo2sm//1jTORUMMGCyZFbADxga3HosYFLZnDlS9chI2fvqlaoa8l5lx/m5qJutsBtbfCrTcFRGF TT/qw27FYdMHeH35MGOgXLVZMfb3UANBu+hBF6aOfCoihWHzC3SWOmefzuaY6ldlAdYTQd+mBRr3 xnM/y5c1kBA8lbqcnFux+s2j8Anz8r1ICpA5jw1HYQ0JYY2yv/Q1qjNNxc+6+3u1RmBif0y3eOby /Pd+2H+pDDqwDgBIFaYhuqGcNTifZQe09t1E5Tijw78UseZ/BIF1KWsVwac7U0prO/BM8iHjsaQc sMv9owH4HUv8waqXSiEznqvW6jGnSZFc+wqO93oJ9K5eMTmt7p5i3B9HtzRNcGSSVfiCchbP01ni Rjv3vCE8bw5L++VoE73EU2Zz0sC+0/8nCwyADj4/zohE+SnLtvvwh0+xRHEa+PaAYGhy9D2WzQr3 JHcLxDhirKlE1rpHOdECt6POOufhzfkSPWEfVothsQPufBcCsh7OOfcdwGPFNmuOYHPhtHzLS8tL Nz63za/HF/11N5LuHvOXKYgxryP8BoEGBtTP2LQIYDPlTcXFAlAPtZrSH8jniJMhPWac86X3k/ID HNu4bJJPPVGVlpDofGmjUxd9zWb/OtLrn5GlJ98jjebsT1SpC0k5e0ih+0y3/mJfHuQGmOWaAJft BzxzkfgTQpOSA1jGVlIg8up3vsksaeyAeEmnAVGgbGUOKrXAGWVnymHYdsZ0IiJJ/bm3ERXTKp8R Hc+nnpYQ9VLhhVS5vuoobfJV9bvKwq/Tsu81PFdXb4orfBC2Qk/roppD6PqIFTt6YeZ4LEbqeGhZ WIdLkeovyeGT5vRZVtt4UgRsciSGprmlxVj5uxgdD9ryjUhsieaaIVdsnWeoGYxSsQV9acKdjBBl AiVip00Uw3w5w017EtbKvQnfMk1EjaNWP7CsrdBHCByu3eqxDZac13nf5VuFDNEbE9PsK8LIVMmr wAg1qjakwqmD/Iqg1uR/gk3uH5LfWV2XUD//xD0raV4gpXqCqQUUqPABSxwuI45dkA4l6mr5j7+9 PueCFoYoFHldWoEmYFpYWaxHvZCcDTrs1rS/9S5qWlXLMzhNUlknPmdBUTJ/XwzSBEagukAyWMdx OT8dw161c5hivgZySKTFhxIvEW0jcS9OFbtHjmWeKRKsVf+ieis7Q7Pl+f7AnLgYuV+SDhYtAVIE I47llpkY0F5JCHTVPyGnJ2/rYAv+ZOsaYghGfObiIpiAkuxC3HRL1TLA2zY33MOjkCVqySEGZlDt 96Pxyx0xOzDHBGamg8+a3YOQMzUeMnRZ2P1a46HqVLKKaG50N26TOm4gL7SEQroJoERT8Sk0tTjv FmgBzK7rXIBd4PWpFvc9UBXfSWqiiMz6oXKbHecpFeskUH4wJ7fjKKzO7x6z3G2ceKpDa9iZA8Ln /nXDUG2/TU4JKCPeRxUOdYT/qmuo9/fnO1zJYT8eOQ30LKIy3rIGiob3mc2RGiGqWjhV7+BaJcuM w9NwIA0oBMY3YP3VwGa9h0tECN7n085V61MtpbZqSbxvl+ClRoEE9ddiXrPB6CMj9N88YwIyQHkN HmzaOGVY714sVZbGHP2KKIQSQcU1meuhyGMW565G20GvZ2GF9gmjcdmTs4HatdXnuBJz+cLRgzKR aW5QRutNIhz/0hd2B+cQHp7ZIsSjlK/XcQ8BvOJk9/Mw0w53qwEI2WA5Hy+Th7WCpSAM6PSA2sAs ZTLR8ilcSbmu83yqPoYb6BdoxKR7/TfnmYUp9Zk+h51rQ+hCVjj+/YV/1jaE3rMzfdyhDQajq0Ve ACNA9uhCRs2uI2ajJugN1NO7g1UsNRPFukZeZhQWa2V1eMGX36MZqXyxtQT8SAEPW0zmX34J9tsr lfll/XHS49XK4khqtNXpEfxo9pxrdPWTnPuEmPmQBwNhPA/hvHUFrGSnX1Tj70kFqgfYnPPVemOH NmQpQfIdCVUscUyperhi1/DTbWTZL4yAEap/I3GgydzlNYoYp7HTvrNZjFxdbBpirQSsipoR+C7l e1F42txTO4NYYF1Stf4Q7Wm0FM4B0NIMZQdkeKECuzqMlzmzFfyJmHtWm6zdBNN60WDI7bChXSJR ZXa/Blyizs5V1N6Tvpq0vMkWkFPlCeYiTjJwO+qh9eIRAD5FFLSO+oo2vkvw7I8FdzNEi7yjat/h eorO5nlXxOByE3mbfCflMSH9eTRKlxHcvUErEA== `protect end_protected
apache-2.0
f934d0c94dc23881d925f8968e425e56
0.954442
1.814825
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/valid_be.vhd
15
9,917
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: valid_be.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- valid_be - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: valid_be.vhd -- Version: v1.00a -- Description: Determines valid OPB access for memory devices -- ------------------------------------------------------------------------------- -- Structure: -- -- valid_be.vhd ------------------------------------------------------------------------------- -- Author: BLT -- History: -- ALS 09/21/01 -- First version -- ^^^^^^ -- First version of valid_be created from BLT's file, valid_access. Made -- modifications to support a target data bus width and a host data bus -- width. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_signed.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; ------------------------------------------------------------------------------- -- Port declarations ------------------------------------------------------------------------------- entity valid_be is generic ( C_HOST_DW : integer range 8 to 256 := 32; C_TARGET_DW : integer range 8 to 32 := 32 ); port ( OPB_BE_Reg : in std_logic_vector(0 to C_HOST_DW/8-1); Valid : out std_logic ); end entity valid_be; architecture implementation of valid_be is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant HOST_LOGVAL : integer := log2(C_HOST_DW/8); -- log value for host bus constant TAR_LOGVAL : integer := log2(C_TARGET_DW/8); -- log value for target bus ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- VALID_ACCESS_PROCESS: this is a general purpose process that returns -- whether or not a particular byte enable code is valid for a particular host -- bus size and target bus size. The byte enable bus can be up to 32 bits wide, -- supporting host bus widths up to 256 bits. -- -- Example: -- HOST BUS SIZE(OPB) TARGET BUS SIZE (SRAM) Valid BE -- ----------------- ---------------------- -------- -- 8 8 '1' -- 16 8 "01" -- "10" -- 16 16 "01" -- "10" -- "11" -- 32 8 "0001" -- "0010" -- "0100" -- "1000" -- 32 16 "0001" -- "0010" -- "0100" -- "1000" -- "0011" -- "1100" -- 32 32 "0001" -- "0010" -- "0100" -- "1000" -- "0011" -- "1100" -- "1111" ------------------------------------------------------------------------------- VALID_ACCESS_PROCESS: process (OPB_BE_Reg) is variable compare_Val : integer := 0; begin Valid <= '0'; for i in 0 to TAR_LOGVAL loop -- loop for bits in target data bus compare_Val := pwr(2,pwr(2,i))-1; for j in 0 to pwr(2,HOST_LOGVAL-i) loop if Conv_integer('0' & OPB_BE_Reg) = compare_Val then Valid <= '1'; end if; compare_Val := compare_Val*pwr(2,pwr(2,i)); end loop; end loop; end process VALID_ACCESS_PROCESS; end architecture implementation;
apache-2.0
6c48c56b5800baaafd369a4fa73c8a5a
0.371382
5.660388
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/2-MESA-HB/metaheurísticas/mesahb_nsga2.vhd
1
1,971
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:16:14) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2: OUT unsigned(0 TO 4)); END mesahb_nsga2_entity; ARCHITECTURE mesahb_nsga2_description OF mesahb_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => output1 <= input1 + 1; register1 := input2 * 2; WHEN "00000010" => register2 := input3 * 3; register1 := register1 + 5; WHEN "00000011" => register1 := ((NOT register1) + 1) XOR register1; register2 := register2 + 9; WHEN "00000100" => register2 := register2 * 11; WHEN "00000101" => register3 := input4 * 12; register2 := register2 + 14; WHEN "00000110" => register2 := ((NOT register2) + 1) XOR register2; register1 := register3 * register1; WHEN "00000111" => register2 := register2 * 18; WHEN "00001000" => register1 := register2 + register1; register2 := input5 * 19; WHEN "00001001" => register2 := register2 + 21; WHEN "00001010" => register2 := register2 * 23; WHEN "00001011" => register2 := register2 + 25; WHEN "00001100" => output2 <= register1(0 TO 1) & register2(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_nsga2_description;
gpl-3.0
56bee3659336b8f20ca029ed9f88c3fe
0.651953
3.013761
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/shift_reg.vhd
1
1,292
library ieee; use ieee.std_logic_1164.all; library WORK; use WORK.all; entity shift_reg is generic ( width : integer := 4 ); port ( input : in std_logic_vector((width - 1) downto 0); control : in std_logic_vector(1 downto 0); clear : in std_logic; clock : in std_logic; output : out std_logic_vector((width - 1) downto 0) ); end shift_reg; architecture behavior of shift_reg is begin P0 : process (clock, control, clear, input) variable out_var : std_logic_vector((width - 1) downto 0); variable Interim_Val : std_logic_vector((width - 1) downto 0); begin for I in width - 1 downto 0 loop out_var(I) := '0'; end loop; if (clear = '1') then output <= out_var; Interim_Val := out_var; elsif (clock = '1' and not clock'STABLE and control = "01") then output <= input; end if; if (clock = '1' and not clock'STABLE and control = "10") then for I in 0 to width - 2 loop Interim_Val(i) := Interim_Val(i + 1); end loop; Interim_Val(width - 1) := '0'; output <= Interim_Val; end if; if (clock = '1' and not clock'STABLE and control = "11") then for I in width - 1 downto 1 loop Interim_Val(i) := Interim_Val(i - 1); end loop; Interim_Val(0) := '0'; output <= Interim_Val; end if; end process P0; end behavior;
mit
2d1959cbcc141d1350a7d60b2418857c
0.627709
2.748936
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/cache_interface.vhd
1
193,204
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dpGhiRmhljfD0zXQWGvz0JwrEUzCOffo+q7L4aXhNZe4OlUxMbok5ZMAH++XNtx4I1/Ru0jpRCDQ +jGNnGMnPg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block X1v8EaJsePSjes7HEtxkOnXT7q1lW3JkLaDXCbBjY89E5PtEwcU/lD+95tEdC76rtBKsrTow+O7Z qmo7CqjQIpc1oiNaf/ZVL0cgvri/TVCqBpqYyOFqURkcP1Hdnxynnx1DvYqlBG9WfkoFqw6xbpkq W56O104cely6nOevAjQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b9U9FZYz7Xb8GFDLlKneuNStLxQ2Wc07YtjzR3rSjyav9TqHpkEMyuBJIEottYwbxYAN9lsKS1gq XF2ixIYYlevx6PPYKWYW3xHKDTLppiamGmoorCmxRE41BR1mG4ZiUz0K8Dv2tn9ReNWpTFkKtCGp OtRLCRKMLdGD3iku3KPXeIuwQhUdq00Qb7xU1eOlbaYyaGwJ7hdrSNaZGmpcM9xHUnNl/XSicrjE x0Jw6tcCad9C1sWdsPnhyCNNsxEj5xLIUp25/QOdb9TF004rGIP8xUrpx6kpmdLWI+Kr+vhB/551 rsxDuK8Z/Vw91Y0KE+zh341vd27oGEzZ1qwrrw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yAiAhXzTrE3c/42/4LcRC0KGyca4RCh+Mu+RiXqvuZ1LT0YCBg8TIP/q2bF18KDN5TQwmyT6V1VR HW3GBWYk2PK/8sCp6l108Cw2evlxnnFD6a4s8L9HrD18toDJMNajkqrQyEE6rOy1tGWLrAX32BVY yUpLHsQrYdQhOgcYK0A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OOleKwwOAhfSoxYh350X1OF+QGnqLAWkyA7++4Pama8FzE2nGdeBI2aYPfEUFChUOMwgLBzvzMVD kiziA2xRWWM7cJGrlkWauHQxMmO8I5o3Juc3NJkmTNt3WPO/ocUnVyUIegTeZroEWmCuoECRvZZK UkG+3NYAm/8JeU29XXjRnUjf24RCwxH6MO5IwrTFgn8BgFLfwXj7YGeK/s+jRwGpTeKxc54g2m7H L933HvRjBLzuP3ndtBDOh4g9NPsw8vHtqviVIjnxzSCQNYJTuJdtts+/uwVJXlhnGax0VoJDFUVU hZkIjPehA/D9jihVzHHI3pz+f+rC6BGME4+Rbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 141280) `protect data_block mFF8mUL6PXxc3DajkureKhEkpK3bxHZuUybk2XgBZVqzSV5MNIAjJvQ4/DNUyoFZpr+ZOdBioNlc sYzjTCZneUecCMjSvB+p+q+XtJ0kRML9n4X4d+/cU8FXjS9VpQPN61TFb5pGl8ETvLL/FeK8NOH+ IERCkum9oGqZmSqlXEWG1wiwpKWF5YAqFU+gt8qt1Y2oy6DlMsY8qzWdHZoP/fFJHKUyJ7vYt6k7 FYhYVEHKHXuydHHi21h2/a581baEgCZME702RUO16Gp7zq4m0mXpbVM3eW/TAOWjp8kQ44Lfod1z h/CNO157vb4przt9LtyErOSm0+kbMjBzzWE16T9MjkVlqD3+Zz5SBxvpMimqzwHTbRzMCb5KzSCa 4ByYja00kAMxvA53LlfmmSSzX87m7anbqWAjqlGDTk/fo2nJMVqmXnMQElFN193Ky7hOxyhBy2KU YPpevkw5nTAKpTUb7DqC01xsWX/b4gcXkD+hK8ANSR3IQiEU4juu39UY1Dl50hXLiSuFyyIjfYyg MIzRVA5lwahmulqVCNlNEdBjxPqlXGDSxyRAY4fEswRUFGaeAC9pRNVff8j2X0yTxHdL37Gmgu6r UHaD4yNPA9oxss92Ol+/ZbaQuAFPYhlQy6ZQz0VBCuuixEO4Irw1d4vIZmWXx7yVkJbL5a38Pcy7 06eeXb7WuQhfMSeF0DXfwsAbCEYJozNHaKrcPfq/3z82MvcDsA3oRp8Sq2JhsL2tXkcL3C1hNhQi cybM8OMOuT8GdP0vfCZwSh8ajRw01W9RqD/tW3mMDDPIXF6Aq+4mVVwix4Be2DeuVvcMwhTXKoo7 213ma7cHcO3ln7IkZ4AxKd17vkIosYxVL5ImpBS1CtjECmtZZym1j8yHrOeVxrt6M2MUN0o+Oy61 qzEIYm6wOwYtIJ4yMDBJZ/R59bpEX2ze8DQ2psFBVmfovbAgxG/PcA2MsQ/T7iV3uAPyMWKk5eV2 KUN9Qcbir9YqO6W+PKDasAqOPadJwfunykEXyu827lLUInbE3l4ti5oZPM2fFLeplWW1ay6ZcTOR DLdWHCvQZ5hrsBPkgiC4EJ8QrQpbl7tWuBmXS8gLGWeAqls0L5KhKvvu8leuzzzq91Bmfg1wveVR LcuPWLNmbw23SfS5KWtsn9FB5qvhu//BlLPaqlI2VxqisEC34Oo9XBo2T0T9wY0I3cPjmjY2YgW8 IkfAO+lCtMgTMCJmVKS9jGzWodXIiSz7Oq4mrILNVszk6rQTGMZU4/BzT8DzwgDEWy3o+o8XBOxK ICaVp/br6pAVVjRP5HrgY8FQtb3l025toHKPi/wreW9MrI98g6+pDhNsViB7xW8SOVZYB55eyYnO q+FV9SToT9kZxCC+P105kGArwo1oLDPP6s2mi31JyMcqbPXZoqhk+5TL4IfuJwFD4iHmewCJISRW wRj2S39moVWohv/KJlDaR5mFyhxaG9Q6lyZozZ4A4emzk5rMty/M8RquMAeCZYdfOh3RprZIJrg2 7bO37EunGV1mIHBqBaBkrw+6+H/Pndda2HTz3asnt8ik5sKua+EGULvZIBGUvQjDCIil3kL43iou KMI+JtIp35Uf0QzFGErYMnRFWHHaKECh9R9TxMnLSx2BKRdNSvv5XdYW23px+6HhYjP3IzPcSqtI 3LMQajPlJzBVKwBnwVGXdHTBvNqNr3XNBqUe7ptOsLwSLCdGgeAvuuxDqxTPvW5bS7O1Kq1EMTDG 7HFdIBczG0qHY9DPW9g4k++gVC3YR+ZUHczzd2nEF5+Pk6G9eV9h7fN427TXPEacVp3U+xtY9nq2 +5SK50J4hS8c+1S9JDVKQe2Rx5QANAFwzt72jngglOO+wJ3cQAWdZJaCgh2Fw1zOU1h/JVQzEVnO B3p2IZgmuYYjgjRfSznAkKgLzO9DwNWQqj7vLQVyqfslLmhvV+CGV1quaiqdVjf0oFdsDK773dUI i4mm2ZpQBDecOBt7uuAO11nmH2/398/Wyo10YhaMwB0r4bdzrH3kSTxVUXkeUiOaSh9Qmyy9QKe4 qbcr+Ptloi019WDo25OzfI7csItJTK4BVFuRDoVsNAaaSXqA2izO4V/DbFjBbOGLXSxBXSkq1+Xq I4tsnSs26SOICKw6L9Sb1I0GBJrN/at5CevAVktH/Z/Cv2v4cpkw9GyE/kIhjAxooq8itr4IP9kM b2+ajZ8emZqy5QWY9ZfsCVzqiH/F8ksNtm/sVfMOouOc8SLoVicdfkpSIfCTTZIXsETvPV4Wyb04 lDXHgBViPmcaPgfy4LxYIai/fdABEkkKjDMH0fLUL8RCg6dCSv13JIKFGzGqUv2PfqZj5k5ypWqp YOSwgBCDe/awvqq7YpcqJHKAEVkkNp4IU8dqf/jGCK4JmnPn7U0w/joN/oxzVdKQV21nos2rDRBw 86gJwGA/LqyPeKP1b4aZL8qyHXMH1RGsbHD9rJ+uh7R/p+iVjMK9VQdHBl/wCncpvXCHpulzNx4G JoKV4fWfgy4DsKa6fm6P9B6fcmswT4WtlP50bdnfVF17rtJ1rQlhOHYzznwDEhGD70G6M0VYlRoS UcaGAwXbWSqlgEiIMcwLLD+7Pj3BELec3UyvDS7zxgBuXDIgsxup+C7jv8hzp1rSA7OfO8d94mlP tLAweSRW+gQEoZU+xurNAUEYqtxkX7W4TCFqO4QP5Pyt7vw8i5/pbcAUUQHQoZv+fdptoRC0Zye0 /6eh84R7OX4xfP7cpKiVOXzXTqaH3XzWTtXFY6eVmcsfRggac0r81uaFlSvLcDABfl4jV5KcjeoO RKlO21+PfPkIP5YC8fPcnY6aNhklTsxWrXRxbXCxEpcCqdOFZX8ZAIZeTsf0JQD1QDWMc+YgwpXd jCcju5aVoHg0vo1Ly8xCBsT+n+ub58+Oebf1rJCS+I2xLOImxb8qNaYLruth4p9We/7UAqIG93lM POBmzlk451eq7Wo+Gab49NZovwWEymJUhfbu6jwQTlCu+xTI8mm6jVmF0e7preRAqKCzDuKPx9as xc15YDGEWZFxTKFituGKYi0sKqqFyHF12WkZvXj5ackErhPuWHDfjC3HwZVcaDwKElE/QsN5BeS7 Sly8A2psO1nP9Dy+yKgnPsuf7mNl5Q+P+3NrouqLjA993KPWkHWLbpqTBE86ZBYnNbQlG8Haazh2 JLhmjIOmUNUbS6VdKbf8J8KxGOfLNQiFdpDNSmHph0NmIyhoXsl/35bXLq7Ejnnb793y0Llbgyho YQiSA8se8bqi0wcJ0BYSa96m8ncE6kiW1+vnESCBoJD4LYSUn3uthfIhAVh49fgmv825OR77xyvM V2eO/Vv8MfO4UaDl5AU6iR07IcNDh/p00lSEsb/HgI9c17tvv/wArSCvV0vXtdHQa4Re7uiF7WS8 Z3To8qwltYEXD0O/QuBSsvdyDUEa++JoVJvKyJMfq8hnTsTohWV2vgSLQe+vdk4bKkdPJ/DLA/KK U07TpzGsBXlHiGFKVxOncTyRPotOF5ViCzgx78yOTdSWJv7CJVTqIVYyuUGTgvLLTKEFLuxy80OI 4k5mAHfq6FM8b92StHtTws1O0l2dKeuXJlV26RXRPX+M4OoalAg2QmoxPTNTwhwCZ9d47goeyhah lj4OT0Otjgd0qewaqaxLpFTkT+peo+FWsZUlJh7GWdiVOmLscYFN4eOXHrZoJ9MqwrPYxJff5x5N NdM+LiIpMKPT6vGr6TfPZdDVqZk9JX2Hnq9rqOgBrABl0nn2qpddsgiYy7MlkVifBMaAg1tSRGu7 n7WlO1bbnIr2E+WLCgko1zx5u/E7g13v0wwzhw0FmeKQ1p4oLAId63Mw3Y0+N3hTAqLCCYGR0tRu 5MXripavArfukEkqeF3O49ylW0DLuX99cyV4xKnvQ2ejcJp8jZ3FTWPyz/G4Dewj0qfRJrseIJ12 VK6G90pKz62L0t3NMU/yj73vY+9U/KlDlhlW9R3Ei4pLJazYOL3RR0zMlNRmHotKciUIqM449810 afBMS+Bof298k7IYsjcDdH2kDSOpqZ7wxzdgn5zu0nu/zrw5+V+ZsHaBhuBgQUQoycuNIcobxxJT fHjToINR+prRhS1mwRzBLa97zuS8v3df2JHnzwALbwKoWRBeIuXRm5GjN6+mhpL7wELJL5lxx6On m9uJQdKtSo1ctGfggYP4/F2Fbo1J2tgk8k/fsYFczbNMw6GgYdiB2rGn+JUbiYcnqsK/geZmBMfA 8wOaCFW+CAOYE73AjmgmkZcbPiwkogXgd9KZi/FImemJDqePDm/ns0BdZtyA3ybBzU3oTCSJgobC 5iDfIBChudWLvsKhvkgKSboJZtd1e6s+2IxJaAik0ZjX/jPnqczQxi6d6uB36DeR2FY4ldSH0V4A KbKBuYEPWLzss5HVbAI2g0hX+CIWSCNKr1GAiQBFZwhp7r1+8mOn1b+EITTPIMzf3qIGLEUQk5bV ei79WLi13h+epdBnZA5hx0dsS2TciW7zbaWm3+aY2L62X/O8DqtQ7zYlRiz1tEnr5AsjRAs8Byxa HfCMVOQ+lPCr+9qn6VO2HixZPPN+/6sKWr4kN5TYeNqTjIS8msP23oy0IBj4c8aUnWosOJ4ZcFHO Ounh1+mKr8DKCDnlBwuUXAgV9Afbb0w0WlgxCcOJ+/8/OXyUcJoDw4/y2Q/HDNWyaS8lauZudIdm 6mp0ytuhFTlqVWlZjVBn0aAEXOaPdwZ9OiruTSIAmpFjEEgW3WQXYqOsxuNXigh8n/BCkp70Arqr +NlCSfHD88Rb9IHz99nkW6rZY8fun0gc2j+0K3EuGdXMJVyV3590ox8ZRR5Z5edv8ONON2mNVBIf 54PU7DCWNuk1KxEwrXCd0IGPYDg619nipaqSgU4pZqR5SKtGeKUsToGynb3c4w+P6b67bRiWAirn BV3qWnPHLh9t93UTCtDn7TicEShS62RMuIrYw5c+prbpU8aa82FltYZqDaPXTWq3Pk9QboxFGI5s QywaYK+FpTNoZcM8x7cJPaWoOeIq6UHuUKA/SuvPi8tyX9fwS9f9XyNdH1i5ug/VjFRtIFMPUy/L Gq/501oHM8mnHIULIsoQ7wf7CxfGWhq4/F+xaSSeDsokxwZ22v5WnZSW/LyCaveb7e+99d7l/2MT Vouq9B/CiuyJ3RnvSQf19vhwUnHcofqIfqsUt3WMlV4nyAu4FjdE0zO0tibkuXwzsxioqw6+MKuI NBzykpeJaRjf3rZK2/j01xF9FuPoih6TUEOqYS1zSTyZMYWPDoP115/AQsAnKY0oPBcxbXtYlo9G qKeoy2WUTQkTsC9TgBqXSQ+S/Cdm26GtWedDAP5XUNgDYvAojilSUf4yxi4YBZopZuDhTbNxGubh DELSJDFkqmrZkFwuvSXztFQKaotnhhyVD7vbxyidGqACBsPrKziKmZyiyxQg4GjKrPAH6ozEceeP 2p7VNRSHR8E/KeCtrlp3Ms7pc0VPn6qJvZgIlJRAMd7kqSTUanz4cY9OfFALeqZQz80t2hSBtt1y I8cnSXXgQNRM0JR4ISlrjMZpXCTJ0n7HI/YDtXB3YqQmE67iMKHddKQX9qTZGqZ9vsY2AGlCs8RC mMv6BorHeI2V5PkgGM2Zl32YXptP2UuDbIoWRfUVRiX+pkc+ov/0s7ePEbaGPjWrtg7nfVkDLjXz 7hYTdGwD+nxvtfQGkZoeSS5o1Wdp7J+S2OMtwwuqRskpwcFZQ6poGqgW/boL0nvfQwg1eWkGMoXk 3wWYtCJNGxwLr0cZ4xqFt4YtjMbNCsdFVdbawxwGXCSLio7KM5NA5dyWOZpY1aZ6CUGFDDA3iqoe /XzL/gRv+m7N/eqaGn/nAAuauEapKUpIiTkolRo1t/Gh9LKWbN5K+tKYZFT+YcOBYlSm57+fC2wQ CT4cdVh4V7DYXftu2D8LZ0Y0mWA33TXjdyMD4j35jbyMJPxBOHM7jttglOrUJMm1d59dwfUpxVNe GKcUl5GppyMUcNmbwwzRashrlu3dDwjz4/r8YDs0VyIMxrJCRJinWkOWbG+Tz9yr9916rrXawTJN 87sYnm6ZhK/RZV9gVYXBpeGqLXUO6EBt+JKGjeJF7cxTwiewsYcYN1jC/ryVWWrV++8/lGZWj3YB AQyuwU6m0BI0QdJID2vYAmHXj5trnc8gxqsMBhKQfXgfKhUh7GXYonM6F7cHeCy4T15vjfiGVhJQ h/1PmneAwDoBHPw90lxmUO0y0urBR14C7VeGV4nbgB6RpCBykTxcIAkojyXtKYguvBPvwOBznBBj VzFFLrq6vvDw0btv34SMal2iZ+13w1QojJ5fCh1y54VhQP9Xi5w53F4SG0tJsbDiTYlShX1oEXaa lPVbRJ/fdaWp3UwZWVf/S7yClEvNCWEaFkVCWAD2wTvy+3gL3TX2hinQUWF4WioSq9lP+QkOOx/Y wbygcp+72O7pUsrWTddGe0f1sg6kOnLGi4IkAtQtiKPPpT2nZXQDCjBWSPDlNCDPQ4Y6MVOLXy7E 8EBmIytOOhUJg09xv4r/lC/zc7tUyBemZE9rUIZqY9ew4PEoPEPv9f+rPXK14A/ZKvNnLa6IjcR4 n1zrK7R2I84Xahwr/kcR6CLOcmufk/bRO8z//TFPDl8m+kOvXr8k485FdHtho/c9CEwRG1q3lfzq J2K2SSr/+zDIIclXFYpKLEACq52RJWeuQxZe/ktqMRGS9dzbxt/703TP+4t+lwHDOoLqL007636O bUeXBQAdHFoauH4hN72TbYCbZ2aO1Hwwb0FaUNg0RdvyvoqXsqlk60l4vLrDNZK+kbABLeA2rU6A cKJlVV4wtw1LmfJKcfG3eHJ+BZHtBK99ncyWLLI/SZk0BtngV0XV4kUvgVrd5t1A4IuCjUIBrz5+ Qe93rHBURyph8iTtwNE2Hhb3drg+8fSvqaDKP6DXnXfJw16AbUAHtUPhp14kMFE6QeOknQqC8AYC nEoBJLXYSC+15nHWFWs0vHdIDbXx1Aajec6S7WU2o7LZhzeyqZhrO0kDUZT3gkE1eDDkLziUTwmH +7pUltGSNFyfr/ESegPywuGxLWO2nXEqfYCvuYvhV3kJpTDOxj0k4zv6SxwU5mnLncUfBFXVl18s C+hAgliCZcyTl1BcZgSWAIt4rC8izT4QNJKyNhZAfyJJUP6f80eIe7JuwH4x80tMmi2ymlFEzz4l W0BprL/8wj4OKjPSzDbfoVerDuiBgXBzi7Y6oI4Ze927UrNMt+G2rrMWKd0LbtLR2mTyensEI9/P xYC0h1z6hyQcqtVeUTfLhfrpaCJFgg7fMU51VpVkD+OnVUsZzpri16SGpILufB08+oBkK8Qk3fKS NtR+ZMY6azUHy/tmrXZc+Rm5sME538Tm7egifVw2JavsdKifVIBtUJgR3274hIAOYXJvDpeqlNoq PPsOAEPEjPByrWSaP4B+7rGp15JjI4CQ4xIF7xZyanKYqZJfGyWFFzVafTThvwmue/ZmPmAY4R/M BUB2+BYXuFmsw1inNs1ttRZn85feLe03Yp11HRb0m+JFZZSVHJtaWJm17fxPU4vT/j6nrsHmzbbP 7nj8/wuMhBRRIeb7BF1klvAX5dowfQBnkUzRWuVBuXutxMvSBZICLqLByBlkyIUAmfJf1MzvhO6R s6H1qe9LhkF7KD8PgUIAmiUz39/gV2GDQDOoS+kn+HxGgECrMI2OBQUnNJYKT7elhYCvL7G7O53b sT1YV9OQV9EJMGbrI6vlxJXaoIpX0Sm6c8kGGbB0s2NaRz1VwNIkDSnstudQ8GfyMKQUeNnPjhx2 wSI2ko+QtqiEoah1+OzUKoCkgFFdguZA1SPmWrNdye7dknXprQlnxrSofyFhCzL076s+fIFIKAnh vXDDSVknywRQ9hOGum4x+yw6L23yu4Os1VQocmHqOuwnOfdmmdRiw1erfj9zZiUhLJwQhCiwONlx vRDSEbmT1ybjFc6EbCVc7n6LYfsVa6Y3Bs8ro/lugdpz2tTJaX1HkqMjrxzkQ1oi12AK/qwNyJ4W O8vuv8OyIAJRb+LpTxyYfCGCUA8XjyiwEiE1DKm7zwMK3gERmNJNVRQ6hyXLPTA0FMqfUCONYWsk qoTeaVKJ10o09bt3J/zLCqY3GgeAxHrMkzRCSi6J8Sj38cXbu7KJr+gxfIZ5h3nDpUAnL0i0MrLR A1RCaSIWB4OmSvMUBaXO4dCxN3kLfSolnoiGVHE7pqGqmoUps4uTB3V8A2Ax1vC9tU7hlKjJKzew msZJQwRMblJBxcV335qA32YCceEQbJzC7q+nl0wtjzPSmnntqk5jnpItqXn72KLsqUKN/rR2PiAb 0ja7lIVX+Z/njdC3qMoIK3l3OenrnG9XWBXERqqrFDYawUi1DRxiKdRIJtwu/MrDDjZNiUQXLbrU NTd2liiT8+KoVxny2UOCXNKY5Ht0KIhYaNwGzgpcJDCTT7hzBCWQ7Bt5TBk67ShDwsGbMTzqlUBM Xku+DGIOWCm04fj+oNNNykGUNnfKZ18t2XWBpUZihCHs3eyzegMudGWQA/jQVwZyaoLrODzkNU9R jOMASiSW0yPvooUAzpbC3uYTKTfbo2m5u6F5iW3W9YsSWpY/qsVr4Ic+AvxYqOqBGX4R6/14Bz5T d6uybypcO6B8mR2GWIGRSlHRquOTqz12FHiKgsI8yeWIADQOKi4ufKO7/KOipnFnzUL74pJCJoMo zQltRoqZqTLRjdes/pqYs1v1zaYqXGfuWi2ESXx+zJAq1dzSRqFgrhQoWYsDoMmqlN+1hLZM0im2 r9GptQQ3W5Q9DAoSvg6WCSDoOKUwTiyjZXHANF9WJ6s310PQOH/Ih70Fhjr/sGYYPq1aNuRWJ770 criIcRKoORJwAhaCJHUGPDNLMbS5FP+bemaj2SAkWw1ecDIFEpe5oRslm4Fmt9aTZTedqhwQieti lI2TUbc1KlSuO/y6IbkO20H/j1rsE5Lx0Y0sWcYTeKDZBBUJnpntYnfwzGq7QZdFSqmNx7NpVUeR L6U2g/BtHtSHdsHBKHjEqBdma8xfG0JATkhrz4zRNIwb6byz5ru1csx0TevyJZfvWijghxTlPD+a x7oQoIUbrXc5Ir2e0lwZmDDiWVVJMa918ToKtjsrsNenKmtpWxFAsCowxGOo0pIQ+v3ujjp7dX7r gNNHqEKj4D0oGiH/7vGt3f5eqZ1wQFpZT1GueETqlgzjiABFqQckGAhmCw0IbLTaslECdVrGtqRN opBNk6f9qn5E7b4yEE0B0PxLYNLKeK4jxxWrzf0wFTsejxbjDl1Y0BZ0wTn0ASZmDqMf1I+vL2xk axS1G5Dir6WHh8EDXN/vISC7REk7FSzRSuLUsPB5LNnEn8JONFAeo7XBJ3EZzcEg8DVGn1lH7ChN 32pvUq96ObVs2FIRRRWlX6ONycDNLvsMmqdARC17JSzHn2T+xaH5O2N3+9tn7bk1IMiIch9MB83z tmQhTDqmjwjHVHWBPdrS7RBSKh7fRZcrBW2kFq6fNE4XZBOEFepaYDtfWE2WoegXB1xHXNYiBBPQ 1K7o5BdrjoJD+pHyyKaDLvhuy6aXJqKvqc2D1W0rs8Gg0IEBypYwAJg14jO7IOqsjbueCNnDawfU dBDiZmyUKiZXJdpJh2Ebn2CXTEsJDy4glo+BizXmB7eE9We0bhZDou21F5K8pRSwdJ7ZGpTFb7qc INa5w7J0WO5v4KnsSsXAZOCXmzXk+0s8NdOcSu+nShHwElvQolDfaxgoXe7aod2GTNMdGPDveTbd vqnR95At8vZBw85vmWbRH6LyoFWqsIgphGfp1V789jljxslEvbTzOq6QHRyjPY9Zx+0lQRvKcXMo 4Elwy7325Kf9YczrsD3Wrhjgjpj62kIlNk2Ux9dt7dCqCh3fY9fOcJBUPUu5TicDTZ+6oavHsg6F AObCTE59h68VquFxon6CN2hdHybpxnWMZaiM1xHVf/lpb9MD6BvKn/ot4UpY346Ak6N/3MT6eWxk NEZNleZGnZGh6BbADCXG8pwghyX2SDT+Mu/M1UdhNSSpTL4XFmAwZ7JBrB0Yo4fI0uuv+ZpAeYaj tgvl5RLWNqoa4fC8IlkL2MwIXgZHnsgcqLgb1eHvnPer25TQV1WOQjPC87qggr8AbjPyMFQJjdjN qyf2XJElAl+Frfu6JBm/CNajZGelKgkiB28VQ6UvJ06rFGG5hdrEWW9TP0hyXA0MeZE2uxMPk1uf 5NNriP+3Cvn1yomkrN/1Ei72PknZEYKlDQArl2yDcjM7qOcjrDYyF1hfx+7zM3KJDt8lCmMakTp5 FlHDcl93idUTs1kGml2SKI9i3oNcf/FCpWhTM7RyJ/Ay2i9trSjbFwr75H1Ae8kKVhp3ix+3i/gR +R8UC0WXwwlFXMDcNVUYNZFxvbrcs1fwkBLM3dCOXZtgQgWAnNliSgIMzNm93VIxus7RyxJpEWng eKG5+juDXbsn6efE7tWsSZtzXBOV5qwKSAxkfckdifdkYj2iqw1ZvAB/5Cbb72/fZK8AzbWYJttE 74+ZarnzXl8KjnWGqUmuW/WED3hWHgZeUvsDqW8pvwMFEvuRuZI5GYPY7ez+VYZGGJNNIkNM/rBW GLRSyVTLUclj6Ir1EwQukE0uk7ptsEwddb7h0JmcXnOAf+CsLWIFh6OdpX1W1GopULBzAuiFanEB /dyEonVy6LPQg1CvoUI6gQjqdd+Rf9NXZEj4xOY4WSQlR/Kkr86QGYPx1CRiDRCYwalVCjJFnx00 BH7ahXRUk79Jd+j30AobURzlscrUhpkhSS4ULlESykZ/4b7N/E/wYwAto4b2XbrgNgV8wRfzKhzK Jzzs8XpAOmPMKM4s9m7Hmc6l0FbaXccRzVpU1AZpCpv+aZJTLpDiiSqX1PwQmU7xb9vobZ/wtBD3 dicdJdSSPsMvCl4q1zH5HaHVP4CKKb+xt439xl+0LS9iOz7QjPxCUMrRLFwn6VPx64pTGx+oJMZY WM753xYulCU9kJyRYQPnp/BhH0HBmdFZ4Z1FnsP5cn1QOeQbchlNLTYlQuGrGVGGczPRDvsoSDSV 0/Da5XqBDaTLuadSRnNaxjRmp60rmfSJrj/Mr7bLkYhOu4sf2u8mJ0l38vLN8/Jyzt5owogSPPn6 4S2ICeBI4g7JJFaI6RhXp3LsbLKt+hfUCodKMPRORvxOXhmI0IbEUyJRxzJAP5YJlVFMSiSr6YlQ yEk8MrYe5IYAyOFKERUpeyx06gh7vyq461hiyQOD5WJbSN2kudMAIV/7BJWKekbzad4qfox6yHOo yVUpTsru2nc4LPLyKLC4S8wnXYbsdPhbE0CjGowolncMEvpp7zZJmCrLUrDJ6TWqUn2QxB7/90at JIGH/vrYv/9JeaiCpDZ9LDeH9TYckKUKFRmwTp4iuGJp2Q0BVJVV3lAIPLHBNu3Kjrm+glQZKJ0f Okf6W6hiccfP4wujTJMz9VymC8KhQgc2fbDF9cZrc4k00vJdFy7KZJI+GniLLCaMZ6spFky+CaaV 4DXDes0HUf5CpOEqLKr6rdRBP5FMrsDReGs0wHKiKv48sjXGzDAYTaG1pQ0dpQXu3nsX6cTJKmT2 Ru033kUuGSURAOW32nVThq6eFHSPFkqLVbRLfSH2VJpguMHe7yaJUMQxqRZPogTdSOTIsO3Vn2d/ 83CSxIgSpBxqizk+77zM+pTnc3iXQUmjClAVUnWZoqKQumPM8q9f0+7s8Gm+MBXhRoUxek7g6Umg nn6GmO+lI0pN8c7zYpFEGSQqDE3e+6X8Qq83ioG1C7xLkxckZRmfmsji9fWS7LCulcM6hyUbu4k3 i6Edmf+fmDF+/pv0nT1Gvwo9tCFdkkYFRAdeVsJySWxo8wb4kb5Unv1vsnQiTprCVrPjU46HdHgn dQKhHO0nfUcqIlknX9NlAE0TGoofN92C9EOSbN3FmyRJDtROH4N3CwpeibvN2neP91PvgB5gfwt6 sd9cTkD0osedi97YHDhRy/vi5RO3WyMa/Ti1dyJXe/+a46VEtuWuyp7bmV/gVlsybkqwY7GZ0oZo MOn9nz0Y6y3EU7NxjcncNlBZNQhECKh1AZRjxFSWyCRw7zb7J9OL6W+zBHWj52PQCpCuoKtTdUaL E/eVc0afkIiGKabixY5E3JmXb9JQP4TX4WoTw0neUsnST38ylWESLJUMrWRbXYGyKJExwp+mEhan BW+DrknOcbVxrltnM4jItI8R3e/gWNVS05p3u3yYBykMY7dsfs/5SVplLedNRmIJTrIWQCpxaTlC 7Ux2dRMImIlLVpKfky8595Z19VbA2cGERM0SfulLKQ6xxydYfFgCZVOe74I6oBaQpZmb92l3F7Zw Uika/SivMMaQpmK9hi3989iIxR+e4M3n1MBBQswy+lWuVHbFXlYkgQ7b8bl14Ggzjj+2IbvpV6bq vpNx8Mq/4No/nQE+IHNRdAYgFroqOwhVmdeokNFb2T4rETl04t6spylHHcWcPrpc4R3xyYSURdgc YmPwNB3s8Z7HNXRR/IP8X4OgqniuHM+M46SuIqPqKtuC49kS3yEoSbeQ9+3LzUnJANY8GxNYS8zG W2j1QGwGm8trJxO9kmGDBP6gkHURLYvaTFfGrrzfB7oWJvHrMeDrQgijl24Wg1CB8cSd3HAARooG MymeowVALhr4AswSt9RuPGll9u3bDmTGcBSMMDC87iVzaRvmggDzVtTF5RYfYP3ACP4a5UindJ7x og+nSxuP4BN1RiOXH8G6rhmzUDgsbPq56uMsxtwB1GEFI8A/ABWLQuAXp3Cazl9zMpeADaopkfYw 3IQZNy1vthCvF6pktDQr3KxAd0tTN1toQc1KsBUlVlsnt9PB1/Ldz3l46seBX6evqnVZ6hcvBmPB mDi9i+0OKrALk4lpeilslrEzLtubqqGmTeKNgl11+XyRFJCDIARuuPetANWy8R2C39ug0x608R/8 1sQRFrn/PKeR2FA/BRyGSsu5Jd8kKLQu/nf1gvHLigNQybdoqwSGSmld3Tdqqx6ISoiRB0J/7Ll9 etdjATeyVCYhSJHfWnmvBTwGWvaNLQoNATRs4ovxawCXpisF1/ez1U0OUxHBXWQUOy20gua0M0Cl BRzFoKRbWE7uT1gVyNQO86R1HzQ0GGvlIZoiShl2wBADYwJtfEGIGuLczOhZQZIkJ6sUtQQVkBlc NuNDesu/dZJx7WMvBj5STDK7QF32YHUKFWTu1Sr6HNZvAw3VfNIiEuGc2waXTRmob3kfz7/wJDzk AZjbLllzRvXIYAfEpu7nRiBDNmsvpFhwsf2tz5W+1qTm02L7hC4T9wDJDU7xfLzBEx66fnrIEl7x uJZrNTzdfa24utS4Ra+7pknvJ/JosplvGsTYkAtGmKdrqCdXYMfeJGL0i+avAvN/iutYAEexVN1J 2Xzvj+9A+7w4NRIOHqZnSNnyXJYaUz4x1MVXKA9S/HHEOyRJQ18P3W8vHMP0uRgVm6kwXWIJf59J i/fIMjx6Eaa1O7xMFsS9RiZX3xenlftaULhUhkDVZdIZZBNej41E5Vl7/ftSn0wlkUckXw0lgHJj VQiWpEM4hp0+t7a+SmzLXCl51Zt/d1nsgjfTS8kOPhvMdy3rLUxEVXTaD3hvRQctFsi4MmB5dxN9 Pj/vIvu9Cc9Pan7VoFTsJg2oiygECBBgJKwHg7cYE9A7Jp1cMXzbhmU/qkKQm36qFkArkUID/369 LK28fVV5fYyIVtoOwc67ey6yYmqyLklkwXhOHwUtIDBF+Ut28GDakoQMTXA1pzeCDr6aWgYuCtkF jdMyW8JzL4fFKz3RGPf9TbHCnbyoiZZe5Cwx09wSu9irdfAleFMyjUUuBQ9gTcRoGbrG4qL7bCJX CNdwVgtcB2V0R+QNxYfjHJQ03TH+e2sX0tOdzJN+9l41ex3bIH/Mo5a7c+PQljBSUr3KXT0DMfH0 2Y+cpY8cP0FcfwC5J5DD1g0XdLIsb/6YSKI6DLFCXnbz3+a4HuuidPTkjpCrjDOPHO2cRjb0yhXs bl9/5f/3s3UyzJOwMfSySj0Oc1ThChHf7Xyx4Ubto9K4mVsVwDe2P77dfBk3yu2W+DrFuO27rdUF 1OokqM9sImLa58hVLUfsLt+vq2Lynhl2TxY7sfzjlEKXxdteetBTjLeBPwAAuyAh2f36TwS7EpAR ZjWbgvuR5dG5+jbh48op0KDRu/tjwgozn6YSXCFTTEKnJDJdJaep/qWxLWMrJD6OLI2lUdetlHXn ex7l8dQIDQ0m1DsWcsSV+tGcP2+Dk8COHM9v/b0pz5igbXeTZgoYE1ug9Rvae/FFcz6zmgAXTKtT 1rA9lI0pMyPiOIoN+PizWjGIWorMgwn/5BKl2RAxA46B4+WNycJ6BmE4dF7PlUj1NnIhsY+C2yk7 yMDBZh9VEQddqt3Cv2UN3wqA18T6o4g+0TwSBT+b0Q7lGX5g6ArkRfYPJeJHnYLS4G5m5lXwW0v7 nF4mUW5076iu3KNieKgr2iNFo3sCAM/Irbny1ToA3g/3L8P+vMEYSimjvvqkADhsH7XlfR7xy0ng eg0XK7FYmI2G7y/jBnWLEoDuGZxcS5VIWz7aDTEdDueQGtezrlLTVy6xTXo7D1si6OE0XtB42YcQ tEhAlWetvsiROkUBa7YYPYPlRsEJimTnJap/h4U4I08jps8wK7RnLA62HmhS6ZhED/Fv8hu8L3dn uBbfrriVhsU9tRFmvfQi21kzCZUN+aZa56S1/UKxvI4vkMKVkJIAm5KIyiurNAiR+CZeA2S2+nNy JgJ7bXaidFtror8SVFc7L3rbK9PdfUGsm0AZ5W0supBk/zM8PkkJ1gaubtcf6kPydLGaebYu4yvS DfFnRZV7FoxbRXXFUv/4EETOYlVtrtH9KeGdl8TIxpfmcFvU49fn2UpoPkDth19CjGl41pSMS/aG 0Kmqp7m10NDhIQdnVyiFK/AF34SaG4R01gRS4xusH4+tRfxSZ2/41zzcBW6UotnTJGSol7YAD2Bg dKSwMcHXPS20oyhcV4SVuMZt1ccj4KV6xIEkRDjKaEVqW2rQzMLZqNrvc2f2pLrLNTRa0CNSEqGv Kcovva8fMKf1NYZgqaprFxZHRc3IxoXvQdADm129lGwxL+VmCgM+9bT+Wt//+qEf6crRxtz9OuAO Nz3NHP0nUFvGXxDg4f78mYMJ1f6iNmKmkrXzgNeSuxteInZtEyicGqRpB9ocx04IewWFLdXrRxDr NsPExbwt8VirmXJWf6HG0si6m91k40GukRROVUTgC9yxJc/IUptbS4ge1b24a7mLSABWvCet3E5Z 0Z6qK9kyDebkQps8OeVaOCEeRCTgMdB0jcbXXcGrOSUIzch/uCYpTUZkB8PBxNyaPrJsjiTEn8/Q 58fWhEDv7RhNBroLifSsOLrbtdO194IFpqwfWhZW5Qa9Y9EhXAeWy7fipQnEOH5U63JohZTBcoHN HmuPGZMHunp8lr/PekDYaGyfEuKXV8eezqOuPIxA009P3sOUqvE8mxj02IT39lSv+Shy1V8VYTa0 BTQVkc7jBV1e1vCyWZfC03ySQRvoVvVvaAZWAmNjD9htCruhIHJNdJ5RMs2FLB1Lg92KevR7Ix5Q Ri1P+HMOR40NMCtwU2l+zOhCDBzrBeCEYA58CTOrykfXLf28FPW73lvFdaz0xot4oEuBmoTr3Scd POFdiwdQ4mbabqH9mPxlD+3YfXed0E+zB56pryuZDlPKCaWGXXzI7RGyqXWloMm5vmdJMKRZvgQt cCSLBF3+AKxBBKH8rplZgSvDFyNg+ZXnpwciz4Kj6GFLhZnUK0mdy364D0zLWXAw250Snwe7ys7g t/3gKe7I0FQoIOrSakMZcjjuzIUtJ7Rt7s9wcBjUfe2bV/V8Y2FSrsDtVc7DBn7B1FlaMXN8eNHp NNEVwlfMNX6mQrSkxaUbN5pngTIUhIw7d01FngBO/n2hwNH7JLpi54yYq8aCP1etE2Szm1/NlCT9 h7e5axKxvvS01OkrfoUGMvxdNhxkpXRMLgb10UZtCq/W70a566pvhRJjeMfNZ5an4jX0baLTcRYQ 676m3loaMm3v897GR6/0zDjByze9yQyhAozGowU3mzfM78yDUe+4OZDVasG+BLMtyYBO9A3XbWYc 7qabbKX1GTAT/IaIHwbZFcAgX7OW+SNfNojgjta+16adgvbHxe867RP8IJLw53UPx+JTrNzdkyCA +mOlKa9viUenWUoqm8MO1asj4eUeHu83jvqk7eDx1uwtsa8XiwCkqJHh0NamSZd/pqdaSvOEn8Ie AVeKTeECbExE4BsegEyJL0q+B2VmMjfgd0sW8UlfWTrP1HIp6mvaKRciuoDMW3a6Ices5GzONokK oy72QT8zYdzCi+7wcTpqt5n+7g2G3XLn8bnEfWatFk1V7+XYkLcr1MBO8NjIY6fWvDqljMWaz5jT 93FNabSDy6yy8pRNFIubz0WWb6MmCVvQb2cVra9aVZM88jkGX3ZqqLHwaUEVypgi2K0BwdWUb2zx xtNjXvbITDEDOU1LOIaVP31Pxf3ccETjsC2eB4Z3XML1MW+sg1i6KwDGI0zF8+qnUiOJuxrDqCKM uKPBUeBkLyb5QUjjJosg+01dwhUDaDymen+E4RxaEqXXLbz1MTw5/V4M5NjFga+2I3DjOqbu2K3l 8YW0GjjlcsWDSwKts1/vgiGut1U41sVSCLCjYbD0qoNNagv7TXZNBZ7GurDaLMup/INKh677/LN0 KDLBfiqY+dxNm3kpUP37C6hDAZkzhjVZFxSZoLF7yTrafqA+DgjNRwhFTrji41PQbhbArEYJPT+c gXg1a/GbPoXxwBIj0YAJ6Gm/1JJyJXl15vjw0ijiE7X7JT0AC1lvVNe3Riu2ofm7OcSBUoOCXCiz g6ogqFjerF9I3xNfirLv6UflOxse7L6U3VS5vD8t779BKo3QZpfdoIpP5Dpy9wIzLJPhayISV3NY Uyl/Bq1m4iGJuKnLmwa/Y648CxkyukIgzxHxEVPFg+HDqwvWfY2xGQHpZFKIyl9qt3rJzaK9Sy8y 5PIvj8LPAZIwohn3ycVdYX273Wcr8e+m9F9ddNJxWkkby2+wQ8R7LaKtVrZGQHEOrhJH5Z8Akz9Q 7jNht/BcFH1ZlpKrqmhZbrXlKBihqXrq+Z3e/Yx+jkrUBM55ZSmYTlA84q56Eyl35wVeAnubw7IX y7cGtKlpZ0MYFj+vnUNKmkVHFhmgnlCxmeHsIbawG66VbXeqGakUxVTHslFQDjSWWG+7uM81910T d4Nlx+5ul6XafKSx5a3HgXAfnUqQ9mPmlfUyUdHeRKMmWDA012XOw4h+ucHzP6/NSwfFANT1p8M3 V1L8s7JXLgGjF58J4o9th30OMVrHKzQsJNLU0rNal0BrlIPq7+favqmHU0ayfg6jFhuWyxb4Lt08 BQXdEV+hP4eajxo0GrkiWkTRppCK4EgtcgdqFidqoqSgRrxrtzrjyzIj1fLCOl52WHc2eOp4+dV0 V8zRWybod//75vsdfYtq0LDKOCQfNHizCr+HYVkZqUG+T+y6HFrjy0FMUu29Ex3Xk2f/YR735u1r IyGTVwTvCvKAWOhH0qkrg4CJ2a880aH7YwIzoaKRk2RXr6Vu4BdHCwgkCYOFGpSvw1yi2eD64MY0 l+dkXIsIq2xaCV4x+WmKsqCnguyk6z8uxyuaVcQOgqDjMlvp2mFM7zVGABxjhozAQJBrzsOck30w rXYOupGi/6mwXrCdFHEm5W9zUARWvWrvGoqOpc9wkYhZJltScgyULRXDgqHBiMd1gK8N7fMQ0jdW 4+i5dO4aPszBgp7CM1G7gvVecqb7M6zSSbqr6fZb4j2r6IrBBUEaJ3kG9LkGSpxKN2/IynvdSXXH QfaFDl2q0Kx43UwWxNAnOz0X7uiUXzQ7xll0serGhDerYLh5XKXPqVFKGAnB7y+zwKYxlH620x/9 zkw4idXhkZ1SaH61WHZ2ktyqovkbyIGC1yNQEq+Fd/cLmRaIS7/9CRE4V85Ijfw3Z6ZTDqF2Y8qY EGyJVVR9dOtSMyv7BohcDtC120mtUKSNHboahTqxJucu4r+4w5ll3NGJmoi6Di7lI5HElm70dwh2 TZ5fGJKW0fzCJWBk+DYEPL1dQT2A8oR53x2mh4WXfzC+KDatbkalUu30Yys8bBdV8v5+tBJgDuCm tC4OpU0VizJFaFqM1ttrgQqSLl10f7N8PiQA7ttB18y+652wCwRffNsSkY4fYStWYOiElRuZ7y5e qysabShylKELI1RZQwXWnsq9NZFkI/pZjIejFLwzaPJ3m/WruEIDwF9r3y8OC7Skt3mvsYY5M3Wt HsAiZDPIfHaniP4q0ilvOEAkudileZI1eVZ2dVSlU/CE2u0gjQuD6++39+9k7450V86yIdpp8xbl nyNMY3aQzITTyrzlUT4XtAwxnRF7of15BBtZGeSFzTSXVHTvlJr5rPeNT2MsDHOhiC+7r6MPUdlv GZKxKaPGK+efL7+fxXNIVe745hqgNXsxZRQzh0ohW35jVYeMtZYW/kIjlLWFj5rIKE7YRH4zuK5r oNaeIVWe4zC3JdyRRSPoHTtkTuXqJCCwrBWjbWE0vVOlkKyEVfP/KMUHeMKpWOS2v6YW4nbMOQSw CrzERPwtvUyWU5a/kzGF1PILb/CaXCEuNb6lZ0TI/x2TJUDgwgi2BF0euRhM19IXu9FuC6tTOHtr L3nR7gH8BqofvDjhMoZpNXARwkUHilF+NEZ7uuLbapxNJO980i20NxnWv2y1EMw+HaPDqnm7+8g2 EWvz7qWkjmuVtBJUdaciesDeKV0qtpxZtqcW/OrM4MzCsr4BqWnS8q3u4Pudl2it1TPyze34ANND Nf2/1n3NBpfmpMSrOchhELd/vFSQ79VeL2M0cUvP603oTfZFqipGHF3CYizPUkoETLlwAFg+53Yi UQIDSAEGbtvbXyq1zYplW3B5owUV5H4pAjnAdoephNqPUKoQZbQCulsIZK8I8vbchmzRqB4X7gYw YUr8US1OjCzYYpfOKsXCehmfM4VarXNV+VQYnphaYDE/Mwk5GWmFiTPHR8p1yLnQ3WfMFluqzmBv wVwNGAdQ/GzKHD/ErVDI3HThCuFNB9sh77LIhCrJmGAbwvELsSYn+WU8zG8vsmWCjg2UK8I0+4PZ 1R3PtxCV1dkiyqDM3W2aZErKVVXymntZk1QWKEEH4eqhg3eA2d6twRwluoNe2LC3KXe19YZxkQo5 RzfsNEkZKRevaKoj+nvqY1EIy3xHaGhWAm9SpuSQ6thaiMMoJNNL8Gmgf5XzVLGiay9K8ZUjSHvM Zo7hGvgNrkqHop/Bw3XtHWuKIe/3kL17mks5Jxn1PQVccZbYRbf1pv2O9qIsDNDWtVDFV7UtwP/5 6DMDftNZyz/2F+WRoqNDQXENFB6V2g+4Da9sxsmegKZQjWiGIIvdJQ6IRWAHoGsbtdOFe2VTaT89 qsfNwI4J/O2hCwQELzXgDmGWiQ1Osakc/aEHfVlFeUyFGfw8LBClABUMQo+ldwy6+QPZ3le3UCUO 5g+4r4zvmd1gCmddCzbvCg+fLNS/MX3UgdDAOGr87oq2U6CVBFli3JTroUCvYZZnhLpqxZGIbz0f fg8HxRQrsQ8LJ9bQRe7+ikZmAP7CS6VgK0PiwpZdG4ds6IXs78Hy47WIOMSVuX8xKeKAbcCBehaF sExtjlv6PE3tLQn90O1PfRfPWJzkdm1D+hHHTwufwLnQM9pH/esdPjsVUQQb1Ydo84iUkDyzGNiO KzpNYOdm8yPKenwIifyEndJC8CDsijlasicIEY6DSxlzquCUuKNVoHaicadO9D7LRg2+UarwMZPN 6maAnyLrYgGp90cCf53N76bxucg3cS7Wn67QT19YDZw5qSIM5kn2Aci5QYlNU/klyRWfIhyCE+ax C/ucplVn/IBsfKktOqBc613KPog/I+s3bkU1GVTGwnme3hZfON5MXUT6nLFyz1z+Oampo3HA+TkK 8LqThkkYbyj/eIGmNotm5vg/XFoh0tsAqYfgHwbJYdPaAwugI1TCV0rUdsmRZbUtasR6QespQ6ZF xigMj6+FbLGmzGPeL8atvqLKndGvieQiIN7Ov9dkrZD1q14czne0jcOK2u5Zlde2I/yfejRfsili xFZ5U562vC1lypYGvfnQt77nEGssdYbKPkAPExjkwOqEo6wQvqtsYxZpEmmq1gd/9AEv398zu7dC b5P5svr1VwCyMzYa4u94NIK2D0B7Rgb0VRwW5WfyDzHnPcab8K9UKPYgoLRQZQzhD+ht5USTioYN g2k+rBZ8aMOEskxse+Y+Vg9HU8GQIj0tgLMPBUM+npxhQoy80XcqMXMGSvq9RKPj/Q2JfFDR/Rif xrH06ftsE7DSxhySMVPu4zn5aVR5DfiS1skzislnPhcwx80Z64Ks2RiJB4pX/oOBo5qjLGjaC4GG YjaTDlFKdW1Wy4QXX3UqGtMFTmAu/hUuMgHLLtKJ1+qJ8ZwWK8AdKqr13c/0FRD1L9MVj0fiPjPF RrgttJRvZVTlgMhhr3l4sHwVdHGyakGIEHLq/w7TvqWZUQJxfgisw5Bdb6g+qKsetVsCqo40lXPo n21J6b/vPiAs91zXxB5QEECjtZ9G4KCqCCtnXT2lHHwHkyHSz/SJ6uxtAI5DidR1ImLyQLZ92QFN B1AleqZwB75LESjWly5jW6EOyigbIP2IMKsSaYMvcEafj/ImpdqF/KUQoycItkAK3CB6KQUzlKtZ huQ9xOLSigm6elO5dZiIkVA/5h9uVZiRFkMNyBRpIj7JdwF9KW+yG3P5ppN28FYPnPxJ4MJXkf8i g/0J2kGD9gri1TYvWNT7l0Hc1PAdys79QmDkle70lGYYWPAHflxbc7oop59+Yepo0MuF5JXK4XbT NTjHq0eANVvlYjYXtMuutCjEYS2qem+FYOm3GAWGzkEGhxsbqgkrFOZEFPrUYXgO/FKiprjc+akn hsmxtu9EdUtYmzEuxo+oSs9/Vdd774tTwqwDHEnmdHttx+WXcHgLyJXt525mDLcY+jG4WYJPA1AY UeXJmNJMwwcxtMfn4VZUmutLLiZjMqkisYsYfNTfDabdLrDOMIcz8vptJtikRmMJn8I4YBoJCNmk YB/bRzd3P6D7RFksNqlwq8T7ySrfNYs93kIwxNMGDP37wQkvtUbZl01RgfRcwjJTiLKc1Q/wb20z k4fvi8yGXMpnml4Vx82+s1HwDMGj+0CwnzL5fvFkrgOBMrUOG7cUKkT0MhFdCLV0z5+IuaEQbpjf Jj+rAH9SKoAeBcTDYRbWxM4AbkgTEaXUpRm75goHaGEkJLG+jef+4txWGrAZ4lBomjwPJwU0AE1g GHSMbXFHk9oE3HVr/QxTylUgrAEK+x/KD5nKJLHg9SfjfsPKTzm4BPIyKCTSVdwVToBm7Vj49Q0M MmykZbFptdzXyq0/Wu4fOCBAfqbshqA5F/q+u5L1GG6cSy8S0kTtjevJ69ZYOaeDEzjP9NuxMIWI okhge5xGNZ3UaUg17H/SrDrp6xFEGJKNi+TadDxGlOQi/OGVbZqfl6uVyBHaxfNQTBGKyUAMfDvK suSnTDRYmCV8qk5iFvzLEVxCXpdf2hNAXwFJTwOaW/EzujQatTVlpN7d75wITNAORRehj0tQraSU awuoRZe53iWcSYNWTF13/tdUyyMYZ7u5kW4OHRqBQySYsxSCDKd32NgC3EnZ6vCefs27qW4Fic1V X5puUFJ0idPat1mOigcejWFeaGVnz2bL05ylBdsPvA++zpD3wkPwDjmxLqsy1N7UmrJck3zSclqm oZFg6d9yD0aRaXCYrs3o79RlgfdpWL7V2FHyqhPR8Y2oS9CEKaHE6haEC/zlixUrs/XUe3uYakvj /bJEPd/PYZP1ighyZSimQfmKMPjnRsCYGRpCzY47kW2atZgQ+/g3RuoKfFoKyOo0i1CynOOpIXXi 2jwhUyV/qOmUJzajmAD1rV4yI7qcpi/XVKdah1JweUoUO4CN4SjT+N+DlY/q00PGmCCX1nPTw8lS 1wOZSvJs+wMdsRlIJQdDqL6PNtDeWNSqRfG0vqxfIEdaRyV8xQMQS4Zc5CCOdRleKbi867kjnOJL CfLCWtiur1HTwvUsRpeDDu6ItSDuZ6oKj4Eh67N+4biCskEG1ZA9koCMK4/lYRr/46BKelEobZQR f4SOpQ8u0e66ZUxAnFaOjAXthUTkBgEN4iEO+qQ7BbnLH7GGFK47u7St32wpPd8jpA3mgWeHR5Oq iNgeWkNZmrE2qSuRhAH9XKeI8N80kXrBFeh8GTMdNl3jAA0GCSZmxqgFM2lzfS7T4qWSChdjpZLB qdveiPn0m2WlqYSS7iXdjZqlHXeFPvt+lQ2Y26lZFu8UoIWXjgkY+V9YFaUV6IAN+mOooWvXzb9G 1Oz60Fbcel+oQMNMaiP7rC0nf1eIiOc+dAHm4mOpw2ys12nd238ecsdnQqzLGZYyksV+KOIMIa9T lodYT02lNjbEopMgUQz/DlBHmkp/yeGO2ssN7kECURS8zrMIuMWuky0bpuy3ok8ZO618jS/IWQeD IxbxYDIK/92wW+jX8lWiRYWB95VYDW6R9E758vobMq3mJSuONzXZ2Q9TZBPHE8dGRdnqs0aJYZjD rYN6CzEW7zqx4/04JxPwSFiuAkOIIiUQZFWCNBDeWuCw2KUrHxbmMm8638JB4RLL5V8AG1bZ/9HQ /1QG6j86F2rSkFReYupv2bAdo7Zcyfxt/FUMpn2/y30ZDpquneGaRUBycHoQ+KT1mR/VumCMTwaM kLwi4DNUy8EznZPgexir70zIGH0ge5Q/TAgOGYM3bfdHQc6yQNdOGFYy4dm5JERlcbRhVuisMg5U mmex/pE1Sy6PPuPZsK0jhexaJy5je3lY7B92R7uwk7qp1wvk+ZVF06U47pflo0qL+FNCY7jMxbzn h0LkkrOlHBlgb7F8EvsrNBh9hNVjs/TSVJ3gbd+AiJZEsir+d8m4MhFSpy09xYMTO9d6WVtpA6cN aJ4GuzFWPFe5mr2OrXEIIlMbCPVGsAISG+fRAcRV13SjNiNC4SdpcizRORYx3IEx2Bm1l7vSmYnk 1HrxQ+Eq51iH8QglUVQ/Q7AM34ayOobTlcK2/VjNw/gkXx2vyy1xXL3M0L3WI1u+m2ksopTyFlqg o1qc4ZndGzDh5aNXiK6QwLucY+7OdNqXu92YFfzolPnytIEF5JyrGFSlQzbSs4rlE4Ov24jEnrAM gO7EBUr/cfjomt6aQy2YNiuWruDMpU89eYnmKJWktrPDhsFKwu4jbE/vvdaLB2kOaI4WTQEKaA9b W5PrqVAXAPZ4ScBe62EZbmKGeX1VO3JOajqoynFJWdScYIycMEfP9gxRJJsNU1uBwVD0gDNcVZM8 mLeXI83GtBeVELJyBK1D8pjQ/uzHlYXTi3R0Koo6WKTiZTIErzJ60M/gI1Pv6+G6lg95EgeRL/7l ZXpDK3rG6e0DAl7hJB8Gkht2BoZB54pJqRHQWjahUvpa6qbR3O1wYleZ6QM1xUwPTUXNVv0xeky7 zRyx2ILc7Q3ZHULPIsP3JgLA8pAyd+cfD2BULYVQl/Y7bGUYqHiVox1jDwldswJfJvre0+UEAoZd t4qfDkJZ7On0tfaoRvFTd9Rgwwg0pu5z0gECfe6h/XFBSnnJAJzsewSrCvtZi8JLFVvoM+1beoIJ iYkzSl8/WusNANm6MFVBVEZqeXEt8HQPB91VG5plsIJjW5u/J4FVnLzQ0pTxNUSNGNgikQG1ddgP eo479urT5jP3dOOWMiaoJXvRhH4nkpyzehIAIpZ2BM+Mdn30/Z/KvoPIGWH5GDmg9wpmj7t2vIsh Z/T0Xib4FYC7PeRFavjfaeNeKZLJWbxjwjGrX/gE5uK7fmTSGWqJlOG7sBhP5exbkLkpu3fdjXl/ yrPeu4oAyeYE2IY1NFD7t4ldzu21NriqAD/CwfFZ+GTTFe+iYL5TuDAJ7yodfCHPkbnXVnUDk8w1 rrmFGf/Mp0ynO5BaZCPZKQ78jiO7a03tTf4HU3JQkWrTubWxRvamrPtUjHVRTq1W4elpP1es+7wp L0MwMN5SU3Fsu4b9B1r4+32NjFjGzKsws81nTxvWOc1RSht/7qKd8Ad22xDgdozJYBKx8NdB9YNm xFrl4+fv1m7oHn4SMjtQ+4j1d2C/UquT12M57D3b0h1engvbZEFKDJEZU2vILGS7yjaYa7rsTE8a dlx3SdKuyYUFtEltkR1fLFw7tKcZ7okwyAUo/0e/dYTL+GOiiQ2qaAu01T3b+gyz2wJUzqSSeQah hJ1ieB3FL/DrPKLF0zzWs1uTspW/XuFO+M+x1GvHDXR/DXNeIaxb2P3L1xWHCL8eGR322pQFmwDV +9RI2CjR05cfeo5TGL+CMt3lvsOBbRPNN+3pSFFKH1eQxxXrr35qTrpb8mvRymkn80xVJmJNQzDT i6vj5TkwjOMtZF6oQw8LPqkKQkNxBGkYMpN0l1pdPaR7gpLIEaGp/ZWUa+Nd1IqGQjate0KaVs2V RP/WdmfVP68B/Zk6yJzULb2YQS9ZBt79NfE+Xy/Ks2Cy+7eIyR0br5iALJXQEO/aa69FPOQN2bYZ VPWTOFKZAzfwrIeGuyutbMNBm/cSQS2lLixuya+hTLiarPyH5AiYCNOkz8jZlocgMwFSaIuR6ewa JGHv1DbUBudjT8goglk2qbMprkYgdp5WXrHWxEAGmoZN3ZoT8BWCDPOnG84pvnIWKvg4+rZEWaLO g/YNDzTsmvZQDxZbgCm1cCurLC3i46UfgHJ/ZsVbiyxHq29Jw5PA7FV+y73xHjNzmQ3Q+/r7F3Tv SNFcHdtAzUx1dQDb5F3Dyun5tuDFsTHDI/Dsvf6jfvk7CQQMSROGEP3bH5HolYur+s7AZNUrRXQj ChTolF1nkbIQMV27UuIw6FbKlINFZyOCcPk/ymwdEfkwxT4eoCx56OUngJ+gQGMMI5t6+XAkXAYb AaQegPwyvVivBI9+COV6dytP+ydQMh+znV8TGXZCCEf7Tkobl/suWvVPFcK809FCmGCr/3FlVhFL 9cuVOBcuMkmBhKtdycqVGmt+efmhlAPqbufBi8vM96vJHqulv0Z4ppeUwi6nPh5LU7lP91RWOF/g 4fu/2HiSV0xvbNhd1aRFbgpoIYDvzPk+SeUPsKGKQ4RldS9YidGDI12uACq7DvQBKdTHD3LDc9RM JtweAbF8uQqnIpB+Xjf1PgFVl2pyotBEh8xiVfm0RgHteJPD/yWjqjtGUu38bTUfYhRntXC6y9k7 G8nVjVb2+8Yw2XSUkwf84HRWSYpTBBNE6WIC9Yppw9jAASdsaqdFoZbgTj22dAGAlG3OeJICEUfV JvlFjDsjuUWi9nV+dddAuSLu+42KmAytQDS/7gLJ+h+GGrQfBKsrv9ExLK+FKfSKu6dhapfHSdl6 p4zpctI3qOQNcIUvRsnDzLGnZTKxwbDcJQUldS6MZNgdLQHSl0w9OSyFZuerjT68omLpcZq0QzJb /DbGFwjADscPgHCCOuJzfh/la2nqcUeEbhLMWC9JKKB2IFcJgwutdvfbtbyFjbq+7cl+qUDCty8X FDHVJd8OE5PjlctSbm4wjBi+gr03f5WxJLSpge9nwHLZeXa2AjSc+Rtq2We5xbo+oSQludS5n+a3 oIkNVXywGEdI+RQw+CiXJ8xwTRfldypC8Sb1+6theRj6ErekS/Ey8wY47VHhNyZ1iIMzHdw289pJ u5mwGfNjpAAo/Sc9MTmMuoEa6O6oyFdvF1HyVmwcAImhEn4Rl/e4JAwLJx3HHtOEkBnHpEDhfh3M TF1cEp1AxZot2TUbJbO68fp0xH5I9H7jPEi0a7RHww0RrcUCjTUvTvMlsJGExxZNQlDMH7wyG0rT l9xemU8AlwgX17m67xLD559tU3Hfv1aweP2kOvtiFVcYMTzLenLzHOJXTtjEdGUDwECKJslBbu1o aEIPVjyTySH4qeFUFnKuaRC96N9hTx3Ky1xacGebXhjhs+bc1gZ7hXniuT3FSYC/IJ6nmzMMFL/J HsP3GHKQGcr5CU22xC1Q7iZPm1oIdbdM79ElW4mFezvScn3noxKWtDHP3isv0baAvt+8A62/nsHS 9taDgCb4KMOi2rsQWENd+DO3+ro6HYf1lYMmDFqOAhcvGGQW6KvkCrg/3+1rfqfCpagC3/y6+JNV 3gOZD4Rsx/27oAVnYxBINbGTTT40sr4gFf200YzoTv7okuD2mxkT7VRa90UEzI+gdKoPo+Rz15eo o9/6qJyi1fPnOeqg7vmlbRdmSyIDc45jStaSqucx1Ja4y5X6BBTVoNBPcyyH6l6uwAz2ROrXl/sS NwvpefX183p/WLc9jFp+v1ndoGaGCIF434S4WJ10nCH6blzWJKyYhOmmaH+//abeyifKxN2gUzlm BIKK95KIpPwWw94xzZrdwqGvqLu+H+d4GEUABIZNNvPmHJRJbBJZZZ2EEh3wwcvcJnOcwWjy+xkD xATo/xSFdOyyPSUE8FXnijkRVs/LF3TDu9D19tglXlQLYQz+OefSjno04x8r3lUHjdxMMdr6KShg ftkQ9WomlAMY6J75BrpNKMy1KNQCN6bqxqlMxhoUyMF4562YVENvQ39zGzoIRsGiwcqrZv2O1HL0 M9HN0w4z1hVTaCWPEB8w+Yc1pJtPNRggm1wXVFMuwkEm1Wc9q9KTXmaUTbZoX47gFg1LTYf3ATXH uWNr3HTrHPXxppG/45E5Z9u1DXCdFYpO5YC6NAPOLfu6wLzXtbPqISws9qmSRPa+X4EtAYGbbi7N BkYzjo8U6Xt9aoGshy0nuav3yNAyXivM3mxzjTOcINWKHa1WK7XolRX7ARLWbRw28RvkotsniYsH JtGF7R7RkyBwyzcqe2PfcffSXkIU6nxNyUqup9iYnBSbEMxH5Vsovis3mhcwxn/n7lPFJN2vUCA0 GeAuQjF23XbGodK9xNkwg+Hl8BzbOpCVGjihGkfui+NBkLefBYVCBoqvpSUqwsPldv5xCwG1Dmaa 6xeR1Fz5q6BXZOEBVTJ76wHmCR4mWx7FtZsEkArvc3LbiDUt7k0euK2De084AmKRoRsGqu2CetWt raYJubHZ3fjfRmWF2hzY6pkYqnQXxnMra+E3xWw0HjOwE+re8ArJizY3EV6uqV11BNJ9IBRKN/op nZr7i/EHpq6BsmliPntG11fDWrhxhQvrinOF4e1DXpGaq6zogzCeMpLEoC+ABKQB3FtM66wVo/l0 rR2bJS9yqzBtIrxBD6zWvZ4jgkpsyjVPdfe3FfJHyFsqZeSnt1q1TnS3BY64+nDSrFInPSMC5p40 OxIi7etA+KJgosBfD4BYkV41AqgGcHrjSEVXXHqRnYB3Kb+SJX6x5Xh9UPN6+BqPu7Dza1c40Xgk zz/aLw7ra5pxoDVdyZSQK93nHaAA1bo9lQE7rFZ0BJGBriGFHql6mCqUzNLQ6HjZcTS30fsFoRLN 7QAZXZ2jdNd5/LKRDm6t3FtTCS3cJic7AkoazFMvSWZ0FoIiOKDpXWJI7/RuJ9SptygxmP77yVNZ rx9A2VzetYDeK29YZAomyjkH8vLPLT3Vq5cSzJSjMR9tEVgfaUCfKVCFnC0RaLBGL4J50cnqythq Xh1frW8xNjV4HvVezEXW9vj9/4yAbcIsHMaq/cGyGEB1loS7rkNS+HsIzpBTIYYuSGNxBtrsaX91 1GvvMS/jSnkwjfdecfmRfKeqQa+1ofc6PimoCoRLBfnDs+0Gms86fduTFOcdSUl+2Ghs/HPCAIsr KXe7dIy6Bsp2/in+TRduD1BsIWdh4ub79bZdeCquJWObk+Y6fxlT7pSQz+ilcYgTt1WH2RafYIrG aBkDKKmNEv8TeYocOIGuHQ4UCGZMl2IFUJJvib4O1tnFRmHJWFRw3B9/sKUr5tuavO6bTifv6ZoM 62i2IIQfBDMZhwAj8ctH4DBYJiojFytiHcU5XMvPdPf6DlP8vBVL80Tt9BRZKL1DI4xncUvnN4jD JAsWLW4a4rxrqm9FQ3bD69g0nWqLKW9TeyHvl9ZssqELQqoEZSpAxckcm6a7MOi607Ug/gbtP2X1 B81bX75DwXsMKEZ7ba4DaHhj5oJbH4Pq4Feh1PoHwj+bwHo9TgxlzDKwYaW1fO3eJVrUMVNVjoli +J/Xs5iu+GKOx3HYwGsMB0OTi4sae8uNsVDgZPezqj0kjwRUqU/Ja3ksxDshVm1gO9NpWfZhs9mo SGuXUJYQXCc45pjA7KS/Kcgyae1ypd3FFojvqjoD8jNA4xk2qCBY7nW3uo5/r8BfEuhAh2o4CHld cxcyCpZiniKhtE1mtHNitA/3UCuI8WpezuEYsZezX2RzQW917uHdN0nfA0PSDBiZFm5DiVcKOvyV e/rKSjBdDlxi4+jP0XyuDwhKbP/xLB7OcFpDOvNq8plkAPBpubgkA6b2+qcfASu6JQ55EpIalAB8 DDTUerSg+YKbpM1hD6pKlVubAPK1AM9FC6q/v9Jr+WRD8rnTuU+CRF+qsx8XDq6a1IO/UO6jLqwD EBEfow6RlF028XTvE9MkOqzRfPOlmRl9R+/3895mhvHhnKS5VlC68nWlevec2GWWfXW9GAIISGBL fLPT8uCMTFWVI/c7ki9zGYSjgogzLrUBoN54mg7O4Y7FeP4CTf5H8QGXPnXL3W9tkrOaov62WaI8 Z32zYb61kX5tztbcpksis5rCR5bG3aQ/BWKEMRrBagLx4Q6KkdhBJvLJ39MCe54vW6Td7YVWv4rk 7GNVJP/Wb6R0vDCYPBDWm1qpbIvJDHujkIZTAv0xLKY8KZFMN4zgzmsfZL9bWD+ywS85IsK7101i wng41aNXSNyuS0XFITYNMdnbiHpP6oDCuVyjJgFpUpOI52Qn7bj1nx2cAXzkjXkUzH0ZrQa6fqlp LRiUBboOI28zINokaV4uUVYzYfNgbJBrBeH7tXSCjwf5BLx2J6etILdP3zh0rsZp9UmHHbQdTVtX iZmYnLBP601EC3N7dppeXjkQcyYBY43nZVKwZ70S5PqjDJbqOc/b//9P1OB0kwsxKdgU1/7fehsH 7esMvC2sNReru4ltgpLhnXNGKVcn+VkV7zkqLIxq1csDe1y14jTfaMhYu9kfa7ktIo/oYwm/FCx1 QgmqMZg2A8A1EUfxC8ci37jK++bR11KaP+LuMN8O8Osbo8jaP/d4MbTr40+nhlmHhlDTUk9ZDptx zCJwu5W4rVYO0QAvG8RB82Y61PkXZcE0ddq0sqnMHkemzZaxsdb2QDn7qh9xolWBq/NeDhDFo/OG tjCUridnGgLAmwTc9HP40lOfzq2ThuQkY2eiXaPQ+d7ojv2+Zho+ShBlsGwPS8fKogzB39buzM2r J2qPx6XETGaS8pCJjEVtCVudJJzjtMTPB7H1DeT/zKLmWWstYWRy0kP3kr+UUp5mu8ynzCYxWIhe WXL+9USOlOzCFRrpj605oT2o/7x5OEJA3sukcbuFLeQjwCKtuJb8VL37ngzIDxL7qwGj8ex/6xTs pLe1i9RcybQTTmSdqRyyfgMQBuT+pgvL4UligWp0kogYBFnK1nUTtKDUIF0EmkHVM2jOO3gk6TvB hEXMXBO7s8wGRkP6nBOSOvSh1DsQSnvZ2JsiS772GQhu/PwKRMm2CjjIUYWHZ2vVUp/GEIU8ZBKj boZUz98chW1kO6plOzCj1JDPQeA9N1NJZ/x//DdiyXlUC4+Nx5/O+7z4XIiYz9QZfpwsG5XL+VtZ s7eXpU2JargBwnTjBp30yYiM/AZ0qlycHQ3LKDXp989Yz7JbxOaHuinKn2eu4j1ENTgQUiGvF2oe pZ9FvbdDuxefJ4k0y7frpBcVyhAhO2wA6zOh+uMpYB3UvTRvMu9emVltrW/BiQjZ8pgDst11h8DX EXUGl7kL/HiDy3DgMaHwiNbLmbn+aqh4y8vE7H3DraAV7E8Ih7f2TWIL2mpA77EJ9sIkGVLo8dD1 pi/WHB70vTlhF0EdgTHQjcpDmMHSMwdzmZDG4Q9GGOTVFnBRA6dtzf9uJtwTnlpVyzTYww1E9s+T Z/itjC6fwAyHWFtafDx4CCiijnb4yXsmARfXHjXN3iUOn7ZdaB30P7/umzliAZdUEEL/foZQfqu2 NxV0UjG3jIcJ3CuVqYma+/mP/izPhCbzCWm8FguNPiT/YQQxRLWeHY0kll3AoC1j+CSwbXXalmFa xltD08GrumlqFogAXGqE/rzSSOum7fS1DmMQZciFwHrciKHLq+y/dnKToho6kBWUq2+5lplvN0E9 Gw3K6jKL6a0xV4otf6QCHdgdcIpK6fIlFAUqAA6/lC0885j2x0J8hRdQNQ//p0yc//eoVrEi2PBV 4dbE61zjl6o1p+n+ODTN1XQpxejQcxzTm1inrD0U87gqub5ymi5s0XdAR+eJZLXkPZb1txJ4fHMm sDJ9aU9t5+qkxXEOlzNfmNFag5dHfBTMWMl2ncaWIbra9QcnDsqiCOtD6QD3EPTUbS5NvlPAfWj6 V2eBgFXq6nYwLBrrb5hmoBmxVUGSFhJ8abeT53yOyRrvTe5Az7wuI7BT30Xgn9z5ffmspJw5RsDF ER+5HMCMwFFDZ2hUXiL7dgB0xroMv0p91BNy6VGHleiP/KqNZJeRncOqjSz2YaQkgw/BRu6zh+JP a9DJMcD7ionIZEngbwmEkAFyxOsJo22KyqUpbO4DwJbbutbz+wx8TrfGZLxk03UxkubqizgRgfZp hYly60wLQjryQsKHISGiWiYsWoigymCUrAOtUYtbzhAVC3Gonny2F+J58IwILtB8tl8usLix4eAe sJ2d2+o6ebK0xIMcmUmV+n93k1R4yzk+vcwCEz2nYX2CjPakCPIe14MpwXe/gf3kZcADbMOKII4E YfVVzfArZ8anUXSPkThSHrm6rnEMqKfqVm1xRMQLS3WzIeMbRpOGQkXsJZNJnhqPC16NGW/zfjcB stEf4uE2EK2K1AbfIV2LG9RdpVKcG2SXFbvVvaqMJQ+PE+4KMPFJpRzox3cJRJRAh9zqM6mrB6mg IewiCOFyc9hPh2fC9yGaanvA3BLR++ZwmgV3VAeWYJe/cG+pWu7keQVWgHtTFWSRgMQHFhMnsQaY yIWfvknZAPLaXZDcQn6+5xdIveIbLWsR6QgFvLOvdjrQGUreBKywC50FqZSH5GIVn9gDYp2VJuoL fS9lJ0uidkDwEAts78FBUop30437yMC1EBW99puP0raWxrW6Z402ZXDs2rDnaBs7ZVoSIIW2Urmx JmGO0J/p3ujfJ0IAuW30uOW1R5jshUBTQxOFc2GzkFdwdEGlCWwHu4GGLlqHaUh2famWYmd6sEgX viiUoM026OIEPZeJNqU+5tarQEJy22O3u0azWPnE6KgJ8wGuSfd1Lbz897UsqEafydW5yPy0Q0Me +sZkV3jqiOTJ1J9OH+Phqntil6jx/EML9inXykDiasT6BaOMgCW/bCgaArMZrM36fQTbnuM/P/Pc IVRwNkwoU5Ge5GljAwW619yplVmhUYyC/NZq7jrmSPgjwKCTyn/w2AjBu1xX59FCprQzdvswiPea rEADFh8cJV/hGRkRqvSKS/m1cueCpmtyO3e7+X8SQgG1yI/ldszwgE57mSr6fKD60CpjSOo8S4rj lckf0pS15B1ZjcLnA4AeyXZ67u9LofGxAFDOG6c0OY/kUIGDoGJwYzfH8yVML6vaT+8ysswjcba1 /i8zbWcbaW0Ey+jz1FcNrl9uK+N19MPXpursEWuK1Vy1rjvvxUT47k/bh+A+9ge25CkuRQGEsK0R XrIAhlAZEIP5uE9F4z6ahuKulrpZFZuzKmOqhSZPLg3b6HYDpRU6sXNsYtPqsQI/u9m9jIF9w8Ck KFi1Zsb1lUl7nEOnD6kExPQWZ/S53MLkGWwcYyuVQvVFjeAzbLkGtqcdJpwMk4p/B/jeVf87UKFb 1p+fhJ0moHylGkq1X6WYXkKkhmozG2auORbqvu9oaAkh6c3pFXtELrf361wwdnj5MGJ1UrRLd2eG dpfQSGAP/dQ2sqqfr5fuhICmn5Agk4OzegM8Hky7Sqn3lMCyG5E8/ZI8MnhVxqOHb5Liy7S2QlRr AYJ9rfszvbn+6APvhFiiNqrYiNZAtZ1EgUn4HLb+R6LjuzuO6MXqsgyy2T6ZGdKChvVXGqV4dtrU JVlIf1VK6EKNmZtUQOx6o/s9GLqFpOqJGFXNfzsfIg40u+UMk1OB2fUwVN9LUVpVK3zAp4+IS3dD iQuv4x7fFB/zE1w0fQVBdc3V/VSobO2FG2+Rsj0d6I4KUtjSHhvNMJCCvCAyjkcIAIf6X5z9N+ou a8L2bnEkiyq7ct5LbW+IOTa0b4MhcMfQn1ol40Qn6AL0AARCt9082x8unnliBm/Tf0dgQmDvgrd3 saReVTNeczlzHEZPYlags3dz1Af86p8ms/Mpdhxloms3+OWshpEFnxnvoMj2fYyje5ywWOQOgiZ3 ntQoz7uhyj5C2hD9cE8Q9//QGQvYhRQ9703qnDqVyvW6p3DdJxpnmyVhQPZE6Zbuj8VuYfe68At7 79WWHfeLb0qss/61RE9dzOeMMHihDKKH7UeZCBjn76Z2BPjKOD/9sM4ADdGH9Xzey/1SRHZP1SCH 6X+MisPt6vNBzJgmO6yE3YbVk7g/FcGYuAWm80Lk1WFzdH+ljN33HnBzMe0nBSmWvy6kgE/3MXgS At8aFNeKe6aUBYJ4bmdxGjIsOB/KXUriPZX7kRU3M6SSgDjxFRvCHaFnCXuT8sQW/THpdP7jYxp1 RU4R+BZlL6eN7xVmD6v8gTka4XPIhRpThJaF3941Uj7tm9WWYnpvcCZhfDi2gmwRzpyO0jqZjyUi 2HEI9SGdkAdB953TCHWXut2w94QUU3koX8ueuNimPqhohBgQCgXLiABdQOS75g6w0TQtstZpHjxE 7OoYB7Nr3uo3CkrQqeqFGbrtYhci5P+t7ACTOFUXXUmXf7opAZhEagykeN6sn8H2/qziTCYFu881 KvUsECUP31sCcxCiBvCgmdNfeY8kwiNn5mLaFLn+CtBMDKMozr78z6gTgR+P8D/9GgsJrB4wPUOi 2LZcF8D8o96Dac1MKCWxw00OmOxKZDzzj49kl92kfB96rb+UPJLin7igdIBvR2pR4B6xeafCURGK f8RwPwgwqiys0jIK9fX/f6VAibvMiaAIOPsazoOT9dzpry0UwN118LbItWf83qPF1tm0QmQE4Xlx wzCdyhUNXmDKh9b5NluI3br3ovqDioGK2G94LQcGWg0stICtQ50wSN5QLSkFoa5VIYAYnT4+oFyp MfzSmJ2zttpgGX+1PK1LRZqYDHP5p4RCHMjqo3iL4A8dkzrGn09nrImqwN9MJPeCe+z4wbEiMuaT v4NbM/qaGVMorMuZQ+rZrSfd573lKm8bTc3WHIN+occnVLprVECoNCy7n1/w6rB3rj0qXIyyj5PR g88jgHZ+gYWVC/CUGkAylO7L3ePE121/FuqwSAIxto5NyAhAvRea03BDepZHDKGV2fyT4sSzYdEF CVH+xjhBr8UbnpQio8t4bpp1+QD+hIirMNnxX9XFcJ9CddSO8Tsh7+6gXrsP4TjPsDoE3jqU+k3+ LaORcbT/vR7eMnELGcU3+cKk7ZD9kvE9mXCvwGj6FuTg1LHJzFJ5VexNpejoN3WoAESRrpRY9T40 /ZZ8ILZlxaxD/DLHoYI+OhOqBvFHrSsVm6gLbKKg8YcDXDabeDTKUeH/Ja7ZKdCTce8GqwzghE4R JXAa5dzqIdo2o7u+iRvtnvf21w1UYFbM2cf6lOZloX4aH6ZvTrfS8q+4YHt1qDt063C218XR/QJL nJ9oQX6TCjmV9TKm2UGxm2Et1rdXLlFSPqBlbeNAKQSU2vu11wyUlTrR2h80Jp29pJ099uMFsD5m 3yDn/FF0DDuuZ0rNP7feiniMVfFQJe6QbYCwsjwIhLRM791zYLzM7+TotjLrka5IQfP+yEcAyFfy JYf6vYHmo/bWhYxkCSyzFKP3On4ltSZlPRZsb9QMOrsqNeqoh2stCFM8UtMk1zrMDYP7W0vvu55v PhKPRaA82ed1xRiNozkIxW6yFtjs+zYOoZz//ceXNYUHb1ZXu7OuxtYgQdHgEIlxngU0nfMwg/SU WWxkakclnX7QKXRbpjsBbaCLnnKC+GGniXtbCkq6eFvVHze5Ma6saszyFnvFHL2SZM2bRxjArcBy RqQ91FtvOsiBi0U/7LEqlmuwVBUSMm21WESvYEVCSZ0E/eXgNqMkbTUzcLW23xuSWTtXG6wLrJ8s dDyU7S89N5avsALMylC72CCZGcYS/L/5FPAqpaNGlWY0OgipMQI3LcuRmFtEhzT50UhZoVMLaYRz JdCzYcG87pdGeaDOFRx7A42IWK+g9btKngvpzMh1zaq/a0IOPyyYMS0MqOMQBnnQBS7mW8ulGcIi 5QGNmJFfQucWdqzCCYbeifGv0w+h2R0FsY49FeKM0r9E3Bj8PUVmkuse2V9xHP2Zh2cXBd0FT28Y 5D3xnsazmgFK+ttk7ZgxAyKyiXZ7vj6cKOpD4zSPPoiI8RXT3oGQq9Q3Rfrj8J/cSyNWHMJgTYjx MeAeYcRcPDP9jeERRwnNdaYOVtaKigpdEUdwa0iK+TVEeEKBDbgbAQmYwRysoTz35v92BkESlCba 9XB1NN8Mf5olDQdyx/AWGw0L+fC6nlKob4cM0/tudLBqGidwnXDV13PffA67n/64RFs7/6/YufNy NDzLwCFBX+i4QH2r9YgVwd/gZifIkekKtuLIJq1pyfyHtdxDN4mZSWYKvsngooiLi8oV1V4iOFtq Z52g8Sdfie85Iz+uDlar+nW5EcqUkDlBTWHN8/avjIFGfKkB3gRbShs44xgJiBKLaeuIcpw0FzQq mw/kuhaKhfhayisG73+HqNlZTEWQ8pVvezOKQx0MV4nsd3Z2chLqU/6o4xaDwu/0sVK5d9f0pKnT jlcB63dqihT26q5Cqu71aclvbMTnKZcok/RuFHw9izdXeShYvIy5ZzlNBjd1Jynx7H9DS7rgWCVn j4Lq4cV18kQCPmu3Q5sq9An5UEyKtrN3IRK+jjPfIBc9OBXewMRspQ2yQpvL6CrhcfaxM5LV+GzU IIQvGZVILKku8dcH7VcH+iG94Lj9sH/IzjBmcTFIbzRMaXby0fLCTKFpAutr7fXLcxUGMJKRXcNg LJEFb5uKfP66iJ4Qc9gp/e3a8cuodtQhFa9oMekdR/l4Pnvb0vpbDXrz2aLCpkFztG+Y7zEIUiSu frchBPY5nQDBcOWj0rkuIEYdiSFMGyDNKQKF707JRquJeA5P5R2IJZi5LvK4pp1JMBlSiIkKpXoE 0JtgIh6RNEwvm49LDcKOoHzBGQw3a6kH299EHA44fHcAq3N1LWvDcB3cB/BbTexmHaEqR0Nstu3+ Zs+R7EoRNjIWgIlQYa+QK/yBRHLzBZhv/aE6DV2QNW12LSgS6kv4By7wJAfWVyGP3zMKlxWxd8sS 5adEsanrUirOiHYDjWdHd1EawUCQQ7hucAYDrfK4Xe1W0WgoKNGU5PnO+b8Mkbm1B+o3xUqeifHR 6o0Hxut/t85VKK1iUXsDZPJ/4g3kG+zlMhvVxp1wP9O3aqqlgXWGZyckLU/cyoBs39xyonA4JfnF TMGyPybKucwcNvoRmmIoBH1syh2lKx4acWlHbxz6DXiCnE42K1cHR7sDmIFA7Gr9VAEdhahBQHYB Opqqv0oefsS1sJm2l6pI4gMdVpubViBB9Bggd7aZfIbJdfeAbCsRYvXbujIhHoGFt6WFU0+Liqvt babvUtn8nxHnXWVNzCTybxc4G1NNSoySmWK6db7c/TNrZji/6p1JiXzUQpzEzI7e1kK7x3XjDi4F VcDFHIEbMk/1zvdmH/fnhr3BLsCFHpQzH1I3/rov9WhAmIwjNA0UVxgpXBW6vdz6KxKHStjM37R0 bgNxPDHEWVucPWHcyJbcCx/QzU46nvRXFQPZyQuR/gQ9YaOvs3WaU2dXw11k09xa6vC0l3A76opA qO3Fah+C81nmRyCLOUGqBgJID5VDeO80r2hhXLhq6b4lUbqjKAH5U1T2UvhTmtxTeK+KbEREIM6A TCmr06VqH6ka5s8n0JB0shGjBc+SBnkk3hL9ONODGDPr3Z7R4WCd6Muc5pvcP5bHAJbx6RnHgT95 /L5TDUOowDxgTOkc+GH/XfxILWH2bep0iEvf49tR6m5CdPiG4G7/hnHEJ0FpmEVBBO3Eloj8XPDQ g1VOgBfzYmDjuspIqMRfe1OvBfF8/kNy1mZesf51EY9N6BxnYk6r77EArBUdwDNyW1Dy3LsZC5wF cQsfyGQasgt9hhV7Heo4VwFyEC9oDwtfiU9n9aIu5iivSM/De7YygGVZzlEUc9c9dehjZU6ELbUb YCOaJDtW9Y8Woj6KgguAWTUm25ziwMVFsUX/QbCtDwkpRw6UwuvOFGmVysfZ+nYcwQA4FZtfz0nT VsgkDNYmIXMs/OFCU0nL+nSFeiDCiY0hNZeuQe/itHLfRQKhI9EogSZbdBILlsdKGyN0qjRajiO9 VuPp7LEv4RfL8VXam0eNTc3kYTUtgj69hF8z9JqQE1wa9xVZ+e4bX9YEz8jPupTMy0YpgMBjq9FU E/o0/5f0ctcugXM8XH07c5bNvQVLOMYWwk8uZHfLF3QvTOwxpz+eO4/YIPf2kMWKqsVnJ0fb2Pyz msQqP05ucXsxPAad0FXd18D8hDX9QHsJhvxMvNsvqV7QiJhfNN2pxIt7MTx6t+QVAnSmsbg+rFYC TaoJAbyThN4CJrhVEiq1ifDbZzUu9up61bpdaIxYZlg6CEupHQ244AK0CJjGGwQLQaBLFLbVZ6O7 wTsdah0i1qW1pI3hKrq6oYMqN5evGRvKABbH2Tn94r0fwtHF+XP2kNVcyX1NQLzY9fC7S/95Xw10 cD2AKfAaI4ZC76hhRGoS0u5hK0olMvxBlpKBakqyP5OS4fn88Qxe/kB+E/aZxOeHI01GYI3MCjsd p/PJL/gwKh3mlBfAKWozKLMj789KMCZYmPCrF4wfaWALHndiAGwRaSAz7jj1sMtot0l1nvTuYMWW PKIADyWO/ZVZqxmNT0VZGUnVKedJFPMmqbxogNWm2zs1JkO17JCrupmpRU+OkIl6JmhnB39Xzr+7 KU6fxGBIaDNO5GoP3JXUFepjUSleRa5MWXMBtKiYMTXBa6nfXfWI6K3eg1tjgZMCxa0KHKDeb+ia QVAY5a0U3xpvVFCqhPoPs/S29MAZ04RWpyuI+9sZBzWEdvw0O6U7M6cvVGTAMAIzpcdRQLRSln0Q ORBhrvxeC0PQbRgPW249ZbO0RecUOWcfZTNMlXOa9jGyl5KLCBR5qg6SsbsvHPupjWB3tiGbVJZa QhJgSZeh+lc9DylcZxSYq+cLfGTW0EhGKokm/nGZd/1iWHKui29Ih/1lWqxXMV0eT8S+vncBzoki eX6Rismh0WRlCpscGZc5OqXl4Ltww5SvGYuxg94+QlocK6o2sOm2wIwefqCKVrdDhsQlCL46E7IR nI/d4C0Ej56DjYxdVnmmj5m1/CWMe3Pcqo7MPzkBPpHDQGNSQZNUrYfa8YQcxDN0cKZaUF+S5qsG 7W/WjwSOxiem0C7HZrBjbRMvLxtWaKUQ0MaJzrwFKoGFG3/IvKZ13FBnnYbf7ZYTM8rYeeqzNEgS YpDRIlH/36IaO8cENN0C9Ck+FF9MBt804KFM4FRzaMbp8Uo52/ZMIPU/rLf/T3DhLzG2/JALeNHe 6LtCTQdX6qYSs3z66MJI+++qGNmy93MqMgSLRv0kaMbT8aMaEEd0xCii0M0rGaO1Q9QHfNqivfiW 19OOKq7pGdgP7CzVVIbfS1W4BW4cJNK4v08mvo1IrBjisHAKRmvmxI2CNwRiKQANRCXihfe60mzY j2L3+rmRmyQJyjtqYnfZcKxSgkr/4QT8XXaAcW4VXfvNYIdTXCX95tcaH5ANfQsqWr2dMW7u5A28 f8UjdxQRO0iCiLKFRrjJNMUaThbd9JWsx4xR1pvKrTPHmiVgNt9cwf3iOSt3e9EQwC08CI69waJU G5W2cnVgWFPYCs+KaKU79qw7ZSTg0GbKp80wa8QCEqdFqmHiAb6Dq2AnTjWeHG5AGOUz4AYKB7Hh r+34MKb82iH2DIazrljVVx1lQlN5qbumQ7drMgivHJghwRApdiZf+YXS9TrH5kp9JZHH09PcG1xZ RkIS7CYJPtLyvR7D4hM5g8HWX5jayUHVsuHpBnopTC+SSwLduYI/X0NOPbY4qJSaTNG2pWGCxz7W neaO/2pkZDCQ3hLFjCzMLyzFgcfQtDlW48mJnAYhTo4ZR7IM9jYOr7Jq/Sv+ii1SNFpTD78mMmiA 1KHgg/jGHqH2JmmKiO4mt4ZXhvXYBWGf8S+S3BzheW51+Whgtu/RzuZgd1UHC/SNkz3XR72EKtBu RyRWSd2gbzbtBQWIQIHgFLlWhiNfYpqdotchWCzatPau++PLAVRosM4FF65/fgiyEDtKzo5Jz3GP BHP1HJSMZ0GnoNWtjrHk9gqj63DTOP7UVnZMQTnbZi7YvRpNa707sWc+B6Fux36HYK88EWsGPq5J YrSpGdBcssdM4V2diUn1F7g9n0n/ofNQxEvDujHdSs4qcXqMVrZB6RVZwvsxRM1IvVYUx3gQmbo/ 3F+Ypt7kab8kscXRj/mMR7gh6O6hOHWowTqihifUYPn9ouowCM66OpCU4mrltDndMfV4vq8Ng2Y2 Gbw6P7ukiS3Ul1E2lyIO54Fr9DgWCvCCtN3qWg16gBdqJkQhrkf2fyG6pOy5AHVPDTeevxXlGXg4 pXohqhmH4+C0pnyAdMbBHIqSeIIEGbfzn+739unLfC/0aGJ2EX4HERNzCKYEitnm+H7ZQaxAvM8v K6hU9rzsQG0cTO37Lc17ym4Ng6HOwbqdshe4WTCrk0dDFQolMoEQp/dbE0aVon0HFUkTaIfVzcJi RRnHh7ftumCLuV1TKDiUqZhgy2liLB4z3pfs3Uwo1oY67c1B6UBrCFKqp/nU3Zay9WHw8bsNngQn 8zmdquPfw0Fceoig1nt4LwADt+H84NsjN1MI5KqSqINhQcu/geWEd8HuZZF1G11e1Y4/hqJ3OO+7 ikOKD6RlY+vSQxnhCV9l2atbw65/Twc310MW5OxvXnzIpYY+5HSoknn5zyFYMeLhlH5KDbqM6D1F iOCgbVpjDvsl81+dyacoxbZKa7X7nz0NjNG+xh4KZEz7DEE39425qymG4FYoLx6al6fPh4VdqUUJ UaqlvtOyBA1cs/ySc1/IC6rCuEE9xZs07moRpm17XlreQfXWa9GYuVMZ0WEy8w8NJRY3/bOahfFh 2VQULXHi9CnGV52zzaMgEmpqxRgWkLMImrRy7Uc1Vit8qqwECMdsGmFJoAu7+BuZOJOo+5C1WmCC I9SS6ls8UIXZ8zejszWJoOZgsznU6mJH0BcKqCCFTn4mEhvPSLLC6vYaygLZK+zAiHmdTP+W/S9n 6ysOXdCaFtqfQwa9+a5oK/Gp4xSiRv74dZcXOxXt6CcKc/HS4SnV1U0tiCPsNh+1eRGxO+YAuFjg pCUlhB0JTjHe7FRqODf1YSu5aL/5Ps7jwOE72AFo9D+18bsfRqJzDa1C1AeQ+tU3i2MbMcCfFTZc MX4PNWDyxcQmD8HxDyCw3np4H3Tl7hlp91q6u0eB9OPGFIOTuVQ/RX7pseus1aV5F8IkXATvLB53 dS7cLYNWt+w7DR9xd64kRoDybQ0E7kWdRgxfX7UWZGZjK+9tU/tIXb0+ojSJCkJCnAUb7iHBmcgN fku/1OOrLE5EW+VJ8qXZSirGsM4oz9S6p0jTl88HZy8ijXRCOp28Na8Dy4v0kRrz8CRTGfnBLbzt z+Gdb9AlifUmV6OlAWqxzZ+CfuaAmvuKIsGTzW0wbWFN32qfqQPrEb1CxGg4Xk/wjdhk7HQHbSv7 5EkM17e61keM/CnprcFFo8SQ+bz96z7QHH8V5TZmxrPfY6mxH830r6YqAsbNJBQd5AOwM2nQRI1e 8vvsS32UQNwyIxBxuhKHqVc3I+qCuefKjQIY34I5B/gzWBxcF00peuYGBv4uycSuyAa0lf/uaUEB Dn+o5wXBCwjuqEA+KizlPljQ9KhhDTCyy0HPL2glTzFcx5IHWwcDu99+uiXGLKX7Da+fEOpmCDUX zw4FxgySda7x+VMjbvzlUot/aZXdnfR7XJuzN7s9+Sh4RqLnO/Fy70mCbgkHldOOxcARuPOBoBbM Cln8wybik6u2DfoRvzAqBlX6qcHVoR6RwwGprooWF5H9FhL67hlBC7TlCKna++rhucquB12tatbI BBEZiSRFLpHW0f8rBYKpjGEXBGsSFNuAakMH8rmaRYWpz5gQmKuuRLgzJ4p+mAhD+838ay53+JsV 9nk1ervXclicuR18EscjT6sjo2yzwHQ72ze3oNs5qpDuazXp8GmdXbH1JlkyQC0tmutmcfCprbKw C2ISbIVIp+GAoUe4wnua2BAVs9Ue9Y6TtgYc9Zf7wjQPeGZi7n7iZnvFDztj9fWzkecYUITzUkyP T4Kpnw/uCzr/IxOwM8PX5FfRjSyuvtAdz4GGOwriywpj6CzWelPOmgqUDMRCGBffGo8qbCKq4etc Of5UpBpSQTbnifBWAYPWwyljrg2YPOX0g1F5j87uiCSvJLPACPJ93RBsybDW48SEwhl4Oe7XKrty yXTap2VM1bDLWOvfYcI2udvJBK3e/Rx5kNxGrD+YeRenqzmGRN/RmEa8AvIG+4dTtGcgQX5/415L 76H3RcbvaIzlLFAbNiTVYOZz9NqvVdhXKH7dq7R2dD6L6Hbdx1U3l8kRzWLeSFG/SPo7Y8nKGGfO XmvWMbIpQJhS09feMRdKuBRFl6oVzSGQrTI57lWkpWDx4BnLTqIETXZop6Rs4cTC5ATrj1YcZ+ex NG5bOCeuPqLRaGwcuKoBKHWd9XdaWKvfIUNbBwdeGIAKSm+aesrT4nrQqrpCVIF5YSBZAHXCwPAO cAPL8+Qlkr7ay55/N2OxBxzeD6TmHmFxha+cia0OUIRgfoZ/SW0TqFuWZetT5zcBpK43moA9ewMh v2n8Ei6BGXfc9KTNJIctnlrAVR70dvW9bpNk+RLehvd3wrhDkye0FslqsQvwNFUf4DlDLQ1RMrku bnNVp+4W3SVxzo9dxEu/h7JgQPOBxUUq07BmiNVexQbRydWNil6bXt2/5bi6TxyVy4aKtnKh0cbA XCcFy2KwUYPxdUafQv9VevMPhuyo5qQpH6IGgHp2Gcf3jmf9yXbTOMyOGApittMrbdCRIs95WoEu lqakOK6CMg7OwVAYoWIUWy082cbkGg/+p8O6sFgQtkMrV2X+Z4PPzHbtf7ttLGYpEM6Q3b7l8QX8 4Vc+EX04wybG6ER9qMufqfrhi4bpuimrptAGg5nwPuIzMg0UmaDn+eMa/YxYk9/uHLcRcL2Lq7MP an6LhWfbdNuNHHPE6PAs8ZmikA//NB7YaKoOGLrqKrztj7Tsj6qMMKishEOrOLlRi1yW6z5JTqfR 65OGEBFvOxUCjy4h0Phyxo3SLV9okbAQa2e80jNmM4d45Wlf3jWW5OFE04L2yCl1jWGXW7v5XcIa SVl36cbfv7eoX3cr7fB+j9kXdrJc0IPkS9q4PxcdqWzlIU85wpUL1YpFfZFXK5VmOps+SXFLa4Qs m0YeYB3DlG8ntrb8MCbnPDCPkTYr507k3hjifL/597sOnmWvcMGYiEVwPLxRRBAfYkqoMNYvzbjf 6CgzTiKu1FnFKAl402SPJbg3RDwoduBAjUTIQMB2zXXK42MgDqnvdqxJzUaNOxLgqoB0N33oOPMK rj3JMIF3tNZqqHioVVkh/lUh/Yv/tIVJtvmKR8HPbYImcQ1UkQGxyJ/wiqiZMp8qF9M35rygLB05 m69FggWJQAKHZ8QPY5bEWGDSp0qnWRkmMvvrXjwGx/GQ9o8OMif7I1UfLDiZYhV9oiiwXi7+bKtD qzGqwMNdu0u72dtLuUf+3WFAuqOl0DqjNeCU2yra7MLIj55j+yyBUyQfhLQEy7Q3YjhpfXXvH15m JLNCL83F1qZurdGNlrKcSJXtu2c74DC09lUlL7qP+nP+VIxwA6x3rK5IMLcBYMM03g/DUiXwZvkN ZsqpPO+oM/L1gazIanhJHhpAAFKvBqoiru4pJhI1ituvO3onpEziUtJAN5chajMVOR6hl9AMmbTS 7PV7BO/fPivj+AZVDS6Kp1PO+NZk1ONBh3bH1ZEPzKiyynza1wMrtKMXUOzlkmQ+8LFtmFAuMsxs rJtdZGN/pfGcqT087RDzjMcPMmctnbNPpBn3tOQgD51CZZ8hUPQhrKLBH9CKiBGcYgSaN/Pi99Wo GSxPuZHAQr/q/rikwf1SvG/Pln6Of1Rp284djXDPKLKqfa9ZokJ9eiyrd0tj52jIxAVvEyAvNOD3 jBV6/7uTkyCry69Zz/SuxhUjl86TTXHYb2YvlrMGg93CiL5AMQqDXrYPaQue72LhGuuzIEtxPEeH Ej5MKoXBUHw8PONChEU6+yMnLtx4hn5p8yLxNx9oKZll4X/OfJYVMFrO7OoQoQRfE/jb5CE3kDUd FA/fUELyy0H2Z63BjAkYpCT/oIhGy8+gB8sm0JzUy3/S0xptLyMpKJyLlgFS5jcm2NymX8U0MSGD A55e/2gvOe39Ms5a3Est2oJMOiOHbe8k/QyLCXVSiMnwcotEgdlqfAvFtceX/0GP/rD4kjIXtGNo TaWHgkBNg7QHNos66wgQN9fqERwOY3lwzL63nmwkHcBlKIFPeV40aRdx5uYOYof95UeZVFjao1M6 /Lm3n+vAqcrdgTOV1HSkhongl0oA3mG483TB78z3hB+XbDw64q9+nzfIHCO3NhiXU8zC9N0FkgyP R5H/JCy68agE58fWYUpX8vueqmMriZhF2KjZUqlWfiWnjYssWddO4JseXwAeESLB6OlMKVLn6lvA t1jTpKFd0C28ByTdt/0BryhKwhU9itKzNVdM66yy5V6icJ7HsEV8h/leDYMAcw8MuexNeOR/O+2y sm2sgkd1srfBtMd9y8OUuWRveypQ3UlIsS2ECSOmpPm9zI7pmbCI2TSZp0E81KcllIlyTuRdeCNX DT5VOGUFelAn2OS5UecUqqaGvZgblVOv338au9bsnut6qJOUXaQ0eseMunyeaNvjDSF7SV989q5y BcrCxOAPldMWRMbXU8asGtgyeNmard7mQf0wrHzSqTkZ13HLaLyJ8jeTwxu4iiLP0BDt6Mlx0hfL 8UE9RCGsExAjJVKDAAkY8J4GTCq92P2QNDmCJCnwUVp08ZHcsOVJlAH/U4IlJ2gG733FgYfBiGkf GLd72GEGGG2dlhSVf9+wPf4QbLo00M91mBpvESKbdVdS/mkt/WRWE/fuLNFC10OeuviL7IdnuVdQ H0omlunfSfXyn7XurNqzZjyn0Odea1kiTlliETsx92DxQ+NuSt1l4s2M4ncPdhOunPVMV9BFazaa bzv62t57q1mrQkA2GPTsABh3rs8WbQNYo8Qr0LNn3yZHVtVgatYkX6wML/RHLozOptoGsnbqgkvN rfl61XACOvjGctZx6zeD2SbMLg0yJrdahbL5Eau3rRPiyDJUdd9/myEpSIrhO6wfF0Zjw9zILxly wfSbzCWiFQljYQd0LI/DUSR/l8Zt8DwZeiyeQ2XOcI4HScWDxxYnvoOUwURpQSrIarxtWaqzIMKx 5A8ZocSboOon0ufe85fLa8hk+1BqcxnbCAJyAmNUdifzzdlwTzRUvdkYbYZ55FWyFz3AkjtMwLa0 SzzHx6uag8UokKV3mlFF6eCBWETM/LnW42cE1oX6wIlsToJVPw79T5f05OV3QFC5uvWyTUqvFur/ K2TgX1ODdNZWDYAn4usYQii0W7xhRat2Yk8YFGF30LzPfZ7mbAqx1W3abqOMHTVFl+OIVsYKbM/n qkk6rJtFIaCB/nIGEbEuiIxVCZnMT5zdUCtbP2o6B/3UbjzmISr123ROekzorIsDSSLlxi2iGVFY UltL7X2p/Teh+YlIKnygbIlreciSGVcF4EsyL8hVckc8YBlYnaRv5lRvj8+H9gPpxq8Bk7JLQf1d dKSv0iKXbp1WtP5Kg28YMKO6MXNQmKwXOCdyOTWYXnOeCPMuRs/+qZXPTuQuOT5Q5gReqptCG4vQ 9c+GKj9+RAAZC1+DrpHkgCTZyRam+xkBqdPSEj2gE6ed4gCCVBjKDr4N340MTW6qTDJUpEwk3P/K 4xrVrwGk2YCB2e2aePBXr5Equt5dIjKfyNmTZVZDr1Gsnvo3WSr7Ds/V84sCQdpC1R1cjK8w5SSD p3ykvjBLKsHUDCNn++zSNyrd30ckXF4vweWD2kQf7qYXQGARI+alBg91HQ8qTcpjGCPWek8U2aZa XL5Ttxso3voQGnrmGXJk8nJpMDMiAPTA8ATRhGKjWkaklhVJtRsM9NQuRaD5+8J6UzrDASt3OJOW Z5yhLFkA7iUdK4+RSZ+lguFb5zE/qD7rq1AP++jWG4n2DBRtpF5sNTDx9YBqZwwIIGMJ1gzlMjLl vcj7fI3xgP/Sxn4yw6TBWH5iYJ1jPB1hVEKLqqSSBL0xtCmu2uFP0f7thdT3XcZZx1OfKJLuQfhK JMhzaIlFl0D76hwM6g5QSXW8yAPdHDpFv5lhKQRrRyqc8hS3guTYU7orw4KsQAj4R6azP3shHpCs 5SnHQUhXLPzzZcBP3Yy1e843l8Qxxtg7XWFFXHC4tKpX437p8ZdMlCkia1BzXgqgo1yUELzWw6NL SFNS3xtVGgaWhTdtjyxvW3jg0uKx6nZ/DDiKCGF4yh+P1qUnVZwB+24l+Fer9eFEtmtNVLWXBoda 4KXxIf94H0wBL9PksdE6Ckl737cAl3B85m4uWM/f9W4+L+CYF43dnOVZjynTC39sIKSJ5V913w79 gKjjCMwsVvV2vo/NnFxrF3sfMlwRcxdDKBj7T+4HpJ5gDFEZuMI+elJRQjsOksk2GS4Vn2APhhTd SyGPfvSdXUqZkZh11IjENgsSFI1TSodOJtCpTFS0uWgm8iyCsQoM/Ve+asY8NJxcIWNNRlFSd8ro 2t4uH/PaPc6X7Oi/5elnlUGlx0avQny0C/VBZ4fr5JZaaMdrfmi3oVrhknoroaTWAIyJhBaour6J osIDq8AAYXtDrT0okMU9L9LjBKq7IWEnWZA7jp2EgYExdDic9a7a45IOe3jAtiXKz+MD4UnKkt6J N7u1lEAUgbing3HzEdJDjoDiN3l17em9UQmYktow6GmDrf3R4884OMorHkwALSj9NoquVgEnQpIK PS48QB91EOIINXZkuEitc4dL22OajvsSJMObk0cZwYcbegF2YyaSzN/3evBGezh7XK/B+CHQRv/A BUMTKlSanIK/ZNd4J1orxOC7tr7Ru5Y2VyhiNQCjgbUG8l8v6CQLQRu/9jBzXpEEjv46QWrMn3vt YTPCBspdgbv9n3mKnj6jmc2vrBuFHeJoGU6ojFgm3j53F095cZRTtpJiyEkMR01Y+yUGdHKnbNy0 JqQo7NEnqUrOVdo1lBkNcvByPlO4MPA+y/JT3fE77t1Vsy58bi0i33nRPjc0ShI7VJtyBKaIQyzT eNsEUjVx/WhwpS5yyrEIi7X8mqOK2k2iPOu3AUo+/1Kg9iKXz7odlWyP8I/XrLiVVGAHdzb7Msq5 QvjMmnqwVZlywFBnSWrEIjVaxomeyE+vkTavQi7PFaqCjcyuiWwQriaKT9bpITsMQJnwz3zMQ2ch T5p4ZTcbppwoH1NXZ2iQ+uwTjFrgf3aBmnt5XMH66zki8cwBaLQIUbyuNXPBD7Xy9pZN53RRAYix PbGWlrtpj8PAcy2YQEmqH6LHiXE8slWroYEzhhuQCndYCKorWpNElUMP3G8X0lSwGRneCO1x99It sItH6IOryNGAqbFS4uxsROe9oHjfBN8qcnla1oet824Rrwt1I1ul094BRaX4xBDS9g/wpCAJrXf/ UCyqT+2c4mpAilILNP8n711rrYSD/m9O6Qj8uMxyD4Q/IQ0sXgZ0P3zMkvZ9qYFAvzSoBeRaIkNx n5aLU0xuxSTuKskPL80/lHx0myArYLsyQ73cji1cVa1Vl919PF1GIo1kbeu2+CO3/msxOmPc+Xfi zJvCF8F7Yq8tL0X+N6g/1aAqW+FjCkxmnJVKHecnUggv+KyKBvjl1xOuF4OglwFeIdjxZqfSbtxZ uKs81+eY5s06aobaX7L79XIzwTbY6hUEfEljTtGnrFabVArMnI7fkKTpWaUPXHoXMz6nq5NsJ5Ir waeMA+627ShV3KNtuUjUqgXzmTSD40cUrPwUfWuldwr9IPPWGhTtuV3Ys7MRyZ92yAQ5ODVdFcrx h2OTxEpSLGWvo/wOj7UEIxFxU+MB5l8dAp/20Gt7/5UFaUMc9dxqFjrXtm4yqwOCukLDlMDcqUXj lbZm36vxIJ0zbLeKekcqP2cwadms01JIDqxorzEK5wQX0bdsrtwJ32xknx0bOm5z3yosXZiieutg WE/Ss+9mCyCWGDS0RWJNshtZYAdNaXBHhrOjlVCgwEtCLiqYvgG5Ym7f3hnT3iZTUOcTqPKb/L6u hro9W8jvHupmffajnSPtSACW0Quf8Tkq3I7yXj527Ghi63k4+5fIN/Nve7Im140s4y42RwAzpDcV VZgU7Ms5zCVQvnBZElwaOMtDTI9MSz72Gf+S2kefQ1iwqzej4/HNyT1igps96w1Ag0ww0aK6daQX rR4wkm1rrzkLeKSK2N75PGOAo/zz4sAcZOCQYSEKB2b6nXmqkAH5pkI5jWI4ZzVcIiVnC9LmeMxJ KCL6XQhrc4GuUy707DTWikyUbwUD7YZH8NkPHRJg0Gr2QPJ9I0t/OCNdDBkVRwJkueWaBZ8iAwA/ oWGlOOKT02+kfYy8IfiIHgp/zpsO3z4q4Jk6th8y7y8K7ekWxQJF+uwzODNlZWNteWQxKk5ITUQQ bMQA78FwWWUaogAbw+3EOapDmYMORA4DkEADHJK0fu1+ACudpzm/kcuJ8R+seZM6u0h8ZzmNIz2e YfWF3m+AoXhOydOowrrYoUAT0WRJkKF8pYoZypONc1N2I8ut18E4OoJ1Xu5d5W7YhoK0LaF5Vf8W h8LgkHQzPPx4PbeDASeG5GhCcOJ8PqpiNQXysVtKB2d0PUqDDNxVvRgiQJ4BKLFJLemNRgXyLQ+K MW9b1+DhzBGnU0C4whqWkptqmFPyjY9KhXkzKG+7qh/KpVcCaia7OvLV3FDeQjamQnK1fyfTvJ9x cWzQP5/YVVa5YGpJCN6olOlMEWLXTFYcSb6wy3EeRK8ZCasZ1Dp2hdn4bAi8+eClHOQxmQ9ZUaiR QULjTR2MfzT7x8zk+TluKNO4BvhpYsPAlq5K6jHt+nPEOWr6W8t1617SIAs3AvJXSBxcb+3qnVem 5DKEjTQwpd4+sA1LtKw9uX0StbLIHPdSQUgx5xjrxygUBnesF6yGLauYdnr4N5SLgSBhtKzyWDWP vIdmP0NPkmlo/YAyIgouKJS+XMld4Zrc3SPyavow6OHAqfp3fcYJp6owVCQsSJXQ4g1oBKObu39z MxT68bkmALi09B6k2XXORwY83M1SV3Un01DapPjYZYWMaVLp821wDXwMZtL0Qsd6wkgIx18AE9pV SXniwb2OvFkgRJynwMxA7+VHKcA5x0vt8o26Np5MfzGoFgFUSLd0Ot4dCNTgNwwZGBq3kTUgjvJY KW2i00W4ObG1OnZkdO/8hls+wkltSFzgje48FU45QdcEJvsSk53xVfPo7DYe74gfxwu1yhQ0rAWb WH/98HjBmOfbPuDprILax7Lwl2KcLkjc6hOBmECf4BKgJ/abdAgEZvZM5i3Tw+7bazWVAS+isuw1 vvSmefEfyHIDcCVykjYm/aa89ZBkyG21+fkFqWffBbcV+reIcGyUB4TOKj1WRFFga2AxGtTo4te6 sgkd6kNa+rxQXuN4CAfp3hySfj3zrxtByD0HHnG6AwYsC+DpmVxVxYzChws78eld3ogcyf4OJXXQ eEkdZcxkjMvLr5vFQQUg92wTsE71WccBwp08LNG1mm8jQreKbU4pnTXhVFtwJO+CdnpCsSJW1+BW ku/jFF/IOuoSjJ9ELxI+XKPFy8bDUu7SPULfblzj/lXRH0SAaWr06nUdn/Vxo2T46o4okYMUmlbo RzDU+73HEOClPJeTssAYpm2dYRrD9JW8S615zlU4jkveMZiKlj43+jDFEC/Fzqw6sNwdn0UQaufI I8gAquNzwwHJMlwJAXOqvlO2UZTL9mjBCoGTjK2ZftPnQsT+eHFmH/WoxRGJrkHvx3UQG2C6tKgQ JTezQLh5FbP5GA+t4IlbB2Gnsq9SVUXMzgwgYHqCb7g9uEj1IZUIOeCgZK2RMyJSHms02k88u6Ki bJIibDhdUoewa44Ce5Db5Hw0M1Uu+fDb5PqMdxuWmwH6Z/yFvVNAfyc9Wz9uhKyn/Cln5p1I0vrB Qq/404hUNSvA0OU1z98zaAxgsgWCvEKX6WM0BgJTjrbXxPELlMkOhlebPpYPYCZggBAnESxThOUx sVZvR6JwjOBp7qhaftPGZeYiRoX5TxTenGoE6goQFLjS4bUfocgag3j106gMI8fNd3mU08ewATlc BKAmoGB4sOnRNgKKSJKa4vwIICL/ZXz9HzsINAs32rchR0wEukbcTcrUPhTv7IBMiGrNMK3yvJcM R6vaQYRVKgTFvBIWA/Gg4wODdm+YsT8NQktAiAJJHcx0FOJBqDHOc0wla29Sy3PlPbVq0fSTye8e sxnJk87ALbaxHZi+WbrfPhb2cGf8FHJ3UVULoiwEkki+yau2l5pG6Yu8Y6zLpymFug6TIFcu5zV9 b9bw3aVzziHAhGY5e3PNZd5HHMd/3Zcy1IK+JrNIRNz7aIdnCO104Pt0nS2aGKgYD9+q9grtZpc9 sKsG/rq8roV+tuESlADSOlctbQhjr5tNbY21rHSFfopBb0GfAgIAnR0sZNfonBJ4jhemh/oDDVha g1dMrn18judK4YzzdckTbEIerKojeQwE1su8kikPM/0IBh4scC0DlYZ48fgtdvbz9IbgrYhNNG+C fBfsf2yM8NSQvui0FKWfgV4qovYvrXi58k9wMrI1cuqhSDlGK7ANIwWBntKFZkriHZ4Bwm/H9m7/ Xnuf+kZvolNLpHfabMy3NCzaJZSjqd4+71jToCTSri3VIH3vjp8jhKYX5HCU6wVEkoqElVzQscwC 0tLOmZ4J6ug/LS0U/xMMCnnMv3dL5spUANEZ6ZGJxptZASwNWdifx+7KI7Erue+jjHztrPqo/39r z2QM/AXmDN8bed4MzLz/zkVGrQiTiAuUZl8lsOk3g0il9V88GwaNEPdA+lrUo8yXNbBPg6CM+jXT IEUhn75rUIP3dlNHW53UOMlSJu2+p1fLtJ5norK/X6Fo9BmTZ4vshp+FZIL26WyK7XhQ+NiGopSM oe4volLjAkLE9roD/9utYMVpH52QH/OG0/NzJIaBXmWc9IU8/e96SbOFIN+qu+JAOGtHiSQv7LQ4 Jr3xPeViDiAMUB7zauyTXfuSpSQJgJpqEJJ9mDy5ascaeMxx5fDitdiBiS8AjfbGfA2jdy3JCf6v 20jQJkAytLsILSXect5Ls1/X0vYovkDq0ufe8SP+6kufdFgyHsj8ptD4gQAglNykkmZJecOOCfU+ KZs9vwDRte/3uclZPq7a60FXp+6E6LydKIpbeNH4jBOb4BlLbW4RWo8uqvVg3YizkZUls/BbKPbD GLr6+Q4mWSha0kd149K5cM8b+N1Ck3YyPIV/TvtQTwjzVAQsAGSazhxAwaZR2XjFTvbmER2QiQUr cmWUUlWpT3SmByBtCAw++O0Z32wj7RfvYnNdH5SwxxqUnDeHWBPLgjOn2i0dME+i9292eq9y3WSj 9dHFrOLYYPDP/6wk3jaXHLoH2J3hXs/ocoeBRFdYI5Ed3uV4csSn+SMsdrvuxV3ZAwNHufunbpYO iYK+z9b232boNTua772z3hcrHMTRNn4Eu4vFRqHd7PqksbW4pBc4i5UMbMkb/Pf71WEhhZpZ3/Af qQB8PMcYVDDLvMUEsBZsRqQQ4HQKdYRMPFDjM8GXNotNBofIj5GZKV+Sww0AOe46B6WM0ggsa6ac FbYGq7jEwYoZZc4PS/aHyZLrbfTNCcxav64ZtxUMnbs324Lpx0adXd0aB98S5jaZNwLTFl/2hc2v veoScgmQvO7HKfDgzteqHIpWdkTO2VxvjeeL+0SBoV3dR4p2aFc00014az78zQXs5NJ5VWxIUBGh u6x8/bj9YVvtrOKMEQ9JGviY/3lUoa8kShjFiMfwpI5koBDMD6V/pW0JewuO5DScDuTMpQIvz/+V 9z2e8TqhbP1VrdSrVlcicyDw4sJreVWZhSyoSI7Tj7g7dJNjzPaSe8+2WaJsxEvo49BvL3u8OmGb yeLQesHkASdlMnzlCalacJ9GB+riEoVyjT8JEkWFRgHeZgWb8srvn7ZXXJjKlhlWuOLXMrN1824C Q+m4G5xXaRq+5WRuz44QdLM4cWkdwoUdNtInj2MukCSqi2ubk0Ze8McwmqhOo3LyQXTB7g1Tcvaz xbNoVfXn90f8P1MXxekbHnKmBySwFwwVPD1l3Lk/L+hlQnQixHzz6ZkbVZXwMpyeyR+UhtskNDzo M2b4x2UNmeaQhEJyhIXuxAGdq8NKvos4vlBTD1zBecMWBz4m4rT3rS+Wu4hxb/CpEet8rNMyu1qG M0D1v51kj5PxsdNqf1Slmz+gbGtXtMRr+gTNtq+jwBbduKQ4rsDQ3p1ZAsjL18cbSACP6eYqbk9N 8O9EP9nbi32C0uGLq+dK813iNY3dDFbrwre+iyrV+gGp75m7a7dX/J5OQAF82DVTpXVnjDXvZKzB pXTZmS+kOQmhNBGbW9kRTdUkfAIs7KVLZfsbPoBRxh4D4UvgtKgQ7uEm8dK8rOhVE48p5iB4KqNh luiEZ7w+zmqqMSrqC5JVt2nlYJqG4IpfDjXIJw1lUtmjK4QM4sRyrG+8xjTEpCKfy9g8pa7oB6gW NBF+QFK4MtB6KwaQnVUv2pOravqSmDsHUOvLAaSa2fS1JfOKsG0tA21Znm/kgzW48vDKkN2Ux3UQ 24xdD6A80d3kBFqgJwYSBV7bWgyUCem7syaK2q4CqmxJ54u5h7mYCjNYpkCCWuWlhBb+yEL5aTO2 tvIMBeqJv2BT+I7pE3INfG29TyqOOchUT7KK5SUxjlBL8Ph18rlWyF1vUa+GiCUyablfcVB0Sqmu W2nAt8NsVsngTA2EShEFKeI9IEBWkmMWbe49rpYENRAsTRO7mTRjfHuP9mod6H3sO0fsbVWXryYd A+A9EAwCvnEhjF1tdIjO2lm6CjN4bH7EjV5W0W676XIJ9Paz4174jmptHYpIF8miVEp77fQPGrT+ 2sUAv9LDaCgbt0C7QBUohCuldzaUo5IxmksYPaLG4RUoQ/kh4988AWgo5arAblq4qtp90/m58rl+ WfR5vzFpVKvIJa/yWjh4wf+7HMspN4K7gjwYrDRtCv3OQNaKXwUTjqS+lT7Qyhimy3K75MSok/qC Zp9KAqXXYnchRSWi9kTMtDeGrf4nN8L3sIJN2Ak8WP6kocioOPxEMNyR8edrPIFG5ogmvNYSa2jR i+UZpC87KeNJ+B8rTggOKZ2a+04ZV//nDO4+b5tYGvhA+cN5LAyCBM1gB28NPJQ1rgUEgInJOr+D 6nK9FJEy5EWZSA+m0xZyB3pZy/sl8er4i6epAkxPCH7xS/wLNvY6dT7BHC2T5sblMsFtFLcJQbkK eyKBWSVO/Shfx5nTeMZGXh5BI+wGuSSsXjckeVYLtCBN/UjtFVs+YZWMOAK5rZb0hQSl1SxEqKiD tZ0nbB4wkk8PGQ7jae/6RYj0sqfngom+Jhe18u/Onf92FGmrtGuxl8pOhNV4a07XjkruIEqXK2m+ TiuFv9RJLdEuEkJBeKb1lRqskhGCu+Mx6LD7EZ8efmsqE/Mh5pH5u7ZbPFPPUslwI7Zbm3dqIgJj to6gcqGsgOnmFNrWOYc2ifZOKsUXQCm6eSF+FL0vI/6dYjKjz/+aZ9WxH9rkdjbflGMXKNPCuSja fcWAuPKiuaXgJOvG0o5iwpJihZkik8q3/tcm0E8pegr2vT+GpGBtQCeIZZf9F+JIGqNPGrvLbAcJ pPFFxxTqQWyg++jtNCaO/13qcYM1CaBK/j+s/+4weduFV19RC8iLVBKmuzPgfBQiMc1fQrmTw/Js WhdbRZ+oZtcXesluOjwFfpfHSGFHXGLrlZFHauPmcz4+Nd0dpc2XAI8vdkpdZuuBAL92Wmt3zurX y/yAX2+Ts5OwD7wBxPraUC1DQ03POybqITcP51XxvoiWKlgHQ5Fdx9mb4Y63zqzcdIcrSHQfJ8bN lREGE3At7nxEDtfJu6u+6+69KOcWDrBSOVqBx3DomUMPq341t2i8c5ZSCqkaZWKHmMS5yDYYJ0FT 7ynhZw0/rr0EqOqGdEpvmeYmzVrrs/Mms0QX32Z/JDQvwcPfcnjFs2WUS0jS+Z1IQPIVb4D3gz5l pllj6K/2IuIqXWYZcfxAK7f0kePdUd3EZkY4KMFs7jHRRKdYKcqCmHf7LcMqwGMCmVBeq3F17gzT UocXoqVZVtTq9xDhVMwyhl/FPAeYXkl2Quk7oZWrq+mxf/3Lovd412B8KvKfq1/nO4ParQM+xg1W XvXK1kpGTPvPfOQ5WAK70q3pH6iWnXIpFeDJgCiDm8doANuzumgKcoCBAVi9G14Zzr7W4MeThup3 eM+2IjNjiwINT9miWkx2xPUMp990aPPunsYekrNmkJ/IQjYPMjHQmjfr0WsOPuvyfe+b75WNxFuV aagx4UCHtkzgjmVjKJ2tmlP8RsvAjOQeMuuVWXFSBKYBXfaosy+lcPvYCeIteLMTWhYRkcJw+63f IkrddTI33PaCGbWliWyEal0lPaWJpzhCPpcUbhBsu6kZ/dQdsdTFkcR5xT2Ve48Lu28h0FkhTQ0I vMTCbtvMldWpncl6SREkDq5tsv6lQOJw67xtmxkcla5z6nuZ7HFE8/STymEcCrYwkALcweyXAQKW TAYSBr3I9CfU/aFMAJ//RcHE8OJiNE6But9FHuREEt/L2n9lxJQoqUb6aK6tq1kl7w7eZubnQe53 jV+KwxYZG4u2XC0LX1poUoFfdXWsn4smNeJPDUntjBRnCOziTNR4PowX3Ja0uEKxsHJ9+064Nh8t pvs4O5GRYNboUYqTk2GZpJPq9HL0OcZl55ZVeJcGB+6dPn3UVAuxk4TfzmCXO14N5wJzxO2WiwAp tKbqNCZdQaAXfBSvw1x8UwzDA4iWdy0a9aKyHqKpUMZ7vUh3sw+gTl5NAFvyDQ9QdgRcXtuN5GPc RkHaNw9ZsD9WZbGGcz82SM91hwmMYlXqRTDDBzy9Du/KTJ5TqTY6jzomblVdphlAtoBVU5Kmo4Qo B+aFz7QpbJ57foR24aKEhnMQY4W1xW8ZT0yabfDYPCm7+6IchPmFWNEkCJA/C2D+SAv0o/uF4pbg t8+W2v6swunG/dx08eA0aqBRF47D0FNjbLYLTl5dxSdkvs4TwK55uMyfspvbPIWsYtGecx2RbThC AfZ9FPlTdUZ/VJBfHf27aWqBRyN5sT6LsYaj8y4xggUObmTVW/UNCQpneCV7EdEg71IZwghe1m7t YW6lAwuqLqoQZLntzded5ILZVTP4u7Q57XXOoWANSq3gTmETgSGsbD0/G4IF12iKacFzNCaeiA3b vEQMQI/v9BlL1LzHNcVL8fGW+7U/7TH/jqVDMAVLa6d1Iem+L0smvf++mxJPU2PvWTJfWA2CNfz4 9hbFDvox+W1ooVsReuW6RwQUAa+kspfBfZImNeomUS2nlvMt/U4hLJd5JaZPQd9GkMD+C85e+KB8 62r8CCXmw6EBNZ94p+c+gTp8rNg4lY1kytbvV9GSq9CAokMMj2eW15EWqtihomIA7wBCH5lRN2cO xqQtDJM2Aw8lKlftGKEQWrcxlN3eGlnHdRfw9Vt1GieaFFacsWWJpD36urcYGCPXAHrEIVaKav8H /jZXhgKyAlImzvXtAwWPz1GNx8dqtXvyPt+yiiUqXeE+C2wMEoqoWOYE7b/24aD6DU9BuJSvz6IB LaY4zjRMV3ZNmoojFnxRg28wAwMnSQ2yJw5xnd0nlbbBrTJmMyUbHljbvvySFxIPzJwIiW3+pRUX WGwES5tAJdPcS8ZVQl9rpnnPQNpwr992MvnFzBHUY+9lpZQvrubxB+sLYbGWy/K+rBmiBHHbzihj Ackp/DNOsh/pj+IIbMoGavwUjareYob7ERnQHKCKVUYjT258MJe9w3uSHTJTvr1hSeGmgX7RzlMI eJbmkZr93mRpK+rBNrnJyD4cRMvXUwQBK5BoXNvA1miTuDrIpiwmFy3jCJHL2jtOnnvdQc0UUGNf xnUCD/6ogutk72ZCuYbLJFg1P1567AcNsTNagU+w8HqruBbkr8N2aVktEyihO/4Rd85VH2cl/isY 8hQFBqQ/f/dWL9SGCRBSdEZbZ9Vfg1E7UrY6yDWfltpOXraYBOSLx+GWr4Bf9L+29h4kFqciynU9 gagqYE2oxsVAyNIUR90LqPZwwA8eNhAe9vw/fgS+zHqIQfTyfKMzdHj5gg5E0ZzRNNpDvEoKRD7J 94B/EHulLAbHdXcZvsyki3yOXwQujINVyRk+X/4l0B56cq4Ol7bP694LcnOlR4xBJDLPHB6vHE2u urr+sAXHmUzEAlLYtXwYywHYu69jXe2Kt2o/GjQ4YKFdUcCi2NjBYS1ex68q7h4jLgGJPeIv4F4i vhhAXgyFCZfre9LCPXqGwtTbzzWaMGtofzyLPmxhQt8Doen4lv3i4Ze+9+FAw+i4u2Ft7WpHUM/N 0/30OneeyBRn/q0aZioZV2uHi+hsyqKTqsVvK96El1JTmUAaZxuy18l2Bixb28W/CEHf4Wpi3Hi6 25Q3W63t78FvzsgbAwn4mUSIunV3tMdkJaxs2cQgsnDv/1HzLwVcEPfpfD+GyqSj/fei33GLjchS xYuHrIMV59hHm428g4ATnMHlnXHIgIJrOzzK48AOg/czswvMd+Xso3KkeENGdVS9N69iub/2DYVJ YSU/o/5/dLK51+E9PT+EQ5+psFEjYBer6bax14VuIl4pwig0Lf/15nlWqR6r1l4M0T4PVJeBav0M I+cyJDoORmMdDnQXDs9WnOZuppqM3PFZbeg9LHXE+YvI9yyxkY9zSHAtxWJp3cicx0mSjBqBq+5o jt4K8cbeVFipx0g2BANefyENAFfiDYoOfvp9Qq1EJZngXSl5pmEUqkZxNf9rblR2edJyNAqfVFLr tctZowtsIa3eI5VfBSggrYbNy8tbD2DkjOLN/f1p8RDreeA3TBYw7Z5aIPNhJArkH5TZTS33PilW iTliQ0lxLo0rWLvymr/zAN6W4E1Z8j+PISu3jxldt24MvnSEStwtAXdXm/5pGRK9MR39lfLvSB8Y NQqF3oveBodVZtOxrct8buZ6qR2aPOhvbtrQ0NpKliH+Vex77gmsUW0tEhgBN4JsM3RrIXgM4eOh VE9kVnYeD7idiIN5boneldYf3DSgD2dREGEyGa12KKgqVhpNJyF2ydS3MXEye59nmb9kW+/l2DTD CxHjuYiVDBEcK7V2XFBFvZjzZef1ELXzNPQxag6xFw6uVzgKlGif5IaqJDnDsGkXzdf4qUX9T0Du Cn+28BDfAXciUaRMBCV48HINKjrrOFTwGmUtsSFUHU9zNRh/xlJFdt0sIVlR5Q4nvxtI6wf/3eYB gDyWAvtRSlZHDPzwX1KlMHYn16hFnvGB8IG8TkSJmPJ6+lH70LavGBGB98AWmR11Ja6jpH/TczOS 1ja5oLa5DdgysG6ctSD0IzyzfJ+ee6QHwPqNCnwC17KguCC6PsIe1Hk+Oc+KAzcJ+lGWvDOUKxeO PVbqwcb+5j9arc95sQdWTT0NLiv7h5I64przy+mgGKpYQ5n95PKNYtUTIuWs8p1/keyXLTE8F2u4 +fjv52Lr+P7uJrsHMCQZxx/imz512n0cR8ivg5ZvoaZn9PSPVNpXXKMUX3s9yJgSQCpfBto8p6n3 Nuv8te/Yqq3AeWDoTUAgqjvtaT15itLL9paweIy3O1zJq6CpW47EhB0S8AJ0/jSsMptgB9gF8low A/ClIRWZz7aHiO2Ia/RMjTx/Dw/L2dwXLvugzUdf3OXqh8F2EROGNSB09eeKlL8yMgXj5oqDLuG0 Ivcc1wwszHE3X9UNUufcOtDpptTvbV6hoHuMUJATWCbkaPfNaTZtAjEeDvBe+G5cjHuupdtGFBlG m0YrGZobUwJhsqJ465kFkYoy0vPxerCGrs9GUIVyR6kQsuROEGoCTwFihvC2JAcwegG8cHlZnX0/ IaZitFafdjcbWgP0XehOqyeYrbi5rpfCGqK7gc8C/Y6hHujOdXSnSE8I5KVCEVAdZzRjJlZTdwWi 92BMnT44oHzimBJ7eSMpLJ+vCdzsP5HoqvkUaXyxBx/QWU1x0YoKWvtB0bzcdRd7LyTP3uAZKmMh 7PeoqFdRTX1OueMHoVCq8Go46xW4JG1hpZeMYk8guxjKn9AHr8SmCBmQMP9sSdpY6Z88R0ZmKKnV enAlVtHnlWXnCIc+flPGBI+NWUmcLagsVHEJGcDsRie5+RDXY6AlQA9ZC29J8FWxQdb+k4XS1zb1 6Cc1Fhh599CRH0snL8950/6x49osJ+7v8PhLolD+oZZqHh3s9ajd6GkaQJov1mZZ/GHSU1dGUHHH g2TfVAN6paXigzwNcytBVkH9T+hKkICr0HRRRXZxoOyLalY5tYYBAWdUv4EU6x9Cn4f4Xj91h63I +ZT/sln6L3P6ViuxJqT15TIFVkyJN3i4iKS1kB3ucrpMuN9RUo9NBxvF7gxR0u0fTcaswteWGt53 O3iKNrx0kYrqElCV1DkbB3bw4+kcd2RYPS8SyhQFg+ZaZvLX6QSYbcibfqejBl98eIevL6hJoY+A M4SYKzgCCMkuKAVxj6j6TciPR7N1h/DXkr9jOebdxBRme788w1ZzVFy2o65azOmBGLoVB9Vx3EkJ sj3sqhj1I9I0TE/WNW9rwzg7W8JkKqs+RmadcnTfPieJP4G+fq/aX07qrwLxfeSsqPWxfDMemySK MbwI7tmjPezwNrj3jNGICxgUdaoOgHTjL+KzveKggWZarUt/K90DvEemdd+6CrRb1yZHDHyHptmU CNK5jZsQfqMG7aYU+d0SG+g0ncSz3AfUnOVa2G14qoYjj/iQLD+0bpfyPBZUf2Ix7ESbNg4s+TZ5 XerELW7rWQvNjY3rXQTKBB+8RTUMtl6hOnWVAigMxe8mdH9j+ZlbWanrFkxGZVbWKreeu7rpZNnc gDAAQKVjhgcYSx+kziWkmXlN9K0NZpZbsvtWwGEK3WXZ31L6lLZdmzEK/aax+2A+GCkLQao2p+Dh NZ+uwHiHK5/uUjjBc1K2Uvvf5rIFPWkjwdergqCnJxaJ8J2nmJIIPj7t7sFj9fup+hK8oQoHRIv6 z7skRSfsqF8a9I7Vfiqx/oT72GjS8+f843BodrY+UOQhYuvOz27FyR9jQgF/w32YAWWXpLzhSVgm tND/rm5xaOWy7GoKYdOzsB8rcfDo4y/Ob0gV6ihe2Afk64P7yuC7WGhUKyl9QPWNZ1JuxyXKoPCT 4LapG7Q+VK9L7TCJdBcousPvA2getBPKPVcF14ZlqUtIfieoU/SDL/uJ/KpZvirjwyBIQOGtI4rB F3MD8HVWBJALoZaiT2m017wjHvdkMawjaQrdj61CVbexYA2k6Bnkdy8spby0dCTWSuzx5tPgMm7/ yGWvJcqpcbeIcOfRi+zKy0/oppAhbmDQ4kiOzQq/FHU39BjlEmd/5wsg80czEIFmodKW7ushCVPA RVJJFYEakYp8KAzfkKedw3dWU3QPgOYNxlEFpr+edBZgDjA7KagwtgEVIClE+wvmYTcNnwmo9GWX UK3roAOvpCVuGhf9Pnesr62EWsGaV/okpcUb9a+jFJqk3Br4DdNxBtwO/WtEBR3/MBy9wDWhlYMM 90/vBe37lTPnl5zmrNgWWtoXmSBiKbK+RHDXC8KYBCSf/+so86vuIlu8RwuUaR8SXxSnC0eUKdhN UrCtr2JfmiWQehBB4Sj194pjI8zRkf7UpTZHpgm5S2BSTqKrRIb0z6SP8TJSIKmvJsBk9Lxj0dHm BPJzQkD0w5ToshiXaPWjtzGnrZGU8T4KR1wx9rvvJmeTuVgkunW4UaIna+s42GjvA8W1Umu0brwI PJTe9Hry/UtIQ1ZplyA0fCZljVyhlAVkBEAYVIM8afRDK4IusNpUZrFF3b83RRI8XEsVdGcT7hob HmZsNd8gOY6lt5/YjMlUTHbr+8jdvYk652ileTyHm2bsh2HZ8dFJoRqJWOhLJV8C3IkPqrFBxo6H +iFnqPW8bRL+95kITG3EtBa2MrqtgHUVw/dicp/AedCsMCkezOyUoGOQ7mnSpJsm83ibjE21Bj+O m0chbMefB/t0K2gIDfm0dcbqtVaU0MErJPF+JpKgfWrHdYNiq0Ado/rvxxNsMnkRbPDAgdyTV1QS ONfacfFp019/GdoRcaNHVLouHFg3DuSIQdYjCoRoWEOHdvHj0I2Wgq1ls+6I7r4OE2Zcx2SPCAmp 4q232SZWndV6LTlLonztayUSsoIvrdLa/uN/v3mvFaQTfsoL1hcOnoO7S/7ohkdb+wqX/2RUhpYu gmBOEYQq6Ag2G0+Cg+bqWvMz9Wmx7inT/S86bsy5E5v3ta2O/RWk4t2lb9XMTG5fX4PPtq2N1lTp 0bJZa9DH7nOVRMTKUXz8TAJoWoNaggixPFQdvCcnZNOpzWBUfYoaWjyVS384dCx6p8drh/EQ7I5S Fr7iF+wr+FNFOgMWYR5QDY3KWK4cIZsUHcC0pEFh/Wu+fWNt6YlrI0IDFyhUacu6UKW7WRnihSXk Y/7XhiOJqPmsxdNb3azXj3oV5/2mqI6tnMEqu5nz5y7Yrz9Zzb43mQT8Wm23uCRZLdM6/cXGEJ1x D5HWPJqXyQACQvDHwILQ0oF3k/DVjuBoWQAzZcdCg91wUGoUIc2SsXj48BeLz1BDxEs0Z9WH30Ku JnRjlqyvIiyt76577cDPdKsuCk7efb1PAwrT+J1A87oJoswgDDjGPylkaLLkOEZuR5G76kpy1Awm 3EvO2oGO2/ig4odPPpXWq16BPnsW/bwa9iaDRgHBPEK4c63lNv2mH1O4SPr3GRd+Nak6roul4glq SdrHzt8bTowg+SPLu75sb4nHfIc96WBlg0xodJOIFOJM5LDLw+1emUTMuaUp8hzGEWa5G08G8J2T A0Q3RrWb81qUyypjFnlbjnXNYw2tqFlvJI58mIkdLD/Q0e0dE2hcvIRkcx0PE6aTSvSWVIKHJoPI 3SSrQy/en+E5IDcjFXaNgqRmjpU6SS/6Jx2P/GJmUnM3AefawjImm7i7fQPB2LpXyihTsCzGQuf0 JL/QdSGby/ZnpV5jXWtANZTVNRn0jTnhShP9hx4FuZLyzXVCape8/TrRN3vsYtG92q31IK1xlnKM SclrnZx9u/jlKsIxNx/M2DZGbVMi79BTVZKhdPvxH82BUmbL4+zNP2rkONMwtR5guNIu+T03tnrz oaSqQsbtBNdWy99lC3Sev2GpSoFJ6P9L7W0x2m1Mx73rs9LFZiQJXeIT95eBmzlAiw59pHJyScKb JynJe5iydtTbTjZ27JE2KmxfxfiXnUQq7/h2IVy6BEeDQqwmRp4nAdk1L4FA3xqzZYrBFJ7XSIgT LSAb4K6NuRVRssOCTfs/T/6uC0GDf2P0LZjpT+l05qB1wkoUSpb3UVGm1j8v9CeX1W4lbfbauns9 PDO6FXsZeRMpnEGuvr1gRr+f9P0L6KWfIMTS6SCqt4S+/ea2MvpBX/bej54n2KTuUmpipn/rUn60 L2irAZ7hhAUpYJYjvRXtDGsItSDYjIYMaGcRTQVlQ9hrSZUuVHMtH++9fZkU+LRThQ6814k5sePO Clj0NsTYDD+d7w36aDvADwPoTX6QH5fmRU2a/56hhTCksOY2qZc4+heD7M9kEcqdZ/3qqIVdtJNh lonAjxznGYA7qaUajbiWzsfzESsRBX9h5NNGY9mRMDgCbO3l5/+80ec72rHptXNl3sSi+3POXw4d K4XS9YfEJCs9oB2YQh4JvUYlKxAuDOCE3lrax05c/E32sjdSNkxokLUdfAq/lVassfxlePjzDcqj 5Ykf/Zr3kAiHw2y5oZicBoU6yhYTwmccgu6RAjxtGlJgkB5ZSb62xQ2kPEEoxZF8d+2+k5OpNosM t0MjqsHwRuHGcF6znSFLaUbZgGi419HeKaDgMwhRIFx3Kcjc/PV5mY+Db7IBPJnvkaqdLsQ+tWJZ R9bE+nj4PzUUoIqrpAVJCidQCQonWc7jZ+Jgrk5BJx/w1pr68yxuEV/HfSsnCwP4PzkzcpQ1xzLF Y8j2jyw4S4t3tLdy9GcGpI7LQQ8hm9reXitYi0CFEBuRQiyWT//M8GhpWFciSPsKdG+MrvgccOeN caipV+TOWqhyBL8KDpryyLOk1timDsmqc3UwHU4yty0NYjQWVZ+3jYPd3PSHCUjUyOJiDQYQDL+5 fLgI/oX84tt9SyJs8Yl3TNXIQmBjzqkgRz74mICWmDHlB43LG3JR/qc0C8NcrR/1Lh8EhSYhHfHt +5RQfNjcyxMZByt6HKCHiAtctmcZSmR4KXVQ6903Dq/Dv+dUcS50y9nMCjk4zGYb7ebhkgYplAbF KCnjwBGkCN1O0RYPMF+QZVXE88Z+4QGSGwtCFyrCDcCoN+mZ6x7KIKHPzDi8yDpZTu9k0KQUgMLk oje6NTjyUoQzbCvFYXgtU21mmg5vRXIdmeiGxFfATcMuWhuOx/GayrmUA2FyATsYAuhcAEmcZsws KOjp0OIH5CCy6SOfGXDc+LHwsqYQad9YR1g5Q5aJpm+3nnQvCO5MUO2Cz1N4Ce81T+Z5C+TcDYeW 8de0I3uPFAIiH4MqFv9ZhdaQH1McILyTLgYiMRCiKPsHiywpde1KAP2DGf9Ct42MvJqcCeBgNI8X WQU1h/0TNijSYNaAn8sHmlYugHgaPiQq7ua3YvRz9iUt61tPi5P+sls5H19EJTDZfHCri5bLHuwd OAJQieWYLfxWKVIE6JQv1lMsswdx1H5ZzH4TsuiIRLrZO7eappjQWojT3B8LT7Nt1YkeUorKCV2s zBU9CBcdQSS42HycQD4DChf6Qftolij/I+OzzM0lyqZecYIVeoz5u6ycsKnk7eM9cd7THv0PVxEo FN5Bq2FLZKcNiNm+Oz9QddLYzk3OvrpHdsrJkYvz0s/dAn9z7EwqPCtVhUPnBNwWpRW+3tObufib CdsblIBep+5ym26FW9LSOjzukFReoTzOgjqYgXl1lJZxbsGdoY/EBJk1kZrTzqhZZBVPEZ+McaWL Gi7Q0W9HIZQjbAFnsrfnbX9iNpa75EdYDXdKrqFJE/QDT/07DJGRySKmhITx/NHJvOMDfBaQpvZS 6R5hb6PdS0lJDqY3wlG0J2aLWPXsyGbvhF/H2eqVeoUzIPSKX8vNXg2kmoENHvBsmZfk/Yurz2Fr Gtpp8OSwCeS68TtE78RWpDLo121E8t1nmPuw1gS36Q2F/lMKfVgXQZuKwd9wj9GSkF7Xw3+YAOOX IP4tI85+ix8zx2VBRsSn0OkKF8xQ3lnm9pOw/xgifXw1PF3ExsE0BWTFk93XzvWbMA50hXdleIpN zdkurIKzD4vLaV8yXhmrpj8fcRR0gEYgN3TJtkIgvMI43wSLHmKpDQgZV0RVfqr50j3pu09eczS/ oVxpNuNj+r3fZfAoEFmzXOfXXY7cWZLIAW0iR3JfUI5xVGkV00qEzCahSqRhVv7hQmUNc65aWUhy gqNhOXYelpt1/K9AnKq3KV+8DTI0IKS2zNzpcWuhzXXyW1QpThQEC63kepZ2tpg0T5c3dQg6h5+y 3aKlgsmCu+zD0OEdMxs4jdQO9ewnUh0j7NQVxkgC/jsMZelIEBHZcWkxSGj6H6gwFy0hcweezC+z p3Y1rns6OVbsz/J9iKXI6BnkRuQQjpYYj7qCdyFaBjJm2sGIRP8IgRVcAsXZBiQGZbmECFMBpwDA 3AgXOl9oErVWdZ5jPYeAQvBxNJekOGqGCzKlUQjF0b4FQmXPRLJJs8NemvSu/LJflY8bl9gIe+BG buoSwGYFLCjJ4ciiZvkxq1X+ib92PnZAgT6BoW+AVtw9QXKWXR+Cp4H64a0JF9caq38i1RskdS4t e/gBZtL7nZdIzHo4GBvj/RurY8P8maw2uHCH5xIsNT4NWkCk2jLWY5qYxQ7dmiQdpcOAVJPMew5b 7Ua3i99fe9LChGSRc/yeDkKAO2Rj3SEtMDUcX918GsTLfrTIwLvw1KU8lSc/R3PU97jn44/a6/C+ lqBAPV0cTcIrfXh64LC2dvUuzt5uzv12ITAmbBLrXYUVTuHHy+4ENs39MvCvIsQxsMOSBm/pRAuC UbZTbDR6sr2yRDaQEGCTmXama4nQRP3vmPoPUKSnmNoXfXkJxmpP89qeYaMy+MkuGep5eQ/RJGTw +9GUCHlcWzNhLFijQm/wEXrTRmhf5tcuXhW1qg0ZehUJ/t6PTyY4uRi7GOkvZOLltiyqpBIdpCxR HnqK6/W3nF9bNElMmGWByAFf2kt8UaFMSnAwUoAuQvQQeUNsaFIgSU4JWiF5Az9FwS5Fk4wGpRLk IkbLiIic+XFkfK0M1KCBG4BEfwzypHOxeQQGccXZjG+Vv3CkdF3vbOVhFenZjiF5bumX2ZwaDrCl PzrK+KyzSdSCKHZGy4VVRM0NEp4/H1578VsMhjM1n4mBoRyMeOrtvvtMklohbh1iITIiUThAnxwo aORY4GBKOiZO6fXvlKxuRxI7ROkEioCAy58GytfQw5fJIqByEOFOBCdLf6wyz+qHQjKzbCUcFh/b 3s1rtGFKdW7dkdKxBM1RGbwiqmpqEmvrixJafhwGaK5IhPZ81RUBzeJaoSmTJ8OELsQofp+PeK8O QxYXcsp6033PxVu1Vom8QhkFuoLizfsdbZwbO+SYNjC649xK2MtkUrl3dyCQuAE8gUkzHrCTuJPO jFUe4eFUOhRCTG1el0xH4C/wibkiepkqCi8Cq487c5mwme4Sxp0+Ffczu7La0COcdOiWgPf4nYy+ +glOGWWkg3iBk+YdvnB9Q6VmMHtxxLOB5O2rmz9zVG9EMtJS/3TYDG1z8V8w3Kujk6et5uOxQDMy hrOo/Gke889ofWF0cVn3ccBP9F9oxXiiEi7ULuqqagKF+r/fC9PstlMQsBDfMM3Zf8WGXLpr0vOR gkTnZtSEI6rb+JSjjaatr+EAzfiV5Co0nXGpNqgv7zf89VpHouAtORxuTgz5RnhNDGmVFYFLJZxs iE+EhIZ6e7Y7u0dEQSYNfGf76ZqbPP7gZihiGR3AM2wC76lGWdOdLdADMBdrIXgAmBISZW8eO4UI yGN4cO4QBjpHwxuTykhx8qRf/MbXSlJr4AGJkpqmHw8sKJSm2cDtRHLbubjL1Q9NYkLq3ORcBzRx 3Q5oLb1lWB9qTm6sraDM3IvKSnUgVtWW6tv5pvgYonhqJu8yO9GdCpoRKCkrk9bpnPZWLU4WGz+e i6ikQzsgh/twZvcfwnsZXfcxpd41KedASzWtG361Qt0haOuNbtva0TTFeVbdBbg9oRt5l/oSI0mb luHtzHyT1d1aaLAWpJSKSsTnXy0chDwOeTLf6lF31FlQveYNhrdW+MoFjwCdYy/9zJlZz1gDnzb4 Pz17wa8YdX6W99KYWiUZQCYRn3pWLlVyxTc4jt3qVf/hUUhwXJSCeHfXd9bHFdILnSR/s0lafS7W Z/TzXat7N9+vcId7CEetR5OM9MhKkLshv0JMyH/OAHXiNdo62W8ftDrDpqRXIsIrmHDHkbvcJfSo LxCJbP6vHzpnncQprmyRDpnNxTEVirRrHcwyl/m++Bfub8N8wkxOj2fiF+2tBPNrBdqJaBymdBDy tCYeECQW0Oigb+98jB5V0FMILP3SBd8yvceB8gbao3reozHXFZQWkgN5fh1Z91m5Q4QLxRb2Wfla O6enyu8QldZEGYC+UJ8oix1H80bRhR+YhokHVUIaFA8hILrmrOKC3AyEP8OZgRN6LEpexh1N3ckN jcRk76wb73FGkHAfMjVewFbVv4vP55yGEca4cCitKot2P0I+2Np4Dla2ukcpVN3WCWzWGIYQMbeR U/bsam/o5Op3cdc/ZtNcpDHJp80h+UAOBHF1sd8dykIlmh+bjULZXzk3kSAJyL/xdCtLgEbUIba4 no0dQ2DnKBXJ1+SOehyky9qyOAo2xU6w+C7PRnE8p1bNotmsHMYnvmO2rBSboqCUbhfmUVW4cRHP lZZkrgFbNqgc7TOqAkbadExi2tQAbSFrnp48Pyia/xuU33llgIMX6L0CnD93SNmz7ylRlUdiHk0b zUPDZQ4fVKA7AxNutIvo6ED0Hye2nsz43Oy9dlZTP3IbuIEGz5YH2YUHfXN3URNn1enqBoMwznX0 Y3DMR1TW3M9dZsLxwzzTl1rPj8cj9Uzk7Uh7nNi4PLErJYHVGeQG4LMbCxjaGDkgNHqIzATTeaWi V5HgAzNLpKTnu9wikanv4N59CBTFAFmSRJX3zn6RpN/7qoiMWe7wKlrybbR6xxpfa5hh670++89d gn78B+s3WmMeKMKVCJLR6CXwpTSEhfu91ImgIDZzeZiBkCVSpNifzUrx250GvF6ae7BMEoikeMok s32qjeEveb83cRpqBi3GeQkfzgiI05mS1IOA0s05vp58ehu4NoVCLdRZMDUWzViiHTeQYfIfPa7R ha1Kf3eDE9M6iAqyvr6IwVPKq0pLcw7QNBcsKghQoOwX0KIaGCfWzScSAPx8d9by4cg/LuX53PJa KdBWjBVuAbnIjB1eR84mjDJSCTellnbxSQBLDzyFNnBBlf8iuidkN3f3i3a787TiGxQRkCSCtROt loSo2v3KeSLTJe3W8kkRoou15mh0XpM2pKptBeFyIRboHCoQw8hXjQknFRoTZNM5SJjSXGFmaMI2 YnS/PkcqFAngicUj2f4YP1beWHyBwkmDY04nG7YrNCDCAIbNautmBCwLt7jsA58IBDaT2OS1iW1F UBkSuYYjfe+OKkl5qwnwm9gbT+FyxeHYafXMnBy3kfmDEpjV6aTx0JZiQyxZ3sNaGjTwzjbbR1XA G8CzufXn5aXHVN702pFmwYpIQlKS/DTk8Z4BPN15mPqgrK2Ou+2Z8SOMCffOP+52NkilyUgkNR/z UitpxaP9tMC/H04ZXGRZhqBBD7xhyuNVCbB//YX5Z0Zek8MBp1rh32jZQgutGKxY+kRD8U8fgwbY IcYNEalpsVHBpoLnuH66BrCcyIQoKU7aZMsD99MjH7RGAo94ysB4tj9G4vqBr9Lqs/pg/Dbnur2R J8BU2bq0HLe4JvQv00/OEFZdC03iYtxdgUPfgm9StBTBJM3R4oHz0en93gOANNE2AsWRq6+us6mg iJd/itJ93PGzUUXmyEcq+ehufDQ84IVJUg/n89kHza4NbTQF6inB/9hCnaexXt/K2wU/+XTVkEE7 CMQ4/bzlnzPKTUL7PMXoBty6xjNX94KfebmyukYX3QpyluN+iVEkVFfZOclfl3YNSbjBiYYh1n+F 17jl9WASSfjpphqQ0TGeh6DC1+TTMbgBB7UxWksZhUXN/OKl+jyxDKhdUD64QeYArxJHpJk2WaQn my8Of+amZl/tsJ5YBNL+j/1lScz7o/bFHFGBPGwiHsXfNtRHUDPW/S8n1sKmowhccaTI3AhAKbOd or1u5McVjFhCS1JzAFehFSLgbd4ZPU/8sRcb2WKzDkunBP731GoRvzLOFizg4xSyWFAt0kutbTPd NU1rJUg4T7Wu/HVakVuzJgIMXyqdMgr11Dc5YufJ7af8wPG4tnBk7OF0a7cbTTjfM1Cdel8tRlp+ fd0DZjYftsOaVXu7cE1OJrCxxMBFuQt+qwVGd/UDk8VgUgXT8Iqw7oJw++UsLWOcYkuOscd7WMm5 DY83QFGBi6B+Yisf/7+IaMZuHeSy+lAPj+H7ny8XMe1m9uRTcM78KSYphmBEqU0z3P1Pwldcdlnc q/NDhuGLpJv3WdcQfBrzgYnbgVZrfRpYHqXGNlNmZcSZGyPoTgeSETWN7nR0ZmDMbs+Ujn1Q8VuO 6J+W8pJjubMBKZO6rRK5T97YRATZEp4HCgQlzgk2F8jBKBjfyKiJCjCdayONLSfjsONyCem/sCNT IlQLiMKUPKOl2kOwNG4Jrxvt32OF2RKv48+y/GbqW6bd0reSlK+VUk3OAkqhMcigT7uO2RcwKlYZ iOzlnNdPiDgyXk9kWySn6ryR5VF4ZamHir2yAi/ilzzrqMF1/xDxra3fm3r3FnQtsRLjZibRiznU VTykuimFgdyTBXzoztq+jd720JMKeDOq9HDaYkOFrdVUcHvvx0ffBu8XRI6JFuh9FeKxgXTWC4c0 SuY9XVis9uvS+GpZTT+GugVRLOKC8vCCrfONxAuQiePyWAvYhohXg6xONOEgkM3JoLihzEQRFPdl kaB4zJK8o+HCR5cHS8rSfx88wu03O7F1CLrOYt84I9pLRDdw+51Grm2TicwEPpBKxIj+Npu5F+ex yuwjMLXK5ZECHQXhlTTXYtDF4RMCA/X9YjfNlWg++ixeX8HQn+KtsSnaaEhxV/a8WUblBZM+DKom K6GS3YTk/GJ5OvHExoDWmvHx1hgl6w61WEbPAfzJL6Tq+PrY0cdREJCP3QaWn34RPmaRLJ1j3vrN EG70Xz3ON/9hm0XjWubdKZwaeC0UZ0/W3bSzXYU0zpqWdRuy+rJIHeqw2zJrX48hhnQcvVH++zjJ D40r0aurQ5BWAiU4vcnOdCFke/R3IgFZVJMLzKDbOna0vOXOEhsR8DLn8Lwyg4AyFaOKO89sn4IW /2dTME4O0Xliq3EbexcGIQeWva2K8G3495j4BKC9azRY3GTVLvE0JRytyuc0R6P5tMgdxVlrryYV nUv7dHwSzMSPTVukau0Mul7zPPk5pzW4t2CPQ5te/i9T2mve7OgsVBen25t198ANBKL5fLlHgOqG p9d6XVmr1ml9whY4n6rhFvZyywTINcmgXDPFV9mxCrjneGMlHcHRN/eJhNAc9M+i4KWDflK5rAZp tL4W3b1EwsQrErSNMr/6bUM37SAiyROhkdQMi70w1BaO5msoiFBgcqVYUoUKg5P5N/phEbztkPBU 4ArLV1LzqXUdGU6DSrBuz5DkCuUjw2QpiRB2KtCJv+rzbr7SvcFm2JQsLwC4UkcX/TAUSHdHh2Ba J3rTT5F3QSHciJ9zlFSS9bkScq9dwdhX9gMEiy3bZGfqBnppEWZoqykvu29PvI3cq/MZBxHPW29F xHhvArlfhrxjVul9kRaO4pOr+wOBBycQJi+sOOpR2jI3effp9zqzTj79VpZhm2LValfLAC3UF/Hd vFlVez+e39kc+JRW17MUvcwqm6ALVHgM0tHsMmw/SmJkdWyjTYAq5EAcsbX5IeQkX3WZIUSLxEvn oChOIdBcc5XWGpd8/zJ+6rHFrmy0nojvmDBo81tDCR4yKC9SB1a4V4HwMA8D2u7iGrgl+EId54Rv mdIdIyJqDiJ/B/5eMf6HmgLbngCnZqYq+pX2mi7+Q5E5di/FztigsdJ6+QJzeM3DK2IyxrsbuD5K cDVwR04r3AhIO65ZDxL3rYMqi+aXM5YC/BPBvOf9f/XKUtO99N5Q5f+P3bl4v+L7a5S0K5yHGHWH Vxzm+VwoDpSWC7QstEHKNsgwZuT52+/RUOe6ooTyPagCeyIbwPgmu0CYkbRd0gOAOZl+kCN8/j6L 4HGyzrdWBWAefkeOuwcgvC4wBPS2TR1/EhZaSje1UsQlXjrKqShQ7ZdSSD3OU77t3GjnearrbBjZ P+fqz7d9VkI+4Tlj//I4YEHs30MPgZx9kVuUKdrjfAYvbSvJWpS5DDfrfa792s0AXk0Csmyc41dL b+5yVEsVdUbE4gfAxrARQLPAfy4+/GciDrz0P7fanAdyAx2+3KVYE+n1hh35dnlyOMI+VSAiLEjq icBZMWEz+toFXmDfR+Djjd/GLxGSEIha8aMEJcpipamhppVquYVcdezOalhQ6ew0mW1p0Bvgu9ZG ZQo5Rb5w/VFofXDJLEkfO2sSb+2r4sLuU+IVOLnJeFDQ6uz7ebZcBladeyYl9goCc5djv+9OGwzs wAeVUUj7aE0lzORWQiWfdpOxlvI2LQFS8ikpWdVEFLto0aq52HukYIGvebUzFlJCrOulLJ7IbdYq y5IQYC+ETjzSxpv8h6XqkSP3kAvACOCNmzJkFBZUKSlPyHGNHRtDb3TmREkjBxmCXT59IUI7bQcZ CunCnpaq0XnBVSZTv2X5DKSH5yu+62Mf+5MZMNz9BD93/11RJRZWUzttV+PPKvzwqpwnUBUk/Zde HKRkVyANvXEEdmW3KicE5zaorrbL9dxqiGsaS0Kh3PD5KuAFrHqG3JxXllX0O8iCJTSK8lCPtN2f wzWZdcPuEEXR4mt3xtxo02R7WutE6so5Af9Yd5nrBE6MkV9j/dkThJh3q5AjzEyEm8u79euOV1Kl YFk6CKwqtIe27fZ1kmZknxlFZi+pd+KDWSukpLijWRRN45LGCo/e4TIsyraxvvCyjvHmhI3S2L/C kLb1X/P0So9xtpKIsDlJENW5YajjGkF3Y7KiE3L1kEEnub9lmiXm7XBy7SIMjCrg6O+AU3dEzNsq ncVNDLqVeC9UjiFXDY84fSZiVGqG6qmKa/XWPqw/EXfAzyVlqmK+iKj/IosYzXKJ0VQ3fwZ6NYWg papnxhp0Z6FmjLR4gm8EiXwwlc8RcpEXNx8YHm6Xh9ayA+hdy+9nszomlQ17n/0B6thXdJQgDQ5I VtG0TlOQHAR4LkCT9xsAHhlquu3qN4Ki2O+hBB3bPDuiTgQf8MoAzqfmc8PUIXP7Y1JJYR7Bj6+t Ow7r6Yoq4dVcWBqjix2og1muFqrjdG1MKMhgT+3PR5/iDGU5U6ehkzHS+S77cS4VkeoiW5b+SyGr c5WNHiyELpxX57VQ95C3ZG4sWuYAeIKc7aoRz9KZoTS+7sTv5sRGljNPm8cmeRe8cHblvf3jCliD yYQ3VjpVfeTzx7yKWvazeu7o44g8u08GBI8h1pDnGgYOsxoeGm/0ro2sUpOaKIpAtWWB9Luf1tf4 9b0WuW4SPB59c5gT1pzkLGE/Uz3S5r/85jYvThexEHPMrhj4tzZH2ATY7TWc5s+IaVJb8cFq6y+0 9G47KteEqAX5Tw2wHV49j15WghZA+Kc+lFRIQH7pEhmrpI3VS9PidgIlRobkIoWId7NYVkBVqE1q +ul9xwwJ5s3382TZP2tGePu8lN7uIsJj2njlh4DQPTJ7sPvlPmbDpWrl0etVAYwjIo4nkxA9Eyrw aVDsqLHPx6LXZCeXNNm3jyFSSpeaLasFzHhPLtbB8Em79v1qaQRjjBuK3JaO1KGhsrzvv0h+G4Al yrFfBJC8uX2sK1CNr6BX/+Nzk2yobJKpoJV71In0JaOkx4+XWeihIgBIH5gZkeq7MR9rrIR4cTLw IVqC5+VaXjJT0J55/9vfsCpsjYjNIxyWb+2t5LngNTKWmJfKdYzrDOgXfW0KbGD+CqxWhfR11tHm XEQNXJAPdAeHpnQ2fGcUJUsHB4eDyMpMjq96EwvAqN11S3yXL1Q7tdl5dDT9kDIqtGeH25f//Ogc FhsH8Y4pMmVkwAu+sECQDrPIdLg9WcjUf3FfBsPqDsAl+Q5uVUvCr1cNN9lun95fqWLG8Ayq4p8D 0iFd2YvbVU0OvwGLKHQgmIIybo0LWOi9+sL1yxErBu6iRdv2yKtfmax31IdoPD6xufB6+Ck0vYKy /xQF53+xNHlqc3gUpQqOCHTnmsoIRY36WsQDtyNiPzFMjKbrmbB2Pziy+NR8oUeMbwbmLIZSbla8 yRAnbXRY3g4P69uS0vbS9kwYZ6vXQ58/apzBOXlFv7jELQweJNnOCm5khQ8lc93pWHubaSkGzDn0 1p6K0nOiKU6dVEwLK9HkwK37hCziR2wktQLxVHuiJzIvJITAh0h5ZUDG3U2zZYWdmMA47pO4oXzR EcJA9/pcydTyW6PvfZkof4HtsS+ZccEAcBVNdVROhL9IOj5fgbGyCDClggP7un2qe3LfjEOaR6w9 eQZShDtNLgSVp+PqNMZ3SfNwBD9IjTrREza+btFUwVU73crWsDXH3hoTd+udTRq2+z1h/jexPiZ6 alfPYHaah55Z/hIAFnJ4TovCMakxtFQ0Sxw8uHJp4AB/qcQ7w2+SNgy6jsyfGFUfy/R9zNRralBH bOU8HtQ4NlMUxZZUUK/Vt0vRLEWr/lEm+98GEcmYBAsUaNJjTybwhMf/t8CSw0+eU1jxkFOXnJkq 78csM6VH/qvk5EWRnCbCVRB58TybtP6rWf+/MjKlxa/Zfs8KeHbWRrTsDXoILw4r/Declp5G1GYD Cr8CLNcjCuFu/ZUTcCLWjtxhEIHosKcSssse+HXsEEJSY64ZOt/S6ZmxJExheK+ZJcPbmYR61XwX WZD6BFanF+CwiSJzj4JVb/tBr/RIv144/HOfrRywnp8BLz5DMhEEGKpH8Vn9KQazp0b1hr4Gvdy6 glYTAvh0HBPcPcF86qkfpj7pwhhdVqk0QLkWhdKS4YfyeNy+Hjinjv2opI8ffbsQrpopS8niKBxk XRVaYRZvSXZRT5VZSYQENfJe0YabA3lKJ1WUbtLdl5bDq7F9wxou1qzpKaBHFvssuCBhqRyCXz2b vvww73CG1WNMC4RNMyBifyzttBehvbxa8ZhtbkwndqxEXFR0XUK3rAv314dNRV6ivFyZeY3aaJWO 5LQpXMJUhi0vFp4aDsxiJ6qSlEzxdPIldZePFZk8bJH56G9dCECKRGhmKf4+6RrkSQ3eX00gBKeY VQeaUFSGqvnIhvvT/7rKzjhTDKoYgvIVWgpK3ncV5OUPgc9hyMW9XTmYv6hLYH5WJHwaBmYuBFni pYKMhAC69GtCzPIjwcnbv4947gVUZll9aquZzsk6vldL6v9IY2jTPCKyk+0MBaWL0t9gRVoJpbC7 kTMi3WEKo77Odq3nLmJHg54TqklFHTkZJU+0qCIdTOSewcdMCOhHIjvYjEC1HA+ChOGSlFvgHvrE xvJ/HkEOm2jZS8G41CAdT6Bt+iF/r04doSIamB1mXPjQClzPh/Pxf80f0pDIMTqtAyc91o4/QLfP 3E6NeR/5j/2SXGaJHA6oSLzmqqEesE5YDWK4AalXy5QqpsHL1TBQUiuDP85LPy8+a2krWNe7AzD7 CdOFU0W2ktXbN09BWuGvPMctb/fYJKlCfXVlzcfWC0EC89nGQYaS7DbnE5zNQCiI9NgtLzaXP9aA UfdEObZP9q1FDynLxqVGYUzi0IiKg1Y8Z03loufGSAJPdAMnBjtg08W98nEW1CmRulgZb3B1OWtW d/feBGOux31IA/2vcWxOZir5R14H8g4fxvMk1g5jo5jwp6Rk2HIiALiro1Z9jTdQm888MZ/0cqF1 IBukObncWUhJzMuSymUssE0lZq/vT7tnCueoe0VcKO41HS6xjMgnjB6RqwalyGG4YtgsCuBzQ2FQ MlI/xRiBlY9j9xkQn4bsjBYLQJSHc2Ls6XJ2IJKmrk9LgoDPJztejbNn022LuGL7fDma8vTHXUph 12FidP9O3jto+mx9myu4xUMSXOQYMTQs22KHdzFjKLcjhwmhu8NvZIABL1E+0E/OR1PjWjst3SeP 3gB0eaacAkjZHGW4bPQvmfv9T9tMJtW40Tbt6kd2wgXBuv4B/0F9ew9/b2PEgZblET3m3Q4a6oRd OLPBeG+FuiVm1fXSYwltIbmVxmNW0L9+CNfP+O1iT2mRiNwD/6cexR2zHvxVh6c8/rbUG5IaWbqK 3rE0d6V9houfbDbmfili+kkw2f7YkT3ykno1K23JyXSyOaux03S8cVZY7a/xz3QvlRoY7SCIdLDt +voivNJhRH+uEhfsEkaOguLfinpvU3PduoPHqOKDyVLJjKu2IvyClMERWEGEAVexMg2O74Gwe2n+ wighPvih7XCpLvibaqN6NEpqqgp/4a3cbPscThBxKrkMrClLAfkt/qdohH7Qg6e5h/xsN//Ecewp YrC782cKEtVkngiBwDqM4uTQS2b1dJ5db4pt67WMmKY2alJ9zaUE4Q5wiR9kto64zEiMJXHqzKro 9phR1jK7PHrzT432yMFSRkkvOjEbc3fGgw+AZ5LVpGEqEa3MXDqhgb2S4duNw6dVkangdnRJSEDY M6AOFtncl1onRF/dfeXACKRFAlYGvCWl5xNCw/NmpFr34VEaulwt2mqqJa5Nr2mJkJcDMnXn23s5 e33hfHGsdZPXnDWiwR++GeuNgpIDldjbl2YKyLj0g6qboamLsbwp0nqQ5+rti5aBXv6/6W1oJUuj GCUkiXxdkLvXsd7ZAMDVW0/rzkcea6aldYrzKXgqFHfsbNywH9MYLwrC3B8SGJIZXZDvbFYtX45O a7L+S1bprbpQuEeDDD+l+2lJtyQQenMUM3qIW9gpWaOKAGi/Cqzbbhkc0vv8QqfEgwaTWRK0w5Kg 47Ieb2X1UeU87EgLchqhm6N2K/D4km6ww0EZXUhbNuewY4NUWYgD07jmXN/qwiBw8fa2xFn05rTk TjuSFNJV6+UEaHsQegQPtaz64Pq9zYzJdF1HZtGhcp3OMaKzt/pDMxlWS7VOmeDhYGvzZCjhDOcJ uASeEMspGFGba9Ifnd5B5yDN3JHldEJ2qD/5Me5+Mxf/Zq5wb2XBaGfmK8IsJG3qcXIP9b+hRQtP ltaxJDLYBCtO/SZ2vofFGFnaeWysUcxCImBl8w/OTXrxpYvg3pGAsyjDMkfBYGPX2/gV0Eqzvp5u RQuEnUywhOgUhYjl/EAHFCQy9iHpn35XPDo5L62oH5avzJptm/at2XoijlgUelatv6CFfTUw5Gu1 aQ2mu2g/p8lTSI2Se7j85XjMzRJVwuVgLsRcdMXJi7tNYt4ytiS1tFhloNDtKvI9uWnyAewZa4Rl Tfl0+kYZ6fctpvcJdBEaqAQ97QRMewhf2EQC8xAGDKDyBsEsgmBgRrfxFCGUOGKs1bv5F4K0T2AC Pe3wFTDViW5k7fyLwxRIa62/iiTrgSIzl1BFvCq5iKhV5pRJ2IrVLmgn4CtxYk4eh/HCGVrF6AR7 fZiAzWWrJThkWbPIpLecN0DsnTC10P3AR594AB9dD12KjOD17VIEJ2VWZ5jSMODV5dMY3XWiA8LR jGN6IFBkVtY87weoIdLnpgcyy5XSjztWQdji1lKqLe+T+QC6baAC+kYgsAFS3aFS0waxutCdr3lA RbMafuM2+uHA9mp6Z3YuZ3SPkPCp6Vsm5eKNukUrvyI1QkyjrDZPLD2IqbMxgWNMK/r8J9mshxYQ 0ksZL5nXy0bJElOUZLX6UkXHu7DngNh0WZT7C7AadDiwOSg3OvwpyWRxCb81U5DAxSRGlvhSAmsA qVdXp/+BrLTS8hJ+rj9cjlhKQSvcXXE12EDa64bR6zfMQ/Cvn0xsDabR2JnFM+EruinUibGI/R8Z kfZy04996vahmSyr1IxU3jZTohokWkBL55EO3rbo7TyAyQjfjtrVSZCiLPvqvJIUXRuT/iLPfEIK qA/St3T+8kvvVJ2kptxFOxND3KztcZWJzTub5zaUziGBgFixvqoBk2Vz1FZL03xI3nlJeh4yvijh CooUrjx4spwWD6bp1BJIlYF/mfMEe1Pwc610W7+pECFvofb4/vnv2iMc5BVlBmoClWn32NKqyaAL bQx6rjCRGUQLSyxW8nmvQDJ21ifq0Dq4tTlphcEMbcN7Z6XmPaMPXvy99pHeSkvyh7GzySfkJ87h AB0x7gB35w9T4qHyXvsPKvA9IefbyliyVeDP5oL6h72+wIH8e21jpgaJ6lG8Wtz1gA3KoKiuglTr DRntsmiucbc+TPLZLIXNjgtS79HF8MUvn2DLqjsvyuJOe3ND/hsFcWvF2yMDkXM8M0fPT1XJjuTr XfZBCbMqnjQCI8kdNy9UJwr+ce/BC5My6t09sVJ+t6OZyJNaebcXrzZ5PUFOZ1hAR4yvhYovnvhz CXmP5BNxmobSvYrJormMmLJQ8s8y/X/yXM8eb1ShqUYPhb/cwyNFlrIkPJTbz7qW61JZAimsmCXq xvKME9GGbwkKMuR+EAiJh9mcsWaRZgga2yiIgj1DztkjvR1EW8Vz5lFayn/AcnlsD7411Vep2Afn lPMphSMdiYV9PmsQiGf3LEdazg5BIGd5bZHf99WTxfxkoceE+TIs9DOM1aDX9g8H/RHdzLeqp/RL KeUCsETACMJ8CYDgFSX048eDqMwUqrxrNlRonglI1Vmvtk4RKqPeh4urezylpNu0sBDHiWNndbvT BBZAva5Bv7OuEbUvBfA0sWVsjH0pqwXiK1dHyfAZyvlzaG0P9LoIu4Q94ienJLH48QC4bugYAojl AUw6MHSf5L7wX7UFxV0fgpHVI6cNybOD8b6K38GiT31ezhlTGmwt5BoWiw+7hZFelKY5Mv9DMOgw YphwQ0X2I0yaialprOgdTO0qj4LRGCDdgd5YQgdBMo3HQ9PWfre4E8j6EwZ2U6OyJ/jGXSzPyVn+ THOHdQky4Vejmv+iwceVR89mlcii/Ym7jVgvUR8YwOQXSXuiWJGgTaTYXazhQSl5BiicDS5+RUPx e/ZH0AIQa2jAEUIPOr529e0VMN6EQj6NwZM3/zWRIkjR7eyWV993DzbatqkUAfx9XEkwOPj70A4J k1pQnDuUlIWTM40jEm6XqUgKPZM5QPx4ofwuDoHLsrV6nhfR8oX4ayFIwp05W93/WIwHE9JJOqHk R2Yd9ZaTmi9oYPMF9w0q12ATPV++CE7BYOB2XT424YW15V9jy7yhyhEGcAULYc+UOwsto6MgJNGp gdj4NkLmOsDYESEl9PCUO95dKmNRWrl6qOT4kAN4QxBiPt2Q1HzwB3WaUmUXcoeCAINtD+B0TpWV EjnrBFtgwvuWt+FiV6YK3z1JgqITeNMRKQeklUzn9ZWz6MX3y6OuqdTN6VTbnaYE/FKKa0A89SJy Ts6OMIOwPDcCLAcSh6JxJFxyYofMwKgD3ou4n4rzL3r+CA6s17wvR+id1Ps7xoy0HHU+pNdn5IZU 6OZyIBXrzSKDGkKuUvp6vfnOCA5HYkdZ5Tj4litkTQwEGMWEXsMbBfHjDnOjZvv4V3SvYN81/M1h YpnQHJ1Eyi/we7Xskn+nXKMRvXBCY0SrcjZjDmsRuRQWXiG1ryCn3yN7RdowVU203YbZH2KMU9qL Zo6lxzCKnhS0c/dIl8jsQYlmvVoWsYRVlK5Kd7I6M3dcvFF88+9NRN61NBDTyr7EwJ7tq+7Y6C+0 rjjs305pDQbqNgdWUKkClRWBYXIXVM245RAh3sCKNsd2xzt2btZ+H+qaGL5Yt4HGxUiaJA9jPnil ZxaKIdAc7Nr+KLtJ7vzbMVSNOHC/6UUeJQwh4ipjgsj/Z+yG7eB5urQOsoploNDUwk5jTxX2NeUj RV6xfz0Ncumrp8pajL5d+ltIaJKKUfVh5FB94z7HkcPLNq96SMEukTJCiklDLUaJk6JJ+cpgS2XA XER6NOpU/yucKuGOM2fQhSrGyl4X0MpcXMVbZVWhvSsFLAfweobTldztYRG5dWOXk0b3Boji4Z1Q ZZ/oJWbDM0MKE3Yzd07EmTVhNOu9ULU8mlSiEC6FHxVPTut0paybhBNjHJ0IZ7h52niVMjfNmk4f GyMcnurwS7ap1MTYLGWoB8Wd+E6scD2HSP1BXWapS+YcISn9rKUrbsV6g8lGtPz9qy7SB1oDDUgX bkZsvCCH2691Qid9L+qpxy9YKtsr+QRVzc/lvOeHFfS85Z1Df7NOYtO3cIJySCrduTeOAvmyI0nj l0ERj02B1suJdwRXfYUg2+Zbz6Y13M+p9F845EJE4I3mitAQanGOaVw5j5LsFdI5h7EfTuhpGIJT /qDBflzJBPr6Pa4/9uQtzHjRlRzpDomJI8Ce8akqr4CvcAYcwg87x490XswC+DCANjQxq9OjilGz 5+DB52xZ+J0FtaDBAOGkSAvNmCLnoyVRMHJIR+gnM/OKQnQ2kwSB5Ccu+s3YukaF359MBu6L7imk bqTOBvh1tss/rohhwsxD2BgEZCQRbhHIpyMKpTr2XWHgmuy1el1ZVRsg/I7WCDSPxaI4trFJY0EW X1wjCgn2QgTFAXJvzB6omXHkiCw0oCInIeiPDAvGwB5JBcqunPLeTttfPr2t5CN/QbAta7XfgE68 gSpCvYyOFjiVhwfJbb78jJkeKOwMe5LkYEL0ud+POEsRptthQlBsfl+2xFJ5S7zSFzvRwV7mwLpV tDBMn8JfLfow2l8ev61Yu93PG93n52rGKPLi3K31ygSrHWdPoL5Hj+Ou2VCIMvwUK0Q6Mlvcdsni 3ATlyJG7/rYQ0YIsK8CqhwGhnvUs1gTVcMEYOOPSoIea6SmTpDb4Scn2On/9g8HS0w84/17x9P1S 6DSK217PzpBSvtlA0TrKjUoOuU94o8jpaYJkBuJ7UW2mt4EAf5g8c7DieNd6qsFx/6dJBKz1g9BZ EawPv9uJrylGLpAgOyudqzTT8O7THEXW6o+GpHxPbJoBwxvwMct3BwV8qzS95rMBuJfAQtpitJGg Jl1qTph06UVW/fYT4/gKwItTYN0AeKRrMqS1IdDkf7PQuSYQPodTvmahtVmP2tVXsG0DAo8Hxk72 8YS3MmqZLv7uqBaszTQm2WtU/b/ZLY9BQ/BiHvuca25xF0k1+L1+wjMZB9fiGw0xzwDtFcnjG4KM G3ccDlXRCqFObhmUXeGfSvuJHeOwqPcqkLKx1ze2XTHURFoW8lnxIh5tD7Zfupnb0clG9xcIsQFM /Q2Qfxlasi42/6+ZZOeqHkDX6rwGmU7TgQ+hHvNRHzmivs+28b7SvHvX/4hRKNFhUnml8jxgLj0J EtuENMkbXSXFoz4HNA2mblDPPi4VLBGkS420CHTfeYAjntD7zEdXSI4uuO9aaRhXN4UvnnOEDONa IidiGWsnVmlxkN3we3iwzcq6TrTDoWiWD2LY2CbnaI+nyNpvKGjVCzVcgNTVu11sVET47qXXILQn 4XsSr/XE23Zxmp3yyPDkDetQ3/cwMaEz81UvKz0uwRUcCP1CKuALH0t+GGw4E5+WfZg1MgDKx8jE pShywB1nu6p4EeWHHqZ9dOHilepjLzbFfn1WGJzaFMI0lwDLDBnodVBgduEsrM2ugSh7Ek7i0xfA pzsLYPH3zP8gg7cqEjeoY6SJfE6gyuOYXJdoFzSBOtmyVV3ku08KFYSCJ9ymDQkg3RfALHxME9ZH WI5hXyzyslk5PwvaaFk8iOaULk9XyZKeSu5uwjfaFKca6rDtenXeCxZxWQ9rmwvnudy8Kjw94sE3 mI1n/1ISKYwLtvuAZHlNyQ1Eh2jZmm9fwB3HbMeSlwThCCCdznw1p7cUmn3ua/QWu8Y9eR1QKKd8 QjCYgGruYrNEs3BelI8C6mYGRLqdfqaMuY3PRqb+vIKJaY3gZomlXoC6uY3gzC12+i37cnT60ws1 DHFQ071Mh1D2s0NYlhcy4rAiLNP53PQgp2BT+lbLbDUMzfsD9jn33iCvQ5DJq8kYzWSYIfu170+9 d3j4005vAkUmQ0qWFk5q9rPgjN+C/GFu1Q0qFxMgMXpf5xQg71sfeOLOHTa0e22k16St2ql2VU9E S0eYo2hJZvijMiBQIlogYMPnrsoli2hstdXom7bKW32KAWgAB4MuxC3HTphPzDGVYWLSUOrGXqSF xSxJugE1NNxnOxUXd+11MZJE9yBWAnM8oDGflPwZkiDzVGtK8fhnWJk5rFK1kg50fFzHlKnXfqTk ptCVIACFhdW+XTvppxx0AhhcASrix0+TSOkw7lH+GUHTebGW6Rn+rd7zl4uAjhtcFwmkk7BvrSfB mMGybqkF7WWdWz6NDBStpB7ypNcsLFD5LNcX6ywAlA/MyThVZ/+tA8dtmEAlaZBBDvWmnxc1WNat fRzuHDNEF+sr1o4NTXd69b4/zPnd4bNeMM1sy81bMh2T8RnP/f6bcdXxINrYwfDmOVUFElO8BXO9 qFedGDRw0vu97OTKZ2fbAAVonSo2IbfChi6yjFReR6snSl7swlzjD8YIQx8909HLf1Tvdz6yaPGc e1AlD2otmmuWymrNmHqUZCXjJGrUpnkP9IDhgfRHP0748zVj7rvsctn3A6VvvEd5A5uFbSNJQisj duPT5hajPD91+AxqpyFiFSWIDfJGsNGAeC2hTWA1KOa5/9Ei+cFrB4trtUjBXZc0ve8GxMJije6W hog9lB0moeY0F4z/3V6V5c4qGGBrQ7rOkHVjF0hBl/LrVV0DXcZ62WB14Rg5vAiMotnIbcBVnxIQ GskRjadW4VQ+vDkO9OhtF4VQsJYikeqFhkPKmG7lJ3BLo3m8hpnMQvFTQ1s2sdiqMuIp+EbE7Pxt KSBU0Ks/5NUuMNbELXQd4c2zXvH9XADJDxhlbyOdmd/SOKz63+FAse4YdZUlqxZvnqb5/npfozs0 cfgr3v295BtEQAEsLYp0VNGMDOkYlVbtllfH5HXTavnamor+swFFthxShhtWf/E+MJ3O8CHqcohN QXlpvCBFhMVpSkkUI5YOQ9YLnrmLAsneZbHPIlugUt37kld29plWKexv5ns2cbxxgMEdx7gfXvGl OS1vD/Rx73/EwdvIroZn/vPsug4/9uQKMsaDL0uUfO9VOknGvARbZ78AbzNGizL+tIKYxqZ7HdVz uZZnWhC89ymkOwibmAyzSQ6QyOPq0RqaKpWpSETicycBaV1N5iDDCkVAvKbShx1ekSnOtX71g5Jv A41klxgj5LYq7Hh5FmEN2wdZHY7U3bPm+d2QibQj5K19EkAbNyiH+9rzZmBdsxjCnVLH2oBX9buz orHcynAgQP27hSfACeuG2c31Izz/zEtDt4Hx0N+Kf3TxwVbFU1RPIagW5VxPX8Dw7xZ4ldCsHrIt 5SKz4vIKOdKD+5bCBT9ig1FKBuW+CjJ4DvkNYoZjtM5dkC6ZcLrrwOfV/XXG6z7u9BBRNEvUtGey qTI2rq0cddFE66RS8FTHTmuIoKrBpc1b3JLFTCv9Y6HbVietyaH5Z39MlM40gKH/XqtlEYK+WBGS pWiddbe8Kc/JsAbTi7Gpk3fpxqAdyR+w8GXM5WmQ/LSEMzk2geuv60U/7oK7PJYy0JyWEeWiFaSp I6pMlyEevD1sFVkk09HaQqts7FSmLQHtu+vXynLal6yjEq0xxdMrbV/7tbPfonozlWsPhZeIGQ86 V3TKK9cumhvbAyfl6UfHWu/CBpWP7qojgwzEuoX0aY1J7X9nRhBzdrK5tgNtvv2qOhcVY0tTTKx9 iz8ufz3SNIK3Ks7ZaZpKtFKYP+2dWrB1tsJX9C2+Mfe39NWhSDJk6RdG23nqS7Sn1uCNLHmIRgiy csqJ6e9nXpfRZ/Vu8r5OO8CMcLRxf9cBU5saGTpgrqFLcWqnbf0sU3inh0Gpf5J6vmjK0we2Qml6 5Yj6tv/LkEQIMMA+IiCVa3zUtA/1zVaSSWwNNBnH2fv0/bZtd5tcLjpUu0upTUPtLkIqULzUrufU qVyvslySku6hT/yDeGHIoREzr6atqYXZTH5wI27V5i3L5PbItRDW2uy/Pji7Km+FsqberR4rR0S9 5vjfseDeGSozRNHXWxYf0ro47Ni+p9w6LsqsiUEdBVQ/L74Q5hYIOZi4VksOHKaf2HeX9PV1z3XM WjVsEeZctvG69OHpfVuP7luN3NaO6mOMXKnxuXUxAVJm2YAdwAN/kLSKQ/HpNBsOXofxzseu/sKh XrWtKoWEC9JgpFs9++KeO6tIxLEnKpVAo5eVQNWgt9thbKEttik507WuaaO4q3AnA+0uxP350E5t FoQgOo5beLGiSvYGE2xymXDpoTDd7vAJS2wv3PeelCZn252aNNhUJ8xiIjQrlwALvnPBmH1FyiXl 0OYNsqQjql49WzTdoBTGDqFJQidqd/+wo/SD8rDgbKPin5aS2fe7o/rkexqKQPGwH59+Mz8hfXY7 NshsrjY2E/K+atbH74PbspdI2lKPTQXTGD8kaU/B87UU8RJ5BTo3FWIBtbUIRWCoLmIvkrg51GU6 tvDFDRUdS34JEbW6egOpsrW0DMtCrVxrUoUwacGwjHl4jl6n/ScYa/5fl6ssz4IBotCxCWRNAhhr 7HGJu4oIIF9j+LRPwb6QV7Qm3r0/WU9XaIF4cFFP9bBTIjUjghjTU3WOL0ByF5HJuzOCU2R9Q+0M Sza4YeDnRtqO7cZ2lw9G3qajCEGvnglpjLD2MQ4FFD7PjL383hNYa3cGS/PtBmzZbIJqHzWV/LE9 kBoFPCqRzkLS0anvH20iXeU0a2H1pnmcVs+90wlh5O4PL/HRRa7y/jDmhm0WyMtPgEgndA9o+H59 bi2UzVYB/5tCtuKd8XGmIypDLHPsE+dp1zk58z6dJNkc0Tga58wAZCbPYArh0XdPXmihnCrYoDo5 Gh0Eizya9ciUE9rqLjR5tex3BPCKc0KgHKswVGzKkcStpR91yH9UueiXSteecx507Ggc7+oc9/Wd d1kZUgfKEYdsZkH7aSrkHXAHTooWCs9XInbqpotsZMlP54zjB6qNZl7yipbwm28Fs6VVu7dge7YC C6hLajVovY/h/egAcb9/4KtubVijx92X6XjT2hcnCkfi5+MqSC0aR2Cz3xhi0v5WoeC7M/fGvk2J 6UGJzoGw4m13bXRJJ1DjVozkmstf4jr6bVzaa9lhRYou++aBXSMDCSwEWMGTKrldhoXnrM+B+N1Y ZwUNwSUZ6akB0AzHTqSPi9NfA9LoytfBptwIvN8byncmnlb7GCo0NKuGVqpNX8SsAA6bjVCVHjaW JzLsrAg74CNbRe5zaD4dloWsJtpRYV7d5zK5HR0lWbtrqaSODwTyTkH9qBFTjdqIc2cnuvrS58Fu ie72JVh+WxDPwLdrlbHcqKM8F1JbrdmA+Shra7reM/1DZRe/JGh70XsJAPi85V8tfIpSo+SHdi8r l9BDjbRzJXRhNWR0NX/r3agj9GfGveUwY1AgAY80xixFjHEDS+Xd6S0R7SQr9csvvbmPCt0E6FRT 7s084HY3DaMTJHEXUZ8RAlX+vnk42Qxe1yuacl8o5niw+MQxczCFzgvYvPAMaAoQwQHKeF+RJAnm P/j3zKV8NIKYQsAhSGhv+nxJIHX9Ih9htmggBby2bjvpfnu7pnolJW6JVeQlsBN8HteAzcLJHIyy ddeLLRoFK/Fc3c5h1Wlz2kkT7+vLnv4t3Fj9TTz0fE3YHimDxGIBy0CLFkFALKxg2JR/jsbwjiXN 6qNjdq4XYe/ZrOnLu+kAW17HQIQZ8KHbXkVtd6gUl3InAAEzvlCjeycr6HL5W+SfI6Fm3wfHmXqC unLHnLhvBGrzSPeHhul/pB9CRdJKVpy15R9BhtgnPnfuIDFXJbN2cdWGzrXMPsYwCMftLcuaIvc5 UfrXT8zQxlyATrTPilPFtHU7LmU47DrByOLYl3nF+hU+HI6wTnRPRBwIZN7+LEOew5lyeHfKSi7g fWTqwMAf3h1G62iC+MsdUnTU/sv4taeh1hXuHFQoXvnNuGcGd1ImcACk4+PjvDIVKHttWwhyYLb7 hAJc2HWRzcUvVZUZAeid7MOaXIYmwpqyoKz/GADl4Xd9bBWED9ygs1oxyFNMfCbEIa4WnDLZXJbF yOBJwPCAQkSaGUqq0CQsTYXQ/QQQmY6aUNN2L2FBMOT/0djbhRYVgOjDS1UWnGYlbPTm7C7B8TIu 7g1ZYZfKfLyri9gNJUGLTzNZeNeVNnN141YkLZDnSzLRjblHmzkNSmdYD5E8No7Lbv6s6Bo98yOK E/p+wXCm2RvBbU/y0qJTeLazjxsJkwJOWYRxMOW7RJNzCoRGAh3OdI5ueLnOGupNaTKqkl/U2sxd oP8vK77ZRt9a72mklsC5QQNXxBxY+rVE8RocyRW+QRYDxPljtW59EezYtAWYEtOiJGl2cjqJh/1u Z1+NZKEhSS2dM6BKJL3IXCRsl/hZKqBvbYNEdtBhHCv50+GcNkjXr0pZ3abcYOXcMOHrG4msYVXL YleSGLO9noaznw3r7xu7rH8bwcE0cvRCDpbrO7XBPImxHBlGjZaDYGAHDBYjkBEaWHfRSXCFBlcp gSa6m50YHXAv3UV4SqJZ+LbRBXbG2DKpEiW/C1Xvt5ZXu+kJG+hIZDX4K/xhU3U8tvIk0JlFp4vO vVu455Snt/SwzhQVNLzhKaR3wisdPxUbVU4pHXIJEljgenwhdLbCSE9vKJysCjGzOWgbpTkmqZBQ +RUPGJnqNS/mMVJurfPDW8Ex9osRyFjL7AH5eKFwP/ItdQXQXLgirP88meIxw4XYtYEFsWkiI0Co 2+S1+YVQno5uHsEWJWPRAgEK88Q22KOSKT4SWix/dGRQweHvJQOo7sWJYn8s3nv9LEkP3nXJrnNI bZAN6BAD6U78heOAzcXucvCRO+onzCa/3nkTuiL7LaLnksdrfLwiLjDZpMH/MHwxWhe+QiHgee1s +CLQqIQuQYxvo5Kizck1M2cmGvLZQ2auEeFM+F8CGJj6gvD6TEdfeCEJHQQJFFXAoBpFCV+7Kvxd YDL+oTo9birfBUedOqO/XQl6uzGqBf+jSwTX4Pc+Y/ovaK94RO0i5KXPIKbL2WY/122xXY63vsv6 U/aWGtHIN8om28gFSMFgfFRLLm5Bl7qNhB1HYLo39f1huJw+R/qDG/QAKE48QhtX+TSEL2+KXMxK eBthHJPHBPU8tuzQ/lbrwg7frZ1XBRELPMt7JNJwx+OXHgmiwjdAsUpirnVCF6gjbZcXZq88wDrE /uGQO7uycvSyiV/breX9t+WNvrbLQ7mAMDIPq6ip+4KtWBgDfTKLOZ4laW3PaOzneIj3nGXW6BVX nkOeIFIppul4uGc/21ymeTg6ny/u3IBFAe73ABBhGZ9q6bF3dHa3cyhQLInNE3MZF2XfOVdT+cwH eOp+qagQsAz2ASVEGZ5dnYH5IuehlGBPP5Ec+0vYMovpsADO1qlQEWHgm59kZQnlgk0VcdWekFuQ 7SfEYvp2pHPfk6ooTYbPRkjZ4ZUOgAuMk+4p/qFrjLwudct69mGXgFcf1OmftNOdIlDzgN+7OBqu k7RXKp7lRDnGOq4ybhJ2A4vNtHb4tRshHwzU1reRvjgAlRHsjJghlBmEcgKUqXSqwLh9vouJB11g PQr3JyHtmN9IUhtpWtSCFER9/bsKcTghrAQeZnqxXhnWSLt/pTiFkIWmikc5Q4V6ACVZTJAdIUqU zb1rCRZOi/QmnqBgyuohm2c42PpSiApKp5x9ES50QdUbX51rizZKE6mXsWNaHP1iuVUX1xjMCdib 0nEKxOo4wqrAfFyEE+NOPGHph/HaWZ+An/VwSil82tjCPVryQWxN2qzp/vh0oRHUPN4iinzTkNFs wVhDEpNIBPg8PQyHCDVR9HdjYjx8OuVFHImBE1e0NxAFWS82AvjYZMvlnvsjU6DdUsY3qLENUqwt HEt3y1VFKIMfbdKolUlNuKwpZMU7ozW6T8NCLbn8fWmMGhhUYjJuLvj3NTxs5d4vzirSTJmy4jh8 RCmV46iOMsY2fevQZEVr9vSOSvWK67E15vdwG01y7UgjviIH7uJ5Kny47gOD2OLhFcizKuMOl/7V FfSkzOZn9eTzOJx1R+Y6zSwRo9mlZe8f9ehrQrYKp+7Z6kBSZlTa91JCz/8TOhuy0vsEtght8gTo 6qCvYvR1AsQjLF4eHU4R4XKtSaxi3799/JaEwJJHd3ZxoMx5xGCWoXAdo8M05nV6TTD1JmGWCN9s ov9FRJc6jLzG2+qtuaLhDTOqI3jc6lkQOIQzJDc7KV+Q4PC5Z1q5Kg31a65z3ukuCmeC5WTGFjkF T3Ue0idV7NvnHwpJG/pQRTYfBQmVejjjrWJBjMXhofRpg7lDKHsZXCm92YDp43MN3B0H9qqRAVk/ lm+/jw7cZd83Ck0i/ut4kLIfr/BWYy6l+9FsATe0CJNUx4vXmsnc/jWt2i7yUSQlJ3p6SgNeODmN kz+I9rS/JYeaPK9VXkl2Grpqa8ngCEMl2Vy6YbZD7Z6jdpNwwAQ6gqqlo4wkDBDoEkLF3uDTo+qH 5E/pYOcX57VFeiQk4eHdpCPlEQ+WJfC2CnaAggiNxsjRZRT3kGQadoCAmuATBvrmmpTTvLXFdwzy df8IolJYXiWiN+p7QPb2BYk1BwPzj+qNNdUu7NBYY0lwutzjU+XYHS/BaSC7uYvhECADgeh3LuHg Yx8O445ikyhhB3qytAl1H8eli1WXMCVlhpstG2TigoGOb/Q4Jf46H9IE5jojfu7ngDyMPuhnJ8EI k0nY3/ixfcmFm5NnloS/FrAuRoa98oXF52N3uJCLuuEitrByJVCLNZNrmSKCJlifA+IiPsAoYpCi YMyL/hm/CXddEIAvdos5oBwotTpTgTTcGElQEEa8Ntzh5mccAArNBxs9pRhqOYMm3+/FfjdCOr+p OET5U+NQLGxm76Wo1/TVSPt0m6L3Nj3KMINAfXH63Rxodzq2RLrCpdqOaPyElJ2RrUD/kpnXF+V8 B6wDmSHAht1bGdGzh3PuGrewuqSZrIfeSup79TCQ0GnrpvlsOS9moY+scHGcEFdomRE/44y/B0yD QYRcgtj11ScAYJ8q0HtDBfV2GPrvzA2qi0eSL9s3saZFGwRtS1MXdgW9E+vXdmQ+AEMvsvmHpJMI 0v3+qGsTcqhIJSfXATduwAsYDXhdZ3jTH2V28h81as9p4Y97zTSWm57W9JvRbOvOOBCZRWw+p0Tm VlPaRMV0oWiGV7fPRMG7TdcXr3kUgy2KgxC3qnenSAIzZsVBqobgNo+kfZg3IWaYUUR0ZS2GH+IW AKiQkaO/MlmveCTzo2DaXjtBJSMAE94RmWROdqcQg/watIadqClrLrPVWPnfpXXKWkp3HHTv9pLy cm8lirozWnBb+aXy+4zMkbUYntRcNBOx7/lrT3RoL58ddUkAD10z38F5oUI1pLIzkpCoZ5YXGFyO pUDi4kY2XxVEVsdicqBsIg0E/wRImKkCVSaWXDuYAk0XV024jdBpwdTvQiXugAZm6RZ9QnXhuc4u Emff39Fm7On+Zhfh1+ZYXobe0Mv5ewYagCkxDhs6DYn03UACDqdzAxoospkde9sqQNL6iB1/gTLa PmTIGDDF4MeqKApxEvtrGUsA5XsPfinLQ6nPqhDjk8s04HuL3v+zexuYXVFOjyiVjRAcAgzpwYR3 lx2n4TaeaZ6/Oue2WtOFy7wMHbea4U1abzzK5GDdRuixbHxAe9A7VXw/ms3U6lqIWXNuPbjhnwTq npAEels0ZruSdWm6H/dRqK+EJzBBXYQTdvheR17BDV5t5bLgOv7s457ZNx90ts36Ct3YfNOfF+p1 ZHo0edHGg700EBZylTswzLA6ZrlLp8PYrAFqZQpI9s+N+0tOwn5Ha3B+Nc2imx6o2kQcY6s5kGgo ok7vJnh9k6hVH6L9lYKrbFw5Cv8Nl10KKbz43MTHASsl7xybesWyfQ/ptA3Nn9+jvLaZ7JRIFxNl R8/F1YZOCcXy2gHPDkgt1FivIPUEhYqfK/s8w7P7JbAbB6wT1wDRkJjrFTcZlTEUizCVzPSQ/DwB z0/AjVKFqz+TJBX7L0Q3aUmMLA2cbdoqh/5I0uP4bZkVNxmxInAkFVtmWdwOZHW6SN8Qlv7s6IqG n/hW8RJWdYL+t8OsjrURNMgDE2s2tY+jNUncUqKC1QidBzwt6Q1W+VZopx4R0xnF2gy9yfdiK771 qHJ/buUb6tCqt6he8bJ82PwFd6WI6qp6cwNuKmliDz87vS68lyzQHHq5rEaZiJ6Hn5rb//XXrVxq e/ulZHkO1lPxq6tIsIErwE9vhoyJQnSsZBzDvgLXKsBBHqrIS1LfVa+3BzvbOVhAU7KDpmrULvjO Tln1q99ZajhGkonVlVvsg3dukdbzUEqLdE3fYVXGoXxy9gcODtUs+NDdwi2Go8DtDHWbyJbkntI2 Dvxz6/rJZ6MIrsv5ErcV6/ElfcFtKzkFXXCQO7VqZOthRlekInK+DjI0FhwqiOtFDwaKlSGaU34A I8s8TFHIaFtPCEZckzEALdOcwV+JYMIGCCPnFBkkqHZr+E9mqrbFtVpZIyO1eYalF4jwXgiFKCAS E+ny/kxwgQ8307BS/AdKsb/t6Fnz9ErVJFMCZ8D3E5J1U9JhDx/VsCPK1rJH8lRropwOr+tlXoI1 UsACWy/0lY+0x5BB5Y9cDPVW/tOQxvioLFtJ2873hf2V9Ugqe0d7RjG5aWgoWG/Lv3WiZXlOPSep lFOmBf1/cguxBvyKz8pZReyHpPexTiwKJJPLA5Xj3Nb3O0949k4VjE5cmbR36faRTBAJ/Xa5iD7N zQ6TSxZ4ypfGC3PQ5ksuESUvv4f6jkqICIiBghllUGJHWOcROt74oRCR5CqRUtZwMKf5DWKk9n81 aJXL6vE4mwzjYko9or9+OZTQp7fgw46yJdxjI6xcNXtn14ew+hMiOr7B4rKMggDvs1qOWaROPLr4 6cwl5IJKTZ/00KzuKvz+sARAd7fwAngXbXzdZxyeDZHWW5RuVOlsa4jXV20gwMNhocSJm6YTFNvM KZG/m8LeEEoF1i0jJcAWUkCVXoyPkBwDTvcCtd1zYhLRqVZOs6t4L6uWnh1TYfV2YjPcKOKUlUrp W5PLXBk3v95c2XZ18/GTFuJlVtKVGqMcY9MgzPYH++qEFmfpS3aLjqP29tSvSwQtIT1DnFXXU9Sz Bdgj1M936YNJYRJFByR/frQ0wJLVNIITpYz3QaBQ3XkFhMZDGBzYPR1UbSbbs+LPuLjxhZ9r6dVx UHIFLH9e0s0RlDpSTbg6fLmHwZ4NtS7uUCrgO8pbLG8xZBO/Kzl3whAqE2/epGeof+WjWXqo4PUT 1j9R9HXDet51hg3CiWJIqpxTRUh6a7BQBwax356eAESxAxSQDVo5HSZYwzvpgIXs2iGTw9MSyCnn TRS+e0rh+f16FxoblvGU5QY5gCx5QXZm0LjkB8RPZiXm1mR4yh2juYZAVAO7ohuzsaHKOOInKRy8 GEz9p2CeNwV5V5gD0xXVz1sb5B4a21meQ1hJyYtNvWdGDTjWvi7Poy82nQc5MXMd5JvA3tWoQowB L13W4w4zCzAQWJwW1J0HYwX+GVcXVI/P66FCPhQttnYTBObwa7NstmrTslq+24GB3YP4GmmXAMnA tHdI85yRG2OcWP2NhnWPirma7qide5t47TdyXEnDLBkMDRmWl6ukjetV7CwuLwtS4418vgjqUKFK KhH+74/nqGT78bzFkn4dkBMn6ZasOeOOPBqvbYnraVH3c3T/p+Uw3iR9lCOdUMqlPuS+E1yMOp47 lWVoMOc22kcP6Ymv/6sMcZUuEge/Q1QztgPFoohU54636L2G5zfweL77c7od43rA86dNlzeDnCrG EH+eeo8XkgzBRXsRkLDnmIbBMRHf7Cq7YkDFDj/XSCQhPtAJoZ/cJAbfoQTF1JVUrQipSjcCNmuU mkjk+DafxB3c5d0vSo5vyj8ZkBmlRzavULwPrEcM91YLNYDVxXBnliMocNivxcvyIavNZv6l8bP+ uBdViGmI8yAL3Dae9VQ5RuZNAH9Bnysw8Mjiz3yr+LCTOvPJdcQJNdkaHLv11tEtmP+apamf2m5G rnQMKxhoC+SewQ2dv0eII4To/SvgJBSubwt8YI3fbOXHD70Wj9cUE9g+T3IQqU/RPjJQ93U7Bce/ MYBGoOvXQAbdnhudi0jY2lTtYCwTCjUeLmingrMllVPcUwBhskuDyoXeFCzjCf/jxo3Vh4IbxkJw RrnnNoaJDfXMN1hFzyg+CBWo8y2n7Jxybk4/13qxFs9h6OtB2TB2DuVzXfxMK8D6XX/pZOn52mH2 HWqpufl40uKPLN4iPyebxf8amu+nQ6DT/UoktHbZKufSvBNP6U2KYezMuYTSPzp0/PIRCyInMrxH eh4Z2uCQ1JOM4w9KqCspXXlY6kWntoBIxBIMo99GXuni0XOnpvAlRq3OaPxtGBV22AAL/Qvsa0zm eeN5lM2syswNtre7zYX2TRLXKJK0RyV99oy5m51/g4rm0teukGkoK1IMGNoCDAiF80HlC0P4BPEH WyaON3t4s3DWas5OOFaVBzBKIn1l9A0NXPugp5+HXapT+65Gumma8ncQHiNI3lv0jI3f5kNozOST 26Sx6uNHSoFhpFSdF3+u5pya2I/ECD77MflfNwiZBV6zHl+0smqC7WEtndyUTrvB5BL0+yf4t0gZ 56RLIpXPQlFswxc1VhKYcrDZIPwRAN2dEg4OpK1pBLnyMvvg6rdj8Tqqz7LS60kNvyMvOfYdYpY3 dSLjLwacEOx0iKKcuEehMNDiAv4wDr7CaGn+sGoyeesng2tzSC37mlW1VFHAfPdMQhX6c8s+aaqr cInzFfrIjOAsLiq+e7RV4dCJYXnGbmdArUMvzQ1lqZsu2j2loZ3yoKDcAVXE4es5PSnobLOIdi12 A8iYMLorNdmbo52nU6aIk8JSKpcZsmvzDAxi2Dj3PdzmVNcwghERJEyd0CGC6LFijwQbC40FzwEN y5qR832py1HmLZhnnLKClIvQChfrS+YrvLgRyXgrN1bMT6klrjwIH153qHQly1W2iFRG+OkbvlAG QO5OOrTnX+mSZlbSSHRl8Yro5WT9Um7fNzdlB+8ckaBOvyKcRJ+OM+0h/pxUtmRDNzGOrk0tIXex v3r5c5lBpwU9amTlHxGFpBdXe1BPPYInQqoJSZqII93SXSxReXA+48yfPkBPTaHqOlIdb9aSDQRT Vx8l4jCTNUAnwPdI7NPohHzaGP5JrQAfdrRuWWLzA5IDwRXDQBDlLRj3WxZ9ihGf+kl3DOa0JIek HbKJu10SHg0bHBLqZjXumvRonaYwWylp+j+I2XOq9H14D1WcSmiHnfeF8vzK/anWKUd2SZaMAw93 qx03koM16w5+Kb0ec0NfH04R+qh/ggSi6D0DhpGclEHOLyMM009fZXfnUlxsgcjQ4YMgafVZbQjO qMz/GTrXsVBJz9AF9QAHbg1Whn8G0hZXD/59UdHQLAfdFhDKa3Tykz9Gm9Vu93ZA6znmN0gb2/OW VSrvBy/ChGMxGMyYfLdMUMrrh2pHcpp0h1i0+7G5dmwnqEychV4wLVPhraT1I2dnE7k5g9TYTF6q 2vP7+eznLOTvH5HEueSdBgdz6z857DfDGPrRfyD1AwmhHIVfXt5A9sBILHRV4CplWMpUGQMAJ6+K uStb7mi8dixjZ0H/+5onMFoWuf6OgV3CRNgIK40sVjEBON7Gfb3Tr9uoAV09q/mOLD7Elrppfe8A e6lhIU9SrpVHcaJyQhm5bpVCN6H38ftHLH026mieroCznTHyfGss8Lq8FwZpIqqERI5MP2kJRubv C9qRpyZzpggJlcquQ0O9WnsNHNgczQX4hejTVufd8I2OquF/+k+mfLeKnBxDjatpp0+1Bu3qZddP rpZR4Ff0tj+R3hVk9tqaZUzLzD3y+E0sdGZTDTry+A7TOGNpDVlv85rAtHhLzvB+0yf9iBugFU5b K8rOtQTLlv1eg4E+Bb8Bg/SPjJ7zs3kY4qtp6jvonQjQrLvFRRUfLA+cSHnXXlNhmv2gZQ9/CzqP C06vow89me65e98M6iL+R/B166l0WunzdQQIzJ52vigfxRg8C9qG6YgGLYy1fhNE5QqlxiNeyBTF epfBO7mo6m2tiOdf1mUnypUmzsN1feHXK05L21ZFZLRGjUB2kpMmV0CVyX75Of+kToWIQnEPjReu Ryd8RqOsel+OtVjeim/nD+8cblbDCtw11kH4OGDsZvg6iGVEYpTvtUyoVX0zg0ulMOfyOfCmbVNJ 8QrLfi7DMURS4PlysHdWZycaGvm77GYrryDzGVaOJM79qrBJlape/N9Jxs/izs+ibTN1J4MucCx7 oJEIh9mKsF9BqMzYR9rByN3gEElGvEMSFPViLBm9Vvb4r1AB5qT/WBIw237k5TrnlzWCfFhmvnyI IGG6kOPjLutVWAGCSvAsJdgX+KO8PnUWORI2jWe7cfEs7iwgGiaCgtF4vAOAIDa99O5brduES1VG kCbtPThQWLCfrjVDmNCUQEfE2jxTcsXYqCP0jZMpfFlH+hXMCeOfa0UW6ssBrJHwJAaABnaTFgJM yPJ74DCkW/bg0se5Sd3vOWlm3xUmzBBQCgKqlPCiYjWgur/eR9WVWMGY3hqVkSz3PT1u/1O/j6gF uBvqYMylA2DmQzqOvNixFQJdFMs37OQP2T8mU8eDfpuOuePhJRoz/eHwhHf7/0ZNLpw1eBuG86ud YRbGgl5YeWR9w49VEWhVIGh7Fqm99nT7/eemY4y3Fd3R2vUfM7VMCsRIdxYqj1RBVW1taw1bf1Fr JRkJ9FyM9WQ85+tNpVeU0dLiCZOexl2aym3XsHqk1J7W6YWH6W4jKzjcMB/SRR30tUpDnJDnbJGp a1b/5w+DjHGr8bJMkF8JTHAlZSA63SFSmCN2e4WcJX+J6HtcEvbQ7KcgoSk/1+ivCzlzbOpfI1jy BEiz44Sf+7C8YPa47BoARHuL/Wse7bMIdiTY2Whm3Fx3/PmcUALhM/Xg6b8uZPtctHPwZqLO78ua 5M5iisIxMVZItUh69R7C9xvQfTThbkAxiZCKAn2FGfAdTaI8sqsQMqz4eP6hjEhxqGA/shUk2PnE 0gTWphgEBJ6TYsrAQb7IjfK/ik2Nv/Jz+aM51i1dFPlz8wOwEVaTivcI3c8bSQ9EeDAK4UpUxmDR smZQG0nzzW+uLEN3PaSN5X+Cy25RXgwP7uMPDo/miwFutjj5AzmJcc/0BlVo5BoBDPfz8lm+ho6j mIm1fp5K6w50QALNSup7SlCM5PUL7uRoVIg/mDpN3SI4AsR4yp+4O0I0grfIL40xmr3ASgT+XYVp TK9wnnJ7BzzDEOM4uuYxyCxrdzroWV+dl67ilVhfbuvBWBV5y8fL2tzcSmF7bTodcF/BmvHTLYx5 Sn8HxsGflZpppkYrcrz07TGbW4RgTaJZpzD9MqnsaEwOTDemRhqjYu97iQ1KkzdoEUAIfAxjY5Qv wEHusfHuoMZLodW7CcOAjcbmlK73ViZB+gmQbYPJln/tJ5ahur3pVAXCwDV4ut+bJ7j+WLyuR9Fc Pw/hpqIHmkp67Knk1jhDhsuh/NmH65EXOsoYHe8t3AhbSvd7elwzdDVtw7/Ut3QwM5DNu1UQfUEH 8EZ208Fy8w5x7NK12hY6V2bc91dNtQ3cYnxR6gF8vSOsZKI8/17khRXGWKOhiJub0FgaCBoZ3WAw xzcJ1cL6G5JUN5okpEfm+TR5S1m44JNucxl2vvduK3rw852t8+IA/3KKq5W0q2UShgbeKWlnLbgc 03mabWUH2q//Of8rBYpf7pRQrlpEL1DzqLoUyiHe7ITeNYGyB3TASlGmf62xLAKt+chxlBKw4YRz UU7ASw8Mmnj0P4g/lh2y8htP7x1yrDO3NNRfF6vfyuztx010ul/FYEhRAEmln81EAi+g+YFeTo27 /eeoQ0Q0DXMnrhtqj8X7rWv8IAvNsj1PTPzNCWQNQuNb58ZENXLSrexu/YDKv6Y2Npsh6Pg6FTCS knVnM9YJRf03bxC3tc/l/AId6F19bXWAzbZYrN/Z26mqwB9J5nizk+Cr/2xSL16XXB5HkK0n3pso QRMePkP0PwFleo8uzgYYfo32cIQLON/lk/xXKTIXbywgi3kGMLZrU/z+risKlZe9LEf+It/4DR1+ OjM77ykEhe2qX7FR0qdXIRbQYWLZzebFiNr6rIdD8Gq6qowEaYhBuBAc/iUJfgbcF347ycvuyrK/ Ayq9KVfy6y8niR0zDmYGM9B1qjbZxCp5o+Wiu7fNc3VIkmxbJSlOPDu/urXOcGll/49TYRQWplO4 8Qs5/i5OJErIbFz6zqcS2joLb4dmrNmjpjNc/Vs8tCmRttaN5xB1Jx31JGTyfVaqQuKg44wqBv7N den0OzBouaWqfdK4r5tMumvLYdBYKqce/egLN0G1FE5PUlnwNEJQY/yIwTAgQQHoXFSp3OYK6oYW z5p/tLdom0aHeuyAbB9McG8jHEXumi5TLD3JpiXbOKbkqlAGgnUOODX7Xyc68CCVzkceiJruRsPa UZnnV19iLOo0vd1B8VjKEPhmP8uvya8+HYrqFvt+L+a/dQx+FzAc81U+B0p7CGftvGP8ZP5J7Uwg GAcRuhixsCx/UQY7JN0uaUcQw3GJ8shLT9e0BtqymuB5Nlc2FSXbqhMYBDqjkRrZ+i/EenXaiJyJ dqCu6s5Q0MgS80Svb5oB7Ec1mlCEd7a7RC34xmxqF+FywnMRv1EimO8bAQ/QTb62dkIKeq2byG+H InJ4U1HO/sT3OW6EqEhUlz0obN1bUaTK4aZzVzCQ/w94zd3F3TrPeQ7ElAi/B6DA2QO8ZAaaXUlX 1CbvnDb24CHO80OsrL0FFWULsc+XCKEDHeO+lmSTqbRnyYjU5zYSpsOY4IOf7Fa4m0mxY7fiC/qO na98LootAEazd+CsX7Fhwvdyc/MVy6Cn9bNlHh+ECwqlyaE09GX7MawnEUrPxy+QuwXFqmLOd/p2 n7l9ou/jAHKldUDvRYMZiKBj/nsNwkoHZdOVw4HCTJ/8UGGR82TAY24QKAmnfQZ352KmUNQJ9/G3 LB1xtAHieTJLtZjoZStsevGyu+lPZzRDTD6ii/9VNQ2N/ToAlBUiq7w8iZo735frou5Z59WjLdBK amYxuknsAlkD3F3u1TSCd9n3v937LLSBLSifj8fxWu4Q8E9R7MlDpCJxnv0Kb9WjFPE5YgtnMpPd 5pL83OaSSCr6r+eZNtletNF4ta+igqw/1WpVGAcO/VaWgDi3Y3paHOOsnlZR9SsoUf7P6hYoJB61 4NcLc7LG4AYTtXP2YnBM4eNrMyDPF/9xUwyWptwGStpBP60Ap112waW55ivGuAcwY7gvBd7sFvm5 GeawmZskzwHd2iPHOf+QhpCVbFZjm7EqbmSLm9cZjD5iBJ9HabOckDDlXJdhz89QTLcKVQL1Kf+f Ls2rJnTUtIyrwIX7RrXCZb6v74nxUiJdWGqa7YvJ0iVTy828DsQagyQdj5B9YEoQ8iKjquYJPGKE Fp3L5Q+ftWQRv+HdUNPZBmLgXjTMUuh7shTZixXu/IXaEVdXa4CsZ28S2sBiKk6V26+Sh6eibaNR GmLCum9dgtbm8DguAgdAFthOagdigKDX7g8aM2FS0Br+xDBbfz5nfZ29F4O4XrLqsgVvMBK6F0mC 2YT7kpcKjKQ2rfie/uNu5aEgnH1Yt/bAfs7qZAZLYy+CBxrss3pWwBa29IZiu7frZnVSISSodf9G u7vL8gu+ZqGkMJeX/TRvSBh3T3lVSjxkE+JTx93/g6a/8ElvdOT5DcWNJvMh5rKdbTI3OVw0xqcA 958DkRUI/VNdpyvDIWHMRGp2jMu0f95xU6WazVvklnKUxbR2FZO9GF9bKZBDlf4GXNSy80yA2duY d3Gv21dW3by1OykAS6Jw6+vf0+m6moObQMUD/9TFu3IJYicS8570sEnOEqaQcs8mlb14cblCYQVv LPd/G7TJ1lwy/tt4u4G8buHSqWQepYgKcVgF393dyANu26xjD0GJ4F2dEnxU9Ij30vUCMsiAAOXv dmy1wqFaKqB7B7OdT1Z1cjmFH0SYw7uV25nMx+TuHBGlEaNdPERGQjp0KbWkTIYSwGBFgis/K+E1 78FEwPHpjKWi7ChKx8kUNqOaKFnXM3sG4zAEyYP8OdYqyc10CSAGJn8MtdMxdhXb0t1MbRbI/iY3 BexGB0g/O2OKbINO0wPnBaRI/WvK/d/1l4q7PAexVZ4+Pol/sVSZgwJDRZktxFgiP3x/N+m2b1iA Yg8QdN3NGRKoZhnNv+b2OzXP7JL4lo4xAjqphrI0/ZOHq1Emo8h7Wr1u8ebxLzJNMndF74mse2D2 MqwCyf9gksaogfMyX6Aci+SOoEbDOC4HbuAmpdA3QTrCPiEZD7qdzh/Lq5wfkhpSvVV37M+68M4i A/O8u05/eTCARhdyliDemezNRm0UKf88RaDUae0ROcQVfsekltNe5vNU+h1kYb15/vQObUlYQSPF sKZ4FWCY3FOh+eSj8wdzxkcfbz6jta2SS9nSvAW4efanPTal/W/ZRGhdCmF7PsjdCiScSAHWcVz9 mX8ukZS7VMDTPXTFtsZNjZmxwER2iReMOe6x+KB3oshZqcTy93jFwuqIYM87TUE+yUf1cucepI58 gAIpRhEIuFtUYp7IP+afo9lnjeX4c15YzPn5UGdxjPnfgHLc77YgvBKeba8R1WPtaxVgq3rqwcAU Hb4cchjvVeD9GABVbmi3tX6jJTHQDPn6Z+Xx9XwjAeCx46ifyOoxgOjRFExi1lcjUWnDbg++RftH xSrfUAE+ey4iS/e8e3/5wmOuHZcjgibxX3c0PJLu1zDagb/t6eTbSUH+63rZqPDmcBwGaEURkf3X WTjnRNlO53lHmUQOwbywIYgow7ie1dXjhBWYyWhrpHbsBWx1xH4Ic4nKQXgze/xasHdS+51wM0i1 hlWBAl+AOgCxBgp50htQ2Lj1Jw5+0SiQU5NoIUTC+siT+EZOd/N9XH2tNBpGEZ1/UrZTt/6u8TOf noSnZ4NY3qkeFVnbP0nA7CJd7YPTIKlWx1twrKjIowID7clJdFDfPJHLYwqa+eTwX5xzEU4AyWh+ CV8yE8J0ptJ+C8UBnYvSHnek4IM9Ws7Ea0sGxJ+FNlPWpeXX6dEWf2WxfHarc8wSTdfaku2xlJDt YoD76eS4V4XtvFHpnuH98ZLiWz8oxP7ambD3CWIA3SyivamAI5ba4n2LvW6gfCfmaZesC1jLvz6q KLCaDmc62Cj6sQ9k8pU9bSAk/r5QUL0gvXdz+Bbgy1Z+SXgNSisQJvnryS6JpMo5PFhz0LcxUoZ4 vu+LfE5i8VbnQnls7p9PBRftz71o40LA1SNByFsF1+kf7NFW34tO9o2dBdi/I9hwDmr7EKZs3/hd VFVohRI7DVnvHZMgnGIMLI3CF1VZFLQq7Z5hGNkHCDAJYqT/cJ1xcjlMBq/bQ88jKwnYvYvTIfGd DgAMdCIfFnAau7OPemlFE6Q2NPpmPCp5Ov5V+EKxKmYzqp3F++7v8/Ts39UmkcKEitSvXCvjTn/v eA5heKskv5d92uWdWQto/pW+78+/ZwqOtmxq+C6MyWRlR0C7f9QzjeEP4W/t57/x1SUnIBB0Ipfu kI3q/+TULyezJ9pqX6ESfcWEExB3utlsoBN5YQBGwKUA0lLKzPJFYpi3N8x4IDtt1kJGq3ilr7+W VtB9tv7HMQYh0Ww9NNLX+s2Ae1+GLN3JZQ4jYfKJ+gkQgpspySJpBRNm1md8x1reQz/Yhe70ioD4 BJS9JbFC+8Oa/Dl7npY/0dkh3fWrW3uQirozp2rDJtlnUP0KaxIA3dBjgOsDL/OoCcPMbhfQRRsN rb2pDQuZP27Awd19bqDCwJKzEAqB9A3gJh9XNKsRxyIdQkVgvSliXxg3j4iA75jr8+vf9noX+tvx /HFyvJbq3CXk5vhA9QIO1Qfly9ZAy3TG0S3ar04EmJgzSgifh8WsOS+peGY3l3Lyjbi2zOA1Wf9L jPwzQLtZsvGp7Z66ywx/jjP3uEdUoTnaAr2KK7wkrV8LstQSCKNmU4bT2aEAn9wxICX8pze7YM/q kf9c8YOi1qNQIy5j97NNSHAgoCZuqZZjf6g8Amm5RI8ucrv8Pl2FA9IlbxeHKbNEISconTcLd1qx BWn8VyU+VLtWe0kNZpWJkiXeZp0xlfMe+BY7ZEew3xGfkKKsX8gtX3jcnmNaPYbSPnL4qRXAyjmZ N2RaIIN8/9yGhkaEF2PTAzfwF86CeEul9IkORoUO3YfGrMkTSk4s/obR2iGxr/0h1ICpNpEEc2q2 Vh1uau+XmrIJKXBKdaJs/9MaQWhRbfoqdvI2Utvo+dxYujAEQzCVe2bAmc0Oj/LLmD3BjyA7+0jF OfKGQ+NLYu9MU1WuBMEd+ZAgFMMlztcqlJ1dfR41DGlIKSZpsXCFAmwiv1L34+XO/ihTvuViF+dc BFyDKG0Js696MyLBtLQ4yZ5Zt53omtuwv0xouijXJZhN7Vygq1jh8GlOg6r/7KDxOCFOqrP0yUNZ 8zAH5MzUz/E+rv5dn3i3QsArlJ051zUPabow2cD9Pdoxluq/1ZjMCc49f3SsMtTy4qX0p50YxtY4 mMESIUG7T4PnSYmwijVwRVzfMvMAaFT4ZoXi2kXyLCtNgReOMFQBSEnyA3Tx3/PqkIj5aVxJ/2AR w4dt75zFcBaFPyo2BWXnxEOgtwv+ZMRPBHN/s2S/Zhf6CKLPR+QgKsauJ6AtuFHsRozsS/0+6Tmg 9pHpijTHvohhJhY+zbet0jwwlnWxUAobmqOXnPJ1PUbiUpgvKcyb9LYavlruaU/HNI5jPYDnv4sE XBKVKQ/QBNos5ZAM1QYPrgYaK2HsuxXi1qYKNsG/2z+E2sUyL3ueJgf0dHicE9/2lHhLEuhsndA/ 8Ez0el3skFmxfc41/mb9dmS3qu+eGOuSIcmipsPQFgumJlz5Fcb9ZM95TaPO0BcNlq1e42YNdRim hOWzVnUZkOYIrwu+JBzcHy3/jE2/VHIhQWz8wpg09QtMIqCS+3L3VhWUYLdgL4iU1Ke+vTNqKE4b Xo+xf2HXBFclCIra53VlAweFf9RflsDqlEkTuofwdybJbsM/W+UMOT5cINkVCnbiobUxoqnLgoMm 87p413alAPUn+CPqWI0faBsAVANGoIbPAWjFoI2C2nh2f0sYIZBuRSb6Ma4oCU2gV05CwhWsj5jy 1PNcp5TtGcBzl96JxdO/t0rp+WBa+1Q/ryEqTjEaTeV47pJDX+zU48+spJU3EJGZwHSWJZ/yliJ4 /T2vERH5fIypdVnk7Mrm88pwySyNMQj7TmZp5hwa/6Ls//gH2nwqugAnYMYHXTsROiXc14f3ll+k HfoEMwA1BsCNsMPIB/a9hUW4dTOtEzax3WXBMJh9OycGGmWRkyDRORJLCny5HtJAaubk5+OF5xrG 3t+V+/W8IE+q4ONtXjq6WFibtrSryTCRcXQWD+PpJ0zw+hm7MNw/911Oq4cndODJW+m+1E982sXS HZ1ZiDgXL0cVOTGvYW/7NimVQK1RUiDBXiOwnypngH94jRDa9e8Fpv/Kn4PYCBC3AngL2kcHJbJj whoPg1f8f7N9qkrai2ZQjNFb9Fhk7xuGVVVDYj2087xP91ogjy6hTceguCrc84oMKCMx96QRDXEu 9SrfLJGWwFV5XwqCAXbYR3jN5DHub0UdAOCny1MEJJvL7E/TDYqmABGBhquCpXwgwb5tfbSeZ1iv r0Nj5RPdJz6El/E1KXOICGD9S2Y9BewyRpFY6Q23dxxOSdPoCKkV3kqayNRjIru/WavdZ9bivrFN ivu0vJIvtb9x0064APGUMCLNoNVFcMRfx5h1nf9S3um69PeLcKo+Yu9bAr9RQPUkLwryktBh8tmx XY9UiOysAfGfweKtG7l3tZiroxKj8yt3ZK6NANTC76lHezANBUa1TRQLYbbkW7woNGD9vYFRDXtt Q0PoN2rOKZV8JwTlyVRW9g8A/xC4em4c4K4Jz5WNCnpjW97BoeS3aqYYgYViukwjBQZspjuzWHim 2URB7VYl3BE8X1dejIPA3J1BX7Y/qy+9iJ3nI2OyBDUUqIdXe3lKVE2MVEg7WzzGReMjnxuoeEa9 BbKAVeygfmv5HdScwIz9Mps2SPE0qt86M3VIWsKk/fMyBtgQNLEfESZk1upZuEqScjA52+lp4kgI AiJ9MQi/iY3/qqNcqCb3Q+X9SBgKi6Y8mrZeJrF2eGWbUIVtZBo41ueIdsUaGGV7Tx2KgWtPnWzt xHCmaHiTy0IXw918eijwue1oO0qHSwnYi8KRk5YYuf/Mhk6I2ftLby0OP/IyD7JsuM0V9sZdFdCi CV/S1clnEN2sh7D7p1OT8oCU2mXr5WlSZSZ5N4NrufJxJ+rCu34gRGRNMHI21P7/EWSIiqca/KPa cZ1eCIdd65h7l75UYrpSEtQDnKy3wZJpIfgCVIGo3uPDOnsOSnmTz4wCmQk3wmJgLLyVW5o8uda8 Yklc3KRAUci8sZnyAbS8INEozTNbKIj/tpJm9b/vJX3Tm7+qdGltSN6WX1xx89gHlHVI+j8OmqWA 6zetRzdzQw2KZxhvP4K2L0TimnggV1t9Xh4s4sDOWv/AP/9HBeD3Lo7muPzMrO3M26h7Z4S5KMXk 75+jzEJ4QQtexAdPrt/fDLFpw080eZLuAUCUyKFoZwuHCMmminXc4YY4Wxk8dhJmTDA2CjbgC8Hv GiPTpOpbWPs6m2wLYdK02Tc6KiJ3ONMkJ1EW2fhDNOBXwsVuUBygsaiaWJx1YMIY3LmlETa+LDvZ dJiCjE+hAVdWaGIdjZ/9j3shZXMbux2TW2mj73sZfdp7yXaGxzgsmyfhWfgCrKzzW/SZQ1bzUmnA msrJ9zE6t0+GjB+8ltGgIniRw1jV0HzBoDrIq4jTdbtQ5JI+o0ZhM9r78UxdMm/YcgPIMNIitsco 5d/axKEuQFa/MYDFoOgcO7qQHOGxGJPPxYHYPSJPCDJtacDvwoXlPqFfepIesab5cHZVVWyT1k9e Z3BSt4xwLvhWYYni3VllDIAycPLTZ0XShFaKThm6xUqArRIX48QTWHR14cXf0GO8UO6KQfRFdrvc FzDRdGzFpxx2EzLaI+fqpd2G0Y9RIgLYACJlDrCq1QJ48XF6ezNexGBcigToi6HX+5FoySOTvYZF LjdxFwEPm5ko88VR/I/D6C9H5JWMTj/nh/3W6pEjC1tUnocD9kY4nkTx3j9r/sqLNmKuw+ELuKiV 5Yb0pW9rxYnzcTK/Xuozj1uft+NvAeuhFs6m8WEse2HZuUril+C4RwOzdMMmkAGwQIMFD7SZWkH8 rUqnq0p6/JCeuFDCK5yaapupNe33m8CZMtXCJmJXkJliZwMMLvIxTakcLVBVaT0HM0FFo3cCog8f opWKp54AGCULzoj6JiB8dZMdZfj4nSqoWE3GiY3nvNu7O1xgHs/iSFh3H1dcRv7lnHEmhEd1bEje LnK+mZ7jUEXN61b/ejPtkZOdz7vrThRIEt/oFa01pf3x1S6YCx2OWValv4DkAOPnI5a5jYIiJBr2 n+lxv8wE+FIql2yS0j1Ar9BiY9rtIIia6ASJYeCb/SEi/HPUUXYk5eqptIw+c5BeH4ASCV5OiX+v EuzrpJJWgs1FUaO0+Mh9DoVXKmQyH6JZ8RSY0EkNNSUDqzmjT8nB4Qq9eb6zB6a2VTFviUX8SpHP 0jkBXR2TIIPoNyFI1R9br3xrxymoliP2Yjs5PIhz2fJRPBmVw9fWDAeWfVxTPA94w1myTTLKDBjd UiQCiZJXLSjrg6ZteTPEoOgCFz4O94CDF927V6+mQrvkXwNmYNHVATyXDd2JBwaabFEhogLkpMkw Zmxf7luuHodJIsRmXbP9Q3FfucsYt2/G7MrDw4Yl3EKj0Csy8pX4aks+jU7OglAhuc7khZGZQGsD PwnLmNUIuwTP1UUYDC/c34WKtXBSjctfVqbQtJcAr6UvDAwmvbF6n8P8D6Q91RtBUHx0F1Pmg+50 30bAWNjVYk6JgtGymEHwtDOpLNFKWq3Az7gXVWfDAPfqFNewZMP2NXuzUwsr9O+w+/mBMWKBalqQ kpCAhLRi3V27T7DUx52ntvUXqKALU848gk0IbrSa1DdHzZnopNygDPDeNUzW8O5F9jzS8rUcWXEP XDExLlQH9IK5+NgLDA1xSlmHyJ7gFbazAznHephCarsiGJnpmMjp5P+XcvXefl4AHveqr3CYFQ7k 5WK25x6LH1Yec+x0fceGcM0fog7oOaX+q3mFTyv7HI/g/s87f8K8hOnTedIoiPSMbkzi7V1a+0uq 7GebKziXX+BEINenczkDRTMqVTHgPYCASESLXC3d5sDKcktHFCa5OsyVrq/VoCCjOH3kLVix0nJO AnEKamhg3LWkwiZchoDhiL79Tch4Es6vEm8zJEYYhfoOq215+39ijsi3j/3rZumte7sLFEGexFLV VjJcpnVnvLn4rGqwLfaYohVWzjWOT/S/72wzyZehv2xmRPHyJB3t0bte3KAS7xFof8CzuFsva51c 00YVL/w5AGX+QyUWcBjciV20ySVTNG3eIgRkPZf+bjQ5fugCx98DDEcyjEwbJX9ycEYd/MChzvle YY/RgCtUaNEZqTsmh1KHJituAZZ/z+Vf4O25KeHm/Vp04TDtxeofCUWoOjRXOkJ4cLiV3iS07S4d C9/aHDOVOXps3fsgddn6Bez8SK4ErthkrzpVlallhBoKWYCtToLHZUTp9fa4HtCEXrhARnObgsBa u20v7cO4MmavNVfQRoju+d9G8mfXJ4lA/1B6aY+Lp2/ZPVdhmHzFsDz/hPEadlsWj1colPhsEnil zSkyOlL5xKuBQ89zEItmkndecV7jd+j4K8nwS7wy3kIduOuzQ9ivdP3oTTsep1haNcPFVEVo4Q6P dhuNw0E6peg6r49tmfE/4Zfc2vLWDX878O0yCyoSzuPF212b1Egp9h5QUnHIkCPVOsvzBA/04PT0 OrjN9xGvTnScLIHX5okfHPKG72hsEQBIlccs5cLQ3igDO9IGskZA/XdYO0A7+AtlZ5M7zuh9h/Qn Aqn1vV9LiLk+/L/KirJQCEIoR7Pj9CAZbZkLwEDXp/vPyNQZ6hqy/zqE90GDzlEE6ooK4QfOqnNf SqrDeGs1tqbYi+69J6Gr5X1GnUp5d51zHMr7qTReTpYLYkY7+f07gtv5bj4MFGW6Wz6x7VFE9eBL vREGe6WKVEhviuRQwgeLLHmsW1aYl8X0Ik8S0T0Tysl9rdTA4lQEfYsWLO1zbiD8Cih4DaJx9x8b v5FyFcqQdmJ8QMogQVB7yZweImyT0LbAiZ0RVRHsLQXpDg4H7DnshW6M2qb7LBildqE/j4bX5JVY g8Q66NdiuvvZ9ACCWDSo6zn3gHNhnibp0RZA44JbuNTaHwuCAlYPCnAT4KfBZ3ledPAhmfNaTEOP iqIFSMcvwcIdaK7swa/4QnpDSdbWG9OiOOc37hi2XO739jcDDMzDftwLUUjE3VGf0kIdGd6A8AvY zdiOfxEO2ykBPlNIq9+WgA9VKyENDOOdL+mj0tkcFrKaPw5aMozA9aB71weQ906mGVb/5DOKx+IQ bFqwL/foaZmKxWWeH3NNN0tPZRThfCLvklWU5gjViMEr27OGRmZOUiFq5ARd6tNSBwW2t7B2/qek +CUCygAavv97w6b5pD6t0pONoyJ0nugp6XOG4d5sQmF/dbh+nI0oYsvueJnj5Ga9jr9InigLR1C0 p/pXnipFq6jqq/cuq1GWtKjM/4yM8MRvJzRn7qtsUagL2uOz/6JCGMSLaWqnDKkx1VTYFE6c6xJj rsKeGVXAE3aOAIVjKLPovsTwOuPtMmgGf0fHgdy48nIuq/hQh2TFxuCs00ajxZvZtc7GL/vTW0XA cV2umTPtOgmjRwVQTCfKrG2MHdpNndE1HkGRKUPv5/FnD+sLaXS10BS0f8jXvmo9aRWng4kQy7Z/ M75rpMpdPIl7mMB+LlyOKknA/sh1ABNu0eUqmZ9uvQeV70EY8XoYfL3YRFaoU4SlEkjxQFD+Fztj In6KWdEi46nq6lJOCL8iGg4uQ4GKIyOkum4bsBvFPa7ETbWEUdcTLc5wP15lfKiwHsC561qBt1MZ +OJ/kxI/DSbp5qjHsBVpvlEE3mlvs/t88yIutEUizg5fdkr4/XXHq+jS3kflTJL06MGo73jT5Fw7 UjO6EMTYNMx4tRhqLDyB7VtbRhnb1TL4PBWG4FsgI1KOzk4gd4snv7Evag3YZTXOv7J4BzLWg/vY wv9QOxJsFDnkY1nvuyaKbvaUZMVBeyGodOevocFTZt4z15v27CHgeFVc6+UCZlXm4v2R8+7WNJ4v bc4gPQnssnDRoC8R6twLvzJWD+XOEQQjWq0e90Fbc7t3jYehnCn+gMtUiuD0hrLQ9+eYGo5Insap kTDGmFVZsJSdEC6sAzrYMH5UTxHOSh6qVKVOc6Z8IJNYSbH05IvGlPjKmj8EGH66euueaidCg4XX fGus18IOU+sNW+rmkkxVLoYmGg6vlKpOjqHAu5XjYxi41JFXhkf1+J0A35GRQhmytBs2aFbYXdWY nujEBnG5SSPU+tmPubBBEismeUUn9Q44SW7YnZb490QSFv0O3VsTbDUxBCLeyhZoPTfukXMaNeIm isgWM8Jpho0ghscQGBtAOUzE88tyqvsb3S8Hj563SALqE0XhaYYqZzrmMwMi6jdOoHN3OdqwZlHZ gtPWLHxEW7eLunPUpdz4oDxP1rqYf9bWo7ZrqXWedJVWXMk75eVw+807guD4fij6JEFBKNRVFzPB D2FjRM97A5MVxoo27FNjwb+O3Nv0H1cewMlnk9L+uezGGlv+WHU2cEbwm+GRKlc4H6oxWGQsjBzh Yr38vu9I+fH0gld48kKbiwerHqcv8D7xoU8/YZmSMHOoxzc22KHH41UeMD6RfvhXC0MZeh3EZDnt eIDfrrgtVeIxVJQ2K9YBgGolUP1lxHOIdM/1+J2GSnV28hSwzEdDaMXjmFlfqfvfxciKG92nUtyY MTWOj14dsXqc0afIL23g9l1mOHUoTn83H9uS2rgWB4HFu50dmCuAJ7+fDXs5tq57IRKfzBz7HOv0 FDiCilngOsSYgvOoGXPzxuTSRlqwycJfMPDVfvZqntHPGte+wL7GHEp+S7NR6Uie4JI+5lmrc9VV DlXWq6EFDD3w514q291AE3J4MO+G68UrAUc62BEOefNir+wjvB8ZcPkPWYDU4C+sxsHBG15l2yAC cEJDl9OLZ260ka9pKztInzDkJEehkCvOWsVMq2+hx8fi1G/ejtN0mFWW89MItvSzKRs+KIt2XMR5 OEtaXmtPMb+ML86C5tfVOA+pOK0y9Cmbi8kyq0P7WIUmIddq+bbyoAEPiphyLxg2xY6VQ5o6wEzR rc8ip9IKhhXxFBCSyAfJUZ656J43R5IXsCPA/c8Efc/k6dd19MNa/DDwmWOFiqXfg3J3Ei++taAa GldwvB+h823atViNjwcaiW6ApDSWWWHsbFdJGqMvLZvuY0PvNwpDR4Iys3BKEanC6wMIHz30k6yH sJCDQ1Hk0U87An9yf4H8vXa3ZStvMi9nL7I8DR3Pptoug5ZpG4FsH2p0DyuoKzsUWD7Mxs/TNyeC Ua15kwjcNLDbe8+Z3IwBSOmmE/N2os3M9X8uiqfZ2BmHd4R6HApAdNwBzkCJxyaXq3mnv6E3e0zr 0BN9ysej3fpV2pKT5+soVE+9u5pR41bLzKqjOtAC+sTd6s5/lPT5WY1VYcSj+jmSkvZE/3qKcapt wT6JD5biYAQzHcmLr8+eW1NE3qxWEN8vXXOhNb/T9GfnS6/Q32g2rxQs0l7Le1DQPumzFWQDUrX9 VJ0lTU3mtAra5B3AwRt9k/mC/XA+aViJ/tofh6laEzt77Q39ZxNWWjeeGDp4gzffQQABskVqwH2L 9uHQnijlI7hqVYWD5V1hGaa1szfXtlWFW2/GenPGX3HFb0BvJUzFv/fcMvQcfwknh8W5IexIFO1H SaVMXTmM3a4yKzWextJH8rWwpI18sA6GaCLnv7sNDi85PuCA/ZEKkl7IpFss68mdqsvDAPqpWn4N Wb7/fx1LaxS4bpeOaXugrz3UkpZ5Y+kgQUaHX8i7IRc+yGX4zVaDdOORqFqR/Jc5o2yZ6v8NUVUH WhZd3RulbahIpL9/qYJb5KJ2MAEnVecKxiO3flGwDL5MSnp1chvuOavdEZDOyUmmZ2BW5XjcbZ5W scuRIktah1ddMY9Yq0ezLc/6xSt3TSSS2jV8B1YpxjbO187m2CC7Q9+aS7Q/Sd4zVdJ2geudKG4Q nxx/ocQUtQkczUeMQIBRqihPckL7czWluAsL22euqlxh9ODv6THJVNP5/y+qrkQpcMByXmhJcDA/ nJGmf1uaKuf3m+WXoCchZLDIX0fO9OK20qMuF77jBB3rKZ37HbG1yJZHMKPdOUsZftSMI40Tny63 /Kp4Ga0CAdMTLpg3o4S1X5Qm1kyeE5pbwoaPKNDjbj34Cu1LScK/iEPgEDb+n1+K+UTj0DoT0Dc9 aY1MJje5PhNXQOWT5CefGqgtImpXbVwIviMN1dpcm2wyJOREB+ogZvrVo/MJF62JUUkQRgX/pgWE esBwSpapRBkeC5Wbv/nOHGey32MO7kX/moyk3lwycN3FNBejhOgI3uxMGsPDzyJA0pGlzQcyfq3G SHU+oknb7UZtPqUdUEcOIeva8ZRTNKbIGBR6eYFrDs86LgIeMz0MKvOmfqfx83ifaPGLFjonojWk bbzfx2qz/862H6eivn0uVV8O7BWLIWMP2x86yjz0UHWxa5ZKeVWK5AhilDRlrPJErN0lIdV2JxTO +JwFGbXWiswR9WUgjxxarfIG+rAroLU0mtJeQkkd82rppd9qHX4sRBDpGqS1xOkAiKMFmAy7+UW7 du1JeyePUy0VaYvFwIGwkQ7MHLkHxK5ZJgGlfttTuYKDr6W1+XLPzzaOnYWGc2yNDR1QOxBL4V9E 0dTJq9ZHsGGliaNZZkUPO1WT7fvO7NWSwlO1eFbLj03ZWKg3XX0spRAbxF4zFPB+Fa2FfEiVD6f6 ArvpX2DE11cJQaXF57ZNYFtpkCJ29+w+jhlscq7JuB/UpG+t29/2D99huvsAb4B9a97Bmy6IcSze BK+WY4KoVlTQ9Jxl5KIPa6oacghvbFF6zHAK58Hwb0VoPI5nM1oA0m/wVmBRB1IgmxM+bJY/DirM oBfaYM3f/TdZQFgnLqMWb478IHsjpbEg7ZmSft9FGzm56EPF8+0joSAX0hCezTwO19xS9eK29EUi sdNsqZUzjOXPdURdhSasMjfdqJNq8pLiLAcMDfuaiGuajXWzlgapZiMsmmmkCMTRfYDHQmDPldun P289x78PPNhbBHYtPBJqTR2JRnXXWrt4VLvYEQkSnjjCMiU92fa9OgOgpn3LBZANXCRQ0NQspwSl QXdxZG4MZNtiGQrD8zBn/Af936US0d6OIZLpW/fJnralqfHuhASBt44ebslVYq5LWQS4RqpBg+Ke fX2m138hILmVc4sgwGNP9brNlJ2bbNtA0nRsdSgMwxgrz9keW4HaDzyCQlejtzS8G/yn7qbWCCsO bA858pMz21yEJATfcNlD+pcYx6SFcx7UNmscT+ckDt5qcpUUb93DnB+2/cFYZJiXDsoA8mIc9dYr XxQKi0D8ExFk8DfcRRKpjyBew3iqgk/M6OqKRpsHnxTu5DlWIG954s1V5Qj484pjS/QYPrXCpg72 KQwwB1DtTug4a6lp50VmFBbQiKEZmqlKLpjI+5NovNEOBr7jfSuuQ29FrNJBHugTdG/7BFrGAIR0 qGt2WIv+LuCIg/V3/gr04eZdTzheLY/4hotC0PMNzbp7rhwVd9ENd12kVHq/Ri7xYjvajof0Tk8m ZnKZlwFamezTeSMFkx4fugRhxHH4+nmsDX8e7KEO6H6sfV6dxe+3rD6Nwh017/wcYg/z1J3EhVVr 9jJgSZyYgXEuQGT9qcxtZDYkv4oXWY6oBqWGSsrlzaWFT+Qi9/S2PaEJAdaAp7Hs8STr8bI+IqVg BUt1RrwvwlZVGYGrt9kIERz5WUP2kia5TQoVDktnGrtVqkx5Zujz2YFae0EM6zOzr/rJovAijDdQ pvDuAbmCRRmsvAZYosegJdUfGcRYPItTp7k2vcotmc/rjq4jn1WQ7GTG2NRzV4ecAzg5y/bzylNA Un5DLKZJ0eLsDACVi+GTdzMhe3tmJA7QMWKZnXoBDgY3NHmOQyqktYzOEZy44lxbYOz5UBId6UU8 92YptH9P4MOLlQRt980RdKD5HgojThZ5oCXuP0mMr4TSTXMH2uGEpqoIB8Bj4QfSpFYE6o8UWuHs qESmw/aAYpQaxc7BF3I/N9OQq9oWZ+roafiFDudAaoelhS4Vzy+5i7FP0QOcTc9FQX9ctisy4x3z n0dEBYNX4pcNylyNQkEYcjk9f5SLvT0HGDn1sydwW1r87t54X5hFKJceXCEjyS1uoS3D7Ch1YEit L8AqJ4MaWfMseKhCSRXvLMTzBIxa37YJ7ZIYKKH9fTZIdk6MOKuWf1Td4+3U2zKwXGFykVHkfarj DJB1L2yi9z/PRwThFjWthRZHTtCCNmcqp8zWAKJD/eTM5WmdAGMbizvhQJYPyy964DeWd4RlCZjx F5IbOAfRD2tHdWf40RV7/wfhV9IgXABCCB3moRlHzHrR44HF8NyXQPe7Wu9rU2tJOYKh/2fQ3+7o oOG21XXIKVwJ0bRSz8HXXu8TUb0a/Xt9YSVRumtzcvP2bEE6rCNPGF80l32ncvgO6BzM0M46hppP sRWQY8v6s9LLETX5rYVZDZoOiFHVOlNZmDm7Dje7mIdkr/H21dSbvGADleDiIZ4oRlNP6r6omy7f w+pS+tuK/Tgm8J45rX6PI4cMQS4NS40F5NjLc+VxoZLW+6Zd77OXW3yp+TtuyDAELtp7OWuUPeqO WTeZz4Djr6RcYxdtah9JEF6f48Z682yPNv1witwlTo4MonqlV3k0h2swNqIJOD63imTCSkXpg0Ak jlOp9Stj9CsUbeQ22jhaMXaFjT2kYUqpPEwDhTm6JISEDDVpQFSLngZwn/pVB3k/RNK1bKWUx2h0 Y9Q5drqjkGNCOzllcSgCxTG4Tes/p3BunPKQQEk1ioWV8lVrWLWOVBK2isOo6QYafl5N5rUv1MJ0 Ji2+P+7F+cKTubOL7o7bslCtfjvEcpMHh99hJ/2AtxHtRGazQqroxXpWpHvsB93Njpxl65D18JUk 6klFyUy5iC+eAuJ+kJHQNj1e7QY6MxRWVJum/fAyg3CppujGScMcM7kDh8U7LLGhVheXdzEXRy0S N4+hvZgO+CpO/0/4pAaNjp1IDvzZOSs5JLUwvVDHoJwkQSgeVAhMm5sloiUYkRF/ks22qyg8A8Cw PQE6Ea4vwP0ZP44ddhx7cyKlfrtYlY8le7lXT1ajMxwOsj0m9LmAor99Hq9z+74VfwUK89NjwhC1 pocVnk6rMsb/tKGYNZjxgmtpphMleKqYhxxmBq5gJ4JJbSU41FWkLlWrnpHtbt92sgo0roeamEpt MQv6jp1rnlSwM8zdflStXyJUmeWUv7Eh1QX+5FvSkkVUCtQf6vlGioo59NLViANkhGFs6yFAO4dd wHDNIGOnS6kEJl3tnytgnu/sRFzA5yG1EYB8XWhTUh2QzoohwPS9DIY/2TAmPQh37OlqPNwH9Mwa WYAP0sMoDXiBh8Z+1rg+BJhGxwgmJb6W+OEGu/fM50+3w+6rgMFZH7MjEmBbpuCCIJo+Xn/yEgEy BotAoXMDicSaPgvEt4qTXvcHUDIVpkpIpzx502124hwyWTCTV/M+PNwnvEQgU1TfNGjy8ck5Jq6B 2/lYrLvDe3eokH3RTLSwmRC/OAv32VNB0HbzXom0IqxtqkzhxnQ5qUPmy52pwJNiclSmvjMADmdk HF2+r0rk/q7l7J39sqYR5j/IOhOMXyrUIgn78sNLIHfJ18QBdWhmvAiJLFVsaCnM2XZEnQvkJmI5 i13Vv2fgwcKnQmXmQJDjd+3tl5vBEnDyZzX4NwWq6jw53vS+qIShnHI1sXBZVqnH4YnlUKRYEKlM Jfkt49nsTl7cVxAZIVy0MHFHhfV0iqSQvR+7p3ly3gCE+vGjbyDaa5NDfb3v42XkCBRCnponaaR9 AQGqtBhkfJWqYM0ui4jh04KfMLB/jZfgtFP3jVUQwAk98bnYavBDWv3kdagFIlJyuQxHbxclDoFA /jLIZ/lJPejES6TSHwezYrguhk87Zcehz2ztfovPO6inhELFUa8og8OJYAUSI5MS6W4erP1lTAfG UTyJs8YOVOyHtoWQot8lfJ+FTDxy/yPXj5+X66Qj2wrHNKQN7YH0e025L9kRCzRvtq6zl5ara9iV dQN1zfgqdr5VL7c4X8Ww3jdgSvXiRKoPmwZFWb6IVVzmvg0BTo0xJD2BkKRolEwF+S8NkGRsiM61 x2OwBJ69O/voFuyIPZ+L/0TDB7E3UkSnpj3YL+cqatiQCFkMShxqYXrhcYVugPrGHnFBevHuhC07 Sfrf6qMzRaDMH0fcPyb3Xnpvf/7FpfTx8MNIO7uimP4nHHku3lQZrwoXgabNyr+o3Eua+OYVl/HY RW1hqxcCAHHwIFZSTgOzOUGvMbL/phTj3MqePdMpDDTN0gZfguQCWT/Kn81ieqOkJSQNwc1juGbc SB1o8spt51keBDmR0lQkhZ1lieklq+Mx8SeegDlN3XBnJkfMWnNz8MMVmntTraaKkY+IkEr3oJmW 4EbxE8PbcjihntAbQ5PQNmwKBPwCCIaRoftoGynLNgPV98mVw+9MY8Ctf85dTx0DX7TwiP8M7pA+ /aYpC13RD0gDNSvI/bPIRp9wt1VZE5e98P1EWDa2M243WmQ91NnKkJhC6FX8XIgyEsMjM2EQfc9R us78oKvE67TWbjBKVxDdGFcMSB69BnpxuXCVI0KbVrJaQrE3BIJ951iwi0ojHxK0WKCT5XSHQXE0 ogM6UDiTN20vJDkdNhJKZifpFjiPfVUHB/9GvNSNNH+s+a1mhU8720EiTZRB2fvt2GP0Db16/k8c aVgZouPzhzaV2M6WJ2mbUK3s9TnXCUUyw7b0I87Y6IAvT9HXEeYiossZEvX3bTA/t2pW5L1Xpxg0 /gtlvS7T05WPyRxgfiZsKeCddBlMAoOpV5EljCOm/ZxwgxEoWedRj8PkHDdht4323RGFw5++OJ7Y /8YHFUuire9qG6O6j4Cn+3Q7TtRQk9XBHedBZyqvITKEPtsGWn5ZzEu0qe+x2PsFg5kbH6xZ0+ch cWddbW1BHnMbBUiv+BKo/2KPl6KRvE5Nul0Wme7edfYExhyT0kMTRJAcEuFl8aaHqcHKjrjOWSrj zTCYAVhoITYyLb63azqAL0neY70vqt7oXn//6zWC5+POyD0M7lZ691fEBk7lBS2XjCGo1/XPTeQp vsL/8jtVK9AXEJkIbC0JaFv+lcI6X45IrjaIVmU/zTjtwGn6FCci/2GyJ26dKY2LOK2PXGb8NVo5 oSnQhrKkdpDL4PyZPEWi0h+xYiW/jxpiqILnkgJyhaDlRhMk4TxsVSq6RZhmHlQH9NU59UJNX1CT S7WMl65eCSHaO6dSGrhNX/SxP2uYbbKpgJJ9QnIjRsYoHv/280otk6xEPXUORpkmnT4oHPswUjOV +fhBaN7ZW+/A+xALbrEYJ1HxofOhz89/EURgOvE72fLhFY9/evivmQbnJJIpzFvEJ4ahfLvNqQCX 7feTgLkEUpTVAHsZcniuyDCVzmGZb0ytxsviHQGIbLGinX35JH4d026Zo/IjPjJeCEwLKvblDy95 vfaYhuhqCh/u25JovHiR+cqUrcRzRX9HbCp51bKH2vgLFvDD2brNh5HNiv4459IzBcb/KKpGWCEg O2Rhd1RTbg2OHhk44pZyjawj8e1fAD/B89NE3FFauDdI476eZzNwQSnQMFJA6yUDHdOegVzWDCVO g2QuhkVGs4mFovOvNmQ9lfg/3dFYqzviueHu6erTUg9G+L/NavpnIvYdsfvfTovsaA+1uF5otIHm +5xqNa5U/dl7EjhuV3f54UgYClZPwNPQBpRV6DpAmPgu3QXpG0AWXcLStztWdPz93EhYGBhmnhP3 UMllOS650erXIna9FpsoTocmFOnSiiCAEPwLhBsuRalY28StLWdC60e43DKTdCSYlDxGAjkLj0E7 0e6Cn4oy2vobm1i2nDIjHJrSaxKJqGN6/9LPI88aIzPY7K/jX53Us+ToQcy37dyCqInQ1hCWdYuE W+tN9Z50n1bXgjpV2vDNffvXvTfe2Kou/Y7wp5BiYCZG8YU2477JKqURdHwdhMy/gzlw1350hXcp ThKd5ZLiPhxzUbLefa/kbZvwNpOCH2b/FMAJpXs7pAjKxzdPk+Ok+S5K03E1q7cxKhFxRTxzatXo cZc6W6lpZ+LgfIDER2ECPnWR1KXyGbTKSHtx29psseP6/MTh+swNunASfG1qsIA2jZSwlwU6Mi+b h20k7asqd22u2pd5avnMNqEYTeV7OQ6uvQTvFtytZkUSdUcH28joCBJfBAQvaw7yZ75lDSX8oVKP mmOxSIUR/Py7N+N7qiQFCYEtFAIirppg2qzz535UJkF+Vb6shrRm6/wGsztiuSsTkfgJ0k7ZMwmX vbV7Xh/WkrYl06flvRfV5dvvZBClcXbSkOnkRstE3QiqVtZw+TKgCyBTSgrl8zUw38lDpk8a6YAC z1CmrdfWTlGpHxtfVSNogn2XcpCNtoEbJXmP6lLansQ/rwAFr7sZ+Wbj87om8Wax6B+OcRYcdvvy rE1SfC6xhjpRqIpCEx6Omsjq70FgSh/fJXDqN+Ok8OhjCgfJLqbCcWEzYEJbRR3BLPa4tWOSIss5 LLnkmoKNzV4Q6NPmucJDnuOzg7DQLNV8G35uV1qbKdNyRxDEMMZ8UZcqh1CUtmD8bEvqvHC+CVPD kVrvmPmo5W7mrEzhahODs1jIsl32r8ffFg+gcdT1m2/2nPN0CNY4U+VXf14tGW91UMbzBj+Q528J tD2vyTxoDQHaiELjGZ4PW8yKbFSZXuWZzNc6frwDd4LOz8ruBo0Eoyqvn3t2UQ+Lr6QDZF6ZPApa pT2gDFqCuEXO9iBv1jLgZN1jRLKC4weP6K9pXtzSF/R3jlhBZqA3EfjyvVB4nkn4gFzeldMSuzmN Zx7qUsYtYHf6XXj+0/S9VHhts1SJ5qCr1FcLriu29kB4OtfWsULeUhisPA4YNia30sw7qcuB7Wdo dJ/5UqsSXXPJDzrtijVQikARJztd4RkZ+YiJdpJhJgQXCff2mqawPsNy6Gl48qry3Lucu/lhMbz7 1wqJz9QTPBVoJ4aIIyegNLHYytxsZ1GgH8nT4LM3zYmQ6/PKw7fDtFkLWZL1diSJ4f8eaM+LeOcv wcOlYoJP2pMM85WHcZZi/2xXrA6Y++Psvfx1fConsQ+NXUuVv/d461Nqq+fqYv2eM7Dt+xppp7eM 1w5GiO1S1CYztQHHnFWHr+meWXio3DLPHwvI3JJugEDmm0KGqq3ArHPms/xaeG6cUPUV4MTJudO8 +gs9t3NX/5dp1bLeg7FjMdGuAOom7pZSQfC2vEgTrACUf93r103qKuLtONgdefOD5Vtt/3udTUTV epTOUB7mImPlB4M77w4a6tfE/yJmJwsXnGheajl7mpJ59o45F8BkrO5gPCtZ8dWwxV5wxYBD0Y2x 8vTmgrM1SfXgATPdOE8cE6rTMHp3eh1WRD/nzz8yp9NGxPllSNCOeBmpYHXunxYYnHbRJBeq8mWq e493AtUqJT0lIsNu509RK6BP8lJbQXBgM9fZrWf6BAMiPTRi/hRCTwlpSayxGndnXT3x69kLbPvh 3gCyiE4hwY50N7CDRx9W/xFG4jniV3aIM+DtgXKpl9lx7H1YuAKIO1hbCtGMbYJKg9ATjnlHfBDv g2ZG8NlS9OLrKQVW9GAbEhLR6FjVyK2Kjc/JIINov6eUWKKANcZlCl7E9m/G3Ts9EOocSJKxxFeL Ps7uQGaOQxAAEIwIGvnh0uj+yds6p59EJGGrB2soNfiPA58M4EZuXslSGZ7dOIgFm9u53KkQ9Y2G ju+M/rpArUqSVOb8W7OV+RyA7NFNK7NsZtf6EISMcZ/koD5TuXS8nlb+JUu8ej/4mJTCrDkjCld6 AEfXquSM3sF64oxqHW6AD3e7Jp0dLHzRt/v5b3zGPb5WzPWX6PpNRrQt3J4w4ysgz9jQI4DYEY2I i//Pw9R1PmFmjz0eQDpRWd1m1MUrHS5zblHQvHVPMhD87u0b4zZNomXu/kGXI41KaXc3mRjMZQVV ooWBwSPbta9qn5TTPh7IDMfoW7oX8L2LTxwPYITs29umRwU0rvRStybS0XfeDw7yHIp8CfM/ZgDL kPR5X7h8Ne4rdonNFvokfmoD6anTfuGYA/A5cLXhsofYwQBNPfXrN3UMrX4ni3MJPqpaUvqWdkTR QRvECo4JXuChDxAiUChEWNAd12QSyjbg8OVBmHGHPbDaFWIKsE1Elem3vo6SJrr3ta7V+Iv9/zL0 /5e1zgPYBn4sJJSlTcb1iVks1M/3O9kgsIOW8esni5IHH6espWl8LNyCi/85iutXvlpYkqs8IewJ dB0fh3AZI8WpJXp+N/IfZDVKFEn7L4O6bM4KZciAoXc1K6oEwTia7I0nbGUeiIy93KCp1tTiqo3i a5zqyRDv4kAQD+EGqNtDObkWg7licnRX68hcNaX8iFzsmPzDOdNFJJAQk3HCwfSpjuGpfThxCWOX DJV/adQ0paE3jvjBPzJ6cDz4BOT7JJuC7Ov4ERMyfn5+pbc16YgjTDJbkRDf+8UClFGVaUg2mqvV iWQYDyfx1BYSaa/qCclEw0O3edV95umBUoivF8f42WzwvojdX9maKGQXbf8TYzGAUWeA3XB9mNeL g3YB6FLiblUrP9qEalK1Og3Gl0FRvri6H8DAYNS2ih+gpAR0MouNuwjD6RGPUZyfH4jZtnBmGTr2 czijsa5YGON6drgFjREwPRVsBA+sNlGiQIOtqzXPzUqJqUcUQgEHD6pYhiQ8Ng+D4GvcF5XwKKuU +/8qsnBqQ1aBs+UMglW+PAFphM7WxxOgcaWywg7Dg36HJ2VT4OcfrrqOZG88wCxngXdtgSo092vt rSi0w4m4+QTalnBDwmshNrtb+p40N0pZmyX9Iwhjlg3e2ziYPPkvZVRHgn7NKb+ZC98g4q6tFyyO rpGexPPibqp6QvdgcZ/+jgm4eQDROL+9Fc0Hlgxy/ZCv8CCSpOHFeYWeBixf+Mzydrua//V4wYs/ d24g240nmbxMF0EJjzCl2IygAqXaJZIo+TP2Z2vQvSyHZq8WyQg9Ld6PJXANrRepKdUGLiLbWi8v PHhabFkXTmtwmbgRbOk42PNVbBLtuukhcHRD7F5HAN3uPtts5eHr4uMQC390aQGsomBGCvwHzL5m RiH5+jP/G2pZFQqP1bp4xeUjOwyZXT9n5JMozSDlJ9mrlEykeCHIyxl/rGGb5G3BJJiNZ9+c8sAy N62VSjv8ZCifMf5QIZpH9+3KfT6+lqrg9lWmyuvE1DErWYDOmXXptPvugclEFHSLtg503HL4aUvJ E1+io3xSmdpZ+qsshaVFW3nmNOQVBLx5pL8ybVp1udDK1odT40BmrXdn+LZ7WgTNYRm9nNlejU/0 AaaRL+fIuddZTQLn6tKdiYZz1YoUY2e31vCQl0dwml4NGxKoRvSXzHAWHrQBDiiSotmGZOm9xEz5 Nydv5A++cVwtOU4hMqfpXAr6GWBq1KwXfxGSJlKSXseqKQ79r3p0YgTrrrgMs8V01Kq6RanzjwC6 aBBYqh086s0r+qBfn8C/0FYvuK2IG0yoqYAvhoT7qRe/9kcu64eCTdSbFtM61nQwsfQvD0JrL03d BwhTAUrK/ZNJfiLie53tKGUXfo0wPr8dG571ptHPNZ9akF3GixSIW8LQeDVEMtENtTvk1QP/8SkW gd40f526Ra9L+7z4G3irwYjCMpb1vDKvwk8+gKFuxS2Skvj6ywimXBTGyApXBNPxUhJ+8VzYX4u6 +HmTrs4lfzwGW6o967bI8VxOGRmK2zzk8PlsVHDrk3wZT0ZHybw5GxNYkNSI5QYPUb8zGBHpN2v1 UF2SLu2Sidcq52h99d33wPUm2pTnEC0vVeyrmfCTuL9s3KS4PvEz0365OlTa/Z9bjljY+VxDywIy G80qhKdQrUbhfiGt0SrYIXNPghvOQ3x4HAc7fLwgEAyiE7yiHvHUFq0/0jnrgbpus5pQ7Wc+bwDx 1qeQeWzs0NWIUFFazMgV6KkS4Rda/+eN0e8ULIrdSQz2P/XS8kLyRRvCdeQjLuAL5OOv+sCIETPE +F/KKdVR8se1uAySYJVgVqqcaAHPsOCV0e/EsgroL/YydFTBQjfKsCvhNkxP9U4bDQ1aZh4/tIRQ b5rR9ZuL0KSzOFwaI9wiHfD7q4CVNzefVsqLIrH+APZdcjt37Ej2D8NjVOGixHzCt3WBm86y4uJm IprA6kCfHI9FSKPuR/hb4KRBFrRdGumG0jvFur4XqzjwV+ORRPUxjLzbU6/74eZ0bBC0ZNZCcqEo 1M1Ph8+p82hgaoMqTGQCuKnlp9LRA90hASf4nIOI/F5iNjMaGqtlY9MJKehj5T2393P4lNfLg/Cm 5wS7kD8Wn3nJIOY7jQdICZgpMVhkl+xOAjV8Jx5dmiinjaWVo0Fgcds7VKo3EjgpebrTlzb/zaBC +Ma5g/Ijzb3IlnsbF4fTKKzpOkGrEJLUeKOyWmkNjJk8wo3WHSWwCeC3SbZH6bPOZbEKK6D5Gksl /RBHTFUkvC0+WyzAwtTLUvkwWvrFBRa75keizBB7yoEu0q6B2Dab0XfWTo/rfcmfn/SW3vwTdUxH togtdZsGzfqpRtCILzL38SmwHY2CDzzQt8vt+LerL6QqC6wJRkTo80UmI9Ll6QVmqgy/+C8OeCZ4 ZzapX4PBNi7jcXe/jj/PTaLYdv5ZxU6fzGsaqo3wGrGA0TmnN4WI7vIX7wNtMBx4O6Hmz/gHhN2V CIOY5tZlqxdvPjZs03/ZLeiHr+ceKq844b89LQ6hSgHfFIxcajtDBlgBPOTbJ5KBveIq2mHYv1Az 8d+n1xvJNQ2BoRIiCGeybHN9JjcQ7i0zXJjeDyAWi/+LI6/SWlNiPhTLwE8Wn6DIjA9m1MWWs3T8 fXYryPq6RJ6r9bOwNqdu138v8FXhLc10xTUPB8sVvd2clWiVm/UV9BUEneG5xvVtiIgA2E2EgCGR gos/I4TTgQ1qMkRWU9a2yJuCE9+yD/te22XdF0MEhe+B8wnFYwRagMKCnpVrQ9FhohPRB69U2fsJ EGR8lYra0QgiJvNKwN0o+T/mFOsNpYlYOih634gGTGsUKk/s496ocfY57vognCzIlC62nuNV3weR mrOAK3Udml49A0qdxFbCYU++HpIYMw62y//GDNnkluc3IHmzLq0fBqHFlWrK3uPoPppm8D2M54wM 8Sw4YUOeD0ggWEvKRbl4fnGX8QfEVXqHs9ABCL3olYocypd4E2FEDrq3OkQ904+RHzzQixuL0rFy fmcNoEzzar7Uls4aIJ7OTn5Nx7Q+/THV764IPm4POf+A0ToHN3SfWtSHOs7jY2ytcusQLU+GPoun VIP8/L96eK9ZV0J5j7ApGeSzZ+c3KZzqH7sGUggAoe9pxyUWn6lTzojk3FTl4z4NapllqjuGbvy0 qL58WNeMdgk8WgfAbxnrGDjixns8zBKOoweXmBfLaGGeLjLW5HzRFpjKmX96GK6On6R1zTEzkUPl 7m80uJHnS7CNXiswP6MG2hRnJoFiPiYs5k/Zbwd6o+0nv74djrI/6xEw4lLSbja1sVq3uai3ERQo W878PqrC8f8/VbpdYbdfOsOYOrhLsaWi6Mu0YmohMuAExAqoISKA/ws62q1N5wofuEG57VUO/dII WsY4v6dP5TpGA+ecwaGsIPlfOCrS6yfAqHuqrvYFjLZpeEE1N3JgMAY/0pmJzmJaEybHVH5oG1Pw zzXhtNdfTpAn5qqZkh6tG7b4GC1lBz5OVKf2k1cyjQXysQR2w8+7aYaY49soYh8N2+V2OnY0fnFL CFs9Zy+0bvDMx05Ol3JupaCg6oX0UZnZ7IfwlIGJznB2M1eug2s4GABYGu/2xA+r0PM90lm7fHAs JiMW5ER8MJgfduguyMCDmHEk5e2A2dBHxnp1BRNS2EsCVIZ8vC7hPKt396jDRR3wAiarrwByeBoU jnXyb5ZQKBpToQCDtYAp71TeiLr6sRi3jJwVj3DVbnlSQSAWClJyqFOv1k2bH9zjXb2I4Hi4DxOs 72WpUmSh2Qo8a0O2BYO6EotXyfOqScWDgwiYeyjMI/uoWCL1IYpoF2zXoH94pbn2z8m2SZeOdDYi 18m6dBWmcPJ4OskiXCMbArLL/4kbizd1JjBA9eguNc1JL7dzzd+O6Al5TeCj6i3G0KtewLUd/fQh 57h1XERzV7ps0P12QfsJpockAGzWfvBlQttUbQQg5h0aKFZVBnbF4GCTHLVuoZSo+RYagqnE1Rl7 sS/nrmZsk94XdCqDdPaZIqVcbu8vLwaltMqVc+AAMwGetsqY+k+pkLZ8FOJTnxCQyEujrTx5xrFD 8OCRIWfqBhsV6nl4qBUN+6k1jR7mmBxYs0Ev1dDFam6TqhQcdAXhkqPjDwVKVgdUQXcvkLtFbXie Dj8LF1mrtMIlLynaGSWiG6SywS0AWRznxuDBj7uZl0hOMUNpFe68f2pDiLUn2jojHhNsOe3ywWjH Vslm4mTvogeSkh4w5t4QO+KgR9P6DsxITr/3qIsZjwtMJvUiVDKsGrg0Fs40SKlhV0Xyf1O46hmc ZrHb0GyPnqarSEo7kjBvqPHBlq4LrybHszfxDx7V55Rs6VWAjDkhUDT7L525n91s9wzOpe9vuleL ey8vYypSZ2Tq4CRLJ/pKFoBzxO2S2lXE1ifPQr2yHPZQQIAGZOXFTZEVfesNhu/hrImaf2rg1w7h i+R1X/mRYTi1ZQJfnmP3Nu77KDwz1hIlM+4oJ3PoAbhozQl0Mq7F/s3hH5Vs3BtriU5Hhw89F5nW NTWgpekUOqg5ai0tGbJhtqTWDFeQToREN5WHgIQjVrus98c/CV9d8ISIRWU3NoxpBupYrl9yC/u4 f7IGkTK3lPSMvAROMrHANwnR7UcciUV0UcX5TwQFQc2xVKaB7ebdhVb73Z+IN9x+N7+7NMw4BL0f pV91B4cWHcV25zBY/LGt75aIzejYiwMsAzCIKNHJVa7vY5wWRmwePpUPO8FkKR8ypDqqCkN8awKI kjlm0cBPi4baVlTemnMjHXUCLpfs5mpsrvsseMcwgerDRt7tyxGgsq69qUoUM1d3SvQPKNvuhxSs lNtg63eJog4cFGD6p2qjtTVtDJl5dFAxVUg91SHN5JzV4q1W5OPmVWXpskIcprdlY50zd682UIiA bca/z3q4fUuQiO5qTx5CDotneNX7v8bt+lgqZCRGiljIOGovXaErvCdjyqLxc569n0fuVK/zHLaI xcQQKkPlRv3rjE2xTVS/YHfTlxcHaFiibHEGUpLdoU/mKatkVdueiOu5Uj7GhbHY1A9xg915wrZk JsJR7isXnTIiMJWYe7fZBvrhWOcRdF/29XMUamCBjIyx6Q28GT9Tn3+2Oza23YxfafXScdmoDRRK j0YAAHrfTIH1M6CW905DsnjEzpdpY5REKSmYl0FSUXqQBZnlVWAFWDTqW9i3UKN7e8Jc6fq1GRpY c2X/a/+U8dGmmvjEnXhrD7tJkummiQtc/Nh9YfmXQI1ciIoE390s3MASiW9TLsIB+hSjr7122TFs saYRESb75ADOWlahOUSwmz5na2yZnFUMYv7so5HpzVv+D6T5bLm5B2UcvSbEPEMyOitle8HlhVMx PQt1WMUDcdKXFsVOsPNWa72r+pPnKGORuRkPftWs5Bwiut/8LdELkc9XIfWON8EX918pmPHKFUx3 Zml0IA1/ksiYXuPn3D/OZi2cHggbRkemN6uzacn0CEw2/CbCLpIQNJeCpG0iACKvmaFjHu8JleTu pIJoRMtiINDTtYn85Bv5jDNJn7Rk7zl6BmLatx9zLP+j2tuOxDB4nQkdIZWKskmGKHQqEqM4Vlnk EGoLPAFbb2zV8Mvd37lXQU1o8GTh1AG21DXhjsoIV7bYnMq8PWXUsiba9wL/IgXdeneayYN1POQo UsZ+EuFcWV7eBltZA6FImxmyATZntxmS/00Mw9yY/f0DiP1rry8xj8uL9naTacewToEWvdYbG3SM YVgKIAx8Qt5sl6kiGB19WwU3o+cLd5z31uW1DwZtFMClUhYUwpfHav5wL25XH9p4HI4hm8h/TIb+ dLvwdvpAs42dswZkd7pQa3mP76BGMNF898q3zrONszs77cH3VU7AEkBKyTioixSsL2cSU5ccx6p/ OvYoeGO/ovzFHNlSXAOPuNeJc/s2Y+wWnantc4VPkLBiC7B9N2h8+0fvz54gBANWyX23ZlAjYx8d +nKxVG9JVACAtTa+kRc7W2qD/nr89x11CGh0z0TSrqtZuTJkQYltNjScyCOj2IKvf+RiPfkAVG33 qYdlfK4nXSDCMd7w2lRbNsaeMXm6VDXzvvD6MUihKL1sLpqWAzRP0JTqy1BI9GK05tSiOqSg/ZUI j7qZrbgRrlLreNJ+1tqoaqjE5QfVRpd0V9vLuDOxm+N/lf20897TkuzLVLBKUfQ3Imi6o199kQQB S88vrfAKiANrELYht9Macl6h6YaD6kUBR0FvgbEcgw9iG0Nyx4riifFLlnQJX3OIfwoMP6dcbw3y 8ZjjGoCLDWv1kZ4FGQY+d62ct18Nq+eQ/5zQYwQFHquJqh2ihgJ7JZBCVraY5Yb0bGmRzQjnwkwr Odq4G6BRWU/0x3p+h4Sz/+AdioRQ7i8gltA/qN+mrXoKiY+E2moUGFFVlSqVh9upn4XmM4Fc31/I pHO7O9+UAiWmEaf9LbTTWzucems32QnK60F14vWRa/ikv7pMEiHLiaD/IuoBVW35Pl+SMQin0B+H 71SVdlrovoBLQp6+rJv21XChFrQmbPd8MXOnyhaHEaTTjcAZ0AiRFagn5tlsXy7W26r84YcXMFqJ jGZRykkv96qnCVh7+kcSIUmJFjSmaqCiQ08lcKejM3H7pPypscPSJjvD0TY7jqTxYRm0e6/ugM28 +jiazJ8SfsPyDyKuaxrDa2Gw8rVuQ+i9q8jm5kUhXqE25Fz3INx3m8j6QAH7dXZeMZCIAwTVXOvN wC039VYG4RSKv6U3UHRQWXo+XF0Qi9EVfrPXhQnSXFbuKw7MwKEfjwBvHDt+D29lZC33t5fcV1rS jrXSSgMdYfj0zkX60LkM4tbXTZJ1c0kve8nnfDE5oKjFAQS2cK8YhMqmfuPIrNqOQ+Etlznqzh08 0cGTERbMap//3BqYAlhKt5T7CAZ/zYFIlAcAGcK8aAxdaMx/Lok8zGR9vHhSsUWrove8e/nof8IT hjOFMDc5lfxpKN6vv+1jctA4Cnkqvm7Be0rVPII24jBjVlaa15UBDRjKl/QZpChJtM/7DykCjOS0 ELsMrOFg9oACSoX1GGkr+5Omic8ezyAkoI8NSBVBCxwCtdr1sOLb0+w2c2fFcT3MazdqjDQ1KY9r XKHTTRSE9rwv973k7pD3ZBbovn+oftDVexHFdFAIBWjFQXbaRMrSa1cAsw6bY2v5SkEB0vaLWauL LXyCO+m0ABipnJt0kjPC0mXsWXsknrp9QjnT61V9tnzJj4XKRrzfiWnsdKAq6UWkzpLt7BKrWL4p E3GPSJCghGBKkQjPjkeADR54e81F+95wYAs33s3cfOXEESJIv8vBmCRCFZtaNgguKMPruTCipcBB HwaYas8YdSwIroLacfKq/OKkh6ATaW+WJ7cr272nRWbOMmIFxnQnIUdLdG5Ymmosm4bOKjCOVY/k 1+WVFC1HfMjzrRTQZzfzPkInSBKLlQ5LNLHpfKUKk2BX8Z++vzMViGnDH9T3sDWm7VehbCNzfVKA YF26oPdpDASlBbu9flu3nCqU9rFwIO7PxE/4wJ62iphHJpDWVuQdwWYt9TQa3krnsI639U+JhzQX Z6Jy1q41ejM7SzDeg5s47c+bE2di9lKNIdoFxJw4QZYlEPFNPa34OgxFFa4FePSiKLKob/J85rpb JOvW0wwJTMCMtl1/Ty2RGKAIazw4ZCIxbFMs9nCFAY1a5cBqotaaGC80cCyEitnTCWZhYbO/O6SB T/ZKGIqmS6qxA4+5Vg8j+WfP0QvCRuMPh10hRw+sMf1SUxX5Fpqf+Gl0F3woSJC2xMWZG3s6ff9b npcCySYP+0qcSKjCnLqXydsPiC0Mfe0YNbntmofOEMzgUoZlhw5IOBSTbXw6sP+u65ci7L6sATJ7 iqT+22Wch7exvFXOa7zZt6k3b4OkqUD9d2ZmtoeAb0j41Kq0H4x1K2Tj8wxPEnwNFFHcn3r2C+xv 5VaaCWPIqhKrz1U8pIB2rbpJf6kmAvg8VDa2KOFvUdpuRIdNSiihRXPjWQKn0Ld04Dg8k7ER2LT4 EHNd8HTIW3TEU0nOzAuhCkOyRid3+s7YATGkVrv9MeXW0pAXIZzTmyjoB0d9i768Xs5+w1KV/PSm Er6+bz/HvBgIaSqBLMMs1JMqOgnNvAO8fhyQKsyBkc5usq3ZV2mH9hdqXKD23ebv4/nY/FUw2qic +FMuUGMEMGxztDYjKNymilUgYQ6HWMxrqQ3mMI93TcFTfplICYGdLcwWfXg6cxxkowHQSTwMEhUP Q4Iq6hLYNJ47y3JvF1rANPtZdwoc6uX0yy7BMI/pB99uU9rTxGfH8L7nOQCRJWhfsXbFF0UpQkox cdbjGtT5sN2/KrtAIWK65vZTpvi+8u4AFAa1IQSuezNi6FCPevu5vEvaNZeB+OtiUQknF0jVfADN NXgwiK65YN9EgGqOUQDcD+D1jh/L618St1Bga9BUJ7b9m2tSXlaIrBk0vc92A0KDMdDrp0JYVfRF dtCHUuKlwBHgo5y3fnNq9K6yj2rakS39yf8qhTXpjaL2XwkfpUshMX3a5i0mbbU8Uj/i6fq/cUgX mGpmtxhyeOHiMTmHRpEea8DuBNnAbJYTwvfd+MfA3Y68qwcpQw1UGy+Ac7dOX29cWc+T8OhBtKs/ Myf+wjvknFdO5GVHYFLG9c9wVjd9CHj6S+DJtqASlM5Uxr3OFbmoKm0oiwNUTi2hGUzZlv/K3zgI vusksFtzjG7kP+tv6GbsvzMNIat1yzbYGzkuoki0mq6TYn3EdJnes7dOH3Uj5lRKRbpmi9qPavGc 1kWfVQi57L8bSvYZNALTOpBUagjkq00h+1jCEaJaOdTYApb8xulTORz76ewJkruKuPKmuOJun4/S Er/dZRS4k7EMFD6UtoLAw9FPowNd+XxTjlJ5PvQDY+RIFFM8y8SBcJ6isFyMfMXGJVXnPKTO3wD7 zbCLHy+/xTARg/4F/JMdTHLG/b3lh3BN0MMTDQAYcknJKP/V6kEJX3aS6cVFiw/mo6vo6tPdtvVU zrrtEJhWamQony1gkicLkaFwJlVL1zQ5NZ769fqb6ksv6enlmmvBbTZd6ztKsKRbE/7zmhndyrH2 VlWRzFiW4hKLbjk5yvheY0yKkfJehcnUN86jfgjH/JBPDa0DsBFQle5CbhuFX+3778mfVx4j9Rjb fck6d9slaH6RCo+kbLVHlUCeaEo4G4nlKLtElFQcenv6/PtwmK1aoeKf262sSCo1k3QMuvoH0vkJ OdB9LAbh6y5sesxAheJAYTEp4ZmMGuFZxrNxfwsi9pcra8nIW/wUowxJffLecEZhVsd7EntV25m6 YvLyy8OzD5Ng7DckwOhOCTD6eZBnorAdeS+XY1Mub8iVjtED0IwDHTYetbENA63FdwL2A703ETLN GBrq8VvVld2I5PDdASldk9ObLXgyMu1+ouhBe+3OoPSe9M6RNpwdnzAJ33uPEGNSAv4g5tROGx90 UaNTLlLRWvGPUUX1NmQj/PyH7NwRgmzlk+Ap4TniZtECG1fasoA82PWoiVZwfV8o06PcVSJfiHl1 sJpb4hs8aEcVdPlrBTtBHlIC3fCROjeuOJg495i3rjMEzaANNaVCvwJOBwVoYb6Rxpdm345uFUZB w1QgfXbivs3RkdKFW1qdj+URSHO3bdynq+Q+RgJtb0q1R8c15U00tmydXhqdDbeh6PO4Jcr7byai MYZnXDAlQJBXl02k20g1yl8AoX4t81vyBIMbomhl8a7IVqwmXrmcC9omqOUuR9n3t/qRmEpvzzym a+uG+YrxtSQTP0qYOq4yA12IRy3A90ieLx+LZjQlLSJ4Fxm/Gk7u/l0P2rTW6m+g0+/bNVILDRI2 hHr1gYd77qrTXl87tR28qtymaASA1bG/hMchg6jxgT7P3UxbxHN4SQ4ICH5Pt3/ndc88gIDR0DFM 15BDFPOtA1yhHrdQSl5F0ZXLexSxhwmxplaIhSQGrvBBG8FozmZAW9CgAow8lSxXI7dL40XkyPox TZi5LB8H77+opDUTm+SKH1YXJyj25dF4wxZNI3Jk3gFLmMJRWjyK/7Rstr44P1UneJ7AeMNTn/cu ELduw0nYpXMVD/TNlSpnHgBPFp32Of7ZjyHIP3ChC5w79oy+H2TWg+MtGgcbsu10UInkOQWGnlM/ c+oE24cJYCn9s7vkkQ9pqjTdCYSkABfevt7M9R8hXMXqel038S9FJblTUk+jj7AKIfrx+RmkyfcB 6OmC2b0Atow8gC08G9sHHwTpyiuLQ43cvhWlWCSAPcVRDMyZCh9kK6Lt8Dghll+UeRM2ZGZrfvFQ tjbWBL4VQkXDSD9WXLtB+OW22ZEc2hGeimlcjYYQfbPDYZsbg5XwINoFNs/X2sY7vyaQb+98h/eq k1PTzbblDfym26EUdfRWm/pJ5eAsKMuRX7o6oeLGS0FQJXfe7drZzYDEieRRGWD/xNMVr0Vp3EfC TOLh6aKxpck4AQJNvpDM0FN4E6N3zAGd4/NvUM31C+yU54crYJg+i180DYBQkzxbg/UZH2q0QYj6 TPeJ3pGC19pZoSuyj0Os95MHhhanDnQDXeBjfh0qrW3LT8+TJ6Pa2TlLytyIC96tJUG+7sgMqp0i glj2lm3Ch2vNZR3noIeouACpcl/C7+NG9rz6PtwyfaiVp7eJWnlQ+yW4XQagX3xdeoco4/4k6Lu/ jlNsfuR0bYHqIYPzqlLzRfTrDrnfc8xwq8xtjHBpQ9Q9UdU/9uaS/kdkKyn4ZD2QMgk1xgtD+jdR gxCxCP9Sw5S0hg23Y1cdof5vFgMAIcVZ8XWdRgfdssNxsoCTg029Wd/ebj66P84ep+p05RLJOJee EGQKslB4VpXQjIHATO7rBtY7wrMBXBAJrmCD6rsZbngRCp/VqvY1r1JM04FO/nZcHDz9Nl05O/aB ZmHGfj7HBkejtDt+vXlscCpfZTDDlYZ/MSjuVsc4+SCYdz9KG/4BkkDb5wSwyL3Pab7Dvzbv91cb 2o340F+dpce/0gnLHDdBkv2cwO6+NQV/KYJs0CSpFzpniYgs137oEQ9K+BPgcyskHRzrVlnj6Jlz cWnmNJe2IzTGUgBugWZX2Vw8noSVTEmxgU7NM0EUf9P4ywy1w/Q9x6bDqPj8F6qUjAJ5/aCY6bN9 AwmUm/k55HmSgAf8xYK8zkJG0J5VxJyiRwgjgAgJs8DCtgV1dGr5xGGeTNcqN1Qk4YXoYiubIBz7 2dOVGGbJZaMlWuJDLkydaA75vpVukpA6cKNuIj1XVgdfBaO5HeI281W096QQBMNfD/GS58E7M52e lEy5KzmzEQ3w4OAGryIIdeQMylwplYaldczypeKgyJ3ltcuxc0erBrBCi+Wr0nGwArgCy2A2RTGs +c0Umu8XJSnrtRdwgdA9pviyc2TC9r0jjaaq/6FpXkK86yC3FFU5A0ddy0oRdpAUa+6d57xSU3dq QmwkTyKnmxiqc6kj0XtvHHAGGbrK6Jssjb4AqFIkVulQMfFxlTK1GTJxyyttRmNlW+BjT31l9GQC F4ub2WN9TXBqu6VoWm6YoiXPKSkzRWztMjCw/+snK4bQcEKw0PcVIatd9ky9UxOY23vpbccddHXH JM6lHb210i/X8EP0OxOpzfKNRFEXSM66RlYGU4k1eB8aGuJ3RiK0sHmsZjJ55tScSSrX+8iMHj1E hVk7hPePEmBPZwck7LYBJol2EOvXtc5wRPKi4jDvhKtNSbckUqRR7FulQKX90zDp1sobJZvjgMoO GE9iPhumyPG58yw56B/pFdykYTj2KIJwIf83UpiXV9bIEu6RdQLsgWYxVR6o8TRFTAX0y+bdz22J 2pV9tpXUDPkzulqNmJnSodTSxtZeWciXzJWbcadBXnTnj8r/V4wtB85Oac6pLIZpKZLw3cdneEMo Vgq1cwzQjGjo7OlOkgMyQE4Kh9vPdkoxNQtP2ZUK0pVWnn9YxpmYw3Eaf1ZuW+cm4wCTukCRxkER NS6qt7CW86IMJvDIXSkWlrzXc7/UawFeQ1badXPylpKfV0+9qo/xNrnQnh1Q4A/wNX8lBRKra6kJ axCNLtFimEMvaw3kkRs02H37AgVBHN5252KwfK9fAhG3UUAripxF78QSJZXiQHuqhX38v4Q+jpiy aursedU2BpCRfOrlzI9361ntMOeWw7hb7fozxE6J3z38xpOMrCkTm9Xvhd/xet992nvDt8RWFgJv brgM0h8f2FKsrx8CaKhwoMNWxP7z5DUMN2rgxWZ9Em3DizkBffapYV1NoYlp9GALKYMKKmr3M1xJ kfUfgz4oONasx1/vlbN2FhOv7nO4GdTf6l7lx905oTw7VgBMTXSIrdszcF6frByAZXSTV7afrHtO bkpqsZxfnKp5O6dzVGCxOzohtyfxpGMgR4Em0JHD6JaKwa58/UC3X9swOMd9ENwueCArVoasjv+C tkpc14mZH+930sTZeuz4pZIY06GS6jlHaS72xyXnHp0O8NNx1TfxPVSnp96I0MApc+Cn5tNOD8zz 630RS0EdrzE+khOokmRYGGvAuTPRkgneqfwrtkTsziULAVCwEFNqGFgKxSsopAPaoFtqLlnVglSL lsytpisumIa+aimR21o3u9C8Rd5p5g2S7tx1ngs+hxJ5xAf7cdn4lj9agnvEk3NoBRlvdCNAHTQb p4F/H2yth0aU1dMsPMlkvfuU8x/YRp/4RIsQIrbPlZbVzxh6coGYAheAXQX7G6xTNSkGI6ukGUWu RZXHkoGTVWmkCA1u17mgUYJo20wKmGd8nMb8oPn4BD2yvFVDMnvRSu28kHyGRRd2bN91LOB8JlD2 zILRs1ZrJ3JHxJGPY8ty3Gdqr4BWaP2vNH3r7McUAr2Y2ebM2bMJwE0g7/IYz6mmNSSOo+FkVg5M ptcnljtOlxnViScaojm+Rnfensokb5C+Dc2nI/+lvhl9yz0RVGqc43HTt/lghEUjm/aTQBFyXLP0 j95j9d6kBbmnyic1B2nD2crSYTSJd/wLmoxfV/NfEjk64kRRW29VUNQRmdgJjHst3QE1f9/GjS75 Dv7dbQLlespyayQzEVBOH8HfQH8Ze0/N8E+QEyAOG4ZJaHtZXWCFjkYl7SMvmCXM12EQK5G2BjjU Bl+6JcKtqfrdGACj1ZerIxYYlQ4J8dIEMwvpmdrNBhFPGKUcz6wjv0o7R+biiVyRhtq1AzPsREWO bm1g2dnk0J1+iliqh53Un8pFL+hZCgq4T7bmkkQnvp6A740PQZ6YJnbKbcmXvqtXNYwGmojqv+tI +ITRmkDqWio2bTRaOwgFgoxFYUyzsVPOhKR09EF0Wf7zt14fCERJLcl5O3mAn3NpyKuXXJMrkX80 qFwaJ3ff5UC00SJQiiWkJ+3exDCU3o01kVG9O5LIO8BNPC2v4K3pBp/5go2gJJdWFpzXkf6NpUQ1 l+/0dlzghsuSIMiPGLKsCXLSOygjLKPMJMHOqabPYRpVGw7pqnYAAtv4qEV7nLHaDKnk2HpHvux9 vba/xD82axGYu3k6N3p4XI1/os314yi0gOjUUwz2zXlSFGVps5XgjgqaI6DCNZ0dHFIHk6IVn7Y3 N3FnV0c2Y3WPlfSmELAQqpuuo8AQ4FoltAF5dGdbKFNq48NSa5OgmSrfRpYdDs7TU2+89CVgOXVv CuDZTeHezP9GoUG9dqYy8AywG+9kdb9kBzoWksseGU96OjFI7PxaQEABDoAAb+i3fxS4GDnQchji 8yGQq45e1Phl+9cQHwfW8viDz+OKddwSThtPejgKlTk6GQ19NM7/fbZJzgEd3vweqmPHNICRX18/ rVbZmZbrOsLIPn2BdMzdOlJYX76UeqRUy3zfQ5Js43bKxgZRMkSpJ3eg4mb2bcc5T0qsK00Zah4s GszczId99VarXsu6P3Rv5FE8XkwLnYpc/vOc0y2ii/6fxeLsHczSAHUHdZ2IpMjl8SkyGshdfNBQ CA0cizMDDOn5Ocsl4/INctPm/U9m0ioC9fc3hKd+ZxOPBg9S1Va0CWjLl8T3ZvfFYciR38eRWkbi /OlL81PszWQOFjLqdJSxPROWx2JPAb8eQV0yVPNg/jUQ1/AG5Ebhxjf3JHS1xOzcumKw7eoPEzEr RKJM9Ylm2S9TJJ+YtNKdTyyymb9b7vjyb1UbX3maNC/5GHH9Ckc6VRI4hpkEkyLgGep4FUEMavel n3kOzu6PcjwZXwbX7HT0nwFoZlmkTGNsGPlQ73YanWhUQm7GneV0KLMT+q9Yxd87wq+An6hrwoPw MRiVvdJo/Vz2Gw4HQNCxP05+whrljCOJLH1AAPf52AfeOZ/jcJdaLqwzlpz/ONP0DoeHtCn9Ux1X idtB8VHpWEf6B8UbyL4LOIc5gOokHwkhfY+QIm4rgEtWrgfGWWNNTUW5E0oDr4RPgcO5Cgf1mwqG 6pYMflIV2joVcxeDQqmXKryWk95t3bjGycf6Rj+Nm3Ro8p59MU+iO1jAlNvpIKhJf213pShBYNgv UU1ytnOuDHBDcPpI4p+sE2NfPXPiigacJePKDiY/HzrkE8sydeRnYcJ1fEjik7xQQm8EME5bIb+/ +wRzhql8uQ0TWzgTjLqPTmsqNlZHlHN5cAhv1j40rr1v3QWvGNhQ4uuFmUfGpf22zoWyhSNKzjI8 uZk+BS5V0Hw0xxSCJn+RNpSLA5bFGIbzR+cFzHPeGtYqOThUzSkrmJIAZ0dYHnzbyE3W5+D+TaWp bykbg+S8nVVycIC0bxu06+0nEND8SxSkstSdEmG7H0ah1AFglvP2tYlAT2GGgjhBPrRQx4435TU1 Qx6bgXQPdvoEN02ZYTxa7nTIkfULLjYkL8hISMupBMi0OpgzX5+UdiqEZbisE+wNfDDlqeaE79NB zb16ytF8usmQEOs5fkY3x+gRJ8ru25/mtJbbkDwzSAQBQUw5wnqRTP6MS2bUxRuzRopIrwqFARTg SCFA82nLrYeWQEJ6+N4UuaRfjqFjRXFT2q+fqVrkA7/BX99QzqGqZTCuWYlpyVkJA5CUDsHIBosI R3Vu0z2630nSskrVEWrsd0HDj9Rxaa4Hy2AimI73EU9lVXmXjumY3eULwqAAhPuPEo3OuhvrbNxq 33WjeOatVV8KyOio2BhSNpuXdNHSYl1zVvZIvf0iCTlAwPGjmzLW1Q9AhKI6iL4i8c0e+U12MfKg 0kgtSYnBGKl30Ys4vKiVs1HlrjiAD4MxcR4PmVdLC346tKwDXYhyYl4pHlTI7Lp5pEQ8OmwuBUNq daa8YJpKUpv8W0JoQKJntX2UYxvAg4CD4a5vYhFeI7/JYWoZppQVYKUeHpFgJGn5HqFN4F6na9qa i5gXUlkiNhBIOySjVfR4XfQd17aanIHk1rhBXaUWWip+uxq9FLyILtLBXBk81r36DYnvM2PntUyv ItBNLlU6G3garEvNITgwFztK9YRlgjjC04xPDrtnIjDb13e3tIPSyGt8dmLn549dOFXvTALktPF3 Qe8u3wr0FMtdyUNqwzxrqpZz9scr0EPoXXOS3CNk+5ScSEbg/uvRS9+s6kEFtEIHRDCdHi8cazOV 4y+U2NdQgQlW6vYq7F1buRyDNiSnJOjWW0Ag/VROuYLQxKqlHlLQQ7R+hbYD/WGP1WIZ+sxFN3dT HYjpbJfWsdTXKJQw63SwDpHCqyPZoQEJwDAo7D/l+wlUNxUxXaOigZWSXqs9fhIM3XfisVKDwx6o 8kvI31Bj7tLwwjEX7oscObjlc0cpMXqLYYKz0jCaJnIvCE1/ZaA3GOcK8Czyw5XX7GGF9sMrej9H oy4m1i99wyEKKjYd4a8ImlHuS55GpFcDdn8LJahADlPeONyZZnlxycwmw/AUVhPPz/xsrzAr41Wa +hkaKsynVFAUMS2+9nc1SDBCLc4U7rETlrXLIJkEQlJxPOLiGi5P5G1DaZlRdS5+oT1pbIZ7YEwr XSSZU6Kjdr2M92wISBg5GMVaoobDQGJmKpOW0taGLGqcV+2iHWvKCOR8GtyLqpK8K4kDdvAfCScS h+VYy42Ph/BmsjX9zlwVaWc/wQGQ2c9fY77ARbZ+TUWdlt1dc5xJKeyL3J3v59hmnJ6TawKV7MoZ kzAbApray3mwd8c14G5V5wCfbgUQWbJ4G4apV7NpLKsjdmmYrIiw7uesGECsS1uC78FS7RWlqGd3 AicbV6bRaVHtu1v+U785pCb3zDWfCJlw3D8V66889mpqWoslgRMRJcPxAnIzCsvHv4Ahk4IbousU 9ZIvuIuyIheGDdK0UG1Bz4ZkcrzWpmGCSpI8Be9bpIsFgj/39hoYs/QIlRKaq2O9Zy7FvGsKcKG+ rks7q03Je0emK6MXzxZSPWPe3+IgSyacRkY7+mM5g40TQgG6S7DnUYyIbqEixUAXrRzcF0XmdViM Iw0A529rdZnHwHxL72cSwojs2fKfsRnZCZ1UnNkGIog8UN0j25HAc59+0866als3NnS6W+Ewm4Dn 6ox5ZRO26ejYQsBAFk/hjNRg+5E+EYzbvsjqymcSiCyqdsfSa3rWH5jNTdYwQ9QTf6NwwTxoKhAd +81nhNJiH/igmP4JLlC5HTLz8MiVl8VXaSwFJ7sWIQ3/MH2IYlnG5KFxIlD0FprR4/oO4dTfx98v awbR+iTpdBhZqWtz9AfshwloDyZJPSvIR2FcT/caIJMAnBwO15OR8u7N2HZGMV5qM+/jgKDxYnt/ cClaCkrFVlfapJLruDjm9hIpokWu65FfDRysApghQuoSmCw7o4sLtRvtEziWLCvgs/PbqurJIAx4 MxMBOGoka89hC+0gbQcWNtU/LBYEURpZLTetZ9qbrJZrctVqX/jzSWlMrAOXiRqPG4ufJS8T5o+u lB2nP0CW/oTnOGf+1ktNbODPcVQCsLAcYEb9Fgm2eCXUJpBLr3wZRcYzmQ5kAnMtIvKDG8zBW+hZ K3sdMQsiB/0mt/SK9hPfeEi28k6SR6nLoUwWsVtrO3UCmXvNTYciulKVkk6BgsVN5Mt7bCs1SoBT hDDmQNLKlB7GyAmVIfOVwCBRHySPSNV+5EBPz0idMms6VeFxLn3AahIKkA+Cr8bFrKFZd2PT43Qy 3v0AJ6UGMrbRkQMDKAVtUAs8vUdPCLLpv76XNPbosZ3PGP132Ys1IgPLiKBEgc+aH4pum9MkcNSi nn5Mlc7Psh/b/CLKdgbMDa5fo2zutA0KEF4kDmU8UwtcDM8xLBA8KwWhxuIGItcWk+ZNTYTaW4OQ EWgWTx3jTy49HwxgAXBbUjnNooDcE1Ve/aAKp4F3h2dgK/YRX8pbfCaKrbsGzEPuEzK37rGMjjFu +ciKJTzUqM5iJyBJNkrur7fdRYP1LyN2jLVGl+YbmZp47KnGFHarLn/0+YIXpWvD1ElkbFu3wlL+ MZefR65AiN3UHLF5CchkXVfyNjQKo1Y6LK+sL6o+BejSSFrZyppAgZqnjSnrVpHvjMT/1SW1fJ9S pqhzg1lCEAW0lz0sWFlTuNsnneTMZS8dCUfhUua/RSL9qQu80nYdHZV0m4AYE7eFLROM7JYkf3Jb Z8mxnx9JD2serfHqRN3Lwtwos3t+VxBHf3fbcIcWrrQG7maW493BIYq1zcZpSlVPCI5BACKWaGd2 2gk+Y36GjuBx/vO3XVU6/dKeSiEFXmR6HM/xUWMU5HpYDF73pe7cmCXBi1AL2lEZsuA161tiucT5 6pMXyWjuO+LWB+lKas/2V+opkNu1Yj5T+it1GYWUM6bbz9IfQ85R9bkJ6F5tvLk2PY7TqLGb1iKy qcqXofdP7G5T6W+7rhBLDQW6968bHN7F3vmwr6kLmmyWXA118Jo4ElEiOr9DyIzXlrh1wBxR/jbX 6S8T/SpOkHhLnSZTk8v9Fuw2Lz1FjAKStBfvq2ujMD4XjsR7BrT1+PYzxeLvXI9szrbG+BMSQ4gI VBsSEz7TepqzDHmgMNHnr54QI46wDF0HPtFW+oo2Jxp6DW1PkxuHo1O/qvvv6CkObiyXe0J93aFz MM+C0o6vgF++S00plQyWaEZZaK2NgN1UHz+LddrymxrhHioBiJWHFk48li7F296zh+qMFqqsy0ux VV6DfcmDzKOBMM9q1D5XY9WK15BR9ehoutLNBYOwKlTG12kAwM5h+tygJzyz+jXGb2EUSOqbhTni 2PsKhoQJTzt9OHqgsH3Y2ZMTWDZssNyLmL1PkmLRmKRkqPvZC+aOZzUOOd0xMt6MHviW89Et7umG NYMWnbOzPrWFLH6ouTxQ7U7IoTULLdTPyjWhu86FMv+leItylAFr/CmaYuPIvrPQAc+z9qG2Uzun 6NiLdId4SrZrsh4QhzJxbBRI63HhFPBBXrmV4Z4GzVxaX0QZRPoXVSmnGnMI51rmfQkozEzOIKDk Vbu3DGfCXYCAbAAA3r/6kmxOfoXa56MZ4oV6ieCYbDoKVSreZWH9tUHzcnNE7uNufadJueSXs0Oi 60kqSr6Vmo3Umus5zMhPDPavIjDPehepFZW/+FBRjY9aXCJ+p6STFh/w/gTxXGEIkbCCC5o4d7Wd +F2zpIE5M+cftenxyaS1j2HfZ5Y7SGmv27AEdmEWK4CDoR77C0Pce+34KGttiD3YonXJAnNFWqIS mLOm1xWjPHLxCjGTff2d51xcetDSgVPEcrJ8XptFGKGawf2zmGhr3KFt7HlIed+3vyeLHeGrssX6 exdei5BHtmfiR1/dByaR+jS9X8NdlEVQtCaJDuwlkAFcLegaUuggN/b//6xH5gwEoMJ5zmYzpx64 oYotrI56Zfwn8sn9D9lw2crNK8Xxz14vT4SMN27RhqLv1jwXTYCsIuBldDH+ue1KTD96PDRTZlVj 9Tuth5iosaj755h2DwSjhXg7frJrk1sPcT1droqOGqjTbh39agrBryOfMHP0MkFirvEfOa0hM7CA x/EP867pLUxuDXbG8F7ul21NCn8tZor08NUb1gdRxV4j4PIk51M8Vud/GBahXcK8tg2WMxoyzgvm Yz63bKQ+3sTqIiLHk9b1Mc969UYiVsd6Y9nyYYJ95Coas9gLj2JyQ1m7BbVsVpyg7SDO3zQvYH2t 2kSiI6olYqfTuSlhm1cH32rjqNG6Ei/lNs+gtfSlaE928qwdjboYZyCyu104MMw9h/odCy0cngS+ Y5OqmysRBRgYD+m3AsN+0xQ6/IhkOaFHdYCFApCXqB5W0yhphjvuih/yaP1ZSxCpZCHUMM+WJEP2 UJTks6VjJMqZLg+Inyf1ZJm+r16Dk9izcKyLVrNQZSF7M8L1Bk0fo5EA/Fn7EFgIpQTSNO/hTOV6 rRSxfQoIYJXIrI7VXeSKgGEbR1XD3PguKHf97keNBoIo/hvy4tJY1NBtsqZhfgHJhTJZkYz+9ytU 8Fu6nCY1JQC7/HgMwCF7JbFVG8uo1wwcNvlSwvdCWsDFy5XS89x38JJTWajcdzBTjMUZQ1bh2nX2 fUY2Mygni2RKS/f76LnJxd+TMh6ZtPcw6UHUDjUoS01ftCBhThhhAJlacbpUV15q1xDsc5DaVdrP OdWoz5WwL8FSOfXeH1vAH4aP1AvenrfujsoxPxb4ZuFwGQp+KAk2vX6RVGX06Bsj4Uuj+G+OXAmy wioXBqJe/JlwbZ19VFO1S58u02fvJVfQ+VbVfuyjH/jsYQrOd4pS5EYoXN9JuCAJaVtsTzWBUbFR 5EWZU0JlEhEieqBPybmSnw6EGQxG1IMygKcoB9L2QB5E1X47u2sqIDoYN7nU7rjiStSjlx9FxbCJ JRNtufmlWb4T/5IwTVSs3rXxvqaTnV5uVMB4tNmtkE23QJwx/rc28rxEJopfesOG+HFOoIz3PQYy aGXNcoWF+GUI8f3Vp4eOhTNyLWAJHL+60mzQhErAdYZKurx/JMMNWlJQkz94pwDJ2M4mTlcr4OZi BSBsQ53kM/j2GWnHHDWeP3m+db9KjnUxes6pRt30sjulWw3KtTMvqj5m1itsnvXU/FJch08RYtNN IjiJ0mmkbcnL/99Lzm1Lc6U2sQ0A65cxT+y55UswAxdNg0MbJu3MVUYgSxJDgAhRZlJ3EpUxm1Oc A4f8b06oyiRVMuf9QiKDEfweYhA5KEtuibtwuvMvQFrBKLMzKKYc7irD9XnvaGTO/Ccu/BCXqncM 5S185QWYV1vD+GeifwtE4uMxsMTFM4gsgtiJij+dXnV0R1u89+iVB8Wr9q569alBcFZqEVyLJ8fZ gkl1qAe/HRoIyls+dX/s5Ljn9nfhoexGJBZayZpXnIwoPfky6BO4z8LHTAgcCn1sOCfS3LgF3zrZ EfuK6IT59gTisoyfT+IO3C29p2E60OWBaNlWlby088frEVLGJfkXxBZjr1FjH+urelpZvkJ+tz3i W6u5cXeP0+3KCjwqQ4SACxL6XDQmdf0jajnQifQPBxPV6Y0itsnjYZXq9IZnOhU98pAQP0DZ3w3L pWvIsfx0rng6Tddnvh1f3c/8p9OurFYN3DrlIfx2KgLVy0geZaJhAkT2aBDtu1CrDZyrAyAW/rQV qm0OdIqc7CjR6QpStauE3knm9n14q6B2r28c1BGYPeuOJsvxgHID9x0r7g5nLq0Z2oV9u/ySame4 MzHRrQVyBLGJ4U+j3TK286AMFN4EskVCeUDFPcWBeBGxggUDl9jHwA+ioP1WGc+F6npheH3nZC59 oSPjPLI/N/0IxyTpkb9z8jnr8D3iYTHd1fV3PzLZje3wQFpQwKQK5t/fkZdVNK6MydGQeQPa083A jY7vb777/mwOJRNkRSy4jPSNdz5z6GJTVV3kEiGOSGAtmnZNjsU6LfP3WAgLF+Z8YcoABdv8huZc RTLWl8iJ6ctMgcnJrnAQFJV2nGcYOmor6zirbwqMf/zonlgS1c/1UKM5tSNkVown+wNiE5GToKfG Pc/XseBKTUDU/AhfFomyW5N65UULTRneP12AuwFwK/X5eFUl1JEOy050cTKj1Ywu8NNoF7udQnDQ Z3Fo/TR1erPuPsjL8xtW3H9MN/pDhQE7DEk4IM++vaDBDkhDK4XRtdAt2LGg7CRu2GwRKzjYT1wH 9+vxphR7cmYTNDGnX8Ed+0Gm/lXAcBTfmQB99+2lfP5Zg18mL7hYkwA2WP4O1NGxLNGDE4z3hr3z b282mYk1xaKoOblDSFIk9KQ9cKKtk2uracofnofmD28j8Rf/Tgd+U3jEECaFesFarkvNM+lgE/nZ Sd/DeooPv+Tk6xr1YoevRws30ybUl5ktKVmEs83z4+jdR2M5yV1xy2XlHqrpUPskQCPemDyovYLv PZaLbnUSdKxrSI72ja9zImM+7I3q9Y/DuOlLs5vVk3TmNKAiBgMmwZz32MCSDkXdJv5IWs9rqRdK jbhwZnlo5xhdKsT9KvEv+kUGj10pAUtjDLLAPretkpwY+0piuWF1IActSz/GyJkrprbYquurLmnd iaa8/5p0PhEDhG0MbmivT0xb3DpWMTcx0w1mHj9XhRYjNDldViQEXKClNEpWIwFG2naHkXnZo4aM T1826q9FpLng7H58RpjruyIzKDSnK3WFOcy3pf9i/WYAhus3H2mMZfdkcv1YoAIe68UTA08Lyf88 bLvpq4KEtIpOR+YZIO7UyZLS2Tpx0Og2pInexMWZNQUaGqSui+nG1PvUYkEGnQJW3n+mEjbRkAVb C80GbGnK9+rKTKmjciMLEkhkW3gHfRZc17TOkaxaYGsqiYObQnX7hmeffuGt/hZ5xKhzymZPtKMR sPeuqvERWuan1PGQNqTEYwAa2WP8L3vuB3xrZTkeXqiQf07SaGeO+2IZUuxJqKLlad47+KLZTKXP QEvOd0YieGVDKQ/cbRfiE8toJnBOhajGwyAoYVlWU6/mQAVnzr9SX+SH/qxEf/YszBbLjOuFT7Uc xP8Kw6sOjSS3VWT/9U0P80jsiga54yGqBOZYwDH+ClUvDy1s0xhhRykWaBtS+5+74Sz7MONMR31D krBC/OAXDO8aQLbhwJaFgfIcsVNH4m2xE5WRhkUutMen6XPWCTMCnths2kqi91MGT3Pi5dCMUroF jG1aZKGacOsZYALgOiqvIS9IYza0LpMgBCtfBvcIXkwMzh6ZFTeQaDA0IHgaAI4uMXPZq+4rGaz9 lY5CG6jN5CbdYOncRFrp58PQ3VYxDdiCCK50cctEENnUEY7ZB28H7RXXxfk0gA1Kc78VNh4GmJd3 dUx9+MQHlZg8XUrOHg7xmFslbM0NayApyXfpUzW9OQIsgyA9Zrv2MmfaeMkCiiF++iPiZJmUqvbC SM70B/JoB9m457WVU7An4qwkVlAVLFwqst+3SPm0btgAO6H6F/ZFC3q78A/t5seL9An5TSgapAQj yFj06ldDPISm4zQarYxEF7E4VNGKukAB2hKAOYJf5Ms5/QR36nHeMu6n/tHsXpsum1QXeVjxhMhJ it6aDdA/UBaIk9bcofv1TlP+IGYUidiV0YQHk0gpPVmVnB5zSRAKdpnfbZdv4+ahgBMDrmh8DxCE H/obiakxmGFJkd7GGmkOG++eqpMW3tMOr7EBJV0IBEg2tV13jiOP5+Sa6u+ubMNEWuXpkzScELw5 4ibLBrCAW9whU5jx0DIGpiz8LUke3UX9Ghy+Kx3BcFqfruR1evTsti/tYCZdQyXN7oh9tEkIzt9y inpXp1icdi9YGjFLeEekMdquIvwh4A5q3+/4TzPKDS73Mqd5qmGEXqyzhqmQFWwrq1N3BeLm4qLU RzsJRYBq6hynciN2bWzg2XxQvcll4c3EUP1dT+QsBQEWvwe9mjTrVsHAD1ilBzlqyhvg4zSDjibe BZiJ9kmUBPCtD+Rd+Sq7zu2J/pZpl70vOvw9vJl4xssoORhC7VrAEtX9vtnvPkSoTwnSOJSMHN8A LtLEIc2VEgCrLMtcPabWWdOWq5TdRyMr6rllEf3+y//thdLkqbfUgWkWysg47/vYMxp2ZOSuMnO1 8U37hkmCrkD1l4on15jnSag78Z9CdYQOXiex7L1P4EZ/T4F2P5AS5k9qQiZmk2E9LAS6Rl1LdhZ8 s0Zh7O+n4HWMziKQflTXOeWwPqpwJumLiOXuShn0mL5XlqAJ5KpVdKJc+yMJSMWwyVP2WipHAhP5 JpZIezxRX1pbwNp4tNyKoG2YtIBC44W+wqwsJHGqFe/dn1WipypYEyvMkPf8TSCT98PA4ML/K5sk 4sHg6JbPiy6bLolamUtkLgvx29VllMIivetJxzeoFuiIY1H+utL7t+2oHocnSZWSb7cripKcG46e uNBXCcAtkK3vFpdWMsy78MuJ8AeRaUmPsO5QpsZkV/fMPKEOOEjxDsVSahQ4OxjosqSAkbeHM0CX WP0INDl/4CZscfmFROTglMgv6QmsmqlN0JS2pRa6jmFOoOwcyLX3UTT5yjK9OVVbcrXaYc61dgOs Z5es1hwTmeyVkLD6BQNGkMaXzdNuIMP0blnArfDTaNP9zNxJ8lu/Ql/0IHfK3EoAC7gYNOZ2G5ah I6R3i385ua2f2A0fg6HsKXpHnHxcXTcngKUyv3qm3zF+1omdg0RjCM4vBk9FyUm/crg+WFWOYKFl CSmNi06i1qOP8NnwQGNUcF2LJWH++ssHYF/MKFMLyqy9uVVZMB2D2x/jYkgRj/IXHUwX0FZfUiD0 g4PbsW8fOAoTthjl91xQgEjl5Pc5JDDEHMdzUl+WONaqkr0o2omOE2yECV6qJYtrTmtvWpz6eCI/ QH9m45wb8vH4VCwVQ9n6o4xdX0k16PGAj851djZja1UWVE/WgXbm7rs7DxLIQpnWNIothU1NqHuA IBc5bOQrNG+4mY0iqN68TYWDuUJ+7LDCXDuutnKAAkDXusIsk+ee3E4bmLsyjF/4mnQEZBJG7d52 5Z36O2Zm/ooB7fZkQr8sHpo0BLSVcXvYTJ8hE9snrwGa/Eyxe24EWWazYcrJKTDb2HTHwlDIURUp Ai8/UopwFrcyWjHQXXlefbqVV+KynXK9xHSwCaPuuW0dfhexESIwFDiyQ9bE/2XiFkXsGS1vngj0 FKt9Jk8JEoHJAooL+O/kKgU6z7rPKUY/IZQ9zkxn/QsXFKJXnDL3RQy4iWjhsB4/HmmV9TS187Wf q+3oEVnhdrpHJrDqgiZKWHB0iHXemXn4VFwNjP708y/TQ1dDDyGTnD6/BSImsfQvTNkhJ6ffDUL/ YCzktkILUet8IkfHyYKiP8U6XTRZWBZ44Ab0Uu//b0NPp+Mc9CCpNrAYBx854Ev93zSpsdceZXyq dO8AYde90l/yigF3kjWr1IEdwnUjEDi9A5YZY7cCNntsQOq+B+EGcGmq9wGiPBb/0lnpZHCah8XW vby9zpv8mVGuYuwZXYWrjmqENQAz8GXsv8DDRsm+2sjgWdqcEmMygjitWqPpSOp/rHF+UzuiTEwk dDNJ8iZNeNJdsBTb1bUm8lWKs9vbFL537sX42j1dLH5QbZ4wjo0mUL5qhXJXQt4zQGL+1SSAVDr4 kx9FK/6Mvtv1XD8jpNGmHM96RARluHmxFPZOwhKUunHuzJljcPZmv0k+vL/o7+VyC6VkfdCXpHJ+ iVmo9Gx0tNYeGWrBxFKUi28xhdcxoqVMGvzgTqOQ42KmP9cdYmIbl0Ai58DdT6iItO1Yt07NffOH k36nMhxu0YIzAzF+IsMATcKbpfrVDKuzrxSogAyxfGMnDx0fOEAKjiis2aP0Ay0L5TYxzOLBxGlM eJ4AwQpAMKL7DhyItcDOjP2iQOFa0d4YuE4eLYqXzpanA92EJhQt1cNYGDF7xfgC2lCvci3NET/1 rnqzi0SF8ocl40tR1RJLKTzUPIIfzFv/o/wszaGqgo4OywdlDvm0a8nAmGFKkAaOPk2OW8C+jKwc 52jYxPVTe6x1OvZkyFbymk83+/0fM9VKG0BMCk3+3WrjNOacFpdqkCqYQRu93U0D765y3XtQwOHl BZsefa0zMG9d/Nd6IOwuvFC61KMwENNXt/gojpckfTkawxZzOpTWkZrVCy3iX2arTKLE3hgqxmUM 4qqC9gTBrzuezLzBCdImB0Ko7KKmETbuwYyqjXMgwEmKT200pDu09M4YJady3slYEHW/hjeAe637 dbD4u59rTZoVTEIIamerExM4c8ixxENrTnM/U/ZlzUdHk8Y7ON7n8GGhe84ASgB/UreGRhBhZl28 /JfKJ+0uxPVN4yKRcyvvURfz9OadQbokcCJupS+oyQPQsZTMH0OGBKWIq2xmDX1Enfw4gQ65r7jS EnQtGSH8U4PMdKjP5J9WwdDCuPzV9Ka/S1SBlKS5FnploMU/Cd13wB6fX8wL1kPdm5qym/yctF7+ q7o2z4FqCd2RP3WtOuAa4YwfXGVCZvI/F8YNQktaPoVq1+zsSYwazJmWEeiNeN6DFlTitPuarlan zGgQKJDHbRcH1KJPp3Dh1R4yXlY9sPvqlEHxauSXnNcea3GYaxSoYDE0zHhJY2Y+mSPaX1eLhkPc 1w49hwhdzl9AOVItHqJDhXkh3vImRAIMYlr2z5fep4Uw4LNma+WBmfFbqVgMAM61CrxmJeNY1Ekk sZkQyVBApX3V9CLiqK7qwjb+T0sKKn2qbn3yeXgWBU/ZCzxvMuoUGIMhINQHm8crrFPl5NAZgaiu TomO6eeZ8Lsvt4i9YpNopDDd9Y23A0uKrXPutYCnut5fZKbC5UkhjZ+QIxG9ltcQ+68LgjCHi8jT ExHiXA+JvXaQB64eehTD4OV3Lb3/nVCd/FHgBwHCssOV1q5KNm+oQKe0qqEtJ6XriSGqISW7F5X4 ZKF6V0vPv5gGMLgrtuXl3J1WBJycmakvhkILMEku4dadULSFuWNmq7DDNTroU2cA5LY4oOIVvuBA 5sdj+oHhSw2w8jejZxZbx2r8RajMJKuy8dUJByDzA+XoWLWH5VrJOIl14syFA8CbpNQUkMvY67bh Rl8tTdW1hC0/nYgIM0LSWiHkRRM5fdNNFXLABWwsNtyMRXrIkN9GMebxRrhCWHhfVNuoeI0rr+XS ZwJk5mOdaAOzQTN6fQJ21S7CpGBQ36R3RAZY/EPCr7iIzqVbIJcaXdS+y3oxvT6wSRRwfkOfaYTb kPcpN3emfDkrP8kFEiw9mz4O9e0WYKzhuZ6wFhq+TmFgDNTy0w1s7mus8T0sMVFFbKfZYwseOBrj p/2bXklurCbezxpkFCGIft1pELY82Vaa47gbiC7Y51i3y0TV27C+hwQsFaPHDbcBFL9tARqEYwgg aLJsHw8vQcvOKo5DMASY1uhNn04v9zELmYKeko1/pnYyfSNxywUMt54djliU6qk7B9HYjQ+uNi/G w0MxWStVcMDtIAX1wG5GkhzsneWItZ5ZWSvl5bY3bwJh6kQw8PdqN6mYfQr9ZgKxMYp+qXaj00nW EApN3qvbIJ6rybaY3T7acri4G2Gc3o36zBLnTeFwFwCK3voaS0O+IuNRLk4kPz2xLGWFrP2ydwYj m55Z/HOEryUQHl3fYuZySferVVtsvx8q+EVdibgG4+qxYPpCIiJXB2RjSVZZUtMivLjgUWDit0pa tuGuka8BtyOx3MJnPIwHu/73V13S1Z+EvRYqNcx56ehiBIyc1W3Pj4snpMtUWJg1Tse7KaKxoHLM 8tnsBuRP3EZpVycyJfVvPjHvbxVp9x117Z07EWk3ZxiPuVSf+q2u9/XbWXbM7NWWK7tKOhu+bd/d 1HRVvspbgKRFzwN79eBWaxpkYdtM1/IAg7VoFXklUTFIa6wH2f/yRKphQ7XvkyWD/md+9ddEgTOm uDFod0+SgXDkvks0IEgfA04kjojTc86f7Ne20rk5Rwhe/OD3z1D55/Xbi4f5RpK6/xv/WOCumBNd AmQwz8xs8U5TbUk1UNT+AVBLk3TCb2SoylyVQS/DBlgz/rVzBi7OdqZKtUpfG0ondLb3GOzPiw9S dJHIOSZ6dIn/dGQQLv2KRreOBYmXWT/hfgQeFpegfk4cQ6dT6MPR8VtE0d8Nml4z0eRVWcOsHSil LPHLqkZjsp4EH82E67vUsrE0TwjtjhoN6D4tkL43uA54/wR+iERMxhx2hIyi87OtNUJAC8fDXzE1 59cp2BQQtZnUbestD0/MDPZZ3SbKkfgbjhimaolCFZy9XvR8JvlZ9R6lYt80v41ECQ0xnoER/JMX 8MBhdcz/Jl7/Smb3mNJ7P5aSqG37f4XOfU7Atoddp7+H+4PjQv4b6cttejz5PtXC4DF/VRhtKW5R pORvFiKaEtopbyTXUBadBqVqnU8ru37yut3RCTUMjPR+nGy9wwzxRNvWQMv7APLONUr0Di2mhnh3 cDgjunQp9W0W89Qc7+xdZywMQA148DIIas/K2WU66Q1M6OGFBj0BWrriNOOnYJgl/ySgROOqD1n4 nou25h3n1GXfq1Y3ZZuFNpX5M8xD6vtF3wJeImm3wJyl11qFRjP/wPyo3SvNrcgNShesMwX/i8Qk E27GEEIWdc6Qusbesn9WldJTp1WpALHPJqxwq73MH7YWdSXSBe3KZsZH1Sh9jpi2RNFNNAMgeBzd xmebZtqJbupB1QNNKKdXl/w4w8HFIHa21FF37QMAk4cgY4ryqPgmHa3wBrp615lOrQW8ikQgX+VD Pdxh6KW9rprQjsaCyY0Wdr2Bb1mmuVTTcWFSvmI0t9lCrO/ajj87vH8YHr9CN6LR9m94uUAO/SNo TipVNbw/9xuIoB55lkQEbhKQEmoNiomFcCBjaf03rTf0J4sQF0K0ONIFOmxok7q9uxFEjxAtltta 2Ja7OTI5t/3pgJyvif3YZQfAVLvZbyRYiZPf06CXJ16BE/Lf1A/OKmeTCgxZEkBv7NBSs201GVW/ H+E4i00ylMfctupUxjeTDwuMSYoCrwLhUPuo8+iHrBY46dpzR7PZjQ/CbOBsFqhBvmjcIY5NkJWE 34TlVqsBu0V+Y/J5ByZcNu1X5/AgIXbiAbvdpg3QySXXd9PsMT+wHPfOii/7NdlFOUAP91E/FZlg yqkV4UIROSwMdXHSTA4MXGWHWlSAZxGscc84KbJgLT2pp2evOtUMV5TMsFxemPz5c+G7krz/SWd8 FQ0NrqpnY6AuQGCwa43k1B0mZfLN9DBYmPSnxvqXWZQ1fIdVfVd5goq2HBxCf43CLRS0RauVvo88 KsvypDGYmB44xaOJTH/pkAI/zl4zz7NDoxPCA79/+Ckhv6WrpTqn+OBhlZr7xNg09DreNGTCiszZ fddKBJs/4Ecsq9nG1G1kAvqofeall0nbRAqKjk5iFW7yaQOwMMkTNH89/Jys9RFI7AsSAP9IQMi9 gsm3ekZWER2oMxUD/Su3xQa0XUssJh03hJwzGp7tzFv6oAIlyUMBDt2JipL58fago5NpkpqBLc9f Bc4rL8JHrnB9fwiUoSUVYGK+0gNHkSqtaHy2ebGVVcXWt4sE7cEAMwy+dxOK/PbvX4lJ2HmXnaVV DSxjMW+dWS4bMVRICJpOtEU6lV69w+XyVRrE2hCkyVE7Y5TDc/KDuD2P4OwgHmbcSyjJ6xQckvwU em+IT/3s3lJqQbz+kXOq7HKZswFi0wK3KNdyOkGYAuJj3z7jslTTNd2A1MTLz9YUPLC125Clhyhe BqT9d/yLCIPaSQXDrU5ppwT4rMHDKi/1iW6YTDrnnFTBCBTMQr2oCDWdj4uUa3mtvPYl9YddJBk8 K9SEe1ccmc3aB8UCA+fPbzPVsCxa9Vl4Xb+gcHitGHP0Fg2Z/nulGartSrAbahFYw4tavf8cygay A85kMok32IXSgqHjmleeZRpozO/y7BUTDb57r9kb/AnXIRf2RRASdooUQtRQzCWkALI3y43pw0Tv Goi/dc0x8ITzon2o0I96q8UQQKMhL/jAOwMzI8Nz9QQqoxeP/w1XvuW0vpGYjrn0DdcfLFa2P67V L/nlK9W5rcKiD6fZeQjl0xCfn7zUHbm6sd15lN4M6lVu7RO+LtajwOFdthaRMKNDyBL15fH2KL4A 3oeC3GGgXBzEgbWX2N0DI8ojXzXp/VCEETLez6mxcFPbCcOwDrMium1NkTHg6CtK/9FyFKkeL17d ulPSWEx0MwzyH3DzHCw0/6YrRO8KyGk93Cg+saz4bxA/JxnYkisa7nU3mycFc4QX2wg0SsFzD7zQ qGTBJ0FZdLkUIpp29sRvf4YiCN37z85pBl8xKkmcwpUJpPw3p4fE1//2PnGgGIonYHA/BTYvmlT0 x5pjRvG39J+qAq6JgXyS9H4aCxSjsjzV7rOGLzZVixfDvLkjL35iHV+RHIxnlfbjb5lWOBQYxwsd FG88gQs0moIWUeRd0Q5zVtDRuRqWtRpO3bXROar5Z+wfJ9dZ5TyBJFwxnRMrLwgdIhFP6lI8yUPT vLh3IXQo8pvHKcD00I5mf2SQuYSjIu1Y7rdaBu+jjn1cm9XJwHhr326+nKNK+jjBsk2Edic0dqCC tdPMfG3ep8a4bCpQZJB9pB6dXgeqtLcwMs5yhjXWObJWLdwbP/PvnD9twLViukObzS50UiTgjD4v bZpAdCFJ+8seyCBhgsyv2WVIdEpvp015XRrnqbomTdn8SIicuKeXWoPThFEItPD1nPFoXscCyNU1 2vU0bLoQhv29mrKQCIWRwCbFtrzrRfyIzVW6XLyOyuCtfHsM+FmoS19bSTvyMknvfLQq5KPU0fdE NJK3bW+77pY9zrXyF5ih2XJxLfyzt/wybbfXtbQR9NwpD4YGH9B3qyWRw5HNGNmwGUZ+Ph/jU1Eq c2uUEPlxOvcdSffkHl5wBGJ2mB4rRDgM6tAHjLRo1ed4XVYOV+1zPM4VIYkVDBoS9fuqpNR4tEbt muj/km5EYCs1xh8g6qu1ldGyGNEJZDaJzIFdJOQBL6QbJvHilMIFbTgl0XFBHqqpHjR2f0rCeW8Q cB89nsXnzo7ynhsL3gkQz/O3i9pa6OtN6IkL5RUsPpsOzWD/hYjUQ0hQ09sUOgsUuGViWiZJrXmt 62sTB5OZ7OHXq+BXnlcke882qMGwxI6RANgYFCU5f0PIXYnleUNEvWuBqRoQSIkm5RwnzPsYFyFE kfw/h6SacvP0nrGczNrXhJDCLgQ4kSGK/BNOcEc893fyFv3pEPd2idxEtV5cm6pR9+LnQnTFRljT 444wp+Qe+BydElwRgsnqz0LHBb9+BS6vPfj9xtxsIzaxTF0dKFwOFFfEANCh85Dik96X5mltR++H bfXppp5Kx87Q0cgAPBm4BMouaF/lhOQ1b/dTNDN12D/1sDvR0tnif5krl7ZFLifXSQDorlfW63El bt8VcFXHKfZ7uewirolwpwF2xx2Yle+wtMz6HSXM2fHVsLk0krTyfdUpJvenrDrwvsVYWslywYR6 30VDuFREMxxTpKznjh5JImBetUeRqbX+QxnLro5qKZeHaUeYyGtnmLyNao0+r2w8+K8YYxKQgzbk eGQTPRWHX3SBUfvNGTTTuP5SbSvSIIqAgXrg7jgx5s9AwKmAK3mGuysm9QE2UnaCf9ObRIHfohxL GEDqffpP3znOa4myr7LkatH9g+cUjATRj3MnfBNpr9Hqp0U8t4F9HGRampRcgOHzp0vgYFxRyo4+ 5FpwglIbL8N7CbuTwZbJs50vMj/T23yrWDRzdV37mhDXkJaIoaOQ4tLWCw9u0Chqz6lTsY4svZqu ChAkvZ2JSO+zXuAOVIr9jcPXr9SeBFLQRjMRDjXpRt7EOTfNVxBP+qbnBPfsvlIYjBkPFArZuZIN A8yrXhJRFZzogJBNxHu6GGfsWfiA7KGHgN5dkkte0yl/psSP3UfsuJsK8ubeab/bnHvHC/720Ysn kv6JIUET2oDE6Wi0cx9Z5m8MTVL4M3mU+tIimGlIsVrEN3xmZjaQzdkQKNIdrtj+Ef5oqmuGgQoX 0KQmm3y9L5O43M+s2KOvLT9JHEavEHAhLA0IH8OjdAa5NmaD6bkBzHA175MGGfsFIlFS7g3xSVXQ Op1bzxqmoCXscbFWn8SK+wsVH/6WZx05YDeUsysCxmL849dYlsHJbnBXTYfrZVnpoL87JnNz6/H1 Kyo8NBhvPVxNXxHR68Rni40elzMRUhiEvGIerNUTYZ/7yuM4MBD/cxAZaczPoXxGQtfUZGziKS8g veOUXdN4dcA4CiW6LGjB9PojuXlidrM7Fl0bJsoiKDmbSXTCVuV1Wg7+osigxOcBUQFzUIWYNsWg wQEPFHwPW8v5ytrtZlFVtiOqh+1J38Id6v5tHiSMtLqg9OXDeKrbgfMGqL0xOGbg5CZZZFRR4s8d tF8/n2eBpDyM1cSPydHBtyXvoicqPMWDICggmkz3m2XIoLzUyXXA/9L3zcx9dpbRVzS4C6+QeGzJ PQB5llAhIkE9WwdC15vxlNT4I0bRglxhprndBts9k2Zg3x6/XwCrmJ17obCbZYfadkc9gkq1DsJ/ Y8CUdZnH3NA90KwPVj2zmCw4QbzuE7MRXXZW2R6EszOUOUpHEfbLsvzcfo1x7Hqf8yo0QJp2F8YH 0teLxlmhJBWsoDMIpT3/o/T5TDQFOzFn9b22F7PmUC/oqKANGIVAjYuiIDy+KR7DKnnBtm2XRUJ1 07Tyn4FomroKZ3l9rCeM3zcdtEhdxApJq7mMXFQl6XRCLexnX1iG2n1EYshNc7ZNeO4tjd2fNLtI HS18/2fPmyVWaOq0tl8nxJMF+KdLUPmp8WycWkpSQyITpuHjFuhd+wuoetj/xyO1Q532ZTOs7Nqs hTUh92mt0KWtizI/m7NUwEVzgSpE3gG7gqHoIM0xxrf2pTd/Velf7oltE8inE4pSqjUh1QfhRm/s HcFsCXq76hKvprWnpIEESPYcodN/rtohbirmCBDl5+XhfeetznL/8F2FszVROk9ASHoIcCwmHydD McIQLvmKSse9KWDHLrqdQEqxKL+KwsdiBb5z9KUsjO9unshpQx3SL6zBPGX0PEFGraZrJO53oWGp U8nWh8R0gUfi3k3FHoTVGFDNcKwrYOwEpLPNggPwE44CDnQx1Dr33nKd2ccInVDUKofk/ANQUGdL 1S1ejy467df0BPZ6vW2vPi0AADgTapnu5N9rdvKgAl/KSaloqt4CsitunMUVhE9vXanx70KgdLhT emQEL1TIEfdtrJUqsg+vVcCk8NnOSLX3nwDbPII8fecEKYcRG2rDHNuyQ4eKhNnRA2CW5Z4M/PLe UP1YHpmBftX4X3avZjCPZK8XnaR0ZyxW3zup25sC/nkVftmQB88OoOYCUp91SJEeinedqsAAenr3 b+fpbSsmaYdDCuqaORwcLhYVLcfRUc5HXj43AxVlgF5KGhA/e2Q0RfrEPMHRdWnL/EWGj7R5pZH8 1fOYoEbyRpox2tnm7QUYam3XPppSWaK12Ok+7mnrkyPn77ByZ0gp/PoAtkcWUHzwDbcGJ+KaVvFp lc6mr+fgJkSR4cjXEyR8BKxPGvusNgtIRHJDel4H97UllDAY4Xz0fwdFsE7qi4Hi2jWma9/ZkPJ2 ULjWFjDKGsyV17Fsen8c2ZGjKXDb5FB/pLInRCNquofmsu4ioCBWPAwYWkW7DrvsVzNvuNPUV5KG yErlDBErlXu+863pFePBRLEebGIXpAxa/qPPOr1pT30Ojdyk5kkpYkxWKajpqcw8D8A0886g+kOB q4LtbWoNGCns72+53FgvxqEiSS8sfD7j1+pwspme8yvTXpNxMYjM4dn8p1VmtXARR148wKAB95CY WDQGoZEvpvh+cKtVPVR3UPQ07c6OeBK7cx/6MiEDpFVRsxitvN0/u/p4ZPQh58glrxe6IdIeg3fU c+0QDXXCzSsByZXNbKLW/OhPefMMujZ9H8uLKR8WWLhhZDtt2Cy/MH72Xt7ZrJ6JzMQXZkM9it3s eQeMNY6MTJcrGX2Mo2odyt3EwAR9DD9IxWjTq90T9sXg8dRrA3kPpehk52j5h+PFY9+/Bpzuu9NH uzImkuXMAmE3QBSsXhzxbYDmMXz+9jI7d+29KDeoZ91wz6OLGW/lI22YxdmKxEUmw0D4dEzLVe0Q f/nOKQqieYHZKfZRxjr9f6Uq4rhElLJTxH1kulhGStdSGsHFuInkTh8qkRTSsiAYgwQshtHvRMmq hlsijZGqUHG19ld2Ycz7G+sZCxkxJTZ1n8zWAQlgNNVKcQYepKhzrySP4W9zEJTgMrYYzdKyTTQl p95NxPDoaM3OZb/cDf8AnMokUxGPtVPVzpuhCTr2W8d70VRyAaGzjqt08OaT57KzqmmqAa5wRz0t smWJVNNwP/iCPd8Xyg/eBic0BO41x2eyn9jiOCGChOEcFgevks/HGjGXxXpLcAXon4HIIdIzrMzG Ww6yupgd+F2EvkrWCjD7RsE/xI2Hchx4BT5J9UVEiOf/py7ox2eGBfPfmaIwRuQes4cyW7CxOIzj VbR1pEC0rhOxgPoX3YidtQJtri9aTu+BN+YyQUQghXltemVhnoGsgPSdpSQ7GT/utHzklBo0H98Z L5Q1POAS/QDqV6NsY8ng5jVTBMco0CktTzRQJmTHB0iCHpAZy8ddRM+qgvM9glKyTmzOOWAtxikE 2JEC8G+hF+6U9QDh25uFzOknLJh25fZp1l4yi00Gh+NhRBFveKFV+bZaP1xU43BM1QLHU3ri9uhT CPyn6T6Hp5l4cFqjwetw9e+tHbr6kB3ydnUjIB7pcBzygfz4CnHM8vIJKJNL6lvwnBrZOP/1FiPx xc2FOMxXENP++ARcwzh4wki4DRDOnz9HKAGmX2DBqUr6CJLAnABVLdHogk0obn30wOOnKBDfV1fO /h8EtvabJdlt913+/vD0D6guadV9FOPzMuhW5TS+m5x3EWVOG7MfKtY6mYvl5rNZ3spwBoMQoueg BDT74nZVk/NRFbtXpzIJ498WPlV3tpc34Zzpd9io8ft96CGta/jc2GCYXmjf1W65NaeyG71rCfN5 XHfSq424mkwbBPVUb074c7gPQH6AHYk8UBjpTjTf044U42ZcCMpncD74XK6/mFMJNka6Zywk0OB/ MLsl9uW7YE8CPjCPD/Uvt1QnQizvfk7+FHy+n7+o5ZzPkkepe7eID7DMlYAbfA3yEqYKVesRTyIv Sa5NdO9KZdWvV3mx5PlIWUwnXL+vFGJx3P79iuMJy6hsu0Tzz+BctPBHAXBtUW28VYTZ/y2aHIwp B481PaJ84rt2tGMfqg4G5oSBYH54F6yUo5soxZsWtQHPu6Zfie6TeyvQ5802L2xC+Y933Puo3kf7 +yU5mWvK90RWGPqhFMWVMtNIVKJ1CPXQJWy1Xt+7H94WM+JJUJQ8GUJKsjgKO3NTc2fUMFAyf7Ro DLZM5oRfipjcrmM551Zb6sqcH8YBGy7LKoKe/OFJljDmy/P4XRuaToqMxN6RImm6xrpvCJMV97Hn kmDPV/U+2hhbNlzFxVvvjPPwFLkB+USyiBseGawE1W2KUO5dd+JMWti2MSr3C1jxUtWwOryTHnUz bhqEkKe/Xad8+FUy4ZRmbChDJI3+1T9JizZJxUKhoCz+w+ayZFdRqCaW1yhftdwyXjQAH6k6vcz8 xdAxj/X7IBjzFSpXUnLdQ65+TTR/GlO2wmLi/mT2r2WnFX5n7VWKUWxK/4vQD+kB7hjQr5inXT+8 xZCI1KVkVxOYSYn1H03kgOMJzrTRZO9Ec5TOGn/6Vnjgqx84fUZnIDS+fZ/Uff8h1KVWVJsmi435 5PsRR0caUmb+V+Rr6NYa5cd0SrV+wY1TxT2z0O8rGLErhLNHKSTFhbPt60huPDP8xiQwGMhXgJdV rAdKfjSR1YrEmVYEX3jP4nOuHbeEcf79EOzdn+a89dhjpbaZ3I0WaiGmxD+LbRZzuPYlKv3ezK+h ILBcNwT/nN89jv51MyYPD4Y9oQwn7Uevt8aS0Euo6t86EnGjCnKsWrAhpudZnW0Phy6BgTOSpAY9 opu2qyXGB7TUAcIu34nu3Zf1A2vNTd7mu+59omb2SpIos5BkrhlIMlPzUANqnp8ESkrwYkSzHPdZ 3cK8EMJUyIy+0CxkbyXLzBgi145OAc+4RvUT/QeWCWfXwAal/hM+Srv95AX7M63KNqezJ+tY6IMB +VQ/HOn1jwqFpfoM79Nms29/aVXXKbGXPxEu8Ub8DP6lxEDmlIEf4XpxXL9BCl1wiFrsR++PeD9A hQrSIHkzd04VRuY/KqFTumeuv3dkqI1Nc08WuailCxxVyoyMCM7TcwVRKgEmCp3bxWbYt6VqYMkV G/+nve/bKO99Z744gjWcQ9yn7/HI43GKdZoeR5n/eaK0lgV7Q2m4f5RyWLbu+dFTnHSzYngmm1mr Eg4mFSZ6cM4HyIBEwyaPkck22UY7jDdf2QhugzQm5L9qAun1mM0Pg70I4fdvDYPRVmst02QVWRy6 ++RfqyJye+nynsByvnbQZAKY5bRso9jKI3S7uxAT/w8kHi7t1XZ0xAgtIjSp8QbppW74aZ/yvpIw hLuSx/DPts7xF52WihfgLrBJ2TXdggUN4lPztvXdYRwDe/7QJUyo+cot8IX/8sltNzEvDhIc7Tc4 oYhWaKoJ4w2dkttGxFbceQczzeZnR1E/jcOUPP4BsAY24wt3LHENkrf30sFVM8wl0ziOKTYMTA4j 4PkHafZqjB794rpYjrt0CsQqcrcHatjJgkA0VLMuh4AKFxfrw850x4ZjXwKyRU77lN/lUDCiZ4/s tCWbd9cDKp2Q44gc0BMgbxb1fIP1031TlqmA00p9GWkJf8m90OUP+PCr3yCBZ1Ssc1QGQttF9g/l dp0AeZQreYLYEKEf7yxS/APgR8ONGIZ+0Uv8I6zpJqsOtt2e5x3yuNbt8eNl+QeRgrRHowMOQixZ xizmusWVOZklw3E1G30l5ejZZUUZLzK9eNjF4bChfkI43Y45Ixhs6QanT5WkNnLXjrw4ryfDa+uP rxW0ppFEn2UO10pDKgvoRfOu57tKRZPr37uHYHgbUaBrWxQVgF7zNC+1lUOboYzQEXE3VtZg2SQE vg60ceAi150Oc6+GnI7n+xXuX0ge7Tr/k2MfqOPIyw8siHFqU9cD/qkkKFL5ULSk/bObHSnfKy4d pNI6qsCifqa5s9isGUYnShodaR/Nl5m7S5vw57kbDF66mC04ixuDqa68N1iG3AhPZyUZacFD8ASZ 55KZgZACQYj3bu3CsB3qAYwWTEaf1vxpihUAG5vYD/nFQGDB8HpJjhGYRg7nN+jFc0wTP8TAGgon itWgJLxAeM9llOwbLoSYHjZfKZlMotFDhRXgnSnrYXw4+Zk1TC75DdY4wq8AVZVhUEIzIjnLTs1r Hk4E+tki/1l3nTcXmdsCYLSoSxuo/hUMjSl8jS/mKaLKpSmRTelrlozA5/d8YI2Iscl8uAyfz6xF RzGamcyiTT7Xr081lIIhc7LxPd+pLtP0/ifjxZxDC0Qr4vgfPHNvNsg4D0Jbw+NRLv/Xl2sbjqNP Lm7KjXJlEwBdH5UTVEjjjnrsdcnjs7aIa/QvuFwukSx05Em7wfTOQYCwLmJHEju8fe1ORFLTDDxn ySyd4TwB6jCTvWAWbKde22wvmiNUMVepk14HlIYOIq0wH76WsVqOu261hvBidnFD/ummCWYIrWAo uPu6y9VGO90M/okpnD8YtqW9jNkAitGysId4o/tupFo6U7c5sMq0y0fhj7DqxcGT6eN9RedLAMBl ddIlBNvUgDRmLHyO3ZJoMLru4JtRq6JH45qK+9zEaDaaISZUS17g0mUrhQ46IX6IJ0a0VqtKZtG/ ZdgNAwyz+NDAPetNiECQgK/FWsR78I0q3tVKssr7tFEn4BVaddIVNTUEzBtS/PIYoPzFL7Msx7Up NTSqeO3QdBQQE7wW45/2gpLIIpookENM5HecsupQSOjDA4J1o4t98epXHCSP6gp2KNfT87Uy+CuB 38pMXx8XxzM6SOcx72Ywx/JyVZ0jWjSTrZWe4I4dmf/DNA3j8s/2mD/hTJaAljlyWyJxBxPreiXJ Kdlxu91DiCDBrrGckNVBgxM5PvOoOgmLj3kQQB9fAQN8izKov7NAwmiH6l4lVuZxOIhfvZ8pvSC+ zPDZd9s1fv1gZcSsvHKhC0HK28OChoE969gvr2c5PcCkY+1QQejHQO721nRvIlbVW6Gykp7N26Vt pHMhz+00cW2tqgPApIlL/U7q8s1v1hCyRVkxrda5XE1bOSliECWZCZZWSu+XYQQMbNJVoqiJerMp wdkNcF35C7Y5QznrRs3g3TDUBZLHzt7KTI8Qoa6rk9gUy3IgjmSlpx42+35J0X1EmMQyXeTF44OE wZZ7W84W0S+uS/h7l6D7kXYLouJe949wuELZQVRGJSR+HrJUg2uUvfAPqr9Pq4ncHKZUeFNKvmr+ TKW3RMPUsi37ClesEsH7ctlRVWMAQOxTjvQuMJI0S75/2/HtTBjs1RT/xRIUSCyVjhxUS/83dBvJ NQWQz+pOpB8lNqn0SHLRd5TRA/xt7yYC5tIwriUkqoeClzg8vieOf8+p0vVxqCp1dpAY16y7CD6+ J19xiv5NyRW1ZzGqbrsz80K0dhA/ScCNYU6XEaQnoashytTOZw9A1BkrS1cOZqFjyW1XgkCPBzYu d4BoYKP8Cpy328cncqPhW9G8grmTOluWqR2tfTFTsZDk20Q5IcrgJn4VgxY01n2Wa0LaPX8TLkLu osYV05cU6eQg+VV7iac+/9f+kSAyAJtqQ2K2pqS+HYr7k3heBXvpP5ilBBKWdMwPgpAJcu3o6zod H0Oh9Hj8N5ldqElpOQ0BO1/eNfH4Uc2Wdcqrw07dO7XdedMiZN6l7LxKaXm+aWcNm+Z1E/Bhs7NN 4YZ6O9+WltWTFmQZPxbnNes/TkS4MGADTs0PIwxtKP3sEkWabHOe5P2YBixec9V7KAOVtUOBu5hI 74hq+CVDB/Kj1RrlYnfM/bkNH+FjVokUJwHmoJba80nd629da2ClPG+JVL20C/W+/8qxg5sfgjoI UXOtwJSWPbcPLzsQys6rISgStCyEgRtzzjrjUY2U9wEElobNkWb1Rpc6T8L6fPTNgEJwjDrqINm2 V/5smRu3JyvJgNPaHyjfRX7yGgpj3JZ1noIdQZFLocLQkmn5M8uZnZpfXVDSWg0YZVDgOpLmpW/S Siq4HYD15927Y3zVxlahtDqXJjL39hb235sTZVHVqzFA8859NzNUZm8QFumRscONRHDdUFm1hNZ+ WmM/Pd6x9RtaMJ5aU7QbkD7Tq3+Iap+ItCQd9uVj9cp0nT/f2/yPpcOFh/fzxpPTAgCApKfutcU5 LV5VKiayOj+UsdejQpDl5jMjSs/t4LVIuo/wfIpMfHmPkjhRpv8SGR53XJ1dCvisk8HpQRkjvxYA Vir6jBiQdqElfkfOXoIGh6GrNWaoUB5xflm91YktQumq/lr283SDy6LfbtRWg1BLbhJwufFwfURr 0QUUdR/3swsJOzIkmSXVD1nxLxASDYHfgdQxGXL1Slq7RU5SBlXbJRoHeDH7NZ38hawvInijDd1B BaKHgMq2loG/IzOTrUsOuHkIYjInFouA8atUWo2vcNcpOcCOXvH1KbAgkEF1ouR726ueHQeGkBiD 1Sbjt9uQGfyNsHkcO47e2rfkI4KKObj6EFOIUppQ4H9lM7Ulx/63hNZDmR1TrQxyaOMlkHKqDr+d wDxnXt8nB9jn9suJ/DyLKiMbKzhnjJFDYt1r9ybowTmkjDLwkL6EYYHre/P7wG+1SOekHRu9PMPL yR2t1uRMTE9ckZ7hD6ya5WDyP6H71EE1T73u3J/4EfqzMpv5RgWmsmmxSWarX6I/KVovrj6wvanB ON0cGKcKIva5Bi9zcNw5c0Siy0TooCw465F0xHfDEi/VgZnRanS2hfY2e+qDj5U7qZbiicfet2tP 4pw7m0C/7jJdxXalSS9NAFBVbyePyAsxrpUwVZGkLQWB2soDtBeN/nebCLeLgLxWk1t0fT480wE/ cSxtrkdhxuByi6I6uOrYYo3V8CApRhI3tzE4QNkAnVZ99bQ/pBqc8xWUcGbU+SOLrY+U4tJ6EVjl mjyUdMHTSKX+ZyAz5i3WLnUaKeRvLARmtJ0aeqFmOVX5epTNyEz4Z87aOfWhd/Xhh2Wvow7S0xQ9 krYzfHOhSWDzeHB8q7t15b0hnN5uPcwb/NqKH/dbd2OM1Sz/muwV3dEIsG3cYUkrHx1S8KU8D9wK avMH59Sju9FV2w0IrCQJKnHdgO+0FKyVqACGhy3oehn30HKXdW/5lTXqmwOHFDmV2GXrVdc00gh0 xOgEaOGHg8XTeZgipQkndbi85rMeNJN7L/Mo8yiRfGuxdjIt4te03DcnG6SvqRrQN2XF8/vNyTFa U/Pg+CsKf/BxDvPBoUbVN10vuL8u1vCJAgb9vuEL/bvkScmk5MnM972vQyyYyd9cFsywx3aeCEka i/bchjUL55I/wESswe/MOg/2z2shPGutUkeAzdn3qVk5yf6dBNHPc4BWvctmFdkn87Jpkvf9l4tN cQTl7hf7ds+D2ZaYz3vUFr63vOhupqz9aSGgRAY8HsZqEQ/syJLw6jgAVolVCFkwHXG/MIbQinvo dFTh3ql4esSBwntC7XjyHAQzjQupzfyJxNGsLUOTkg54YK0qT3NH0i/XXMiThYB2H2ZxPNKZLmZD nx0mJgaG0ByUSPw6utJgx5M7mVGKcecCOE6x5fZWn9IZri36jpk4Mr/OfbqnmLU5K/vq1T2Xnbes LGS1318l26GQjOr0BS84oB7iyAOIrs7DNipYPu0smk0V0j/WzJYYIfBukUnJiHlMsXMbKgcKEhJG FxtcqVpQhfiSqOtO+iqx6NoDh7xxYLGz6l2TWb1moXoPdUeCtwgiGDMX0GYD5Jro8wuHnNWzvlCp HJSNuWWT+DAlotQxt3+lWFu07ZUyDIOpd+01rNc7jEIeaMSIZ/ZI0ZUrZbOvdZ/a1C8xnlS9OM5t vL8x/q2P/oWTUlISTEJFuVoRHKqS6/KC92WLDyyWbsFBPPDBJoxFQVLIQ2LnPxBms/0AAC//lKiz MRIeFz48x7u9RvZzxg1qBOgnkLRZIYypC310Oa0nBDZfuIJASWbF+/1fmXlIFo7axpxB/PGJmz3d T3J4Bmi9G/CERxd8oxoCS4UaVxg26PHkxJIEuqniNZSH7O/lBFTmdYTRce6EYSXYZbcXxua1ZDBd ncZt4F/lEPzVX8JM0v7QZDrw1eBHer48qfW2nerC7e5bM4/rYhRGB5J1y4gEkWBElaAO5PNdK35+ +kWI/dNasTg/UQqGaNz+PegvDtuj1uSucHzsXaAaToS4k8rMcaI0biXoWZ98wa6EjrTeBA7h8VqJ pNNgf5edHZPujX+kVfURY3RQLFkLv/bG5k7yUGoc5BAeLFASNb/7RzUF6LmkLG3pGwSbYKCK+SxV 0r6U+Ce5lykBAUgTbw9j3n7e4bGOvXkuq1dafPpuXe6yNYmQBUV5KNi3wWrSkDmcHFQnkqxX0nmU tq88XqqUGpOEB2YPQt591sU/kHN0y01aJph9zsZwoIiNLoa5GmKQ06Bu1sjZXXfJ1/hkRjr1mfbH LX+JmHtk+FnGNpSFGPTgyxRPRZ8djlt/4ZHE/dmWOTuMisAftBsP/1VjFes9ITa/4/yQA035jnI1 dVb74YrK9O7FKJIPX0ziPENTbT5JN2u7rIuOU0prk+6570+F4rUQRENXwCRFZMV525ye3M7DYjTL e5OkSipD82FYbpS7woaYwVunlORn2mpj8o3psdJbMeQaJd4nxsNxUN/uE2aRUuEe+dMI1eaS1Iud i+2mYpyYU0shkofoY6oZIrTjVpaIx3I6dFHzxSyZvMeXlC34e2NWDl2DO7ATHTYsa2JUeKa2CNDS Q/1sAh5SSnWhiOtku/JRmnd1bxIE0u16SXMV1737WdlG05UhEstofnxeST0LwWZ9GwCaiwGLX1ps zvgWTgo1i5I+DIn1Qy8tBZ5rfv/Z1Z6zYWE7AuNktfEbONIjnIepF3ZgUrecSDGUApOsqb1YgK+j 0ZCfin14WVatWU35UuhmSf6MRIC68FhpZ4TKYeQqfb4Y6ziVjRq5KqYeoWymchOouMnLZXekao2G kvvTcna73UhXJrK7jTywWIY0zJolfLzu+uzS2zxbvj5abskvl/uVnOrNbQd292QlS0ObC9Q1p/AC wyCcLlBkl1kIiKNr8fBbEYCjsiEESfr3ok0QwI9EfPyzp3Hjz/OVg2pShbvaNk/ScZRAixK1KtbS rKngDAsbZT/gCaaVuPu1yU2c/1D4Zz/dmYQnsreq/cwfxHnLFGnbQ60xr/fP4gv+93SlrF0qQJct AJAigQ3P6F3SQbiHxSzIcdgS/PHtRFLhMeTFOK/vNIzj3zAvHPdI4degSUjQN3hbC/G4Z7l49ime nOR977wR2ZEJK5AmVyKajA2J52vpRaXd3PXlX66HzFSIrpYn6kltK7Iz3tXAnlG/bh1ZmqxAAic/ Py1vlpcE396J48VLF59hatlea9oDPTo4zVrvtVU8+oHJhDeeZh6OmCvkEqJ0Z78XehFECQC4un37 OAWLEfVG6sajVWjJpvhYoHzEaTkZ7lxSJedJXnpR4nGum+h2xa4Oty1wsWa7zvx4O4cR/7pPe8Z/ 2jDQAASM6CTblVmeSrCx0EJBZrNupbpsVKAQ2hIioJHbWxCl17JxB0LZYaT95qWjnLOfKG2etVc9 USzvLVRCAp7dl9maVEclOybZFQQxQSh+8RqSjJHVGNRreqNr2EsyD5VYc7AbPPi0glCXun5Iup10 YT6fYpV0cqgGNZMyTMVaB64l0/5bRbS8ZevKk+FgyAnLteLeidtWm2tA+/77vSi/QLxkkn7xtPRY 23XhZv7Jso4Da8H3ckohIQAUioA1uQUh7sUCVB/Nt7N+ErkV5/wkN/wd526Rerz8rZg3Ou3dZ/lr cJtkBB7i98jKWVmzMimGPsNZN2Dyt24pUPjZNoqDdboc5gMbQAuWrLmLoPD+wbZnjsogeOg4J1CZ BbkuqhJhIeFWfAjnK4FxvQLwxzJtf31FoSivj8NDTeKoib0T48UyTffbK9yc4yKYBNiA8/umLm41 UNuZd+4v9/8L7io6ThJTt26gGYOvBH+9uyJmmbfADJXU/sAMTvJmLsqPCJenoJBOwtiM05bqack9 ryOMA9M5T+VjUhZnEpibN7V8OqdF4aaD/iRm07jLE1sHFZ5q4J20DtzLooN2+EBSoaVgMMGAfuJu +Eap4n9315UZaFM7EMlCOR0AdwMXTIb87XRr6FiQNBUS05VSgZqNsKHYQ7v1WA9h3yJJpDxlak6/ ykVOG0aUJ/E5I6p6WUUxX+BYyYMRv/ZdbrEhcqzzxEhNLrE4kMttyHjJovGm9yHXpYZA54jBFhQ+ PRyY7kHJegAvoP8WEU5MPHDgiVmGXZ+vh1TYfE787xjcY2Qww5HwyJoipqy9bNtwKCn0lQziDQs1 sCE11R83nioa+d/wp3au09zDqZ406rbKxHxA5MDXKsi4GbPnrJXb64apTTxJXJZlIwBpkMMPYHVU oXdfSEMYvlwaXevy+sd8V9ZBR/QGMAX7vERPX6j4VH8dbmq/xBcxI0DFFwYl8qQykzIsr3+liTBp VDwaom3a+xI1FqDeNmZQaBjt5PT5BR8mydj5DwalycfZyRgUrKygZ32Ig5XFc97VhzvFxTSHMs5B 4hSHU/LMS0Hv5JzwY5rg8YoHU2UqfZRB/coa9Q1bl4JAqZvbzvLiwoaKYlETDzb7uF659PWfbp0L Vg3wNvtx5khoTCoZEyp9ntqyYUVGaRpqnYp4GhPUqb7LgxIcUg5ZxPLzCVxWwz1ZDsWqwKqKON/c 2eea8oHpfstASr8lglRnNyBZmOgLYmdWQ6YMXmlcbhtzWSH93FzqVWkw6tqv9yiS0/uPzYfsdN2L msQDQ0WNxaaCiPcDQNRBhx1i7IM82TgCdBk+wtSel2Hch/seD9WlAZzpVOBEkYfh1HkstwvojrIa Wb0FtLF4R+Xn2SgC6RW5FiYVzhtqTKRJcSviYRiMKcgZ/5WN6Lfp0jthyxWCNljeSc2z0XgEeNcv ZmKT2ucEfV9o/+nIpZsbn4fMv/va/7SflRhtOesvTuKzecV/8qgurcfy7t82uTumLsXOlV1gDYvx oLeQSP7/y76KFZ9j1b7pJRFscTgUan7++8NmlBfgwBGJo/jW2gZD90cSVWZhlajUWNYDHnRxCFW5 EqlaHbN8Ugt0ssQ1/ewrzeGKtx7HHn+l/wbTbWNh/BdvJNTs9LmR2gjcGcm/zRtQWPp0Vb/tN19s Ye6vmXCpB8g4tEFfjS/MPp/w+t7yf0DWNaAYOYWwWRC+L8rX5GKmYY5+m+6AAu6ud9ynwyCMbwr2 b7en4oxhnrBvG55T4vQJbUvlhoyRtVBwt7nbwDebJb+qwKY2aLGuq9S5674QgPTN0Fxyo7EqU1LZ es/dYWBk523G1ShH2R0VmhiA86tNFU35ZuixjdaNl3iYMy4T55CZzHz6tqkJKWpaC9us4g1jyYdC p1j4DVBkyI2ZKuKcDb5BwfH5fFP/GSyIXxS08xfgySLe5aVxOMLVR/ZQUqA9gTeBqXxzs+twajQI IhQGWeKlQ9YtD2wskRfYQK8RAj4HRDPwBugXl976kG6i4pRD5H7E/O0xfPRVvJqpMSLUMttiq53I RD1D80nzPWN2Kx0qzbvpvBr98I/bmDeU4v6bELoRJKRoUV9/fVurUqpcCoGPADXsBdzxxzz31yPB T2A005JL3vY31n8CQNvFMoJt9a2J7Nvk8oyGwI5R8aG71gmKSsrKLU75a/ZfK08yyQUu6Id9DbjR BCQt9mKLRScpuE+x9OhIG/Zfy5EkfoBLhaSGxgHu8C1JxD0tifdt1nLBNYbLNa+onsbJRPhyvoOF A9uyShXQg21nLrgMHoNkvBtOFDB54DEeEDRQVuKssZkyJQ9oQD4u4Bh9MUT/5ENqS6oAkTLmn5U4 u/KpXR1IRLGbleCHLKy1DOxg/JjcbX/rI2l107JaQTPtcBUpNw3zCs8PD+g4eSBrFf8qcPS+0T/p AUSgIKlJOwYoPYKZR8u76+gKj05V2L3tbS7PcXvLtEgAYvdmo2A0UYnUA7NE9Vh+w2pF0sOrNAAL Vxj095oY3cM/dU8xt5DAkNVm1IL7rkR4PLULR/aP7rYmtHww/ptHhDt2Wy9o0Dmvn/CAczPTIWvn 7jl6/GN/yO4VD465ip/mrtJKX1cLjkzRurpppKq6V9A42VhGVqU5CtbVUJBvfMzkrIVfm6Q5iCuB 435fLiQ9ZPLFTWbYWG7C1mA79JhixoqthorPmUMmYbeq0Hx7g2d/8FlF8xjwY5pz7zyAgdwbimCT gOMx3xh5rya4YL+9MCmhWa9B5PUBYh8RjXXe1x3n4NQ9/C0nJ5D3OWU2hbzFZ1Nd5312n9HOWCyV qcMUbOgD5eaAUn6y+s4MdO4tBDhVUkq87TcPjhX3Iln/CPFBFjIRoQtlMaiuN0t+0qyAgNO8Cmg1 kdijQ1Df2DsG4W5qwTOKe4TFiRKf/Tm3mq4oDH+9iibnpYzVOTslYdj6DHvqMdp1ToQVBBbZ3kfz bSWG446Pg20T+7tdU6Xt2+NT8LXBZW1R0zWNQpK51jdWGBNYEO3PJQ0GPp2OyMUNMup5Ihf0iOyV fDaLM8Svms7ZmlKv+jwQBjMWQdKcyFv+rvaZ7wm9cAV8rC2HjknFd3g3RT7P1Fa1U8nHywW7h9zD j1MJYEZ6W05YBaq/NH4TJXfK+qTO3XlMvoVVzErm+HjtGvSSKcBwCxJQ0fuzsJiPrnWc9m/nMaqo 2sbHUgh3hYbXmSYG3MRkQv5k4SGywz9wz0xY4kbIEcvh7VFc2vdasy957NM7+sbrSlwOQNJHDdei aIfdvpcFGdsVUkPI0NfZYaKUhr840igLJ4NUqa38E4W7p1T3DPgaqzMQzOVFzzYDXhSkLCpH1hDP UeYSDHXfQJAcL3QIK1RiDKeS40IUV5hxTgumBeDaq+RdWf6hpP+zIKXxEQZANEvePi3RTg9ZYCmb eewbZOiRc+apQ3EzSdm2k1RCk3CaZqjqshCttRRzKkV/arCqOX6og4trn+2oYkfucOeWGMra9t55 WM0RpvslokiFgQ/zHD4H3NVgjIzAkcdacvi/cHqv+CMiR3O76XVWs36SxsO5s8GCvb6aKjFqyFod TGaWhNJGKgFbrkJOqWClc9s6ABeuFq0Q1zgXi4/CgWs46UxXCc/lq0wxNQBhj73QbdDm7M3ugLKj dKFLmRuoFG4UGRES6nxuIgqZllHjd+TWCsP+bUNCoQs2hb0EVl++P862i6QHA61cVmrC343c+uhZ AFvhVMsyrWjwNxbS+zZ8fCneHXkQpfVS9HrUIrIt93qYNycN50FIQgT1t/Mww6JMg7uTQjYbBcW+ V/O57J3yFcvlgcewaT9KWJriAzGVLKsyBpJ2xoUcfIWSyuD/RA7TW18+DQRBhakYxZMwkpb6q/tb 7khvr/npUHJN5up7Y56b5EZ3ecREYqUkTeoBtKc2gAKOLiLS70BObDC/chdIpBJGrgtiYbQtGvJ6 x8AYNUYU+ohCpqQFgVFUxjJrE4jpY5DX6QT4/8ABG2eUTFUwsuaRU4B4ZsupPW/q4GMV8Kl9qduO sALAicQ4S76Y1R7AovPk7l1nWCMxmE81wrU/+lA13tJHBfCVrWHf/IsAHJ3+SVD5RopyxQSI5+Ud Ts9NNS2r2dQAx9jInd/mQ6KYB07ilXCwbN3OJMMhDGn0GfLrDdo5FL9Obowri9MSFfAPDuH968w2 6I5LIgE4qHcBSADLGhAlq54UHp7otiU9hcXRnJHeTga4QgOXwL21X0bDKP/Qxv3AERsW98yeWmfz AVs+Y5SRPcEdd4Osi0lrv57jfgcxhczEFVbc6odXY3WcoTxaMmGM9MCFutXsCGV1zDeJ+3TwzP4v /Pfe9TSrKKf+FMxS3iw3od7AL2u80zCE2I74YHLlvR35Skg8NDwiIEbv6Gby1IfJ8RFFfVFt+HoO Mfae6WA5GaFHCLLDapWf51guw+nASdx0wWZBQNQjTFisiUQs37DW0NMXFebfIZKpbPNd8EQ02+wz Ug9wK3jj/66kbJe6wAoH40mYb9cJgkjRqadNGCRyn1dftT+1zjbjfTMSJ06v3iQC4Dlr+X8Z9Q0q sDGP+dvJl8RVlpTM+rg2bBYHitTos0h1Ox9+P2wg4TjbMUo/cyraVpyeU3+oBIXfbbp4mpR6M2bP vWGedY3wl5o8yiXT7rUgP3Kjt+lDfF8/uRYF+9B/XzajpN5O5I2CW1yJJmSz9RxrKDIFu3aubp3k Cq2211OBkJXfca2YWrDMNeSS1GxT6XP3Wmo18INZx/chxcWRvSUc16NUPam6ZeS5FQ6gUWSomFFG pYd7E6bKRkPyXl/wxMX2nIq/Mr6coFAABHQ5lO2J3riosGT0onSMS1j/Q+XB6TmEPMne69H92vqr 3kG/dU9JkKjLNcllssKAM3GhAZDxtlNdxa23JKSdSIVjJWzUaLf0cHTSv2lchnEGT5TnTDiKTMbE Q3078wvj1B9ZmVzsxmauB2LNbnx5DGWkOGzDFjH6QJREAxddC0b6wuuNhNlD06lHt2Y++1gr+XRp fbV6kvgW46QL816akIMR2jJpvifdFXfZjEt/aJfHsPHqqjCrF0huaNGaW6LmZLtYVgUwRedxbeKT i1lwAZhs9u+9czapl5PCQ++80PuhruH6OpT8hJEbU0ANRi1U05evbbTBYlxiJ6QhqzJQtUBF52Mc +o5hqU+yj2xR3h6BOkRgbFKXEhhOSzlGsp8EiXaATfHFo8qXHEmrya32N6FZIBjY1jp3PalQmmvg 3s/BZCMQDwwG1luQWSRai0K68a+6mnsFpSnIaTI5lcEg0e/p6C7OE5ocezILGRqYNnO5TbK0Oor8 nRF52g3TJ1rHRFORrgXuvKd7o/n+IQDgtNUpCbFNGoxIgoi3LObUD8aRQi8YJhHZWSNKWLl5WI3c ULnHUYVfhltTzM3tf5m9StnzQGG8BBxT11H/jl3auQSOYJQLYCVvMmK9jMwW58l6I6FOijVpi4aW UkwEmbtg8sKKRguydPgVIeUIYNo6n4JvjtW5tIbV1qEck4IH1TorzrsH6v6FsFhvJBzpTchwKSvE 29mZUkZNzTgoJV9uXLVNM7go5Ain3hOgnzTrdM7EWDE5pRTfrUB4+3LNkDH5tItkITzGZ65uYI3l 6bQzAvcDIAUlAuF/5Bp8NdFWE+4Ea9CeHpCHc0pbGLnA7m8lD+ybGlp2B5K3qh7dL9k8oCqD1PxA vwAR7w3AW3G6ylD3dzOr0jADO34xVqy1FpuYAukPxfA4z87lS6umJ05IPKUfAZqImoclpUt7OjTj uQupDhJhkjxZluCOOF1+z0Oh2WIoIjzzzmgn6Xqvz6jpi7psaQT/POaCxNL9Iv2jF2Ddmfuw+AT/ /vcyArK3fdx5LbCqY6hLTNnEZY/BfinKKKRcQ2mf2iM2fzQXvmpjUFDfZYUxj8bJ0R6NEJaAyWGp 9ZcEtBIRcof6YUgtYvgh1ULFEquTT295nA5OHocsk7QCUs1QEPHcvgl1UOX2qGefnsoSxjRq3Zd+ IwW861pT6HGdv2D3Pji6kqS0cO7ua4H1oXAKUpjNATh3Ve6ptWRvm/Ycnw8Cp//W9HNlhtfocl98 ++GVQ3FXt8YHCIcpv6zdXwjKuU4UyXdL5tGe9oKo7X+7j1E86KvOgj7RlkV7NV0gkBYGRR2ehIU4 MBGpU4DTZBrlk//7yOEw+cOXL7EnH6F8iqfrlUQNT5Zca07CVEtvoaQsy14k5zAJXVHPkjqm9Gf5 u2q/4q9UgJREUvFuBU/H4h+ww+wktHr7k8cJjDLaLoH7IgaQEdXQtsqS1kbLdq8WpBaV0LVg+yw+ yGVdj6srlHF/bNnfZ9LphBhWeTGBG3eT1I/SGws4zGxJNxEWDfk8GiX/n8iKyLzb5A+dRCgNT65X wFx55BKgAxlkmknZzwMDLgi8sX3gY5b382WLCkicDwH7a4TyfGanIuIO4tNdD8o4wi2tktOtnmM8 e3xZN0MUI4kJF3w8nalQhDl0WrD6YgWs/QIH6MT5DeZoP3sU/vVljLf7/4cpQpqAulFZCPgMwuWA QK4yReeEIyyoFsQOci426tq9LU9pxBFV+GEC3z0tnNZDI1ATB0PoBqq04qs1YQT9dtr46NP9faHb r4OCWBIuQis7NKQ0O2gHPIoB7ipwYo9Sk5RqOpMfjVM+c4xD3dC0/ra4Q+0dJSWTnC+TrOQRN3r8 krcueII6c5sRZC5chXhhEsV5aRBTtR558ujnyxuny7iPSrbuEhqZdnZL5tOBshjUaGrIfT8zE2tH CkqNFQ/HBMl3m7q3qnlNVMkf17+Hxp5r0x4zukWpMgM6py/uCXfHNB6563FtU05WkXizvBTkcV7E AN1bssBLnq03DQssqqE7kqVflLqQj1LZDArVmWIyR+tOzAYMvM6eJmXYAUhxL/p3tA3YLXmGp6n/ lFJINaSnIDFSh2H63/ibH9Z3hGcX2WoCDNdTaPqOcH0DGIxUL3vk3P2Fxefqcurhhk1YkkiLuLvU RDKqTHIuz6pnOcasYuDgMrMP7wXjtNRkHEKoxFS9tgtrrSnHMD0gZcsSCFzZvmz5HKVTnmkpUNe3 3Gs7ziwR/k2F811VgSsr2JRFTxLGRywCQxRhhDxck4b8cpg1dL+fs9+yG81VMrjxSgiQGpAHFBvu gcr5Nqw0U15bDcyPyQPADI3+WZeOAPsSHpQs9Cj2m9gRjNon1SyDLJ/92GTm+A88sHFeOsmKx1Nx eQrBN6h3YFdmyaQzZPQM0+5UJYSx4AFD1mByOILPwClf45+DVznJw3SoyzVY5bHYft1cHjMkEquj ATFOlnPUYJkO+Uvr3jXeEE8YayRbnwn09x1DmDsc5+kxxMq4C7zWlvEFFmu0rHKjVqCucngBHvaM Snri4LQNxvSPM+q5Pi3uaeO197OuY1ujg6mt/VUz9cX75FuwN4heqsDdbDAMoq10wZrBPV6DIECy kkfkWsZ+8qq4FS2JBtWx70aPOJswdXqPck93YUrf8YnoaSod5ZdHYPoLVoaL7R527jxydDJdNQ4M YZvglzjYHUgJoE5BadmvsXYnkV0CL9smOGxLAoMUxmO4/c9YNe43moCd5zPQI1uIwOrJjpnd8CVX VeOknW4NLcxiiio9yc7Rf8873TROHiTJvD7a3aIBOrpXGkz/yZCJAG427Naf6xtEZgLYHYIkUDrG LXmUmhpQwI2JWCXS+96kC1nNiwGyHHyumoVY8Ffk23iUWtuD/c0VLX4tIF9O3bMwRQkoXHmWSpVS qt8JXq01U4ds+NfLNtl9UKzCTaYwZFbx9Ui/xcwb5G+ymrVsKuFCYJIgijD4F5npZALm3U2/EfJL INgvfqNADR1DL3j8ep4VMmluiaKGQ1+XYU5UC98yI8pbTS7r07NZzlnsoxme400YrLaG608KMDMc cgbKH3yGJC9yQsf1Z2PAtFTB7FhUiOJsOZSq4dDZUI883QlOSFOs2uiCjjHM4X/WCQSB9gIrmKVE /DmiPM7jaADyEXOObFp6I3ziLU65TLVt1C4t5JjA3ryQhfFXS1qdIUw+DY9IKtGkgNVWo1bc2cGm 07a+QOkSIhm8iL+rVMa4gTQhIwl5zD5rOntRDDKNlEwN0DXGks2HKo3hDSB8qzOs6995c5FBXAt9 jcTn+V3pMy4V1Dzc9Y+80ADiBziIv5v0zD3QHdP19SnpBMb8NChCzbw+NrFeR55DWXr2IxtSuCSs eHK4t1uXA+2l8HhhZ1WwQyp3qY++Xow9gTEYRlQax+YxtLxqn/kSav+NeMtWcmQONcXHcvRK33YE hDFX+dd62WJRxwI3Bebcv9+eLNxYAJPHSQVQc9tX0ngGdcv0LK9KxnJcN3eC2KvrFS6wjRic3yAT DBxnMkEvfZ5IBMGPTQDxjtsAbgdlMf8sSG9k3EPfrYazSgPwifW3u5UdVRoMDyryl2fPlJ2lVcXC Yqde25/h3he6CfK8ui4gReL0mmeAmkkwFaYvxlhPX5kAX+gjaalysLJmUwTHVEtlL2+RxVugmo9X QUKV4LjhOfOlxesxOq3S9qWPpLfZnyYCyK4VcUwG7nyrnhpxphtxXcTWNc5ZHX/KGWASXsMQsIe2 yVPxn3QkiLVjCgdN2Vhz/LXV89h7zkAn4d2IlaGs+rTan2mV5OKtz+KtupaYhEMsn0wDXHsU0oki XXprAT0CCn5XAVV/r4KMIeajOtlVfSnHr/Baamq88j2ObfwpON/6cNaWWu95jJXOhtiQiZ1/g/KU wjddxWpW8ol+er2uajz5ci651PleGjrtdMIcJN9uq/b88jGiMLJP5tonuXWtdzp8XK1QwGDIxJxn nbMChv+Jz9CQmjN+zjDfTxh9PCV2P+qKnkgglATAuWO57Fau6NmJGOquecdnbvFcuFzDMHxXf/RI Azmm39FEAtQsh344WscVnQEUmb6BSnAIAr8aduUTeM6KAwQKwA/Yk1TLKAPyBbtEbj2C2Gn/u4TJ y5ULRuw5PNduOufkFOtVYwcCWIhfYqc3IQ83rxPygflnFNB6WRlWqkPb35Y6w6gBe2+DTk7fOLXh 3Ke1i6YrMHXCWb3QgADQTStx4s0tU5lkb1yoJ65nIwifI7x1CNaGiuF0r4YE5DxqhPlM8NBroWrX 7vljIBt8fCoX6LXx6f+Ye0etLvN30oNSkYOnRuiNDaVLRVV1Z7c1+QqdB990GXnj4/PZKtUkO0tw C+sGKtO7gJNuXz7bfRV+Mu/KlgCfL73ZWKFkz3HuxAZQ0faevaIYLVHkB1d+CNsvVce4dIQW2s9+ wp6iJAVbVuY3dnMXrgUpuUmQaUToEfF8ctQs6D8qHeA2rIFniBsS9BgJyxT9dyDdJJ/t3pLQAW5s tkPkKOMoyvpL5g8h69QSpMIrGJItedDgaUhKougoKorUqBMvYHFLxmoNWnpLp+jWS31ukih0E5Pm pzcR3NHtktIYqhG5qspTddD7ZydCBbX/nDmhZhniHUecUtpCqnD/UNRRv3Yv7K79st7CTJnxVsvV C5gZapnhlAMtUArFDI53uhoY3klkVANUpU1m1gjbQ550YkY7jO2yWQ6CQNu2C+pUclwLYPY/qXOj MA8VtWYBM7bS1gXE7aleDTPGrBJa4rVNsK634JIcr0mn30+9+RUhJzqHeR+8c4ho0kVatkMmwVBF /ZztENsQOVmP8h7sFXk4Yxzv8CEKoaF9jQ6ZloK+b+RGL8qbA4I+x6bYVDF7lPluUwV46/Qyq2en kpqM0MXsXKle1bs+7PXCkB30ulS/y3KMCJDD2/8wAjFSCuguGUDjlaf+A+iDaX+7UvjWom5kFknH EKJee63M/Rct8KasysvYaSHMQvZ0Q/iloLz2HmBRg8BtZWVVAteiBysU41VzFZWMdKixIhMJK7uT lMrBsLkG2GMCThvipk7gG7PEYYw5jXUTOwhydPN644HIRiboMGle9a/fZRGcck4CaWlqZuGnf2oh YAtU7a/5UOv249CT60qeX2TkWD+QblS2UhwCuLndx1b0IR1i+Jyz3jq77EnY8M3rnYt31GJ7roA2 L9zscb3ch5g0ndNcrb9cy/rMwzA9i6bahZOD2L117uxKafhsmCpH4L8GqkxVyKLsbTFNZ14jm687 wgfSg/hK9Y2U8XAymwffop8Dnjf6eAB7IG0nljrWqcSTl+hM495r01h/9al4UIRprfIYlTtvPv10 Xkvez1dAyNBjBySDTi21KPoCPKMXr/ClZREZeDKATOE/day5HgukJ7RWGyNH3LAsUzoq5RI06geD qJaxsl2id5JE0YccM1MRDq6L+amTw5ei5L7ZA4lV+mvSxI2i7LdSE4SxQ2ZD72jTmcsdS+hQJm/S 9Zh7zsVhkw5qek7/M+O5CnAXhSJHXJ3W/oFFklGTRhWQn9IXt/OXKOqO1LEM1u0dEYPyZDhv4998 s+/Aae6roTfUJCksXiadBX4rw+aCDepEFofF6tHYF/GHZr9pkFOKlRPfU5pJGaTyu70CM+s9Ust2 IUHNEmzg5ao9lPWFSITlgNJ0v3e1n6l7kTCDccNYqgYevR8OJwMyW3U9HU9Dt4vYZxtgY109KN+6 sDXXsLfL1EFAWa4TBXXyXLKBeakw/KySw+43SObVXo+7lGEKfZck5gTZPRNi7syvO6fLh2jBa0IH M8uRDAB/5hgBnSpyQpe+OXobQscdYqVC8O8uxOMqbwP8GCr4rG9YeAKN5OjuvjZzfXJ73haBcCpH i2ZmlTmSvP/85rCIRKGHh24aucjFQvayaq3z6IPd1vdH/GzQutfQrZyUwg6CFRfZDD5ULPP8RUEd u0rzYoYN1GZM0taQSUMhh6uCDZh4cFmZC746ztpp7dWtW9DLalQFnSc71KeUVAw6XPe1yxwCIrg8 5qX9sIDQVpFG8JkM/1l0yrCWbN6LMs6rQonwpe0dtoYOnX2XMiZBWVuhUXAe8gzIaUDnLrLZDhCV OCCfYLj+vrJ/tiUB1ju72ipqaK7vR4borIS5JXLsxtZkJDsutpZbjEsuPBynwVnc7OS5FwloLkkm DFzrQo2AV3SbPok2KEk+YtsqPaInGeu68qqzqt8873VR6zH4IfNiG4f9y078TDkZOqluoZyiVtEC NhOoI7u/g4LYRclEGnBkM4nqV90iWNPwazLgbAfK4w/h8uTmYaE7wvCfnj1IM7yJpig/Kq7/aLI5 j44ij53UwJTXcld+IKdpaTmsfWQNbwzpNobRi3CCb0J6JAN3MCT44iVzj8Nm5E3JJAhxFdzsEliP 2KghJyGmSW0cXY/S+p8/obEdI9yTZ2SGBrVuFM20Tlyml9fbabxuVRs6HepD97coj4JeE+o2o59P 87Q3T53zZINftBKKSRPM2/j9mPvnBDl/Xo2d/LFGmUTPQ4y7mkGlBRy7UWCakpGGT/nsoQwsVrrn siJnZkxqkn7ayFEUGMHwWAD3q2WkZC/EBUleJEXQnhXyZ7YAd/oBnZYtYqwlabWy/JGZzJF0GPw5 DvSPHXPzjV+N53HfLD5gYFD4l46VamnD07ck81yH1lcnSR+f6WJP9gGgQytZOi2ZPXp2e9TOjbwm i/nKi2KIwHNtnUbH5CRAmeLfCCMNiIN2xagVoBlbBFRgmugvjD7+IBvvtUTR6EjixhwMgEOZdjbV 8hoE5njkKaMxkLU/zOz3oGjaEyeFwbwKqgsXfmmhDWx/iR4UVkNpkM90l2IHtH28q3mxfWPIMzIl mNcLiEBmKiVEcp/5GLjK5ChRr6TvOl4EUt8Ictr8fUplcC1oiC/I1GHbKV2pIyKygvElhUOmL1bR lYm8MQU9i1yssCG8GO5kJZo++PXXUlnCUUJc6n+fZ4gy+LkP9DPNurG1XRce5RrNMMBT6MDGUbxm t3bLPDpJWZhae/LYFqB/AEYYvssZFCEYTUSz66DCQ0OX7bFXLxap3n6Ku2wiMyyv03nQYAtEhZJp rZRWveYUd+KqUyObjfU8Vb0LER5oW94oAqnXD+bYCrJ7I0NVPT4XthEV/2nN3OVw89GX5nmXE1vM Aaejt5x50EJ07hST7Gt0TaagNtFv8dTavQxIUDSXD2iSEbmFENR6Ey2P8+LYix4OYhtcSRlxM+PL AA4Z3aSuYhMReUyMbZ+BshqH1hkBcA0R3ofyeRVMMxx8jL6p9tp1XaviKYKvyWYH5zyoDpws9Z02 7L3E07Zt0YNQ5WUU9oPT/d/HemAKRaauzVu1yFpFNsPwv2RvMJc3llX0Hu73a+4r8FWtzHNpwwuU xZpNYDXDF+VHksLHPG9Qg/m6JK6YZe+1EVrYPhO6768qmQ2QPDp4qdQYz5FxaT1bW6FhyxiD38v6 7zoLIXOxQlOlufSTcWYGX9gvC9rR9V0FzKhpZ+qmu4OEhBh7A0G1bQajiA7NpCcihaeJ8t4/RAha zKfmPcWF63A5H2TX5UyaYP4fJeamq3ZxMFTbd1DXn86xrfpFKBW4nXu6phX3J3GcoX0WK6GejnPN 2OqvtIAzTz9qFU4TZWGRUjVZnu6Q2JHNWndNhw0yDcfU2evNJHlAOKIlSSDD/+3ahpNyQJ/Lmi7y D1egtI05LXuRZ9QJwPRD5osAnoEOKeBhjc+zL2KIkRbTnxgu52w+Shs1VzjIuVUiRfWzot8PXp3I vL37rG9kSyc+OOMMs6MY6o2dkf703v6dVgCpZSQ9socgj7at8s4P8c1bgl9dMtT2GL4M8O10QRY9 GoPugnL9OmUMqBZWFVwxwEG4kwD+qgBV1WsEAmmLiyz6IYYWyIvsEyAFZwsJf6I75sQTiCx8+x+3 0eX12EjaD0ymLCsr3fVIi6fcPnwhrm6GB5EYoM+OagdLFm0l1NqJFCHkWUUrymrUG3EEoGEzncAE vBc+HjV7LSx+xqbbPRHLm/rimhUGciHI4JDKJvs3aR2SiZ3BG41Dp848M/kIh7Q/PSM00n5444SG vRD7zInCbaE7EM+hrgqKJwmJnm5Uh7lOTbzXlH3JYocJ1rx25/NHVTBXtWohS2JOByQ6O9oCrMpg C+RKZ0T2UErnH23yBFLwAFP1V6MUfIzHeSCO3mBPe62tm+V1CIMauDN4Rh3kXaWKNpmOn7UQFZmA ZsRI/w37u758LhNNtGrMYjzjV7f1Yo/bJvK4YlsPPuycR6WuUobFI7yHVe8ipjpggPdyoJVjpfii cxUgPDCYYPCsUTn61QXdLPGToxpdQI7W3Kx5XKYWngTEvvo6WwuXmrq1WQuu5tDaoeZO5Eo+SFUZ +4i3GCTQw7EKDFJREMRF14EjfxPvx5iYOyVDKgMCRVdUB/n4EaFLWxSaPBAJcEfFRNwWtgJvdbZk 5wgLLUoKlAuNjBYmXYIrjuSToygY/qcAoox8VxkDY2w5+0wGhpBLhtPvGf6dWEu2I449tzJRCThP Kelr0mtfDlDMneSVN3WJEMgttfbgvCN2Q5pP1JoCVpxNWq7sRG4GjtLAKdRxksL/r3YIaZeAz8O7 PwGUSyp9QFJQI/GQsEq6H+kMnWg/RZLrzM2eBQxpqBvthUa4pYwnpZMgpXypbaViE3Gl/p2UKGC5 i/rLoIU+foVXmM01Zuuak+kxagFJ6nc0oAKiLqA0wNSCvtTFe9gHmNjRZna9k/lwj0s6ZdWUn6CY Ftt1akvOAn02yjGssZdN/TNCIF2P53SBsFeavRHP/0u0iZ41sWpffn/JAk9eu/xaRU6vxDFMVe5U E9dCwF0UXJCcnsM11ODUsyxT1SR6b7lylJHpW+QsRnOl2c3Et2Zjfa+hVNOZTgUj9lBzidJgZz8e vh5P6gTh3rUcQ+ylNPCda1wEA1FjkGlA2vSrS0GZkwp4jeGX1LMig4A79lphtvpMbkbxuWsYw8OE jJYBHzDMJ+gPMqRBYu4zPe7wE7tpOKJSxFRj3pjHW51iCnD64F+yIOXvojnmERwWeCtFmQperNwT mTHTgreYuHmkvTYnT9V/i6Z/+A9V/1m73X9eESObR/TYaW+5rZLRU9tCaaHeOggLMwIR3EObrvWg Aj+Lfsl8qTbhTTm85mTsDsRUSdwumdZs3+TbgXEe8mUtu7FWeXq0BFZ+VnZlFWjeVppRrgmuMUYb wQarJ43InmcGNSfu+cnWnzBpbfWJmh7+BXrRJcFLSu4Qti5bPdHK5w1KADG1FnkRZadQHbBKzOM+ kbQrKY95Zz2bYaW1MgWitlS9JHwmUsKDXTnNGqHE+47qcAudGNBj99aDGjlj0Qm8U8SjsM3lRrjk wPFV5nQpDBFw1q0qGlI6OSlP4l9bfFYqeQhzf9UIAOljZ7Em2KlhY8cRTkpRrhAAA0+jLjxryIcF e5U/WuVgarhieuN0eeXbuuKTu0Ibs8hkN6tmyfBDm9VCblHgfpsdigXbNztjq6vnfgAXbF+vDl5I Tt5tSBCb1J0Mkg76HRHzdOZCI/qll/5axmZE5rHI19POniENC0wu/gnC1fkey125I8s0sI2hg4xf AoQmXsTrpAlui8pCWQuSFRqhwmJBsW7pRZIRpAfvOpq/SbiYZF5rdZorOyNGexSOXDS4QxE+Irzc A5AQtTB1Qua4Rjnr5jBk9k2NOj/EwIilE4e1z2eYtauG9kWRYNlM5dIqrsUnjVX3Hci/yWd4KWOq gGVByc0dSHqzBcT/lEePfzTvjaiemJZFc+jrN9uPbgVZkVwTr5gM2abPdgytyusiNuKwvTn1wiUW B/yueclj9LK90AFLKgI377CgjkCU1kcRFkcqh42ReZMz9HL9UkvQf4x6My/JWD8QRNjQJ7ryCbcJ 3eKJRSOvcbqZtXxk0vCzZsCb/R5iAInfZhaaXANdYa40kQVNxKX5+j/Qa6BL0ysbHDgCmt5GApDb 8tjOvuTp/9/p3N4PrNw3wAiaQobcEXdITRXzY74SEwkK2mD3b36gOUxS5WrAiQ8xCa1ZG4C4PCAm 1Zu7P954RLWrEs/VLOUezzMSQdyrMjXcOhS0z9W8jyp+5e8l7fL/kDcwGO6/OIwJLL10ej4zhFIx Jb5Su1Nr6x31S/o5d/OJYuMCVIWed340Ni2bAFuFvdf8BPs0/fWI2tMfA4jCVCe0Oc9IJbVptLUt EDMkgGNQEa7VTJCwNd0z0bzAI8/4brdp0zl+qfrcVwt73qCzRi0r88fSXNCVtTTpEXW7MMYVAJnm RczlQZKvoSgJU7qHQVZ6D/rLrh/xZWJ+PkB3Ip7Hpgx6eum56PZ/fKfetjooFnwuRjt9GIfN0ZX2 SCtTVdbcEpoVIBEJBy/5dyV94GvOVRIhTBw7NmCZ3/VjjJyepGr0olDdT/soF18z0rxn9RFmwnEC +VdFJbn29FRwFKqA2xfz4ng4/eXA7nXO4MsSUlsNkx84LyV6CJRvtXdB2m3bqRjxUWPGYR8OoXdg GwQzpfbp2Cs5jplYJ4B60riLh9wpup5786rNsH5m+XPHVpwcSO5pc05tHiMnlonAnop4Gy1GZ+zE NRO+SrGJyJ9gj57hZWia/wZSE5ouI0yD7PJlRGnorFsKbZyp9alk52EBBsDUAfGnK2D4rvg+8kJL n/JOdJlqHe0T8cjO40mZCl/D73lAtL2/aaLS17WGYgNRVS3qYt4INPC2Fd2XfHalZvqEYKsKOnt1 L15kIW5Wvsd9Rgu4ZNCCtuun4tZ8el/0CwPQarXHKRPqufVFpdLUAvoUf+5k68wuKUJDUnOD1bte yXeV4UPKuwnl+TA+s9ApcC7j4bMZJ3KC+FAKI5HsGeNUb+S5QclN39h35jGNwkMwuaPVd5ecBZdE VdjHmKH31esU/ys+2da/9FCxv5ylqjaFTjaTgN18qAv5Y1ppNzL2eKnAL7Sp/bE2RqFeXWqS6wc+ B4/8ZyaiP/8E3OYAWm8K6OWoI6MFRbFfrAAWVxyuOi6TNZ0J7qInJlzfUrzf1te7ipjspF27OEye g+afL8buFw8P9VxivOtgCVfhBcGIZFI/DzAGhSCHcLp7ZvU8stCB0kCC11M4cs13YjDAoS6Hg8U1 BXzboBuLyftjDHexpEsUzdVficW7Sl9+6klvFnaYYQbID5t64JJDo09Ih9u3OaQvQ/X/rAMCuOwu EeRwK4nqHdYEo8rhB2/tzxGUXQyyiZ6PcAaDsmoG0BcpLys4qZlflBnJjAneOVByummfCGEQmxQX 7jgngiXsuPUdMnGRYM9J07vNwW72j6ZFsTt58H8xRYkHbQiB2mtdHWhlz3Cl6fAU7Oi26ZJIUCUR YB1ToyMCyTadMUgsifAEQa6DPKcX3ofooBDL74a3qEvkAsJgkAt0iyN3wP9tn7I7OBzCWaz6MPkl ABNjlG5Ak6YMGBLQGK7ZlIhoLcWSgC4HtECSU6K0ko8LBfZBZ8m0Ct4Fv1Jn78YyUINEIf3BhXpR fvTmGlJM7dFKrjAVNfQgXUOkYRjxcbxUtygOsbVOndkzD2lIzFgnLYZGf+v5HB3EVqeb4YiJ+B8B e0HBuHFABEJyp3xt0jHScCQIRdE6RwNiLpa8Xhz6pg/UVrAqmo2KjUj6LD8vL2yA8m+RvlKcTuNv y0id5yd3CuHSZOsFGvLjPnp1G24LL2U7vs0NngG1HrVk87cRCfWSyM5/Zu+7LvVUe6CmeH378vAL U83agIAZIDUsltYK5URcO2sglBZJeM9pdgsp8QldOX8tjSz5wTReHac0H5Q7Q6aVQhzJ5xWuI3dI UzgcoWZMNOUJiWxA2FvUardXOqgyVI5gM9h/HkeISrACgtqDrHVaGJxkgzfTzePc+Zz0ntg1WDL7 WAXnL4OOkByIYi/sU5mYJYTjgJg3N+gsekDJ7zD4N05cBxOvaoBztDNQpkbn+u7kanPce2sB7ejs AB3lXSd8ujm6VngLYL0p9uDIkSYWaY/X61RgltKHJkDfEej5KmXCbwB3CJLO6UKPNODOowulTDYP bEGbm8+XbZWNDup/cSWb6Ya6BSSHV0QiTZisCYkeNH7lHlJEa0zlI9Hqko0M/JZeFHSq4ioV68vJ /fVWR5FlMnpoe6I4uIcbSzHJZg5Kihaw5Zc5t5eXpZGRu2st8WIknsnb1I4EYgPsCod23dri1DyL jFaI9aVtK6dRvvLRMd1OnOobAU8vA/0KNEEfZMbFRTXLyisqVoeqOtGUTXit8+EJGqqpr2sYEvB7 Z6pKG5NyzHV8NW1T32YgLDn7s1DvHlESkAGvDJZrD5H65Qkqu2qJ1a9B+/Tl7oY3aW2CXJiFvmL4 jLu5c0iTZAD95mYA5NFcQqmZbjhuX6qMT1lI+IJnFHujrMGpbqtIe0F7m2sPmi1YHdm22NUMIXa0 RYue1aIGlWaP8SWHUrXyB/06DKl+zih9cNi/5U65rGreZpxFg8cBZMcr9hLrpOw5tsaGsOvbFoMM rkYATCRBkmuscwPiLe6M8f85G0NpYAwQhaSQGqT/jSPYKW0JzZx6itpuAoYPqyU+3i16zKBZg27P Rap6lBZr5KbjYq7I2BCAStDo/quI4Bqz1LUB/YuaqEUT5BYChPUV9oBE4qfoY17pA3XCinuUj3yf 2ya0ADcXTA7nCMUHa1URp0yKNeVyakoVl9hzEcgI24hwelu+9MRpbJ4dCJk6umAJRlLAkiNFGZ7b TNP9ufkos+cYdxBp9b1gO1yaEa4v9XiLdjE3rYxjCHbcyrSjJe/wP4g77pc8SceWmcS5ZVhU7SfT R/Xnx9libei5priHnm0nTeBLMA14t9GQWRkkel9Hw5po0YnIz4tgpiQnjQitGsiYoIBPtX9aeVls INEWgdYzufKJDK6oaI80XIyvLU80oj5k/OQSu1S6X7W8QG+zdDrVU/r+k/INvOHi49gvtY3ig2/8 tjdxjDUifdAmnAAI9hycutfpEnS08HBfqf9RGaE7pQuAUvf5zP3UBvfA4289EGCtK2RdVPSyhI3O 0QVI5tHW83ltAhGnQ5ndnjQBRS61gEOaWh8C019lTws8s/AhpQ88b1MNVw165l++h1I1X8OV1jVL AdHFyR8cZ44PxbnlmYUaPFqEbJQu0wK80OUArUaKgoEERmRExltiZR4oWzVYkqQcThOLZOk7Y0+9 nBHL1Dy3ZjXM8Oz+7nm/ZLD6q/pn37mFmyYkQpPQRGQsZVYcD2aFbG2srShPt+zq5vl7KX4DCQFF jyW9XJK0Pfww8awP4jnM+Td2szcxyIB7cSs4DV4PW1Lyx0A4nOduTjRWxsTGl+6AlAXbibwRANBM sfGRPO8+ljB56RFtAeOyG+6ZeJ3FcAqSkQdasqPGKzvh02mTApU65FQmaRW/xjevh0dEdZEf62Hj fRBKSnO0kGanCey5HuoJyMP6G4+NB8j7dUikE7l2h1exSN147Vsy26fyG6j0xJEpPCeaNVWtTW6H h1ujYuOGoetBnDt+QNM7L5whiyWAK7msdPv7pzGgssBQt5w0OiBToH/QOXWqku+WEnpYIR5muAcM fm6t+Jqkn3HCMyJTlOcvP7PkE5F9NbwVqpRfd4iwERRxsRqNhwN9ZvQE7svp3HyAbGGL46M2mIJD nPh+AEwRI3ogsxMXEoRafNMtZ2mofDM8e+YyVl96M4+Wp/LJ3rCzS0mU7DFo+F73dNuOS4KLMepu LLf229JKQ+cQuz8fHGhn/H8m6cbi+sRynKYPU5Nuf8otvE+cGIqHBdA7bwFT4lXjH8r7L/AG6Eyb VO7rnMPgyF0tnEKkG53thsv8f5A66Sj9yZsXcbOcdTKUdRSLZbSCmVpRHeY/e9fpnuvzelUtUhW4 k069eyKk+YKsqVQMnA2BXP79KpvbYXytQp3aCZhbTWP75qUEcvnlcLZj53slFNpo47IeMCl4fFlu 00Wts0jVS6ISOYaUrHEf3PJPiZvUTGNnmUcYIjlqapzvjqAgnYb/dt8cklDfzpLeH1iVlwrA2KWk i6wd/JOSRcdoyTZNgxVX31/SPexqlfv0r9qDziy65QvOlCWy0EQdTeQEPBAVIDMkGTLCpV/NG5pW 1ct86MC4ICg/lQ/Xj8W0TnhBVUZHMWmUSLaD9cONwJDdiGG9owzYMr891S6gtrWfh8jxxZ29R25e wfYBkE6iW7sHVMbAWgbZ7W5TBESCbuPPkBryITSV1y6R8NDNzEjpsV61wAj21kWXOeOBQrcxjzfl MihwyflT8HG2lER+QxFdFrFf48d3Omli5ZNJnjbmRrO0Jgv/I3mXPz1ba0hKcuKpE1qrRFNDQx03 y0eGxiwXvAhty7jalDRbHnH5ofZuDzNiMx85+X8s8BiuSKGB4n319/gIUfd4ELiA1lqrKvpuXVPb vhuqPVW9vf1mo2mWPRYg3ruRQRK/wP7mRg5FZ6Up0uMEDw7na9wKmhR5kTCUANyEeKwSWhIefSAQ dnnTPYE4s9+kjch5UD0rlaEc2oy+TzotEdrzUnrzKIbFc79yWC7/844cIUB+7j2NClaV3RP+gEMx gGed4WTb29scrDsXKb4TP8bxrVuqqBXLybhQj4MuQ7yw9smKUeNrxXL3s4zu94V3wO9y9/RDZYwn zO8oNOxZ4VgYon48ZfVubIqliZsTV8NkrLhLZGQbxwxsFSTB/HdwW+GGlP1uvTFesfJaP7SYrNpa B9g7vFMl42ELVkRX2FQ/ZNmqDha0Yvgl7ADo3VtdjFlxe4Bxxvhc4ZycMivThYEnS7JYEsbOfXZa 628xwiZQqnKiKH/RfE0W9JKlDpF0ZhfOu2Im/Bq8E/imlAuY3gEnqhevmlZNHrYcDC5sees9D5Ia lF1VmSyEkg5BuJy06+MXXX1NZ9K/dMHsENQyITGU2NvYVjt7d3nvJJ7t5y8YXfDkbMSIhtyAb8U3 fGDlXdl7Qo5deB5un7+zkgCKYOBEG4MMKbSi4ehp+aeTPsjWe7+fApuRu1CYbo3OZCWIRjB1XAtH DNqeTLtNrGJdYbhFEJUnwOxSx4nn+qQWjywUj6QukXf4ifGbEclNnB1Bv6aLadGkV7jaMkfZNwYd Xfh8/Il+3384Q6+Ks91oFTMFvi5o+7t/OliV8ySPbevXaxRAKpsF4qL8q/yhLef1oEaSWhgaOUgc ITfGNDtCYqTGQIremcLMl+8Os58CzaDhOuexqpmHUy1bzwF2P7yRB45+q7lmZKyQ6dHSGM2QprDQ WbEaoLY15ypxF4yvRh4mv1Sd+oRARIp7fuoKfCHErzTa1CW0acYDYzf8An+rbO7aK+STXSZCQLHT qyyUsrerzdzqTO4fx2m1y+pHmPbAaQqpSK8TyfH7kVBCLEYAI7grLFNGGw1BI17YnnFZ57rS0nd8 gjYWMuuVY3rMwTbqvXmIlRhvidbdQsr9Kl3rXZAz3EUXS8p/B+4FIIYwnUrA4IbKf9KF5W6AMmuh 32yzxRYh7QleJNOY5uxAdomnpVPvXxbujb3peiCvON9yQY9703lZicePx//ZmcOjzct3EPT9SY+c iG7/tion/4hk/46WqRLpouxF34gEaC3okp6fhNQYgsLZFEstYO3gPjnesAJK3/itxmQqFZPy7C0V LbE4er0VAwWZlYay28YuW4DWyYB7cMtrGM1vZHK3pb8PXUTB7uDmR7mONgytcHz+Hmwo/z/u4g4n PVpE4/XfRwewFyOfvLqDywLflnulcwUABZwEs/dF/ONF1XCjkQ70blyzgCDP3QXBvPondeFbuYHp zaDLWYx2mupWSnmzbgQNMaI7f5oOfDYh+SeADrxe8GBzPqAHmDoTB/ojk2RiBvWjtpn73nBARCLk G6pYSSMu5BlDCo++TaSjILYGxOkC4Xk3yxUB3oefd8Ll8PkLkwwWLISLmhVnMaJtFagqDb4Gs2tR jgo2nwoqDMGcbAkNg7Q1apTjzsEtQvmX/SkpoY453+sGb/6lBxxQteoHtM4qi6Sgg/vOnJG+/YjK 1n5Y6AEme3x18CPiJTUWPrHe/4mPX0QwednlQxcJW584Gq/+uHJ69ZPnoIka4C/AotyAOhpL4lWh FoPYVLAZb03BVIqqDKhCHyvBAzV3oDtq8TYTuClZDIqXQpdmBU8nVj0Jt/Swe+K4ySQx/jQQ8B95 QTPWwWkC23RK8nqRxRl3ftBAYd5RM1KMNFke/XeafaS1iDf0sGHb1/4NxmUfKHQv9+ndlR2S077b LMnwEv2Kbq1ASsFtWSnj0IY61Pa8q2SemcFIACOXYkFnuFBehIkNtCVW1/zPha+6LY7VgC+05TuG uLrG7LLZP+rrbZkG+nbjlts+09WIyX8VanETZFaafye4L279WcbtqzhW4yoGOuim09UHz/CPAycJ VlTqlwBjYCaBKdWe/PucIGcVzcAlXVP/dOjvXGPSLYc0eFDo6i4gI6wyS+HJctMy7EvV7dfM8MAz hkHk3ZILXt5KvjUnPUr4PeHr0FeVy+Ni7IyaGlsaO+TxY1348wtWzA3RTOw99zkH7uQeB3yeR+xI P1g7WYhf43w2DRas3Jjdh+n7wfNx6eQafrYyslCfWfXrHbSjzwEtaREAjYVKWYASXlgX5dCZJ2AH 7qSYVccRnc5FZiQNGI/yzXbvtMU8IwzjKyQ8EyS5fv5N8pLAYpZVb9C8SsxkW4AZynyJKrLeevNR OFA7V+1ZAhBL4f8R2GqKrBJkSRBG67El/i5pUCs+7w0ImAb4QUieLsBWtS8M36Om+rkLyr+fQHJ+ psjz32+R4yyY0ZZL4wZHVvQctzV7CMShPMdcqfB0yApomty5h2ipczURw3BvmnLEfkwTfEWJmY3t FXVLJoDxFsn7xpSFwTPaJNfnzdfEWLHfwhNkBkQrpJGX5vqUStH09NiD0ohgzI4Sw19oG0sF4NV3 PnlmBvM01agL2STYr3r8crQMEPg0LLdHWE1ryPrOrA6KgPg6bCiq+C/8qPxxaCt/7zhZpYprVTRn IuLrGeEoLvtt51teqdWy0RTVA/dPn+MOu1bFoh7oQ08lTlCvA2hduA66yGw7Cow4ER0whusJIkbv MKWqDb/9zEC6pNEjO9uXFH2WX29hksNyAeZJA99j0k/ickHpg2LrdDnxyTnBklpBjft641nU5tSO RSbRFUngObUMq73Ob9TTBpOs5AbBL9AiFflDbgFjYG3M7HQpcSngfSEucNb59fDQuxRzM6VfkLFt lxkfomqscuIkv+JpsDQeQnEt8KLkFejeMUZQHnN1DyTZATQfP44kUl3OKCo+NWdNDjFM9D64uWKE S22F7pYa8RhWc5zlnsqdjys91iDYEQLuX57g+O67+/pRDoRNwNQNRrRXBOcMUnJNmfdwqY2tEiKn OwHtUZISa7gHqB9zQ0617OVgVAJDOagpRFGKtyO0L9j4L57E3Raicc+y79K2/M+sPNJGZ5QJKq6e yZfsu4uezPB2AK4M6p1PTLqESfzsnIPQnRl4Ju/+LkvWHj0R340f0NEGn16pNMal4FwA4RrR46tT HPtlIMWAhqitJ8wJVbjkZLzejcHNaoFV7Qt2lMk5WsbZMVd9ciztdpUFsYjCoCh1QuzNULb1oVdM Qz7HYHuOTIYT0Ov/vhv37Ijkqh+JhcREOff6bypGX5tbKJIY/YWejkk/mguuuQkqe8hV1tEI8Lio nDm8vtbiLI0gO/TIf+Jdls9uT/qcsrNYHpqtjA6mKYZ06WyZ+d16AXGZ+Ulm58CKJFJ6FfeowT1k 2IIJNFsVYOI105rP6RPrDCcJuDvHSA89+yWG63ZVw/TezzPaKHfzisDj5E77GD8FesMMugGgrDHs K5iGIOPRXdPezDYtyZd+XNR0s66lH4L7uNdSAEv+Cnz+9SnKQEK5tSFEfn1jGeZ8/tt5tSB4O83G c8NmNFf7KsIc6az3h2Fphps2AX1nM9rNWMH+xsjaPvT9+Thg85Wt0cVoboyc2Gt+ckfnxH67ptry hLpHPFfVGKfTX6gWz25+DngwNskt/Pk4nZwQSu8G7SreIc4HMpMhQ2XejfjnSRK7inmf3m9jqt0V KkDsV/vZ96pyqNvMD4xx3f1mLz6GP5alnZfUNUWPPKsvFsGgvN3NrLPgTnEnPRD8SWiy90fc3rVX aL+iS6hWMFPWbBYmYVUpLtkg9DmfhqbgA218lGfMFuGGbugAaNiJC0Auo9+ZPvu5DK9vi0E6BoAX jV2MoCX6LVjawntSw1YsVxhcsA62SfqWtxTWf6W2txmaNkhubzGP5DSfFp0VV8UVtMDs561485iF 5K4JYoQo7PDMWauoCXLDsQk6+Azl6UHyCiBiyMsehOiDjmM8VscyopACe3eZTdNiGqL5boStQl7A TpsAYsf9J3Kti3GUzKpbmmb1s46QuoNgJovwx6FpId2YIFU+ZXWTYZifH+c+pBYcKK62Q/+D1UwE nLpLZpputtqneMrdz8ejPQqbktyQrdxiT4qUPV3vNLlbaFwGLelcsue/0onrdaH+T+4+tfV187SU 1nbFfFSKMWhiIHtKgQxKIVhhSBSLnNqsRzxzVcOSn5nxymzuDrMQsd+bzSNGvn02IVzGkE6c+EtA ZJ70+Lv3E6jNe9f38PG/JTVy69r45XoHurZnA1u7ppeQkcWrsMPQnORofUAoT03gzBabZzryEKY/ IQVKvB+BYv+0Yzf9h1lZlOl3PXx5Q0rNENZgvH3KluMQk1Rvk4ErOR1D1T7M29Gt7fzRZJcroL5x gTY7cHowbqwP84o/Z+2qxEC2nSjUYnwF0HqrkHJiJbjIqSu0pYVfwAPXrYM16+G/dGKg3S/Rwtek bROvSPwagJOaXiwBcMjwXPAeY9n5leJiWM46nlzPuhzPDcG4MQCHxfRl31LQtvKt1Z8B9UK5Z/5O 6patO9kv2tT/C04EsECpgsFOdzMTwJD9P+E4JK2wuS9yjSXx5MA/z1JSQc3t31XwbJskC2AhdzS/ R8V5rDj3a8Id1Etmw45L566fcpNgo5Y9ZbFd+kXOhRyDWu86FrG/HM4xpJcA19Y8U7q4chkedak1 mJVcorGPaQkhXuYTp0/8ziE+xefcqtooMpcI/+P6dEUzvr9P0RjJ9zjDEGJz31/Keoz2dNcxZwUi rPMeWjcNUzJ8yzOpGdqISIKA9iVEOY4nbTXyJhOEWcqiumu5R6aXzMDiGH1G9Ceu79Av9VAwhbyg nj8VToZ2vz55BWKwIMOt72s6LpnbyIMwqcL48Xw827wb6XrkcKWXVFRGT2wcxx8kjPN5CWQeYGlh UJCr9foewj3ysC6WjviNFOAkeq9SekGo6cCUAsxOE/HhOYcJpUKVKwX2reAC/urm8W98T2Vota0E vT/ZXG6Hc0mdpxOvzm+RIZcVoDYbQhhPjJk/Uz4S54BHdVPd97O6CqbrLjrkgUAMgQp0fUJjqNUF RdsetjvTsujTZMxjzf5P9q8aB1TIURNEKCHvI7xUEOfZ6pzKJ8FlkqQSolxU/l5G+JKS+z/xEHZY KrobuxQIz3Xxbxi/5tohr1sHMqIjSb+pJYzCla5QkGhCaVsDk5trsU/6TwhI3zAC6wSi0+kfj6Wb URK+nTH0oWHhRt/E+TmU28zjCmR9QmfmO/2xpYLrGqitQ+e3kft7XpRsIWO7uzVUae9NYMEhhCY+ KTJIs/LCzUz2CdVQRyxjiUNfPfx7WV6SmiUlOt25YS94TyeAXGN+gs0h+vnV0MMshIiM2Gf+K6bn 5Kolr9eb4AbB4cmSqQsLBgPbHxuMPNa3cOtrKprQiCkRlUxMhZ2AKYGaj5bwR8o0UUvJm6xlxBfP lGSj5ORFZo2ppW7MFz3Yl5Y2F7V4x3Yojv2EXJKTHI8Z+ztlCiqI5i691kCxmO6ECfMeDICVVb6a aw2pU86V4AZ7hXBZqERRjD9XDt5+nRNyRbycs+Feli4dwC2R1x5EPziQb9zRfU0J2qa+iI4bgm66 jFvYhi2+zKo50bXU84F8+kvt0adtt+eoJxo2LI1PHPLmq8Km+Ct7jytdC6Wu/BZRgkD01xVkHcmJ BIvMG+wNdY8Y0lvZCTwOpca9PtITvMSBDZTSF38jghmraWx84H468/tNpplgb10z+CI4ZyWqU7YG KhZF6VHW3X5Ks8rzaQQVz0XLKDdpAJCTa3T5S6ktdonhXT0GFjP4Kc/m53m8kie77/SotSNCP1M2 EaSVLWJLRS26RzSmSOF+W2xlvI84pSQVYV4bbxos447HV7LzrTpjDFdC2BED1+sxZaEbUwgRiayl 1UnTG88K3q9mwNo4W0fgtcCfGQ1u/BN35Dhk3jWaQ8RiVpHkTjX0Bq2E1NNxibLmVd6LsKetkhZT 28sNla78Xonod+W/x1ia9Ky+20C1PWfTwi2ybwBdrAP7Y6YQxOekZwy2DzbrBoF1S792aPlO0rOH UGSDgwg/z13C8QrH69c+4D5Wl9Ri1rDKx+lhJWzRCab5sA2cJrN9WeHKrfGYLU9fGSek8cPLv6VR jndPKCZNopOzajKCj6mg4/rWuglhndmYmunbofuCsSmdp64EOmbJHhOsE1tq/3MFyAJ8rBI2NhE9 VpkRGw7kAALvo0fiTEm3jL5jJR2TnKLDDC37HVzRXcSKOHGeOoSntQBDB8wxgIQFyXX9Xkrci7zL Tm9FotbeRohksK/lDHyTzr9E2/fAac+PCYHwuUO6blYucvI2NWbqtNcta60BwD7bZTNRDe+7XyWW HZ+OTBJsWbNROwZuCEcviFMIcr1cBa9iIspBloKaLfpe7shE/bGB2UxhpDTcB6aDbbjJCJnKjUf3 QWUBLCQa16AERMtegbSGfY4DaOGoRfT5t4vIwwUHD8UNtmzNOs8dZOLgBFy8ch136kLnoptIYY0T +StmBZNQBsFUdsYCIbQueYP7oRuNC1rE8eUH0oDX3xaHRphpcU2La7fsZUeq7TLQqBfwQECbvP0B AQsIKF07zIfwEiQQkJnDgEcc+JfqSYgsDSTcUGJrnGS+kCPaZaqCPBXC/HauCzVnhwTRApzVXJkI EFs9R5uHgwjFgsOUjr+3nAIfpWjkRL36ucaburOfuVa/lvWzjIzlC7Cn7HMn7Ndi8d4h1YVHVFns BCk15K+k9YPAwFwZHpVIxCq6EVvxKPGhZGNKx3SacMZWJ06jMqkXWL6C+zYtKll30awUgBGv6cXI 8bk+m6sGNLt3/3q2XLOMfHVgF28FQmDjh6WpTwGIsFqIzzGOWWXogUKNrce6S+e/ljoqSaoVER9Z LGpk2pF+JuyLD4r2aS/nQJFU0bxxlIUN6eL2hXrAJjO1aRlOFRvjECFFZLpw2+JF3Z/1VK1+dNRm Q3ri18Dwa6yyjO+fLtD4se3WY1gzk3hIUEFBkRQZErk6dDOh0WEJYkK0k913/wpoH2wa1ULDcIvr bgjsa//MbpRLVa8F9tzTJY3dJcTXBERDCjpxGuExsgyVGwW3c++HqN8DoYAdRotMn2FLiSGWMa9R OKQIkJf3lV/DJvCQbUvEGvXDdG3a6MdG5uePGrIcC7HiCUKkxIM8SVYeylEL0Up983gO4oGo4qZN ff1ch9ynx016o2cW4wlLalGNlnxBbvHRvReZURGolTp3S3zAh8YV6qVPeQ8cb4+QKcQ4Vcp62HSW TuMqYwRiXDgVig/SYyXpSb7OMCkWqSgd8/wwT3IenOCZqLzmfZbWW+R1Vhtwkf4Zcvyh993Mb8rM plQH6zujr9ym09uaSdhMn3N2KSzcHmfMvSNaVt4mcpAclutVAkESdmFtYEj4atpofZ3dBm/+N+gX 7EpHW03QNXlZ/Vfxap8OV/ILBnQdnOhCI9T4EaPjwvVSRYZZUmvBfK3AZZPPHa8okVpwnFb93eH+ pQ+3eRQBGgnvw7esV0cL95Uvo0pSv0EYKNTg6d7q9phKlvc3RsehFYulXp+h6Wt4+vV6bNb+SkAW WCxpF1O5rirH4Jt5BAZeddADhwFQ061GDG3xyEA+A7C+Fogxcr/CcKRiJRpUT79m21lz9sIKc6we xNe9nD2ht6lwVafptXxBfiV4IZATtrZrN+34JkI7RKM6i3HmNxbP6Pg7330eW5jKNGVdbPMkGmD9 fwiahadoJ+h6Fy9S0gGdGsI0Yw2aQbTsoL4I/AUB/fzYveim0mYmDJe/ryPMZX+EyGIqqGxHxMuu sERElKS6vW6xd5bvADvG8QFr5r0eg26W1xDNozYKn8vFUnaoFhg38/6Qecn6KEYKvycwxw/aQYxW dy+n7ZLB/TLrUT3Id0+3pWF+WBQ+rjTh9+TSIPAgiUWFxSz3X/4lFV2Aygdv9AmbXqfTrFTxFLqw CZY4StF95MOL2jGREnrTMyv9BK3KMRokJ2o+4MSzxuLtNcCK6FmctnJV8e9sTrzT7rn235oQ54rD K5JRFzG+dxuQexVfpD6gojpfgWwWl3y3aCIY9Smu/HB9jFKYxkZr2CWs4v/SYJoI0ymar8Kanyf7 uEGeGSh//PrOr+yd7nFWFjWQ1m9JSOztzvQHeiVi2fdVgKZMzKbhYD0acCQak/2sbAnrGtvYf1/R Am6M4W5GXfqm8+5u9TF4E4Yb4zGK5vxLQIyCe1ASprmDmQPmeAgc9csgf+E7pL+i+tk9uIMWojz8 92+o77KeUekhC12prZm5eZUeM/LO8olRAHbFHZfItWLnRiB1mggBRIdq7Pp4T1fmF/tXqnVFZ6I0 6J9Tb6h8p5UxvawqhzsOo3o8aL6zMGLWkYgMlNFpw1rpgQly/1EhNn5vSZc9GDXsPH4czgkDIBNo Iqw9oYd2G2Ka0jNyMEjN+n9mk0A7JgxyLAGsJoHsxr/fFcXpDLn3+BbVjmZKnXL3ZDfu5DD84ANe FN8gfpyHfDze+98xq2kX5plcyl4gYPtY6r7YvDMtjnkg10peHe55HeaT/HwPOjzVzNhILCTVTT2j 9UNDsEpwi0k9qyEjI/pe79n8zRsVvWakf/5EW45HPl/3g6IOuDlXHneEVxdsv6fe8IJ/GmwlyD4n 0yLXiDHqzsRnEYyw8qwJq8WEOnpj//qxrtxY0yxBAHoGrA9jMepIWIlZToTc+e45yxMarT/VpMNl CpKOt2tC+LhKwn6lcDJUIE4dkVJWELY9LTovmXpZxRC99W1aOGQf0iLQfa8MrQn/ZnV2YmoWNFpo C2RV78xs0StqLVqIP8wSIB48odngfPZ+FMHS/qGFgJnZ/NLSsakl+ucRmvadUbVNFZOtLYQgLswN bMmS5ZpMNE45dtyYLQyYa7fAKa+voislUfBg5J3zPSL/8YNkWvcnJXeBhdWSBax8vdiC5eyrBcC+ 9Z1CE5jj6P3OpoJA2mw08DskeyN5gLJc9rocNIf6puX1ewkAuDux3ctReGbDR6vvxW/mPnmuYjZW /3+WgFHJirlART7pnxBV3Lax+gQUEZl8UKbV7o4BIxfsOl83ljU1VDhnpyOysENV8tj3OiEMCuZs isJ8vitr7iWeeZ9HLwViRV2SbK5qQBJYuuQI2Z9PAAUtDdz6Drad7Nna5GOMFHqyO1hPl1fd+ulc ZODTkaBitN69NCfMMiB2uyvoBtjNQ9CHQxmfbXNWfd/NvGZ01drdW6k7iWKMiV1dtDbU+I6RsCYp aQhc6DgFBMR0QbjUimygmd6mbsI7jhg8YJTqWRhB+2057yK7ey7mTxNm2zstbTza+eVdIT5App4A tIKeq5ncpSp5qdNhtZp2fTukznCdYWn6ywEsqNJU1cd4TA7TpVwQCG1A3COMA5fH9wEOSNYIFXgC ITq9vQsSrTeMIZnCscZcFPZlmj/pRDIBn711lRYg6f0yLF+8RcgOkQ2doBqUIqeoTPO9M7s9WBWS 9h62kgUjkBBSlLhBELx1ZO7RmRZnuFRMwIrkxvDKI9gSfSvSBJ6ktD8K19tw5isoTEgUfXiehwU1 Fr1LpHFs/ySVISOEFKeXF9t3ntw3dOci5PtMDGMWFZf3nPZTLJxvM+VhRp+7EraSuji7QNNofuP4 WfdpWsief63n9rFtTjdBUhYZXHgixtDfLi4y3B6rAmMNRDzEQ7pws6mbphCtMJUKILbKoiajzQuc zN3h1y3UcA7NpJx911BeCCzNuTSMQdDFvQ2hZhUAqWYgeTcx628i/FfJlG/oNfkYgv51VnVYWr80 YAhXX2rmG5Vff3J4L7wgAj4Dm/fz+PdjMWLAVCvVkFZgKlxu44Wo5lgsL0FforLBHeWc9HnZQx5r tZvj1jHE6IEajjnA0MR3OjAy3dLLdyMdU1E8CWSp71gqjWCJ7eiIOZ8ng6wVfw/Swuxrtmhpmqbi KVERl6Erx6670Ttnktj73+puswFyZymHa8bFBYQeGq2iuTssafJ9crwF3jy89qXEtkgNQ6F3zPWW 8CYcXdnZ+jCKJsWm3Q/YZXnuMrAF2cqielgQysBwjfLdFFmRWpQryXFLeUtuJiPoPKwqBp6yAcES mgkTV7r5BsLuQvWRM3So2+UDUVD+DuRnqKIS06/saqauuRO5A5toHBAKmnTRUF9+9tP5fRGUABaJ gI6z0kD5b1nob7xKYnPQAyLZvz/R10bF7KNZK+i/jP5p3I6W8pun4TVmCiWkd/IwqmJxljMsXHoG 72OL8qvIDqLQb4LBSrKQObH2c7by3xzjFZppbL28U2GY0Ca+WggOdfGC6dD5CKoe2r+rkTbBiCz1 EuDL3jpiTWmJr6rOQGk68dNxpFvlkJ7i1uUTahCDN5n0xZlF9D1oCvvQwPzEeyRFFjkTaQHHVrEA gtpjyfO1ASqSfq31u8Rv5O/UYEApuuQ8wVwSkJ8hGFlMbX+AmcJctGo5QdyeKqrhHsJI6VMsr0xG LGdDhdMvcWhL0kkJPIUHOhc0VvgdX3wzV5VFuz7Orva42RFVHnoqJcOxWqWPggngnZ5hZQHdkv0A Ni11oJJPArwauO1Ivk4EmSjtU5tiZ1EqoPjfAIg+TC/vhmtRMuu40vYFl/h6728QDqk8+GpYtz9O B5DOm7GOK0tvN21pa+0X1nHprBOBF3RXvvtz6z8cPXL4S3L38nS4wdbK51CvG54JO0jIBwvgo91S lsMLayA5J5vFsm5nJ7EiFcDTBOV+bhw9q1ys52XWSM2GgwW1gf9eJt6WrzJAqkMCovsltrAraSud xAkT43QYKlozvvAhziQDq0e3GKAg79CChx/4PL3YVrtgkCS8GVWGSvhTnGSEd2Nwvm6ruU/vZEzO HxO/EEBcyi2LSRzQ85DR6lj6IzaoKW2Q2uhBhDTgdT43VxQq/CJ4vQLlAgpVHB3cUvs1ks0J4EiF h8bF3U0/Vh/xaUQyS6q3kHBQn8tukbzP5yjtXuSvkqk7yrsAOPYyEkR82bMCh1GSjBfEO9rZ+9QZ D1gFKSWT30/0jQPsUu6V9USeuxAf3LfY2dHd7KjZdwdAjTRsmwPLY8CgUXtKJXOEt0G0RCjrJnYW Wr956ZZbB3euuWwdrck9hNnDFXJ/pBm+FVP04ra+R3UAPCeI5q3XygOxY9ACLyfd8fQ3xEsZPXAb s8UdnFLT94V45w+P9gZ1AYAP+Xcm/jV1+SkNcQTVaapUDnwgD8YF6z/V3Ap8usNleYfvZA6YH66N 0V+HvJ86WUPwpts1PPcRDV617dFx5qkUly3r23UdWcXox77LtM/jGpm1obL7bEs2HmiH4fAu6OHV VUrxEb91UDSmw0K9fwcwcuaIn5bP2gTNhEBoKb+Kv/m8KIClLPhQ1C0OogFVzQm6uq8kNwJ4SgEi hXfZHgPXbwkLjS4mbD44m7nz3tk52+bsOlclyQ470e3D4IEiTk+P2MMgOeb0S/AcbFEs/CJEKOa3 /TDPJBWIeJ+J/zTmj3hMlTeuCUkk+SdX1sfwg/uPDGerY270NQfY363W0K0+BmoXwRPdL1SjCPBE 8IpdqO6Csmu/tJqOgMkD0dS3Ql8Gnle2SZPekZaoqihnZ9nmnjf0HOrTRG3huMsyiXTHDCK9ZOQk TXvtxYzjwzyUbYhaDWz5357N0ZXp5+ZyyXXMidpA5R2O2gOX76XLvFI6KgBwhjBG27s7lOUnRs6A AHH7oXkzl7v9FUrn7MY1AIPgNvcaiK7xWWPAVj0s5+F6Ib2nccev9ooIiz/G6z2cIqQjM0RDV+GP FfKH9rwbmOyJGNeemSxKkctOWVJ4IIoZgg0ADR+/yKH5qWTvHHe/xb0qCI1v+A8qkt7HpNTRj2IA FTphOhD+Fzhthrmxvyg0sjjcYdgro4Q7IRG0YUSxB0b57n6D9J06scMwz6CCZLZgztYSlxd4IBl1 j20q47GTSCJ9Ohr4RCeFPTR7suzE8T9CMMuL8jNuD1liyiZPFZI5DYUlwUNYMpTyy4XdVavUQrzp 2gLztVedn71P9wbvhJfJcAp0FRTYPX/6gL2cf6SjNoL5Oz324Hczhr4I1c6BK0ODg2DVtJVolM4K KZN932G2Q2SIYjpvXuuYroKkxx5Jq7/l0Mwq8yxxyowMM9LiA6EuNwYqVEsq7vrpIP7kbfL521Ds QgsjDG2ZURw6OYzUjvf+BqWzeNc4rlzEcZ83d8gY5CivV0msVi+aUwMTMW4RLcyPd8nG5mfCK0Su UtCk618VUPttDWuVyakn7+bnERJ9g/qfcmifJ6AdO1eY+FOf+s6WCwZhe0U8cG2NXzG0Hw2o6vnL a5DaZ+kY69G1ZLuMtbGefsNNtym+UHJWo4kx93xuxRcQFuFxXhi+IPMuizOJhnpwSnGlgjg0B6pe 0qqU213XgeTOMSW/R4PsFzpWYEXXqDFcdlxVWZ+BP3yxTbi1jDAypccXogLEjwPqm3jCklqLMka4 IBhcMXgdKGk0/Eo4im5WGAiIJUfkaujjZgVqyjUwtfiBm7lm4c8GLhP3K4KTaT0KV43Yq86yF+QN zjZZfjv9CqmgfC1A/xHZ7hbzshhlhXG+0PXEe5GW0ex6JBofuaSHz4/bCoGklOzpNfpgXVoX05ph iOL4ZJOtmyQJL+LykaTuyTUszqDXj7BsxEecNXHH/Ql3RAcNFRaMMOf84mAvkHhcaFSGzRP8stZI KBy3s2gTul6DyFO/fE/RvMDszqBDMPe6LeCVWniYguaBQBidZAduEUrUHo0kO3BfreEbUoVesf3S jBKgStlLrLn8j5QSIxVprAtzKRj8DtASs2BBETM1b4iwxnN3QPmVtOAaATY5BYKMrKgm7RDRKxwX IMEqsRZituk8pZ8/elJmpb/1udWF4Vpmhi3ujgCwP3sO0YpucxdEynuEcB52+NLQLl0kRVPjrnZX 6MciK4IMpoZMbiNJ4kmXGB56QtB1K75CxCl6KfIPOzC746uwe+yawWgryB1JK/owa/nU7rzzbPA8 JJozji78hdVV+Z92QBBSAQNHWFUsKYA9Gn841FprtF9+K8DQqWN5akodkRxkrR7tV/E1aByRYqcO qH+c0v8v0azxoE5XW74qAoqmVGJ94EianVeVqc3kAPoyH8coDi+5AYRQ1ISC7tgl1UqFWImTW95d 3g+zFUiHY2MYM+si07laszW67CFLFT36laZwmWsx2kaRVQqQfQUGjmLcQc9i3Z+VA1jphWKN8Nkr xnP+4f1YHGBBcBcnXRa0l/c//L0xj17+vSvKN1LvYitxOuQD+JvEQP7LsFiw7Fl/JUXB2Sawqi9x 81LKk++j7BwxgKKqHtWX9SRencGPSxqo7S3OYB5oHGyiXO7lev3Q44xT5PhnHrLQTdiRnqOE2iMy P2bBbrbnGfQCFofobaRTThlAh3Xm2gh2zdyyk/dnQTVjnWw0HOiL32hICUB95RO/SskoE6fO+tBw 2jirkFHel/nJ7OFbOpFeXdZTtqOe+WhOLKz8usLJ5n1HQU9S6SPpxFqDhXNoaDn83cGe74pYYRlr WQzksWObTXUKqwm5UmFK6B9furBjj/nlXyo3qp5UjW196FhcIwXShe53ObXd9NOozVxWmmCKxHEL lAUsfKT8mcOWm69FdGULewG2YyJ6rQuTjf3ijT2sGmrLwvis7j3RYjrszc5mbLnaqjMmcoacmxH9 b7VRlVENq3n4fiwM0Dx0PVm4XLMh/6APATKmE50kunI11He4KFTJ5xMrVemv+7UwrLy2R1RqZQVH r0+aNj/9HARmgpI1Uy+CPc2uElX9M1qXzcQzu1tbSrRxI5zcM/WaY5iXnN/P7xwvigJ+Bb+Bbmm6 pbh5Jxp2fqecrV6frPPWVbSWWOGoRpImuzIOye/zpqrncQnSAYmYpmcBW14kw3I05X/zRLBjFNIZ KXjukwhXxzQbdjo+pB+4eoOd2UFTUoViYi23Bcwc26cGW30MxDx0rOcW+/XhZrysPmbCH26rCqo8 hsLgO1g8rOihajbEm2Wsf/hbU75KbePGysGFlAZ1c+9NXqZPWIVTrnppyAgK8x8ATMJnBFb69LZY 1MuKJ11lm2mMDWFwnnbgbj1nnKGDMo9uzJLW7y119eCgqBxSBK5Bq7QOsZiFuRSEMeRFCoBTysu6 c1J38RqF6CYQX7WqHXLY5954lb/fukXfjNprGQGruaLWmh6klW+XcwLf260AQD8KryIWgF3mSmXL /BwU26W9+gMT3FXemXx+XgWbwvvKuF3UMzxCmd8+xE49dpNNc5V5aQFRQXYnIdwlNpM2ZZWuQLhB ESGhCIYRfDgT42SuD0uEh/au9FK9TFjbvARm77xTi5Ugv9Jek2hxIfqa8Vocvg93rwOGzrt4VDKt 8/tJG5K7SkmPdTNct2o8irHEYbJkVyniEEubPIjlO92KkfxoikKH3Ird9KYukBLMrfOwuqFFxF2g 6QOeIBNhoD0FvUlG8ZA0R0EzXSiDHCyvAj+YN1zCYge04TAR6okUHbEZTuggfrXsAf+9E5tNgfMM qjAGxHd5xAVKjcjRcAx2gLv8bDieuH2r1SbAj3zjeFtL0E7IrUS9nagpo4raIwH2sgGmiiILfMox ofKtSlfhbsNg5EP/AP/aIW/MX5brgGIGzgrIjyZIRmw4Cd800OX6smzzeL6yRACjGurqz0RrLCHq R2hAHMSW6UEv3syw1TNMASmhwpvd54PlICG9sXIxSThyV1oHnjS2VQq2JIVgyx4Akpt5kJUTAnL6 C+pw4wv0pGW6SpbZRnCbXZw9QGgOtzu/qloLOSuiCQWZmg== `protect end_protected
apache-2.0
c0610399924083cba191b8b9df5c473b
0.954478
1.813152
false
false
false
false
BBN-Q/APS2-Comms
src/eth_mac_1g_fifo_wrapper.vhd
1
5,781
-- Simple wrapper of the eth_mac_1g_fifo -- with helper attributes for Vivado to infer interfaces library ieee; use ieee.std_logic_1164.all; entity eth_mac_1g_fifo_wrapper is generic ( ENABLE_PADDING : natural := 1; MIN_FRAME_LENGTH : natural := 64; TX_FIFO_ADDR_WIDTH : natural := 12; RX_FIFO_ADDR_WIDTH : natural := 12 ); port ( rx_clk : in std_logic; rx_rst : in std_logic; tx_clk : in std_logic; tx_rst : in std_logic; logic_clk : in std_logic; logic_rst : in std_logic; tx_axis_tdata : in std_logic_vector(7 downto 0); tx_axis_tvalid : in std_logic; tx_axis_tready : out std_logic; tx_axis_tlast : in std_logic; tx_axis_tuser : in std_logic; rx_axis_tdata : out std_logic_vector(7 downto 0); rx_axis_tvalid : out std_logic; rx_axis_tready : in std_logic; rx_axis_tlast : out std_logic; rx_axis_tuser : out std_logic; gmii_rxd : in std_logic_vector(7 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_txd : out std_logic_vector(7 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; tx_fifo_overflow : out std_logic; tx_fifo_bad_frame : out std_logic; tx_fifo_good_frame : out std_logic; rx_error_bad_frame : out std_logic; rx_error_bad_fcs : out std_logic; rx_fifo_overflow : out std_logic; rx_fifo_bad_frame : out std_logic; rx_fifo_good_frame : out std_logic; ifg_delay : in std_logic_vector(7 downto 0) := x"0c" --interframe gap of 12 -standard is 96 bits (12 bytes) see https://en.wikipedia.org/wiki/Interpacket_gap ); end entity; architecture arch of eth_mac_1g_fifo_wrapper is -- some helper attributes for Vivado to infer interfaces attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of gmii_txd : signal is "xilinx.com:interface:gmii:1.0 gmii TXD"; attribute X_INTERFACE_INFO of gmii_tx_en : signal is "xilinx.com:interface:gmii:1.0 gmii TX_EN"; attribute X_INTERFACE_INFO of gmii_tx_er : signal is "xilinx.com:interface:gmii:1.0 gmii TX_ER"; attribute X_INTERFACE_INFO of gmii_rxd : signal is "xilinx.com:interface:gmii:1.0 gmii RXD"; attribute X_INTERFACE_INFO of gmii_rx_dv : signal is "xilinx.com:interface:gmii:1.0 gmii RX_DV"; attribute X_INTERFACE_INFO of gmii_rx_er : signal is "xilinx.com:interface:gmii:1.0 gmii RX_ER"; attribute X_INTERFACE_INFO of tx_clk : signal is "xilinx.com:interface:gmii:1.0 gmii GTX_CLK"; attribute X_INTERFACE_INFO of rx_clk : signal is "xilinx.com:interface:gmii:1.0 gmii RX_CLK"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of rx_rst : signal is "POLARITY ACTIVE_HIGH"; attribute X_INTERFACE_PARAMETER of tx_rst : signal is "POLARITY ACTIVE_HIGH"; attribute X_INTERFACE_PARAMETER of logic_rst : signal is "POLARITY ACTIVE_HIGH"; attribute X_INTERFACE_PARAMETER of logic_clk : signal is "ASSOCIATED_BUSIF rx_axis:tx_axis, ASSOCIATED_RESET logic_rst, FREQ_HZ 125000000"; component eth_mac_1g_fifo generic ( ENABLE_PADDING : natural := 1; MIN_FRAME_LENGTH : natural := 64; TX_FIFO_ADDR_WIDTH : natural := 12; RX_FIFO_ADDR_WIDTH : natural := 12 ); port ( rx_clk : in std_logic; rx_rst : in std_logic; tx_clk : in std_logic; tx_rst : in std_logic; logic_clk : in std_logic; logic_rst : in std_logic; tx_axis_tdata : in std_logic_vector(7 downto 0); tx_axis_tvalid : in std_logic; tx_axis_tready : out std_logic; tx_axis_tlast : in std_logic; tx_axis_tuser : in std_logic; rx_axis_tdata : out std_logic_vector(7 downto 0); rx_axis_tvalid : out std_logic; rx_axis_tready : in std_logic; rx_axis_tlast : out std_logic; rx_axis_tuser : out std_logic; gmii_rxd : in std_logic_vector(7 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_txd : out std_logic_vector(7 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; tx_fifo_overflow : out std_logic; tx_fifo_bad_frame : out std_logic; tx_fifo_good_frame : out std_logic; rx_error_bad_frame : out std_logic; rx_error_bad_fcs : out std_logic; rx_fifo_overflow : out std_logic; rx_fifo_bad_frame : out std_logic; rx_fifo_good_frame : out std_logic; ifg_delay : in std_logic_vector(7 downto 0) ); end component; begin eth_mac_1g_fifo_inst : eth_mac_1g_fifo generic map ( ENABLE_PADDING => ENABLE_PADDING, MIN_FRAME_LENGTH => MIN_FRAME_LENGTH, TX_FIFO_ADDR_WIDTH => TX_FIFO_ADDR_WIDTH, RX_FIFO_ADDR_WIDTH => RX_FIFO_ADDR_WIDTH ) port map ( rx_clk => rx_clk, rx_rst => rx_rst, tx_clk => tx_clk, tx_rst => tx_rst, logic_clk => logic_clk, logic_rst => logic_rst, tx_axis_tdata => tx_axis_tdata, tx_axis_tvalid => tx_axis_tvalid, tx_axis_tready => tx_axis_tready, tx_axis_tlast => tx_axis_tlast, tx_axis_tuser => tx_axis_tuser, rx_axis_tdata => rx_axis_tdata, rx_axis_tvalid => rx_axis_tvalid, rx_axis_tready => rx_axis_tready, rx_axis_tlast => rx_axis_tlast, rx_axis_tuser => rx_axis_tuser, gmii_rxd => gmii_rxd, gmii_rx_dv => gmii_rx_dv, gmii_rx_er => gmii_rx_er, gmii_txd => gmii_txd, gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, tx_fifo_overflow => tx_fifo_overflow, tx_fifo_bad_frame => tx_fifo_bad_frame, tx_fifo_good_frame => tx_fifo_good_frame, rx_error_bad_frame => rx_error_bad_frame, rx_error_bad_fcs => rx_error_bad_fcs, rx_fifo_overflow => rx_fifo_overflow, rx_fifo_bad_frame => rx_fifo_bad_frame, rx_fifo_good_frame => rx_fifo_good_frame, ifg_delay => ifg_delay ); end architecture;
mpl-2.0
1b1508641b877eb8b4fa87b1f2b5338f
0.645563
2.746318
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/dcache_wb.vhd
1
243,392
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YYq5CqGpnqewZrfrBtsnrRO8Wdy6jnEwHyYat53D+QvA/ElKM2KiKQOZHZsIz8wFmF8HEB0JdeAc +Rh4GIf1rg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gqN/vw5ldao28J/qKfGOS1nP1jRlBVpXttIhnbrEZcNoNxE/edqvusPr9Yh6vygXbAXIOmHw6W4K G6wkr5Ygix0Q7IY2ByB8QRW7TrTjk8NTEizKJWsvz9kTsAtyhCh17zYRLnuxNaBYYOKtsjtfLTNV Rtq1eMvgofOQSoSVugY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block i3Soud+hAuwTr/FKlnkgYXMFKErQD0Bov4cvD6DSfiHmI/sIiwQiD/QC8zfZK3iU7u70nkzzUs2N liHVUTU1m/ICFFzhhymt+m6EcGgyfeHJxwzseVD26eIs1icKb7jrDNWQdJ3TIOyZE0ipbLVkLA3K mltDAJK2jQhdsIlht0lX88xruKFYvQRr6GQLo3NWICskX7Fol9dj/ekmT6f9m0KDwq6lyv261Mc3 jzUsA7Lsue0aMv3L9xLac+/oHlyy1aA8R1Ps/YIZ1jzqTX2NBjh+43T7m0+c4N54fho2xVVnRbWK zBsXLApAEbMQNUA+gTQx7G2+oUtt8WYB5Q6a+Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1buhSPtq9GM79JEE6NgWF8BfHJXNvKQi1YOIqSyL6Dl/51WFoZKdrdh18WsOiVjqk4rcQB7CGLlO BdoVEpMDArxCCznLr8DElcnOMr/bjAvp4ud3DaELF6lJggGZ2RBF1dDu7BaGn6Jrx/fouQus47AJ g98VnD+DhsufR1pjVHM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tQPHsM0ZfuCjNnigaLbzZm6MelIeV/2R7siFOJ7VLYrOgCu+zhAhIv9YX7P8OBs7mTnu5s7lmc2s QvoiN8F+8IyohoteSY0435w0UH18jwLrDT6qC278u5oxCwwOe8D29oo7vvHAqkgrD3+qBDG56Y55 R1gfNE9HpeeSrZ0HxU0Wh9ahgJ9qY5ZrminVYf57c2+cYcD7eNlDnBI0Y4E0ZS/HpaAtJxQkell2 Aqx3HvE3rDbnh9JgWtK5KHAb0/JSnozJXsaxFreX2OnSaFMzKfli+jmtEIcRz9t4wPHG44nxzoHK tIDg+Unrvv/7gqdHcEH3zh2mszHKU1J7BjGBdg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 178432) `protect data_block qEMm3wtKDtkbt/r0maqf3J10Bts58CIStQ6zKHj1ko/o/BkGPVAiY72bdiWtX07ooMl9qaA5VujI H8GU3SBXIuUFuxMIFSky2nPYKTUI2UZfBNHou6pv6fS3bBygtdTchxLzJMr2FugR0mH1IXGmpKRY 8R3b8r6HKSOXQnoJr3S4BCtSm38aFsEV5P4iQQBvqb1qBO5z+9ueLRCNwdXtXlxx58uIr1h8DWuG fDU2gOYeeANK12X2CaesN4oGrwEhW0tCmKkYglwytjY/BRcJOjIpx3d4F0M8jzfKE5RRMfddqVZ/ uW57aRqmUDmmN31lboesycuGquQmgzfIScu8laexWxHlfkt1L8Ruthf9XudiHWRAbPkulTGGU8Mz TfBh0s45Bb2/wOYBtOWqgh5s8FRwIU/r7/3KUgEHCUQsIedfQNL39TTBSbj8xGXnGf8I5cfxZtfk jnQv5uiDezvxMtYt41QjGF9iP29MEx0tJ0sHMyuqyPdJOKzAiUn7J22DNto05SaJyVtEghJ1PyNH nZQ+htuFT8a2Tz0QMC6Zqu2BfPv6fsWkj9NFu0v2HY4J9vrXiZFTT01jv/RtGBGOObcmMd6TomvI 9rrJQsGdYhOV+I8hug/p729sVQuhs0+kckC9ua39IawoSnKagcQReGGF2cRF+zVj4vfTZD/RFGS0 2XGiop0uaGboBOvabsOOjy+XkEGXPp3jDIRh+RjIRHjhX7uAh9gCOrnt95kxlVlU4Y9c1svbvCJs iJgTXYwtq53x7fdtqqieWNB+Qs4u1PEVdju8yEcX5MB5IrU9gmH3Vy/8AYjXe6Ip5+WetegCzfvD jhCY3vO9ribeB32DirTq5NvvTHrDX+fXpnTORqgYJ0AdJVUnQBHgqfSDY/N3XuHmFYk5ncMJZVbD /tOxz6JkX7x0YKfwNz9GIf5vedMSIOj4cFlCfj6bxUBXUsH/annp4rzKaL/LdbK6OTIsqWe5LFQY hk4W1x1lx/aGduYNhbw5nziA+ttlFXUxQSF44tKAibBa1ToLaFKUF5AIv6UUMlAEmhNZrjufBHMZ RKStFQKi6LlPyC49M7sNaUbSjG8XfwmifB025h8mr6W2FFBJoVAeRaD1dGDOvUO5HKvcabKHkUm9 AGM1Ia5orDjWLh6i3olAfV8pWxYuH/pnWXNxSMynI834K8V53rOHEac3TeqyVoE/W++QJb47cRrH ad9+b2IXxuh9AeK8yFP1VierGQrlITvUCjUWbDwhwWpK+39dKhjNuc4Uj9LoaXy8aEzCxH3F6sJ6 B/m5n5DrNgWe7fi0j6lsDUgzmiJi44nG3dEVAe9U4X3SVIkNE2RA/P4BYYpvsIN5ubON8SCsCKLc 2+3HGGPuVPOUYroYzYYQX0A1hz124vOF8uFkHanjEzadvpjGa3fwGIKPabzWxYDz2PNjbOGMqX7A QVlwKneQGWyCITezfH6rdsjQrxiatpgatUqz3f/8lVWMvhf9cEl5vnnJ+H+6K1fXuZkt/nOh9kio 3Z1vDN+ObHAkJ6rxdl+CHWIYQunQqrC5PGH3JNyknLZec1sYNVnZUWH5wcTU5WU8exgyrksiSpsq 8AKXsPUQYQ2lohMc/TBU2MqgFkPSI5F9YRY9vTs2FrqUwZcHVdb8lVqkYDP0czRaBUHDG6Ld7HGq Hg4nvMKKeNkdbBUIkw0QbdLVtBRYroDNorOsnML30J5jGqj4nY8uLTD+GAzJMmUBPNTen8tA/UTX sVqiV6GE49wEQk5WMGF2+QvwonaPw/NunCiccLE1n2DwM+flGn3QY/IVVV+fQu60VAgo+cy0Z7HN BLnTTkBfv+4i/LZ3nbIPLXIvFFSBMnqpPXv/mt7PbSCFLyuhL8FcSF9TxuemWwHCIrNmaMWaS+YH Y9jSoUXlnnZchEYNh2UOv2kTxV1/1lrXX/PKEoAnG2mjkrQ54uPfSETdujUpOW4f7eZ+jzKvPumw tVqw609OB3+7eDyFt+2u0VaHiXs9w8r0QAbirguxA8RUruqARkqfkNxKLnlFW/vCl1d8I/0bNCL5 eJWFmEHy6y0EYDrKppnSGe2/XOfrod84ubGG/veAlR8OfFRYfsRGX7w3Yj3JxbCs1XYL3IcC+3vX LxcxMeQDSZkdc1R9xYBlGuZHOYBFIAt7AhSqARXxrD8QSECD+FRjhmDXKaBPqpNMVLmpzYpNuZNV U+TyvjRw1KyL1mHAxHm6Hwdlu8fui09j1Um7ChoOCJjtbA/eV+o5zQM2VYxkYDB/pwLTgTtMiq4F rupmidK6NK3J5MNINYr++wWP+TkjaMDDENZiFmpocZrcG21P9O9qMMTC8LtQipJCnZAJTLlT7cUa EGHJ5LPpE0p9osWTlxh7gd4++YE5Mml89oNmDd7EIKnA8e7EOzm33vIB6iMfWqAH4EGfwK4juoVz KDgbP7uI9E/xQbdgbPIYFGRGpBhRbQEbaGGQSAEGr5BfbyzMSwgM7nGP5ruPY/Wweia6mOhbAYIl c+mKTh7QJpLcIU1BCDvyZY8TUN2WF8YvGsUQxuHcxtQJBFv9LvusM2zaCDYlRTNFsV81SvcRdlEV cIP+nHkc/2C/dsawzq98F6ZxS6elzHV8nsmmdgNK2pejQ9UnGYUaxqT6gq68M7aBkWGCFbvg/10B b2Fzp3zZI+beuK+lH4y42cRBe1nD6kUnbAzwqfuI8c6yMBuj0nZQ0gCwK6Y8sFPI7I6Bt+6NEJY6 N0PvhjAUu+4BOZ+iUCZM57W+38u/Prrx9gVkAQ/4s1R8wRBS10gYtYxhDBAS2NwUoRjzTxySqvCf q7e7ci+Aqkbm7sgQzIlmaMXVHfdiTJngYNjDJlF+77ElGY9xhn7XOtAlMhs903mWPH2BUdlEQgBB yfGZVHWB2RxNB2bFEkloqDAUITt4O3jFKtgQK6DBI4NS6I28Qp9Lo8PDmXDPP5pr0XiMfdHJvBHR t1v6GhbacUCVgqsLnGGhBhaRuylTcYViPs9Gv66uaks1rb8CxqBT70I5ZGVRMt6Y37Dp1XgNCQFB wRnlyz1IBjma5SEaqEZ4EdBBIrb1s6No4ApZbih+J/r70fKM74DquhW1w+ZE0qIYS395os7gBRzH SHiPLETXdWr3RiDY0aP14oRlpXXQPLSsM13vKhjOEVtrLcPYhxOonOM+H4N1gK/3+txS3tE0Mhh5 miXyq7WY/cRhf+nxLLGt4IeWfFdYnK2wpxRbzbQSQHmvZhrIh//W7PvmBF+37KIyKyIxUDmsEQ6w n8ueHpr7TDTIXDIEGgElOPtZlDLzWp9RCOjECI+Xo6b+jt66FY/FjisYhJ+q/oKqVtlp1FkKibG+ +Bi0xYtx4W7lmiHFgK2Hgcgf1s2Z4T7GTA8LiVEUg20lHfbdBJYEhO37Q3b3Wg5n2PVVpH7/SmkE +luJ47jtAk3poZcfAH6MA/nCXnDSztuyNS5Ny6TNf4kvTi/fMTUX4qrXktgXPKi4PCv119Jhnccx kUDmvfDyoo1HaBo7LcGJJR95G11aPAKwZMASBym0YejnZ9mbcbWNFaaF0lIyj3wuAWg5Bk9JiwLL c0tQ/w+Gx33zxgn+A0oMTfQ7S2w0zgwsvhO7xPP2pigaQclz5raC4olEHT1TSg9Xp597Y1VLI0MM xT7veDdW67WDZkM7Uz84AneAkDlkYsjdB4fcdmteRd99Yi9ZhtyEnBAVPIVg9cnafcWr0Z9Bpvr0 HD1QzupLpbiLXt2hKSt7vu9kY4yJC6VspMA22uszg2EfEOEg7cmLpH0KIzkWXqGMAPBfixyHjPgX e+GU30GZRquxIVVNx3lLYZRr3d5qFNsNKIWWWaS4HiaASIOmEZjQZbJbYrTM3eMesBMLz+KtAYYE NZzXEPNSvdyZPZKMi2MzMzNGoN2LhOduWaSBx1K9bRsDpPN/1SYDBsVpGXK4d8bvgPxqM8D93srA 5FFwbRq/h1sCMQWDddxPuWetJ43Lo1s2sRLjhKjHzx37jPG7bHI3cxQ5GttsS7PeqAkCCylU3lQL YwtnfP+cinjwk7IWxTrzqqRe4RWE84aJRkIJOs+1m/ZJ+cd3XD/OuhYD+kD6NYEdXEBW6n4jVqoK DVQrgkKDtxzq//WvltoWyLnBI9lXxXd77bPe97GUN5J5axJCjxokHhSk0PiV6jbWGhp/1gBxy+2g Gyj8g2Jb/4A77zQJXZQOrr25efibFS8UwoHeWJWm06y3YQGWOmbrGCZrmDLo847x54BFcO5q3dYC xot35bpd/4IVuyfXw+IKOcsszrUcSx7LFr5ss5BxwZJ665UuAGZRJH3/YS5p/BxSobylhjv75f0e j9jIenEphxqM9aeblCzURh23rhxLOshegxZ/jqnOo7Z+Lt4/kq019smEgLhiAleIDtHq2C4RqVZL BVBeLKwfA0Ou+r/zGK72RakVbNc8leK0UjIAZXOnatupW2ej9ELorUj6eBiowAiN1hLKVgilkV6x NRI8qMnzC7OYDIAS0DmE9GLeyGygORpJrM3xnL7571Iu8dg1Cy8VsyCgsF5R7VNIVYQUf616JUnY Z4+IN35gUD15QBJ1ZvLnCKri19dAEpJKv9mV6i6dy82CvLEQFyZ+fbgN48o5i1KAGvjFcAgFlhOj 0ixmhs1JbOiCMxgwBiG6LUYS2zQ86YNo4Xvox2wCo3beV0kD1TEwytOcz+YhfIua/GpOVnefyGzn bHmJZNAJlRCcU0RVP9eDexC80UHKC4PxQob/SgV9uUqI+kZsQZws/jD5dRiFyEIwhTpH9UlSZGs5 q7A1jKGil5vPLuhhzoueQcdDgEV8iYfv5hrYt2xoA2N+Wk+i1F1Op2omShVPRgkp7N/+cbDwf0fQ KF+4RobRVsTAKiWNAmN7GjCyEWGRvAYDQPAMumhi9NqJ1V37KSmRCBc+mm5nnzWV14DPfYtD7sbd t5ube03C4kYFEB6IaF8jmOdS5rnD7DMW0W2AL0nk7ngaoEV0wLQbxxsdVL+7xiTlBa7dHj8Aypzd IYM7J6iZtp73zo2oaMBM1ZWp6IvQ9UbPVK4rmPZGDxrAwaFwevkwbRQi9ZE0ePWV1kYgiBVa+x1y KIHBBJNEwffn2VbibEomuHD8CF4racnS1ELDiNStsn8mn0zZzFljtVPeNX2bpFJRV7BOPIys+noT msU7QH0Yl+7ZA0b7qtZODBCwzsUMMnQujU1pauR3dydLaBiYOA+72gGgVngCuYpHAMH7/EghqDzp JDZS7tVBxNc3bzox5d6gSE1V2MXmjvqYkzL2O5pJ7LZcHkhX6UKquJLOrb376h5t3wYxoG4HCKdQ jfTyveBxRR0BLSK+X6CN6Xm5XTOdQNwcf/SCz1kJvdoyf8TrnCBIu07X2MVd9HSF10TPyqFn9bmM 5clnx4ruapduhONLcUzg022ArhntwLj4kz/vBGb2DBrvm5r6xRBGVneznZXQJhWx+HQ7NXdE8rec a6kRHHZ4DzGyASRJVc5RE1yAHsvid2lLCvw8EFgfQiM+Gvn5qoxFH7DB+uc8DMcW58lP1GknmaYj u2q+ThcrJKY7NlpygsFbgaqslKmH5/Fmrl27Zmxlqu2A5f2NdDdvt0ZOVQ3xCZEteGoF3TWEl/PI N7iZM5kEPDiJ/zmX5gRqhraTJl2vuTG27it2YTpnspsmbtX+OzAtLVN05lHCOUMIVL9mw2FROfs2 W8SZCUOmnkWxtFAkVrp7CN+1X+doWhDCZeHu7p//WtxEbZAzIB4kGmPRQ5K+nC4HlGP9GWeYkoN3 YmE0RTCd8VeCqihvt1e0mkhy2oHvvxSbN/aGB/ALfmAI1M9MyP8tBP+Qo7Zyej+FnVcL67DKvUcY Q3s9K/eQ2qmdqTlGe8wHFwUP85JdZoUS5TybT39SrLgQdkSquR5KUSx7omIWK65yB5RtqRCKs6Qr N+EdpJwLsBQNJ9n/LPes/b6z46jlweWt/4A4hU/cbZXBOtee3X30Isx7LCTlc0Q+/6sXD/eFak8i 40zf/VYmF9FU6dT5t+/7vMsez3FlUL7ySoF+ovydx53o16eNQykk51lcYhhaK0JoBPnaRCuQVNcn 7hJkk9z7J2sQ+sQWO+cM9ByzvTUP/MBR3vKDrQLvY9+G/4BV2aqVgM1g7Zlahvu40cbN/pkqq+7m FuKNfjo3rp3gmXFjq8kzOkzA0uEJ29er50UbqkkNJF01+7QOuqlxMVN55jCOppWGMbkH0D/9fcW/ HaC0aEid7j6mEokPx084tb6Ug70sfqgJxX3iewM2EulglLF8I9DET9BnTbgr5Ba0al13te1ug6tb c7YFjrMZGKrYB+waIhsWc+BuGOrH8OOJymnOIpwB2ifZvROLh7BQQ+MKT/mHybqfHT84BeK2nkbn o37TFaAtBHNFEvEkyrcaIo5SAce5HsRKSqwBZFPxKWSLz1Sh/fMyUEkAbR3X6uWx3XiJKRffl8I/ mXGKZLTQ4rMhOJ5rN/Ik8PyJ70aLgu2OOuNjDXyruSbaX8U16eD069LmiRSrw1Kf7Zn4glMNC0bI odnPonSJMqRl7JpFVmfqYqmSDoYq/h+m77qQ0nWIjFgY7qsl8MbbKiqFOQawblIKLQfv+bwecpPQ 2AL7TxgJNoTYddZTy3Yek/5NKmHBKyCO5iqSsvd6DmoBwlg6WylqzBMY5MUA7z1/Vw19Jcjq2JR0 vITx7o5j+/aySfBymIGTD0S637f4jVX58+6rveXoW9gXl8sXbzWNB6eEOxgSpPDw0WaZIiY1aIcW fnbqBp+diWsKytt+1isVMZWv+VIg2llSECNPKp4LTezPZKiAGJp5JCi6O0t2NNbIDmSFn3/7K09d 2/dVDxUeicIDFxHJEaA2ateX/9Igx/LeefIiWAcVPhQHv5Z9QQ0Amt6pW2wQN1TFhR3gGKRylI5T W0oOT54vstYo7KCfIff70inLGTs4eEDkR1IkJ2iLirSGYDc/uhRPu34q9i8c7U1SC9rZ4CteAKgc wKoidk2J3cQ+XPObrzvLJzD9xB2FJqfMQtlbzT4+NTjS4p1Zj+WzxI+peaxaGXZGpsmXEC6cgz/j kLM3odlksZi5TdpzMNDoLdhqu440VDi+V/vQE4oyB/WiDNhNkEuKddsUyuO4b8gcOZuJjSX0lC76 yH9LmoZwSvC7LzEANLK1GdBpaVH9eyVa/eeB+CJjzjVh/YIvlDlGJnWMA/7AMwOTLTuIxMmNTbF+ 5LVhGpb/yXrFamXs5AIsFLWeyN1xKM52qmtZqQFfcToul9Fe/iQ/0ckdfSHUgrwhFa43GbltjmPk +vjUKr9THPhPVcHd26lR+KRoi05snYZPO5Iz32BvlEzJ9WzK0n6lvT8ylnSeRcY2rooVpEuBrj6i /5GQQCBW7mqUqFWrru+95XyTtczONUeJFddOKZcPIaB0xhuJzKyj1BVe0ENT7cKEHDaLkUcVLlTF 4hVUvUsrZoOYdt1AkrZG2zfFlSh9syAgEIgwLLCieBu7EylqGpP2Go3TWVqPaIpQ/QUvsTrSciZe 80xZRr5GaqHlWi0T3C4BgmZCkA8yJ0R/8UIq1Yn+65LDzTuC8rZKsUEHab9tq3QC+fo2Lcze2pUy A0tWwlXro58ifG2e9gJuiBmEwRuOitc9MvMnDjfyFhDGR/LZpFvNFLLGhmTcCpIKGxNUZQsofROd fu633w5lOo5LO+3S7m7m+LGikeDKvqIS4j8TayrwHuFR+3/20JKIFMclmBWtkIdVsoLEtNXJgoN5 gyF64twbiO29824KwfgJK3DskPPndOl4o1G2APlbprEpauTGIU0EEORwqACeByRoBCKIwSp9IvDL YT4SBgKwFayZYOtHCwKm+xjZ3oZmJB78nFpE5ho/UtrsI7B3H9rbFj4xVw7oXJDNiZh/R6MBAtiM a1/XPNeQ0cAfYnW+VJSU6bx2OMf+9YrbAdRkB6cr8UJhOXJnk6eNvb8WQIUAI9hvBXWXuQq5pVlT QoI9AqwcvEOYiXABARUIn6A9LwKmV8zeRyoB3SJrHUAN5S/p302je4d+3B1nMi8XT9fwY5VjXJi8 ShwjZJ40BKey1QawO/+/IVLH97HVxSVVKp8dPoO+LceIYyZgUBH+bf/8WlCt1j5A/q/OCyNN+ZJZ njiVXYn55fJie4Un4R+joFPbhzYQUzp4RtEM4xGfM95g/7YXfc/AOmcYpByEtxYNv3LiFmqNeVlt I+GSuALbw2OWntXj2tb92bB8hjc09/8+6h/pQj07AA/OpbNvkZ1UYkcy/eVb5XMkz60bUKWJLEr6 FSrmNmaMb6PTLcmx5jQ4XezbNrFamvh/dv20la89UwYN/Kyx0skBjFm/FPMG1Th3suBe7zdJrc07 ZPHMP0afQPbn2ChNpWyknrfYxmwXC84y5CRNt8Da5ax+M+Yb95D588ZFYWeJyykttW1A0sc9VBR+ 1gQmnvZIrs7Bxh+YWA3wpMjA9WiYhxxPLlwsfFBG+DSbp0ynEAKUQhkzu7L0QG9xjhoJe4GLN+Xi Ljr63fzdwFkUlt8sDLTL3l/fRTGFdsQCnYqaiXX6d49KeuUTywncQj8H3JSII8C7B3m15YnzU4wL sXrlCPYZhMzqWjTLhdCbQKX7hc2u9NfXSwHwV6knzAANlvLJGQuBR2jacXMpv3YlAAOGZvqClDeC gnZCYAlvWM5YX8hi9cTMO5/Tq4iKdAxNDyfRIp2WJ8X0haDtm+INvSern/mpUqNikA2TJwoP6gz+ 2kG5NobO+fU0Tf9eg27cvHbMFZ6eM4sOrCkT64HBj1OV8sNqOVqupyU/Pr5VsHB78Hqv0WxEpNMe BGyxHzSp3IqHXr5gE5mZwglXOr62FUN9InH7UhMHUps6yMMWzwRFg0s8ZECv+1QkEQt3NizxpzHA 4JB4L6+Z/p4Tz+Ey13EA8D9b3PN1yPNxo/aBBuMkl+UpbcACUD2T1kJF62ydpZDbWCeiMupsna5E aQ8OOyQ7xkXPJHqwb4xr9gzqZDXv3u1Hjfgr5zbNn0x//OBCEmmqJGfGvUTkOTalSgIRX0vtCnNs igehJU46EUsuQydiAlRUEc5yfSUhfZRA+ZhqWdXmviqXq684AKmnvQOmT7yWSQ5EDStzRb7rLyuG K8FdDzdST7/b5j5v+u/8S1AJUtJDiH2hBPO/C1r6gD8iBz+vRY09R5Qk/WCr5IewLcLkM8ZYpBoi B9SpreFqbd8ri0gHz4RwOA2l7JGmJ825fWr+UkWAPcsmk9lDxBCdzt/dDgwh7z6bKhy2nTZL89o+ SXCvFL4IV600BDXCywrV8stdR/7x1FPUikTkYzqvCxN7bbLsXyqlpW8tTGLIgGZ28N58BszjBBnG Ozdxk+iamkzHY3g8nIPSOqSAXrb/+Qo71pMx21G5AroJztMLDV0Hm4nMDOzlhZwdFbY+GZQcGjzT t74NlsyuoT9liDSOPY1OkNP2SdAF4eI2ZB99gfcQXl3R10bMiw19TwUGASDxliL0dp135CaBPZlW QqoNzyDQiyU4VGVIA1CBxH4S6Jg12qaT1UKaBATN4u06lISjqoWt6wILiWzTia1ytyOENFV6qROS +gH4tZx/0hoF8YEmkIod2PLK8+vL1DjjrTzzTrcnBzBCFq6shkkWZaKmojsgO1/XfXeOpiHBFnrc wsJ2J3+ACjr7Eiz7cTT98Cjeltpo1x9iJtkk5N4dhrxVHyJO6WM+/5ySOPtyiTOtKK0zfhkJ8N4j RVF4P4oXW7R8BYTDP6nPCXgk5vCm5UIuK5JUJXt3mDTY5clyZeByPw82bnMxhmCz49fNikznCHlh jGb7/pG9V0XktGOZPM1yul7tFg8n0yhlZlqRMQWLHH043ezmpTbNeEKTfJkc3lx8pbIX8Gzc92wZ e6BX1592YWv7F3myD0nKP6KBspdwI2zosgOm+wfIhsi9QLNXsDkQhHNKlXUIcAEAvLTUZ/sUr6zj be6aT10Rv+nVf6Rh1JXgMcMTKiYPwWXW7VHaUkEJ2DL9k5Ex6ItKdEr3xvxBKr3vEh0lLS0uFhpu Wdl+T/23nhBFJkqIFq423hVUsQ69FVBS/GyOiAAQmNin9ixrIlCNS7whoHCN4C+xe/83PfhUVrgQ 9vk23shK0ftTY7FDmf+MnCoEM6Kr3PtSCKN/uFRVXzcNTqtoRdoIB23VNiWYI2Ez2MXbBtoGA0F4 bgxawi6a6yRUT5LdJdyqIEPbqYwivdPvOg+2kVJrIzcZ7MzABWiegecv1IP1lgd67BzO0aHbtz8K SkNI3/NGHEUnRW/ST9GGGnxIBd8oXV6NsR7WMp+B16m2zJT3gEOFn8m+ORZMXOeONrvfaGXeLWu8 Sl8LEb5Uleal0LZumalt0GdJmoQsH6U2wm45ByA1XQDCqYObD3KIoSYTDwsxD3mDuvLbEQgau0qa 07cvmyfrQjhzDlhkoEY/IRtVfmqHhbv5bmoUUrXeWbMXMAIh6FdXybxrpPNEng0EBCqDT7txEN1w OzZXAorMZfQvpS0Lj3A3Kpo+7YEjVqA5AIbqzW3T5+foUPWxa7ImHGjZ06NXz/WFBauvvQ7p6ogt hRbzNv/OpTCVu+lrULtVMVn1EAFIHM7LDXqPqPN811PS/DQtZFrXzsY2pGoAjoV5pE71ZDZy60JN 6s2B/GsNooZG4KWqlqp+uZDyluy86nMF+nR6XtmyZz2agmFLyna4eXdcXiKktPHuWqi1sdWCAQla T4YsBWrPxzvIdoLUbl/Lr2kU/RdGKRgkGO8bo2sHsN52rte3Scx34pHaFgtKop0QA8Jf1tDdusD2 p7Jxk+6hfFD4f1XC1AUgacPADDQZP8HR3jOptfe9aqwaycLdLaivHiSwNVYFGym+KAqMc+AtmMf/ DUOKz/sB/uDFMui23ornb9GJg1RHnBldgqsL8751TUKH8qkWNQueDo5amJyANXv654AHfKXiWR1i DWJfRYn+oSjlXUi2ZX3hZDwqEns9pCLaFrsHccek8ZK+WyRI6LimnH3enHjt/tQgFgnJM7UAQK6H rMZQcMkQdPCtgkqDzDoyOaSLmCYorP3nX+KdSDRgjZMskxyKy0pJkkp3Loxn5x1GckIa5nKoEX3n N8d6khBjNhLzuika9fa8fzPmaFAPtbhwKGe6oLbskbdFCNzmW/oQuBXtNxpj6X4XqN0tv0Azs21c upr0xHTO01gtdcK5Ng4AKhHdGh6+/fsq8+QpZohW0MaJhPF0gGL3pyfmKfGRMnPbQBifRJbJ8FXl L5NvVbciBpoRTaFQTZgSm7Y7WDF0bv8GghetyCCeVg9WctVL+c4jcmO1mfQe9icocXZA19VRtVq/ uGps7aIE5UyBtj1Q02J2Zgx6VmceiUfoYAairNwuUoavEAr64eUeyB6irFQ+5DhEh+O/DzgeUZ0E 3dwQfmfP0dUV0X3oe3BA8Q6tiluDUXDNiwkMIGW6cnTOVVWGZzQjvDxfZ2kLu/pmjO9mF2hogpmr qqBt2fWP0x0EmLoyTjihdPpgxfrdjQ7C0u/DwMe+O39k2Ca1TCixQcwyaMwqbpwZYvoK/zbl58cR YqIkhXH0XJQOmvxjjxqLICeSX7R//c2EmKAR+i7CloOklYidQb7sWRV+UsmejAXfyW+wsjduI8Ps 6R9+f2rlj4vDxCPjVGWApCsBM/LRhq9QfDSQvduS+P5+n7TjtiL40MAG1KQhKILPjO+/S9i+vLcx LgLIQrpYO3aVeWGxQYQaiLcsdh7Gdc6WMqdhygNhzWDfIczICNdzrQhK4MvlzTR9AC5Kbg6xS7P6 mgbtAlkGl2NekRPNUVJiVWhMrVqhhuY8TU/XQSL9kB0voH77LlrRUk2ENRmMgJgcLr+QSyqlmOBg t+4UlC+nXnyB2c18D/XrxDdqQoRh1pZIy+t/vnQrLBndFG7tJHAaoOXW8L3tMNwkefsVXpWZGx1t I5Sdq7RQeVg3/HpSY8Ypgjy1Dkmu6fb3qIiBJyRjhq35wPLBjSwBbqzSu9bhwo/wN9AQFwf5dHCK 9eF4dzqoKXVEIorP8vw9A/7188kQL8hnc2/46I33V4NjY4SIwtOKKzL/b4CFqWTo5WsDccnJs5Eh NqsaWEgaMFpihyKO3/tgMA3TBs2tR0XXeCLQx5Z7nRUfIntAq9lNpPiP0IghLwLQRGUGtCx9wWqH za984yxOSoEtA/mNtQP/JZrRt1/FKGzwBHYL7P4ljPDAQz8Am7XWHL0A2wwoqyYSlcP5+Ldy8sNs OYlU6rg19m6b5X+n9XGfMjiDNUIi7YOYz7dKvKiEA8b/mZeZmNAT517evzPwWPe+BnD2KapMt4Hl nOI1p6jU0MIgvcpTyCzGL7aCVq5aejAwLQ8kuneUiH2oCST/gTxhiQD/KIvM+RRFO/8NAEtx6q2h OfbixQDDHG3eYQB717fOR+IvS/RqPxIsq2rBgA4yxEHP4wVrY2Bdle8Qtu8yNJ5zZXHPnTTLvovM oFaQIVaxm4hfXlUsvA4QGZDL3pUl+UEbiZKFob0SrxZsA9u8qzScnTTJnox4GfLpfP4TMZgdHoba jUMZ+qX1/c8b6zH+72MIk5j7c5gx5s6XnykxDUt/WEGCh+CCORb0h6ulE9GlR/WUPfJfOuvV843T uoPbA1l8u8Eum/TSuJZXkKocD4M+vGwzs+hSX0RycXVYRRtoXsldAfQGcwcwlijalmANX7CG72/v BN6ttIN97watT3yV1ha/a1a7+7lq/mQeXBuPC5By1h32ND52pI0iccWiKrontML2n9Ys/kln++sz wJhshWYORWzqClGJLR+fSRbMaPF8/9B5rZzcSc3huSxarMJO+LaOaPYJmcdx7AQdZmY7gpPpzgTc 1rX1l8Fa2ithFgeBcx15RLe4XMT1zt8sx0xoc5Km6oHUXoRvBt5OuhCJ0q3JBtzQv3iMr+LTDvbT 7CVpItEOaRDDx+SEb1dd7Q+7Vd9wx5GbkdH/Fg1Su4OdZOvEBLlVa5ASp3y3XDu6j0KNUqTbeypH lSNVKEdQwBWgE4tb3Bpqm8h70ztTyGl4NwmDGwViq1efVSTsFktsxqomuQSv0APjKfXK1MLOa1H9 k5c3dS5NxqWDKDGPuAeDwZcVoxCPGmPyGrT0aBzi7/AJUmvsrpgDyzkf9TLlzNV21GWDXV2jDaOA gRhZ+5smK7XcTTrVmJUfcrhfuGTi0QkScyAHoOJorC0q05E5MYFbUD84zYmfoSSXl/RAuc/b/UBS edyHU1Wbe7+bW9T5OU0PA7h7y3UOQH9ugs3Fo471FYjfu3Y/LCtjpmlI/xuSpeP1/3GVuiG2d1B5 luWuwlZkhZQBma0dU3QuajI7yaq/ZYswE4XLPqny/3YA6JboXB62BofMCnfUwjlC0iwbyVDbKp1o 7hXKt18h/NlBNK1nkxqEcnN9x24WEvLhm7cNz2tmu6W6IsNTWu0AHjHymzqS50luUkoYvoFbcPxU +zHwONJtYkJ58motsWXEp5i55XhPDgrvF9Qm+Ixz03TKfx59T3olQOBlvw826CaelY2qnsPeW2ej i9yKVUP/pf2EDT2vAZuStBi66qVhO2C2UgOeK85ngFHnK39JL6Uw3xc5zDAULK7oM5OPIbA+NCaO 5VduGvBWBc8U20647qr/VuUSVCcL++vLurB2Axu52m8LJPZ9376MXaNb308W5BqA4nsgocpxPcRC 8iY53nOQI0fN0stFycM6cSF0jOHwkiBM7ix9yuWn8zdIqMSj90NNRZAXBVcCs8MFTCfIHt7IeEmb lxzkin8i9mPFQGJkeg9tr+e0bkcwBnvOFJjOwnaq+p9vHQPLg9GrALOppd1RCRDiZeGsvca9DQh5 RZ8JkRUt60GwtdzAC8C1K5U0MFNeZrk2TWkD5QFLIzr0A3lnKJRl0B2YPCfRsDIMJjIkxGXwbx6N /ltZ1+li3ohjf+D1SEVgAEZAFpVXXqmvZC/w0mAxcMC4gTYmCQPJc8sT0ju9MPTBw5ooA7+Vd8wq isp7HZhDhDUOxSEVWbdWTvm4zTYVrkv19tcFd3JYvlbzwdB0Eb9g0wVqxDHI2CB/rp8eEEdv7LyJ KC8jsTCrwPwz5GnRaPRdcX0ssqrl8jPdodZIoiAHUW5wp5tgHAzYU+gB2AIdTjJqrrfHdUS8ACCA 9H9womCpwXk2bm65lyWtnZy5KmEWGNbkIZKUsRJKJP87lDejbh9cuU0wFjDYmO0XCXDIPWbx10L0 jnF6mcOFKHrZANqu6QnY56eVKalRwDP6sVe4i4CYBWJohZqof3/N3B9s2pa5iCv9l/fT97KEnYdN IrVHP72XB1qxEcWc64VuQKqWSkp9I2qe2ybotdv7V/5HbQUMqXORnd6dTZOJ8JfgoIL2IDdDpoeR 2nrjtzJLUIMF5z5kXULBRpECpO8pNn6XynMYHvSWXVRi19lboYa8Axu8CLt4IohnEwM9bM36M/55 /gj1N8EAmyO3kEEl72GAX5qq4hl8s/OSDuhmSKsqnWZZafROuFCgUvJ5rpsk97PRsOWbkfT4wHgA NurrqAzj2e9RB/sYjoJqxTPuK+4tJxFtQGS+VaU2JnVvI/gmqFjaBQRaJqpV4b7FCrtIcrXhsq1H h3N8UV7xbhjcGMhY+E6h78ipyU26jB2S+Rk3shCwcmobqYUaY38rfW15rDJUgQPPrSLdz/NyVMc/ EDTi8iWRdrB/g6bFeWR5hINkp6L06h6HVedoRljUdl7T51NiobFK3LRGGiFL7mFl4hmbe+6ev8cA sptpwjRTNrXpSYkn8QY3TVbqnzz2ATufBcOd41u79vf2DVSOO66q3brHWs9dhFG/9HMwJ4XddjaW xb7dmY33tv4As8XDTz7z6SrTPskQwLdt+EhZ0hLwlUtIwqQiDJMxx0qz9Hlk7tXTJ7Wqfilx7cgC tigbWLsN/k63CX2F5nKT39/lfswI4oPeN0PCe5YR66fwiU88Iz+IWiOEEbMVUmyizQbePlu3NBnR 1mL/d+CRIVcpmpi+F3KK+zI5bbhO7lRZiiC8usiqi//z3j4BRr1IK+PoLejQaI5G6crDY6y5/M7H lJpUcwx4JhZr2ux23usHlyIK9MBfhQJ5un0i/h1mEdrF63ADotrtCdqG14/ItCPjtX+7qZy95n9h l83NbRlELD5g9efixpiw4+FtKPR+g/PrFq3Hp3D76ZAF4ppTyrFnvIthqAT+w+5HXG5FW+WEWCcE 1LqjLix3NTstsahrisPPre++sXaXj1nf+LMG/yseCP8y9pwdnqcM9CU5NzvlbZr6UOR3gQUPd2Q6 tldE04YQi1XpSPqcge4UuZmYVQhoBL/iVY0b6r9zIDJvhAcVMKH0Vkvxv2WeZsj/kZb8RwFysRUJ erDyaLFzw/B5lTYsBQwFzsDKBOE8OEAm+/1NNbkN6PBUrhSgUoqfbBfrEVVi4pt10tnbmUURXsS8 re5yFObtjHbmFA1FhOY/DBPOLp8qBb5A5iiTOtduRPJqmdgdJNaxU/XYDFsfLVCou3eLxi9DufHl PKpWgzEKSk/Zil9py5f1DKZY5nXgnXnEtKFmCVJFiL9n6htOByf3/zZzuuJWQbeYOABry5ZhBnyF vTzo+CbZrEFupnhvqIvCRUcyjmpHgCd0jjoCCiqDck8brtO4z0AbzL19xAR4bEUaBd3Ch6cGLMF/ r6IEzjv5TUQ1hQO5rqmwqmJoUc98KfZPK7a3P6zQvgdZADwjRyh4aVo5ydU1IL+Qul2cGppQJtI4 SLbtZwH2eEXyliwWuGp6IsKFb3Bvtckoidbumxe6gfnCQPaca9DvZLdwFtcnUvFyVDu8KSZNnSnD uI/1e/LoZJytAvmbKdLdK3hDpklEL/8EwCDYHZbxAhqlBUj+iMtq5R5/aJIbXtXVOWxLqUbrf6p8 FKw45GuW20Sh/DcSUhKCI352+RV13Pf1MsXTPlRZBnrvwZ/TgBtH8Z669NodHIfZ/7yKuCwnfbD+ L3mWn5/w2QDSs707sqaKJDRw213vlggd0Lp8IpRXoA9DMqdloSQMiP0P7djZs6xPc0UaVtfPi3b2 lO75NrfRzBiyPbrGEUSMtxMTiHsVqcD8YGvEYOnkPvvIaW+zuFzXgBQfhK4+H1+sZ5XPeIHF2GKc 0K5rOZ6i+Dp0xPA9IjqtG5uIbGdyf40BxaaLahOmtLk31BQIA4c6u7qDuJJaR4Q9UUCEYHdNuRjJ y09iDf8BZqeN6O2n/mN+IebvxAqYzpWCFGldVCRhFJ89+d/7eI5CiJ0QGFwLtF7cMKThgGAEz1Us kfCpLMGWRqt7YTp2kuBjZW2phbsAAeAua9qzhjDFTDP6wCkNaEA8QjoXuY4rfdM6Iwn4u8mKy0VZ 6EYUl8P28Pyv5JaYzSXqmU6JSLU3nxY0Bm3/OkF00a17IhrPG8ijnIXY6Ir9Q43J6j2138YOOI7O cAgYHexy1L8xACNpZMe5iBksslfV4PQAM8R/ZTHusKgBfxNiFYs6j02KM441DBgsd1lL1lSPe+bM ctqb5UnS7m19AHEqQOuC46ZW1fHqPc9vTG9w3aKtQSHtkFQUgLiy4jsye2NswzqLU+cyfCIIBW0G 7PCrATzmAZB57lcCW5JFwo+ainGTBUjJBJ9vtz+aSc74lBFUszTkFIlffVDwwJqYxcK52UgbTxS0 B1dMF35mMmKiKts5ADDtSzdT1cokYGYVrFuB6bYdk8PZZ438T+36wUalvM4JUsxGLOw4c5KtsMCZ lEfSWriawHRGUZJWu/FAiWcKlmdbPXIocbU1Bw7DkOE2lVaCp5nn5j3oUXADBsbJ7jp5OfT2tTEc FMzmwnsZzw23xS4gwTMSX2uo4wsJg9qA4KSBaTg3T0rkdqaNwGCh93HPNdsHTg3pmUfEF7eLUo21 dF4cIOV3JURpZ2K3RTgx/mNnB4KZEA8xqNOstEIfdfVZPYUxvrn7Ww/9kxk/FVXlRKR0sotBqcxv XK0gvUV3yc48i7rOkTaJkcByNLHdfCQEgalRYGCY8e55fsBj6gT1JyKIcwC0+qamSIMutb20lOHU z722E3l4rHzkuDNcdRRP2ZXsCfBT9LEugoQlDZktzCs+19pp6ev7WZbhpgq/sOA79t+pH0ELdsNW shNatVDC4DmNvCFi1t7UICEaS2rovCqskomth42tEj8I102lhPs5CSQO68KSwtQvCD16LpE8zjsw tOO8WTJvshXXcbMV46qjneV5b3jZKpmaAgVy7RfUaqkK9jNBhBSmVZcCAEUOniNXEFzV1qRD1gv6 ZCx6q1DMhgbnp7XfrdMtstNw/DMUJoS1/wjVz+gIxkNppbs8R/dCFQ1TYjSUVdLQJlnZmLDzGkXQ qo3Tkuqdyvh60puhNqCnGeJv05ZKJR5bPKt4hUDGc3+bWca/HZuKcnNFg1tr6M03BUhWk8l48Q5v 2/8wxqhAVnKjxinr3k7LX3DotTrt7tq0ak9nYXA1qt9UMVcle1PeyLXnyeWoKY7N0sHpxAIMEQg7 mXBcHUbmX/AhMM1S1Z0fmYo3m/pH0f2SWmniP+UEYliiGAe9kn6d0v2WYva3DEqW9OIMu78yxU2m Y2oVq+sQVk/1R+8rUG9jF5qeJ4Ur3EdXMpmJbQ61O+dNKT2tQeJAk/HREsYtjVzl2FWrXi3T2u7p wDlq02UZAMECxUU7FbczSJ0IG4mfEIdnZ8yCB5xF7rNa1uVqSO+2oQzIEwm62GL7HMKLYLU9bxAT MB4EpL42GTCiQqjI7IF0nd/bd479BwEzKG/QinY2V7WdcB+sgpahvCqdUsDENYgJM32k9laBjTXo l6ieAhlcNv7K2Ly7pqI6Jvq3Kj+YWX4g/wxIBXQEQmEoF2IsPlBYxwsUu4sC5UIF2046P8v0iZJH Lv+mxOH3c5Shxbb3p0oBu0NN/IR4X/ZwHyap0NCyge9C1V1o23smgPZvp5wd6dmBpVxqnuM2Rr4k 62D4iVMWdmUQJnygbvsdpqXtPoftIz5386dvNAbddy1GkBFIMCMbTrQFu8xLHORzOxb9C9gKTw90 R6AFbbguWlKHysdKGxX/D9dENcWh71KMmTLwp/btsT2bm7U1R3C3NqzidxvIPxIxFr4Yq8IeMvCw TkzrlVOiIUfX04jdGrXU8B2/9RyKoGGxjTTFTDnssXmhZbkmzy/axl95vUGkPdvEa1A37OLAam/W vm/9utZ2v5YyPvjg6vSV88a/m3xNYon1gdU6haCr1EC+RF41tGnCZhJBbSLamT5sN39/wBvyPeHh 6aQn288N+z89U7esWOjKjx7iRJPH08AiW800NJX6OQzgczlEFKICwbBAwk5c6MpUUZ16B5Lnj+6S EsTAxnpGohVzvmoqNDOMNVea0qcrVmwEUAppmVQWHh5TXJbjSs1MfcaBEk50fgRxHrWLXtqUkDfQ 8sd74FpOvZSb4fEMFHh/cSE8K63OzHG8RT91FSSHSv7bJXhLnFnXC6IM2xdhZDaWXu4jGLD/zLGB Q+5HW3HH4xJCNPdplKZA2Xtp3eJJzAByxjwFY9B9lW/ZJ7pxNL1kTZDdSffV2lGt0c3h3RuHh/0b 3Bgab3Tiy/WqsW5XjeZwnTTJLEMVYLN+qlGkMx163TKR9lU7GQoXoBMAlaASm7c5eW7iqH5SJYUr nKJTF9MsiK/CHLzNE7/9SE7x/JheamlcMhmpWPu5rYq/5LHoj1YYv8x9RQcb2cqh6yoEuGoqG3LR 6BHPEcRxN93MLVEHIUS1oKbBVptdoVhCAm1ydTwbPxkl8i38O283V7xKR1ukAhXH2mKz1DYN41Gr kghm6lOcXgIMtL64AaZqrjgVqvbeXZgXHlWVrXzsYX2VKLT2BCdxOpSwVo2ycy3ewwskPzDzPnK2 iS0BMuk4XN2aSdbLJh0tEoY4iFOgeSjqYdqQybFtbT6dun7MXmRWtpkel1iscbUn31asd4YUPmed 93oASHBw/+Dv6FYNWwgo2oS/9pRZfClwS9ofWqJVwoPAZ0rYV1FUyEe1onh33DO0aCccL9niIOXY FRq8Ll0tEee95RpA2Gpqu4BSe0SykCJD4eH3i8YONQu8ANype2hW62/tPtYOQKwFrujIfh4az2al 9MbYSSJlyGFzouB7UlrGSCyb6urXmFKNQbG0OaWgTXcl03qQFlikiBpRv4mXreC+XEIlc75snX4V ydixFPy7CdDG5/dxLdUw8DT+QlZBC0riCTkGGli0drBhHHrLOEpEhCESIm54QSCex//wrntlitGa mtS/5BTfV8eqUAdfE7wZ6bsaw4VgVcO3TADqsgvhFH1x/I08+OeHzs0iL01XADIJZ6YecqbbcbF1 osl+z4H/OEDuAbYGuHUli156kBy++LdqhpyUoLnuBecvpcutnvBIW0wWCEF9CDo9V5FDdsPeorbu ICp42NzA4koS9sRJU1eXWp9enBFPi5ZWxgHbz07gf1yxYufga3csnqBrCiR8Bdn4SfFMRoRLhqOb 9E0617KOl1Obsy+MTr9dKZB8dPvNVQ8SG3tw4ltiKiEkDs4jS3cYfuL39dDZSbxGKeZayftfJ1k5 h3AkG6XD5Qi8UfuvNmGct3vykmwMqAEIDEIkr0bhVDqiw/dE+czVmOmVenGOF8yHTBlW0WTHo9Ne KdiRDgt5H4C8R2R9XluIps+Vz7VjxTwQrG/DOhyrFW2fGlZnhhVFCJKay6zz72VwRhgIE6+xFerK wl8M7H6vWUvQUb2n4OJGKIMVw761f97JiRH1WRUKWgRoOLAE32IGerUP/6twcvnKrgm2olaVPqPS SS6xGfJikwjUaMVVVJXmIMlkQ2XsT2EGaaokvjCRWW7OEW3M+kmulFjCLsKK4GzqaK8Rb+O7EDIp DJMxC5rKHZYU0d0Imu4M5yuc/lpy2btfR9DVpozhzFdNDfbf6ig+wNDw8PUc3SZsQT1QNouz4sT8 rKCQqeW+/dNkosX3LScukM+eJDisnAsENY1yy7Q26XaACjFjOvF39mAwRtTfUyZYO4Uh7r1yy+Or VWy9DVP4UP6BuZGhA3Z1zs514ouoAsqaZQZfxqIgf8e428ov4YUNJADrmXHm3m2BKfTTwpwXqNeV msCGb/D2KZJUcTrlkUXKdnKr8adChiuxu9CD3Gi3ynjHbDQzxprlCsWrWGFpPAz5Gi/nuiEG8EXU +CviSZguevEGEdSCSi2Uqdoqi2QIgknRKhnyJ/uFHbNG8zYth/dS9P+lUfIBO4f64LYohgJ6+SI6 bj6jbtzpRE/7dXhMr/iLlyA6l3MBsnA5Y2xTX4Sq1BP+9ZlbOMIw7IfNJl+JGBYjFCli0CAIZdLp zilmgnACB1cdTlf46PaVvrTgxifrNaLEh84LUxRLellc8OuwyMvQU7YHB4QrJhfvIAssE4AWEkpy mFg9PWhQzfu38BFogMXRkoc5oU4mExIlwzFcIJRjy7rZtEGYrEiBq8iji8Zfn3g11JV3e/hwaxaS WiiK8oeZiE8Mj7ndVZCdFJJ5PBMxVS/7gKGYOMkFGQAnmQDtrOrQcYCLt3B5RPtrczBkptQ0ppi4 F5cO19JK72i86HKsq65hNQ/1Zhj+54ld1eeb/UrmLErTerepAn4Ci2vq58N4cqidIzQJN2j+RZTc Qs2L2RKMIbQHQTzcKjuEuHARZFyjsw3PwtPwLJKjya4rxS9ZgH2oFDxTHg5kLdi03Wja0qmkKktE DIbS0U7gL5JGzsOxOVVXFDbIcDp+UisYgWNsEUq2Lz29YTNBXceihbXY0tW6UbYzIEGEbC3lKBW7 fugVqS11Dke/2jEgVE9XmWABcIkfnnVut8se4/jsBmA2QOT0H6/IJIpLf9HTWkaffCR0LnhKptBI bV3ePOKyVljO71rCluGDq7MV2XqALai3tpqh+OyXlUW0FZTq7kfrzk/k8QCyBv076A/Uu+r4TRUQ /tZlepcLD6mz0hqv7MeRtnTyKDl2nKj4b6ficU/PO2svNySY7xAYxhSm9NcXW/Z9N27BufSpX+DT KlFO5alePnjfpCmycU05iErNCZTzaasurOXuaXmkB7rb5HF/0RuHkoXbQA1gK13B+6mmMXESFCN8 Z2Xaz9vmdcPraWTRRBtKn/SglXYS3fNzIPhhjV9WT/TInTMXA6lVPZt2nBCKoJEOFa4HmQ4GJjKb BkT6lxJPbeU3H43uwyTLIv2PS3il/PDQlU3vys8lE6bLhST+Fv6vd1wDjrtvturQW/eJedtqUrEN 5NOiQvWtHh4KGM+Nmcb1ha0gon+JZfJwNm5EBEgn067OHQhSlAiSNnPSnZq6FczXj8EOEDshVD6l 7HrzVfyql2uqZol1/1oPh/Br+AVXpjRLp/bi2ibxI8u3IZDPPmg3qzx7eV6icnqwfpjQ4WqZz8D6 nkfwgFkLSviFIR8TeD/FiCoMhtYnDhN5b4FGNkqflXVrYjeW+yToctsKWF2Ky6WgQzz5M+GAtJz5 i8oQyvDankClYteH4V0Ozf7rhCTalmdp3kC1II9QTbY2I+wMVyDTys0c9fWyP8EzNkvC453j7sw4 BW1/ACxR6Gc9ECRJrE4JcbeBx6eS7jJ6yjjz2f7mHv3EAjTSrrQ1bFzz3n9Vrr7raam83jaDLO+R Zw7jPWnM2QwiZec3rat+Hd+8avpwpl2heVh+2b6kgNZtV6DxI6A/pHHCEGQI3ar73qR42oOjlwWB 46+8AwazvUdxtPdfiGQJhD36UuNfLREUTGJ5oO86DEw12IJ+cAU8Dh0kk+CN2Rb4OnY8aCmo9Nx0 8WIoClmsiK49lNHVohRO69Vwv4EYCiPDyUpsYn3Sl/y3j8prZu7M1tj2Co9+wBvEPZONJJs4z3zi menIKjD1XVrNMY9pD88zX2Yc8tTDpyrHVQI6yTu/8XhBbD50HzwWNipjs9ZkKVQbb8bDKalwrlpw fLo3HnJe8WEcu6b6b2O+0Zm+83z9hmnxIHdTosoSqTrmtJHjZMX19SlVFbyvC0mlN2aN1Lt+hPTw sYRnlsuj36ZsGd6UFqx1JWCa3l8MQkmzn8cyIYFnskvCdIdrvXrvH4ubWbxrJKRDMCeOVL8pRS0/ 3IsPN9zpCBiyloN9iMnsdUae28jTSEeORbh5cfWGyw8PUHix7qFAP2Hx1oCjX4W+JxcauNq4m06U dXy/AvAyjFfxRCroznANVmwPapKfT7VJWi/NClTK/KtMHTgJCjt2e0TfRV3v1VDKZVKOZtjKKJ/2 g6cqbuH1i7CM08A9rgcSkwB+/5HqEzWS7buEtiId1JCJhbt4hOi02XNOg6jaUYmOIbIzVmxtveIy qHAOSgnBOVhfJ+iZArswAPtLKDVWyCkciML4eU+tGNgzxkgK2Stm1mj3zoywkqkNSfeKkyHrcIDm 3LCPCxqriGY3qANWKO8xlXeZarN2F3cDv2i8G2S48rZugEsdMwV+OnXom8l/O2qRiDOC9CtK723M sszjqfVZ7RsPpcWwK5j6U7g3c13PB5Yjca3SfKjATGZpAiVytVbLu3lGAjJqin3cm5O8FqN1u5vy 0tWQoVM7ac8t6x06M9rWAMe6Y/dIj3qHSdiSLIox0yRYZqEOgPmAkZmxL8tmo5WQgE4cfgMX5pUb urFZfl+S5/Pf7zobitAJlPwSReQkIHXDSjM7ZiebZeqvEud2sVZWEji4gtbkfQblzLNnZe3NNzRL raxijaNcNgbVw6bxKMO/JeQZytzz383grzXpiFMlVdPTmvadG95MYLpA3nPaoJFgUr27VY+Famsn obPB4O0RjgYMSHa78IcrVaPvCjkpecXUlsk6UCwN1EPwicYzVQqP3IHEhlD3qP98eTcmd1aGNcp9 75A2ajaa5gd21/W6Div3CObM1g4DWhdnPizlAYrPXPaypwsE/DEEX+2mtCEoBvian3rc+N/OUFb2 8+sy3F+pnKxekt1a4o8FTvwfG7UaVokDd0giEpHnMWIvJfnQZPi+Yb/TeerlFe4CCC5tzlNqU8tG /fVAYae7XON6NrQ9FpGblpevk3yT6J82l/oyteabA3OamlyuB4BQ/C8qfStJ2yEffQ6XBGAwvi3s B/t1jehb0PL+0yb3p//TMOBZnh4utg9tzlhK1ijSEEkCUcXTzEsaIpvtIp5twjvvMUBhgM0/Qw71 MKgvRHOGwQlEuFOi1VHLJD/kW6TGTLcLH9y+G4URPXekeWBZYobik7FUd/5klYtu6vBmRsoEqjSH rliVL/KSLw/N+us0EFB7uHPlS42ukrYxHwz6Wh/H3+KbCvaGJnePn/hpY2/hVczYunpUtOmxWOW/ IAZCBklPHZEvicWS/obHGNkvczeMqH+HHWi5B/iy0zx690OyBLnlie97hDCVrb9YF6RSfiRghd2z uhhw6Nwyb7CdPIZandJ1KDJsLdLIS7M+fmbWdg041+MvQsPGM0NfIgfvU0IHo6xbFmW/Pw6zZO1d hZFb9KVjiIB78oI4TDqIVy3zQueCE0tHZvEuEJNv3ibV0G2vdIcPF96gMkGSc00d7wESCLJ0dU/i xtDG/4H88Xu/r4XPIQLRs7hKUTpRqj9YWvbK1xwO1aqQSFTLeGiHuNNSc1un1p/7kSNnhBNixbQv cjucPV6qNJrwGwlv7IGyNFis8EFEet0O/7yhSRu5vO1LbKfWnJXZky0A9lBXWHOqrL+sD2q/iQSb 4Wh8RnNApxBRf8smdSvZv61gOpPQstjm+NKQqAuYmQRaaR8nc/jFKC6gLZJHBdTvxAM9yMg2w4KA LVv77HZkrTdBUpowIDsTBlCocGZfymk/HorZtx4m7yEEsCdB0PuxXqcwZnvKMI8iACA1SzFk8gep TsNA7nPoGqKtEExBSV7KLKsflXgstZRL4ZNkwhxUUMhypLMEAZKUhPZyFBCelAkEkgJuFzQKPub8 2qmromSmgUUqnLFYzpCOrhWaf7RXjQenLaPKC6uDJUEycUCqBME3W6uKVQeLd96hXQco1GCsZXpf v0eQCuAQX6hn6rnaGARuqh2LWf6rrT09UW+cAmorNpz5XF90Tt6SxKEo3LeO7Ve6yl33azDoStW/ puGQOL19IjpTJyODUO+or5wKKALLN/DFIdclHLNAwKZIElU7zh7f9PkEkDylZTRYte5lPKGwS2J+ RNGNKHFVnKnrbuWd20sG/k2Y0iy/uEJxa6EXrlkjpUvX5aho418a+Ud6gV+xr+BWwDI79A1rZvFZ J1PaU49gp+QxCC3r+UDbOrga9209ijIdMV8Bcbxv3eOVk+yzFc+nwZ7e3k+9voNTnBFPzbZPE87l aU+vI5p4ntZJmeWMf8c1Pv3VXRRVRs4JIx86FnWoW3DNzFxxZ4/vhUwNTgvA5Y7dJqGR8tjsV7gR xDnaG+7WSiFa++ULnZ6dFLkAEG8Hc7ygwqjgsjLH7bI8/eDX3KC36A522ws9ngCvV5vEesTStgDc bOWOpwE1jzd7omh/0SHh/m+uv+OYfqbIqGEp3xh3mTA/CN07XI58li1OXuUw1pyN/5nCRQWUQaeJ XPgaodVUdIL84/LGDaTW6coZgceLF/4hO/UEwBqMAA6zSP6dwAQ0Ymc4V6Zgln5j/36hMsi4BWzI E9xsYrr83hSHdTUeqmgTmP5o+LUhCluoqXKSZi+NUI16uioE8xD9MWT+DD28nJSZLQeFHKCLrnMp sej8+uzlBQXZUOogn7QIdnoTY8O3otJT3F8aww28tyiRySRLrYsHY2i1VpODu5TzNOnvwVIpT9UF etz2wdaGxrKHUJUACQo1Oh6JqIyQGHviVbiR8aRuTAAo5tKvnCx7v71GmylXNPupDm8jRMicjrl9 FCMmD1ThXn1Z2k2WN/ju88bX4IfxBZt9BxKfg1AnuK5jD7Cl9z6Pms8nXm0GX3hkWEsyh4WHRIpi JZ6DPwJYKx/o7f1mwk1sU/lzICd99dGCW7DbG9n2WFGFHtU3n7/lxtkxtv5htYD4bCalaqiktqG4 sNTQMiL2ToXwOlUA9cH/9ltii5CYQXBwnNYo3pIwGPArkH1G+T+yuLdFqrnTD3ToVGE2Y7IW7RK6 fAlICr1UMUTHstfytBEznCgPnhc0S0MsgwwJdoVa4ghYmxHXG3mzG2bBOjEXBj2gi2huN7nsUeiR vkw70eClIhmxtcPQndx4iFrZcaiQ7CA7LhjJvSPWjpJD4AJaSEBSY+xyEHBBnxC5RjvyiiU6Hmu6 eCFhG3BwUSMQ6yLgO/iivks9XuNyD/pvisE4YDScT6lbyBKgH3LCQUiH9zx4i0qFtdPzjB+6ePla U/XQpLP8Atxq6pAkNHLilsrKzu01xFk7YeuXVygewixnRirsXhoi7galerPxfCH0Mi6yhTfr+LtR G85q5al13Yzy4rziEh+OCfwlDEFrtdlHggEJ1BITVQBP3p6waZYh2a1+VKZ/b/mucQa5WG/J2hwY 9EUTAkae3iGuCg8DTQvQQuyf2RHTD4Ij915hgdWASXzg7vrzQoIhMRzL4sEQnLcW/h6jMR64ILAg +jKkxLxZ5dg/W8YiQ031h1JHyGe4FG/Dg05HL/rsdDuUg119wHWc6HsN+PO9dl/h77HIEKOU3QZD TLlw2doWhhM0nPZmJGhufBnrjNWRc/TqoKuZNpwOlwqJlOKlXTJpF1j4pKhfmARnRqb8/kMGroet x/FDk4Bunpr5OwjGhqorJYSKfLWW0ln+DBfRC9LywqHiRVfPEmeVEqprVcR0qbGeWFfA+nDV5pxb +ReF/7UOjeRSR8RAOUs2mcAVFsxoS9fJT83RIlt83xjLkmyZhxtf8gxTTSqvByKBFV/iVe770MiI lqpUOnAdPAI2YPss0tAvzbTvKJ1uTLyhkg7tET5OCzjgT98jgaOtaa7CDpxV1RUemFx11zpZOGhj WsBXE+gNhevc6KWsNBfYNQUYLXGruUK+yhevuxH9BPFDCnCSXD6c/X3w0+VnYI4hesNSodPzuTjv 3ZFjopxlLnd5PvLDHDpakggxT6zpZ9TTWQPBicTgp1b4oWAqa2bqKyYUJQxQMUFVbEBn7dz7WxwP 1IZ87+8PlRFXHRpiLa55ZjpwPO/0CcJ0PS5DYfw0uYXiJ/24c9JZmQ9cKNkpYSYt2cavWK01q+tB tMamVESbp832HEyr3Pe8B9UunFE2VebUdSCOAC5KCv5niK7aGKQsUsSULBidoeh7D4+BJYMiVv+D IU2uKur6u8XDrKXSH9sDi1UVpv7BMKXLVhzTFmmE+xqlhxFu7MJp/Jps0t/WxfV5z5T2k48HUaWu Ck1XHo1dEYGMykR3879sqGUvghcuPyDMfjwM51VjFN6peH+KvoWtfH6A1OdjV8GUpp2t+KipQEnO +GiD1JdLu5in60ZP5XoY7Xm0PnL4XPjD4nurJ06geAKsagOT+qaOy12iXP7T3va9O2G4syYkdHEh Kiy5kSPCIHt9JIPhoKLbyUOgzEZWj4oszkSe6AGcIDup86xyR1WaGRHW72gn2jxtAokgg033jAVR huLZPtnBI++N3HlwVXlk0csEyqNn9YWn1vQbIGXyzvidt/XpPOLfw4oBEXy/7Ired6pzlVP2pSDK +E8Vqam2c3khMqyljPgYf6cm8HqLII6B/t1aYsjXzMMbEBFt1XV5TTj/Q9MkmVAzbKWbkkmk3yGt NQwOS082UtxWwxa1EgxGKzSMt5B8UdNCyqNwdv0ZncOzORKPQ6q7Mjlhay+dINiE3MdzpKMREzlQ 5BiENy8t4r23ktz46Z8gmKphwe1aMNnBddjcR9m7Hu3bU5L5z42xdOeA9lhuiHqS8+2q1NkvraN8 pnQ5fq2OJ7YVeqkLEgXLdfbY5mrPp+CUounnlCN35v5JWuvzSgvL5bIQig37ozxiIvWjyzq9PFNV V1vhFBTYuKSJ9ylrULi2jiR63fGDGryreum3cUc03ANah4lQVTx5N2bB2cYn1MpS5WfR7VQGb2jm TUDA2feAZ1BImgQUavutK7azxViwbxEKZ3uLunoiyMr0HaOewLLb87IQ9PyWpvJjOnWSwYxoKqNY E2DGRI84tnpOeUh0vDbBJl4W7pE7cd3jPWirLS6B8MpXy1LMnFMQ73PDroenKipUr3Vl2GEX4Qgn NzwuTKATsTVxUfvyyf+Y8aNzQCp2cKcHnPntXcjN9Cwk4odPlTNy3IJ2VBslsAd1qal0g4M+anee XIE5ymRrzLW3X7xiSHa1BHoPFD14+1kaTmqgSfPYpNQ0xh8+AmQwHoLuTpObpMD6ump2YgMAQMYB FoL0KhQMMayMR95pdJMlUR8AFfyVLX5AoFkRks6485bHM1k/Nlwoa2cb/sxeMCiaYjoeI6+eC+uP m1S27KDA225dDkD+pLQETx1RVRIUXJt8y7RfmGHczgwW2HJf36HM1UXagw8H7jy+BJNfOwk/6tB2 qlSNu956aR39L/4xuvwpNd8349p0xnhWwwgxhzEEr6LA4NILJH5wQBpoX2yyqTxU8d6masvvCs4S TGExs083TvwNZHsHcBxzzJgH0QRvyoc1YZvDaVYF+hi71M4ZB5eLAtWwgrGrawPUFs9LCokRZxGA xAr5nH7cYEZeUx2oMY3p7GYleo/BSEXewqXUb0ctFAiZTFFk86bbB8qhtEvbCR67J7znOz8dhHTs vawH/Sgk9xMEWuAFWPhToUZ7WUZ/tFq1B4a1Y4BQWM8iPj5UgnOKBamRrgtVCRCtr5x03Af82iub CBtP3toDQgSndOZ52ap+yIeAEzEL2U58+4OwLqrlAvleI9fAP/JsLVccX/oP60eXMsSeTp38IWa9 /wq1OHItaU1OCS3kBgMEkh6bZOU8doq6U6kL8Nez4EmIve8ModY96iWGGcbpWKN8Gv8GeG2M/vA6 Hbxk9P0A04YevqFjHMt+TI3mVIQ0xs3wc2QOVvEzSUPcUbnQL8Pil9UGT2XNyCXrugC+1z/1FjLg geqEaWWBtkpyVFZBOL+oYF6AH/r0k9HNTlkZsS+7voUueavnijZfYDU4jFzC4FCtf/gqc6gREVqm Mg9v+NizPRDvmL9Pf498voqqJmcVtr9yXZZBO6adkIZWT/aRaG6+Yk+aCYYU8ccYDymaf983ZZrc 31uqJnE4Yqp6HhHlhq5ydq86LZJai4oUzb/c5fjQ1jY7Rx65cTCsHhTt1IG86VmEymnspESBjUz3 pw8gjpfqK3FIfYcqI2BcNwJ/OY1yC2C0i15/Ulo0GJ5p44N33GHNsGqgJKvhBf2tKiOtjPETtEv5 ldV1Z2dF3Qk2UaQNY72TtWsAwqPJez4UAxOcBXywSjNbyshCah4TcxlCA8J9dn/ecT3TvA7P+AqQ itgLKixV2Ndq+N5aqcvXqs5fbu3QEFCO6mpnAt16E1PO1sYFTkHgCFgcj7Vn5hCV1P+Gc6ArAJaV p+KIqnsC2Bhft7YLrn6WhH6SsMGSFE1bdvG8VC4JRDuyfKBurSKZEBG8c0Lsmljb83iozN5O4lMj Imo0pH+xUsD/7ixayENq/tZjXD/ZTMIgZOBWDhqqnWOg0gut6aoAO5i9rtPVFj7zS/xOJWaWrpRI IAbo3pDJuyf3prAvl0iQJP7DKt1UyhQ1sjdh6BK+Qo2hGtPkp/H5ZcXebD7X/4c9SkyJZ1Dk6qVh fBYTYm+pVdOhZFoGoyjLD3UIdVjyGao7WS/Mi7JPN4PsNE7A1z9UHQyw/NS7r1BT99wJUNp2vLee 8Urck1cE3yupBfJOYRxmOMTIxgWMEs1n4Jf7tKYm3kBnLclSEbwEiCoScCHQ7vaOMwU2Lt2LjrFb F/Kx+RTRup3q6GpzI/6gGC8APGsQxM0NsMP3LEnyWBJWs541gAIFZJlIYL2UftyV0m7mTuZBCr07 DOL22FMVL6Hkpi2hpR1J8DXgeQRtz/GXbhaAOdeZ4veteQIFAFAK5uBu5DRbSb3trm94pdU8cSXK FrrqGW+QH3QeG6/7xGTXjxWTb4njFP4m7E9efd2ey0b2XveEtSz+9fDEjjvQAcdD8Ti3q+50QbdR h6YrXAX/32fz+3KJnFgcRCzCtNNIAXymb0BOm7CZbzeT2QVEjObpFkoJTS3rZiKhnjK1T+fjkKoD UxHemD+OIzLg//4Z3RhTtDaFhrTXXNPFjdW4Clo8m8M7EIT/gc2B6MmbLYE0DHMLKAP1hGHEVkO9 wZbt0Xa6e+t0DSJ6D4HQcA+DX68c7dumWUPxzZ/mPTksqFHB5JN2Ckxw45MhKuesr3do+0GfLcVQ odSl/PIHduIEjvJwtqphQ/fiuVb4CFVeCVPTuYy42DUcHKVeP5fhrDYsYTv0mhgPT5Zq3UwtojYd s64BdXLcqmCWR7ZLfWAsQAS+/WzXkE1YT40ABtI/YOQbkU/7Fp39+51UJttBPKxL7g0gVJmMTCV4 5uuMxkfHpjg9h46n7R672FYjA53CMTaHZw/7tg0ADSe77A/qtmArtOfc6039gC2yo1BzkxcqMT5H ObURo4x8fF+XoYaHjcj8X98cExAnYrUCIqC+/vY5y/bM4laAlyJNn2o2uRKwGSY4uFpJOXhFeWJT I5rP54UFAFsUqp2PozQydyWkzOx15+vHa0B2OYY1iodFt+QzAYQCtGs2qNH0YH5TRF1fFTDn8iR+ s4UdgwDZtxYTCZYB7eqEc+1hTtbj3pOtNIbwaujalEWHaP/4nac+TaIWCb0GH5DW0umzErHRPwjn qEpS+e59FUZ07rNA1NZ8Gx4/hoXmK8v+44+IMmb61a0Em7tMhCSRjGcPTtT5Wn7pAJvoiu+wA69b dvJ87ds15I2eqNkn9N4mA3ibwLDdE3kun5LirqZdccHPHn+QVXITOALahbPGTiN1yotA04qw3PX+ KDwj+QNZkkFIs+BJKGUNLw9aCHYYOEQjzvnYPwPhagfweJwlH2VJPls1RGHs/k03Yrg4mW9irIig 1JHTB4Wf3I6SWkDlbMiuebyMd1v7IXzjNHF06cmj+2fo2TzD4a/6eEFDmqs6t84P3zh3OeRH3SFx sgqQhuNplxcmvox0nZRJ8HN7Sme3Leg/gGdhcfBATnFingKaEGOMeDptLeun87zknx8ZMHR/LrCz sRwRuVtGueI3vjeij7vmX1URo3SWnCQTu2qMIVe0OHGSZEC8w0Wipvyn0biHCbzr7NDxTgtFlbBM zYEQPUwo5B8+KIJWK4yssj9dQj4wM2EL2+hm8/cY4dkFNLM08VDi0IDhPSykWeStsqwX+b2MUbmP 12AYqRqxiSCQiZDAGCcHE7b+XGNq2aE/9/Z/01PcymWI0IdeJ11DoAQnQD8LLhr6VrskNh8hEhzZ eyi9y7S4Dv5XNOKjv0HgwBN5Bi71tn87kghDQFDGiZGD3ON0Tx4G6Se7z8ttZ7qp6vDHPE6rvn31 n8GYeLpsGklGH92cXN1jP2JPo+Q1A9Ydbmf2bE+v4jiXAIe/+XDRQbhJd+cDeYDkMJKzkbdPWiyq EYoNDDwlezIUSHnmlaTo3PRmc0vc2OFurqQffrmmM0LBtcdxmNEmh21o5qj6y96LDAm2/jud4Gcm LJZl7YjIVmqY4Ly+TMyNOurgUabBynNGAfWaZ40XUqo+M8gI4wSmg1fI/Y2OzSxSYMaeSt/bAIAQ gtamcYQsNgxTClilDPwBqLiOD5kHJ0hDqpKRZxsLQvksgRXVdkjhwEv2gsUh5ngbsj0yx8M5Zk/m 4I/G+r4aeSe4fhzSALkHBsRMXtYX1uy4pFTOm+xDhn57FHOKzZ9YDYU+O/bwz5m0KYXNUUFeAOtA NDqZnfxJ6LZDBrS1Sgeq017XNvCX4Mq4zhooV234KffK3b9KJ4OE2zrDHa16gtbwPd0TopaKzlUb Z/oezWopRZbHD7j+4zCTKB3J6KRzOSBVG4pQttxbyZinlfmvqfUwg3Hle1dvYvG+WiaLoQ4/dNJ8 IproQPmOppDZ3+8RhzSwpHaOY0bzRQe2ue1XfQLefGddE9tTPdcmdNehtA/4hSzl4WEBMDRO1/KX icH/+RotzARsBvLIB9Zax5Woq8rZigT375+iOP6YyFBGX9h9rtPtT+i+W5EXT+8k3kiGv8w2YSSA 2eZTwbr9I0u5XlAjvmGFWBk9kDZy0zo/2ssSWX3g3uronN2MvvaIe/rY22Vew1EL2tSfNH2Zd8Dm qvY/05n0etitefg1qOfRRxp0T+y874XEHhok9vRYq8mbOxuYrrn/bR2qJ1F91FqaG/HvwWLT9Uom HDRz5tBV37bj3H9xhC4uWF70hiua2Jtxhgl+c6dTgDhVjqd9QnyP6mFUOPtJCF/2UswcDyXy4Qnn qNrN5A4l3sfdwLzwJcgkj5Phib3gCJkc6WKIs+UcZcaAsibYZF2okwt6KYKzsYUR/W5yDWqoMud5 UAMV+w2xiZwbkYFQGiX3zx2UwPFUy92+2bbCfn6RoDLFYYgxGnXYKmC7hFf2N0R20EgaucsS1PIg OdGujdo1N5WgetG6S48mijNnAiWoabSqyi49KrgwSK+MsSCdG8D0JyPnBmJ2YKMGOuUYY2InMqR2 QEy6UlPVvCkUqDRQKQ8N8MrKQNstf/94UrYH7HexW4+RVpndwYHHUeXJXyhPyyiq6HUW8l4jQYgU 60gMwo8vK3wFFu7R9SmdiJoEsanF8jhOhpNT4RvMogXhLP8V1HM1iHpiY6rM6yBWUUzIu7mQHYj4 EQ/lSR9V1OD2CUZCYbtMZNyWRXTB0CPMdMcLdd6YFhsQQwJNcxMcL7eWFjXh4Lhlds1V9Zggvu+P i0MliWwOJPL91NU7Nc3DcXpQ+AWNuQRBGhf2VQQt6VINV+Z75TGRA1X8aWgpVskdOo46+iwWmpeR jnt4pJ0r9Mj282Nju8fKVBHJAcugAfD1n4nCt+yeibAtPyOUibwuQdbilVeCEIFRVxggGYp3Y8+o 7OBXP/A1bOpYMybsd0IcGAfPKrPF9ErOl7aiHN7reyKdEbLxKf5fj+L22WqUkBhBY5ke2CJ1jtMF 2+xXtmclEWfZylteNSRJuRL7gqT2uwPOURRB0gIVGCo1L4PtBs+S/DwZpPimhmRFWmELpCny971W VW9ESL9+Y0e6N9SlNnxm40EH77GxoGuykjQULsakvka9b4OiiOuXcftq/expfEOACRSG1MIfwya6 LXdViYW14zCWNCIA7CTKhm7ZEBByWBAde5vVZVzlXHuQICyJbDMpghdwdXp8qUnZcjnsBbRIUiTr 2fUrA8P4SqBEkSazt7gdUQd321Lfu+zxTFGQiGukZfxdK+rexg60H3qScHSGQBxtgRo4dwlVTuBD s+7K53QEiHOwzuVIc2xnib7WSlA1WAqlE9kuNuca5LbPvYPm7pCG+spU3AqYngfFy6/tZtoaCRnK uMNwZaTbhVKEqVW8IKwWzG2sJoP/QooiY+9K9YZCGlVjnFj5qhwrRRsXXVXAI9ashK4zUyEKQUZI O8WLfg+8axKj6n9YhwSyn9kgpTZf53bidHwI8wR1DCpsqZyJJCsGVBqddvplGO5xmljShfhl1e/Q N4fSo8b2eLSa4HehMYHVzznBdBAyjM/MHZLRJSbhZ7A3/vZoa5o52TtVyR05zfPt3fgrQd93bGa0 ke5XmqoACaXhmXOp8O8pdRbFjt77B3a16xsaT+SChI/NRaxQQXWf4bLG7KBClT3wfWELxfE+S51l v6fBSlZzFbeaRh74EXuwq6tPT+36AWkNBOQiOTm+E7HFKTN5YQDMl8DWReB7vIYLbBRZ10AndtwZ 9Vi29tDriT7vDzG8Ug8a9ZrZ16iYpYxpscGqk6YzzdrlQodQbV4YzvE5c74VXFzVSTe4cCprzlNd e/MdlPoUtu7Nfqhd3qoIBdEQ4Vj8t51bp0cOiOvGuTAy8AbI2Ycd1FwzkCZJk6U89DknE/3XRnRI /e0X0xrkI28eq4pwqGkZnKBcZQ/pBRSv7VDeXsavPyH6Cj7bvq4zqh8+KyD9hQSFXmbFMcQAM4Z4 zPoCsPm0On1SLN8bEw22SdTVxBF+sf6V+8+WVjH0shn/+P/M+m2WEFEchiC7B7AesoorwBqardYN 8gOg54Z+kzUuFH6eSfU3/G0XrC1g1btpF7x7fwBdEAN0/caxwmSjPQCFNTLnF+yT5B/barGiNDq6 e9UJXVFRALIVmbVTSOlrUYYSmKU+u7k5SKKT1UbV4bHpWvPdwm1pvj+/3EZlEm0ItKPnngFEX+DL 31jirTNaJjQjYH9G079W7WFJrjtQpEnemG5UPjUgm8YxMMj8DcJliM+kwurNgBUxgJ1qZbcrJdu3 9gUEiFFtHN9qxwiGCx3DLzsy+/1+d25D2P7OX1mf8KR/jyQOZhGy7CWztaX9NDKWZ9Hqqtl+TxZK +I9ETW7LUyYT30WalID+PcyVLv+GjGhkDFa/3M9zHL5Dsj0+1MT49SB1XoF15bRU+f34TGFxaN+D lZfodqei5eEZJXXL6CTlZ4fA9W+rHk5glY4zrz5abeV4PZ5j944uZnMmEjyPJ+926v6C5jwAw87j /NZQNFkXoo5goklrEPJTmuygSMKqnTShRGp4FSSG8NLNGQEmxL9MSlHcX7vFsUzp0N1o47wXklWQ mgTJwYg5BhEH3y0vnDtetkOYkfH+4i7KHESr+kYElrfjQl8SIR2dNXFo9mzGPh9Zwb3PG6U7I+Qr 9BySM5+cOXeRelL9uQG8Q1nxBozo+LxIMggJusvmPeUEStewv0zUALqDI3HnWF9e47urp8lMI+hz fKcwasnWsk1B80I6kb2hm4qXIchC5gdZHt4ufELd2+veAdQ0nXh+7njKZ1YjEnqpn1NyBRpIOyjO FMZOpZuoLndIHt2HJwKOOMLAmHuJbyobDR+1PfiFWnw3f2YQvkEHZIin/2WO2/smgIjxpMOZZPMc DvUnVm7jjFt+J4BPzaVM7GkfvJD/CSdkbcT0Jzw3gC7uTHP9XvloCPG4Gsx3XYUQKDipDq03vDqg vx+2eOatghzAe6vzqnIB3BgGwzZejcG9CVDpcsiJee0ZM73HuK7tOLr/+V7h/31WtgNXt8Aj9agR 4yzCVURX+F2QsNBWFNou8CLiqEKloVJRVKke0Qzvl0oQfcI+COop3eiY/JwCRUEJkZn/2D0tj3lm WEEA0fHH4o58KlMvTCf/agR9Jjyi/C0/CoYLdxNgjR/KN5nz1cwlLRtQaMiBT43eJnrdWHqewJHl DJ/Hlk5OcI+5FD9M0tzaz07cJ/2bGhqWLsr3I6Tj+Hec+s9CNRLvD3z3GBYpx6z5izpU+AsUhx95 whOk7AFz1V5jjfzbc3FLJvR5XroXLg16NBkky906wGOf8vZ1jD8rkdLpxUL0LnXa1YSeci7Azu6+ fuZfWEgGCgffGv3mPD9N3uvfXtThMd9V+axGzLxpGlvhD12fbsBdI7jgCLPcOkly8OGSp0dYTf4I Idp8wygY8SoLfI9owyLDbQXuS2dzJwAOfn+dVLMvJSCh/0DKy1YT0PEwWirN0e/oxQKZHdr3Dmbj 5DGXYwc/4xFXdvpTTEuc7yHMTAPAfBVzUHMoLT/KTZuSaX9xotOHWtMs2YfIQLprPqh9/ZbaA+mL 6gt+OiqWjheh1XI09Ksh14JxZf8Y4bgQIRuDLmVPy8WNPnAjo9EsZmZg08V3LGxzQG2eGT7GE7Hu QzEwFiHSh7Vy2J6n+67eABYwWbvR+wu51vilB2RZr6ZYURWbTGRpnk4sR48e91fJHYqTvTe/rz2e jW4bnN785iWs9TXmWIM3Gkr6hwdgsebHsztBAa95rJ6VUJGmCtDvVZSTfqu9dA7fQ6LS4ZyWWJMc ugVERegvDdH25169/kzglrNGt1fExrs1P/2zXd0U23g+ENREjx2LM+ONPvrhCWLy3VYU99HhW0c6 f/O6hXxQeElW8HS1rS7R0B1RLhgTaRpeJ9TQEMrdH57GWS9KO4qmbWn1XhI3X3s92VTcjDj2txsp cnmo/YgYcTgkKdVKYHELvl5ZAzBbTxpnZ1ryxQcMTepuSuoFRpXZWpydIESc5Kog+R0BvqIvJbmW bqAKf7ADYhxPEqMpX//yD8wtmcZT4KzNojCUBQNeYPwFuvEOa3+rA/A77ZI/ijqkTV6+KsqgVK2W 4Coyl2LJ/7SPKrJ7eVaWPsQRdfCy6HpB4vKn4Qclxj3iR1DnT/lqmOecG8apFAtphBGRX1C3HEMx 98K7evMDWx16EAOtcPswT+uPkEB/IHFEMThhd3cPDCyRWUPHX0mTGXif9QEeOHD2g5F310V4cKBO zQHveGqSXmAc/QxNrp8CXVWaGMRZYhMPXCYDibEjmOV/zcYaSuWxufyg582IaFbbCQ5hazwykQIu UJ6GEIID/8CMzdak0tDu025pm0THO7cKGRuj7Fh+3DXwFBC75l6plIgSucADygrNi9gSAxHwst3c onyCmN8g8qyMhOj1DiJClF44CEjPgttVbNq1xKAlUKUOWhrGevqhj/Z85ETtB9nNuwGKyMckS6Dw CRrMHdQTcLQzs9jpT3Ge92I7Mfv2WlbcOmg5MvMhK9Bs2gY1t0angB1l/RVRJlj4LunJrnBR/FqS Ki/PYiA0fLZEwkDNP4iGR3BNlqJDqSZ8LMcL3ZQMvJhCwPbtAUEEQd+KzQF29LssMvcJqPpFhLMs aBOgpNZ0XHa0VGBdKNs/5q1CMgFwSdNC7Ql/acD6g1TrwvyeBI9txncs2KsJlmZhqI3rubgzAOpM AeXBaOSR+tLlvKRe0t5He54f3BqDQCgzT3tcY0Pf87fftZXbdcsq43gkIKsaLGuqcoJbnl3NWmlQ FR8/QcaYUO6tdhuanNpVu2XcBho9LObAkKNaiIAhSr64s/nBqx68qC0a9dNs5OLJBpvFEzS5aPtl WnwBluq7CBAA2Ydo4cSLeFZbrOHTR62x4yq0En0joKeD986JFIWGpr9OvQG0+wUKuIs0YHZSVX+h KQUUyGwll36JOx36l6rwxGz6yDEJPEQ86TBRUEuTZJd5x99MsS5LvDBCc3ctAXwZI+YI+80PXJ8x gJnhtFn+x5l1TsJGAk32QhlJu0xn7DKNgNto1bbXmhqQ3nzNrPWeZrvWvGyT8RuIdEBA20rN9wFi YoaGrQ9Grm1YkFFDeCH3/ILqa16e+Cu4s/ahYs8cPhjoFiDxA6//1LEIvOMLyj731iQ5gQ5EM9Gj 1SA3HftbWgId/49BvBCs38mBHMcjQoLLa3U16/Piw3ucyqL0tMakAru526qEQ+pYHXu33Zam4C9S YpLFpUEK3GA/b0RiN6ddntA7Y2MYDrBcptT/+/myrfbGM17QSTQdfy+sEMUz65WNcn4FpZt4v3iI a/Bue3TAH8e/ZJ7FPlflCU34PqhrdPaGLZaJ3zYDKWallHZXqtwEC5Kj6LKjsoxrC0i2/f1dHVHq vjzYQqie7SAbDSHGS42y7mTMtXG3EphP+3YPRnVqJn6EWu6P4BPj6nOq249GW7CSGa1xfsv12h+2 j2zZtRgPdZjITX6lo50N6TD9OE9/GzCwOV+moPD16l7ajZhftw9hG/qEc9aV/4LQ1bt7EHMwsBbi 2sWZii9Tfl+pgr4uWIGrJpTOTFMS2JBTWZz0jY0M+cJTkThNTKP0sjPo2I/dNvv7Y0foytZW16ON Gekfz6+/2m/Y5QieperarzWseYW7ANVBXCtCKJs7ifbmR9G1m7h9zsp0mFAEC5faJ8NVMq1Ds+fh Y0edZQsZZuhSJNTUMlJ7UDzCFUlIB0ntlnugGdmuElYap2GdCybRxApsSqZ55J6vsmDAe1CsWcZF ZTsnS1qJPJMvEbe4pioPq4q0kH/q73nopyLFUmcgJzdX+rTvDxdYp9eLXNGm/hH1hhb/A3af1fir ReIh04/5600+SGVLuaZbzo6c1YZuLmo4SenfO6/Tt6T4wx1LqKej0ivlblNIrV3r1PBHxG9d5RM7 RelpWIYa1ZYXavuz1Uy7NJB/ZrEeZZPSA0fy+jHei/3lYBVwmDONcUuB7Gt7t6zEdtAAcqe5MWEn m0cY/KmJ9xiXLirWZKUMcK/ktHiBVYyFRNAEmm8GSpE5eNtN9jnl7M+e5WQDuFTjTuIIn7f1kFcA 1U1VGAbF1VwwOn32QdYb/NoyMIUMk0QWBn7ZtOE30tpm50zNFESfk0EmMQUIYz1CLXiuo5CShfoA W8uM4QJAuVa4AvJlPrzBYKU7u1qnpNjFM+WzvdDOy/8Fqm/FLSqsFJC3rrqZKeEn/bqyMz9Das/0 LXjzpXh8DTLMK14omFAG3sTTEOUDmteHg21Yx+80hI8mJDLQdZDz40WaV/+IcKoYkUyPj/b6NsfI sZAwTBArnYhtGeBGCpnUBwOXuPewwtlMY/V4pBcQT/HYlW1mD12N9356r0lfSCDEmrQPShn17mBs L6KtMOAguBk5kTHtFgt9piOh0/SXB8SAS45W4uBLSCIwHQEdMhyVI7In8Q5x1fWzUcye9PexxAnH cqvlmgVS516AytXfZjGtqeCxkpHfyQjQjy2Ws7pBU+xa+5c8EuN63KKw+VAOeAiHbPZtecY3Q9eS xFIT5+NtY1Dr+e41aygS1xkTVFPN7LpNxeAHnIhP/FEkAbwz0cUXW2Ejk2uiw/0mJFwxLQJzDHnR j9Pq0SWIANNZ2RYwRkORkV6umdXQfvnxjCWU0c1jarWn/+Scf8uTIBlyXzWuBRkXKzu6q6EQXJ1o 6F7sYTQr3SdsJIZ5YfIcCQuYeJ31dsjuM2Ep2OdBDmS2+JBFGdekDwBPZi2gQ5O8QGQpTSubNeOi XgFKDtLdOiQarFmRkLwPsnXpm4bu3rQLHLQ6ZWhVxE4QInIk8sNkzLD2i6OZ6MvLnBgi7fx4ChTL okZJi4xwka2jgPKZOCcfzofocIgHS04Dt+gMLCHspH5vKb7wirztm+pZHCw7OPLBvWk82EkxMzkA G3VtZhDj7KA39LiEUNug738Zf6//prwjIQiNBe8LyxPJvM8FLT4p/mFj9eEwA5Ml/QxB0Sm5UaS4 dfq8TeyAr/ziwTuChVN8mrblUsu7g4icaWczNpdhyx92Q5/ecYEIfZUNMNMXQWVRv+32/kbwMrII x/SvwHaOMsUiimVIHx7K+9fdgseRpykT9KHBxRR7vTu3fFiu3lzBv8YteMLei2Ub9nY0ZFix1Dd2 E5hc9e8C2P5FcbVFuElDwoOksIoeoAhKp9q1fPwdpchvZWxdZ12gU00MtSP3f+4dCMfsI5QYo8hV JNtDsqd99r07erjIr/OkgdWcJpuV+8iG1LFV94gZHxzskWGSOCHlbjCq+/uqYBnJDEq/1e38E2DB f9nniGmoii5QZjDz7bQM/qiSYg4vYpV/+/aNSzF5tcoP3U4/xLaJGWynuFKQ3Pk7xnWV1K5DBLtv keloBSNYdHvPosWACNtBiDvu4muN0kiBjhzVtdK+C7Y7xZpf5pqXcHOBOz2LOyekibYmh3EiFOys uVi4p504X5FMMACwXfVEYyKeemxDHmPPciuyAYpYbnDbjEYsm05G82iKZ5P+aj4CUh2SBEFuZtON ACUSXDzqWGh9Jsn6RVa8pK1UmoZWnzvyPabBdnFO6MgNIe0aZgXGifv8FkNKc53XGz7FNplLsZBH W1Dp5x3Ac256nkNqq8Oaus0/lfeINJ/riIw9S9Jd8JtLu7T1PcekTEUB6qt60OEBwB3YURuqymMz BrYYXoN1/NQA35pkYkZajZI3diXFNK4MXvt9jX9+6B4GPRtrshw/mXMT8nSncDwfZIRLrEewvXcA 0xW1r15qDR+Y96D0YSS7JSWj+CD05LBrN9jUX3B77TQHHN7BbTdACy3F7mDf9lX4eT+4rLceYBTS Y5Cmx11mQDmrLO9nkWzz/RIAGhXY6yv/j7BhMH2TzCksxmf4pLvjBePzKXwxaLkrdIslCA5x3DE8 UI7Q5Lgdq0RDAKdev3VMZfcwVsyxF6es8yF4X8+6bmL43Urp6RjiZk/9qkg3rua1Ovo6GEyq8gt6 /aFjqzL5u1M9IPz4wXKOuh4TmXqufo9WiwqAt4hpPr3FvrPa85EsLi62/2GXdAkkffU4QLWPUMYH /wwGZGBonVzNJ3nC+yfEeCAhV5K9h71u1w4WJLpqmzjkpPccllPKtjeYbX+0PNxYhLvsej4xcUrM qSm9aqx8fsTSJb/Agz2PUfgETfgB4yi/qNXm7P8KRWOa7LgKPdjlTcFr5iGVmRkYFWUUPMiuTkFS DSkwaGhHkHBc7DjiblZzhr8khaEyPpqI7CujY74xFp33IxmmFKZeuaMo00h/HNX1jEJWnwcaqspf tPbV9K3GOii2LJuoCLAMnv5dDdoUOJsnMpEYC9vMZq7nSq6uoGcPBuSD3GUn+/CBu+fe9J/zaElc TNCb8GjcAw/Otnrt2KiPZwBQ+I3/AfVtgBtE3vJje/O7Z1D59CticOPPfOIg3VWF2p0U+ocLg67W ILOFfOz+tAft+ausKcxR4sRlfw5O2xHViLy5mKx/pwOVnVi9BIQJeH/4F5uPY45Go3Pezn8mOgcm ZU9aaVGESOB8INl3VJs0Q4OA1rFYGq5KK/BxzjVq8ayeBEDXuWNaGykVfl6MMoUa2TbxZaD1w6Pr mbpYkraalWSNRAGBOWf+KH8N7kR8lz7U2j4ogcgjpeEK73itUQljeva99TOZZWQxRNyERQZvRJYy 45npalcnSd3X7odTg/LaTbpZeF0EFe5QrBPK7SJCHSnwD5OUEzwhzwkQV/oQX3MplqQNyXsy7jxM fTd+n1a0Bk2jX127BfOkik/zwUqdRz5y3IysLk07VUcELoKdWgw1ilw+BI3+R9By9H+O1Dk+sYfh rzbsA4O606SgAsLniD6E8Y036F+IZ0glAG7pnV38KlvhkYBTcFH6ias1u1ThQ2q+fVgl9kfzfPL8 CqcUEEz1QLcXDW5thkjPS60D9E2GHfta7M3vVp8I9Nn1xUInGmUw/7PgoctjkewudcVWDoxpX+iz 4yUL6jfsmYegX6Z0HJtotsn+RUkrAG6qdKrfl9Gu7P24zui6I0ojdvMKJBJf7C83bJUvidnU87cA /rK6fAWl/Oca5P3C2EfECgqm/eLDleP/oj1T41NSEQQ+82Pnlj2OXX3BWEThK9QtV5uUK9Ohp860 m6GfIH5CvnpTpZy4TQ3nEYJNyDIVGt4JJehdr9QS8Q9wmR6dlLNEcOAUGjUbcLAVrenbnc5bCmIq Yh3F+wnbJZdLULfSBBF3Ti3MdTMqjUFyuVNPQyItf2k7gmTZrSUg4L4KyENuXvfjtFC1BXdzgvSb WGvraaYxDT/3eLzViRextPLRy23YY8sWYhc77RY/rlx4Rw7BVs/qV1xQmsQQ/e+4ULHHBDGzpBxL 9X3xZRw5NI+J8TKqo6cf9003m2gI9x8EhWwx07Odz2Qrh9mr4/9qxlTYi9Qqr/KXBm+qxcKS1Z7v ttgGO7gnQq21KJUVOW9UWDk8Oo6J0QJrGasRswokC+8pNYu6AkJdG/DZkmdCo2DVf+Z4RyY67WW8 rdXtjFyXvD/zll9iyroCPQtbA6qgs0vcekpvBdg7NZvp/RGuQ+4Cf/PYN8wow0PcgbWrDmRo2nmH EMUPU2CjuF8j/ZVTvwKVGx7w8rZGTREBzKx03DHYRq9WQIYo4cg0j0Oi0JTnfZL1yNfrhryJF8WC G5iTy5nYkncGrkqXTGiW812toa7JdB3zesuXZeEV54PPBpWUPVfrj2V0uD2uZzi/Pfhxk7Tnst2b v84zzQVHJ94PtwlFlTg/L7TPeZVQtr01pjvq6orSu8HsrXVDPPjEkmUgvT+u5thVq2bUT3vYOAhV obCSB24vyXWyykZSCffkLAoDubz/nFsUnjafSnXK0zfIyAjsb+zDqRU2eYDXF5zLV9FyH+rr19rT vse2rsJ0rsz43n8jDkB387tL3RcC+Tyxf39/Q0mLJT+X1RP8Jg/18iDeRVgCdMIRdJfE36BhE72a Q5F0ZGumc8EPcXJCcq4sglLsUMIOUUVhdoo0i5NoyeC9KmOHLENmLuwwb0kTGHyhRFA9TDcssmQ1 SC0xyzgE0w+D1yfFPZAzYNvM2HLD75uZJZ/ITKM77WrqToe+pcfK42+9LSipmPjINzvu8R977lNv RRuPKLKii5ZlMtmNJNTB0c5ORYJhyTnXRpGEc3xfMfpBGmtMtqG2equfcae5d+qRLPFm6BMrVchY rdnCIK1KJe01vwg0OdlLbhoUmvPLdOvd+I8nVKdwetImRe0VfBnd9Ba81jweUAhtxKL7PPunjFMJ cNBoHBg0ey3CrjCN2+Jf4nZ3dIrrkJTp+z4VcRtXT++suJMDYCr2HJ8N7lPSMkMtwx2FOnQljkrn D4tNraLwm88TW02YbDFmXJye6YQ0vkAkDAKmUyG8EH1A61UpD6/0azxvA+apSIL7kXNZX2MfZn/x nxs9opQumnoJVNoayQAOwNsd8OahXB7evf24DzWvJEXC0h26jkevtPHpsuboo+x0q1vsFtgWCLmu XWAu8TaOo7BmwtKmTyMznno4GaZcmgtDYhhPutUmnV64SAK1/5YbsQorcntabvpnoHXP7Mgg8yjl 2IZCZbjUkBJAlREv5HZAoWppg6Uaywi52frrW51NhrJ35bhLII2PYc7F62O6yg9I6BOfwVH3ZZgX 0lSRmYmbj08Juw1zi6eROnNCl3008+RT8gWy4DxLrBzSVHOCneiggIia9u8Plnj2n2/JXsRLpbg2 vjnqr4hoBOp/ZsBoemutu55/98sSyHcQrzuM2WCLd4lv7kN5ptjUOhepIdN9Kpe1jPpZFqV3nYvc sHp/JR0N013HhoDcvXkw5kSXFH1WSFKf6AL2oKqmEsQ3uiCvoF5R7dnofnJO/6s/KqV2mg7u84HZ 19qTmVMVKUb9ec+IzreJj+IP1A33vSESkrZZmh719JlUNHBxrKXoV8UI6JjqdDXGpbzHzqa/aEES QnL0HuK71/f4j+ArPN9rfX9oWZYodBougATGB3KpJbVWwt+fKnxnk+NisvJRNVVW1ctqgI9eePU/ n7pDGCIl4WHBDakzMKGnC6NgbkdYgOoWNOV7h0Ks1ovZA3rW5t6g1LziBjLJqUnD/UlkK/mQ7P+j tpjkT4FH9jOnB9xnV1iKoeQr+1gPZi+t0wm8vZZToXCcw4iQbwLcRBMguXU5ykPpBpoWyLsf50nH xLrlBfm9Ong8Kpja6IIeZaSf+/9oynnNg48lErZaz6G+feKn+g5zpaWY0m4bVW3caRXT7d0cjztB OJRayfMn1hjeATlXY4sOXMhjeqwIhCqFGjXpoPJkNx45xPQSpdNQEcPNB7U8uooTllXKBuAQqhJe Wa/xtKgfgxQpfeattgxmsy9TbB0M1GHYrJVtwq2jjJU6Jo+L0oceur1gyxgV57BwYA24eHHk8VNu AqDeZEi7AiMoIHjFjuM1iMB9XUK8mQ3H/PTekmCnOPVGPjiWveEbilBPT9dXpDHQt1NQuXau0lCk WAyAIhumvgGFrAYiyr1MyhtBUaGuMchaur/Ae0JzS5a84bkBeK8SrvGzaqlkhePPANMGQyQxGRTg ATmLIkf2//0N14xUUwkHTJvK8epUVH5yAsP369ZaF818ULjT9iD1TK3eJvykhXu4wKar4gMS6Y9V /PQ6PK4eFz3h4T9Ojfudj836gFr83lTWHzywbLm36/26g5i931BTLneSQ3f8I1uOFBO3HF7Y2199 uKtYuD5MyMs50fbVEQZ7rXUpuN7fMok1a2qbW5SpA1XoFrPNDaTIZlXOu8gaMCrsgDIBttn1MpY/ tnTGO0MPhay+jgzWOiLvc8z3uMWZLbstftp3m9XqxIDEWiq9LwRrg2xxHB8jyflw7C55t+cg7fvy vokv5vF+FaIcZp/NIJy349Jtr56mqNvifkw7xSeT3vgnqwKrq2xVQf0F33nRGKmmxT0iScVTmlsC gOwsmAGZPuyto/KOar+mcsDmE3+r9FGwTJjgYqX5U6ahopsQ1DC0kAYr5Qn3bmshlagbdm89sOrg Np5FttLMjxzBlBIBvUs3fuxUcMzWWU9tx813KHPebr48a4k+HWkZ8JjYl7a++ifw1Fdl0m8bFIkF STP5zhB440msnnnTjpgCPGe/t8IOIcvjd+WoC7A4hnysavXz0pdul5K7yVj/nHKgOBEgnQY0MgjE XQ7NTi8y6vxNQkkuBEdQpe6EHqzehrQAQxH0R8W6xlc6z6ifJOiSbzpK9pOhKoc9kdT6Xj+eUp/s 4pYNDilaFD8LgtCGvINWxHIf16V9VTuy70GVyCC1jvoH9VNqCNBMFacwKRJmzGocNUf2+qeqg8R2 3vs9hBrH4qh2c+APEV5bRN2UZCedJcvPB7vTtdygBqK+ORXPtaGzmWnwWb78I3gUSU3Po/wLXupW GQmSuiXJVGUHqGLdLrV+ZLXgT1FpyLEzU6n3nbEfcriWgoL/ZyWZs9wjqQWnvvRrtWokF18OQrnq zJAzqs2Dz4DThpeZg/m2HJ4ooDlkRIBPLsXIj9+vGCydVpMckUW//PXV8xXNzqzDtmfuNKkJRItA DEGkCpG4lBrIK8EevVIzA+YyMMNfrvzIjwnwHuBhhiS5YS+gLi1Lfh5t6c9neArUDbSvNc02VNjz plYcSTw2/l8wv7jut3gbySS4lLad7rhHjGR7XJ9QM284WNwQPVcvnxpJEJJ8gNgn9bZMH/IPDMk0 M5RrTlYse9TeTWC/tQvl08/onuUq0HJ9oEYfad9xQtuzSRIis9oUISJuBcId2uT8qw1va5katP2p FauZmk9pfIyoEJFiJpp4hOHJboAuyiijZ+4WbQ+RLYjHAx9Y4kzxSOBBZo5qulrtxGqZX87xTdRE fIYEO18LwcjfgtJTk0VjV0UefQodD0NdSSXMAYPXE8L6CCAyigULqNzULfUAuQveuHjDZ4tTNfvJ Smdiu1nBCrP1cUtAN83Vh+MTbKYz8x+dL43wj+6n7H3a3KO7vDVAEDCFZFN8q8DbAOpsNUuNGVd+ cEFiaE41fz4KbEAlBjiMhBVZE/E1Q31KCvrQ7E5WpV6jLjDZVDZqzxzP+tnL66kzQkM7K/IT9Mnn 2tH/XXOo9OYLCPANTVpwYCoOGYAPk2Y6X1LCYBxtPRaQa5He8Xr0HGbH39svoLg4XQNoG31o6+/y pTMRq3xybUptLKfuIQfXRhk/GL0v6dmuheFanwa+bZKnUMpXH/TBDtdTw1eTrvcR4b11gmgDrFIs LEgKhvuKU8KwEb8ba6zQFZlZ9SthQn4DEq0wya+lrRLyzgDNVyG7l2OGXLCcLNRSXmHu6qAoz0ap oGawZPJx8n/JR7/ZxxEriswPkyAolBPLFOiDHYSE6+euo0Zap2jwmCIska4QhixmVkUnabxtbdVh ps9w8TwT5XE+YNRDQ69kuFakmWjDVM8KoqeGnVpV32bo4EH3HcCpBEd7xiu4lnuNnuqLGCGY2rhK 0i5FQItGylIb+S5/bDJSWlmLlSTLKoynEzu0/0oaN7MpHdpFAyQ67YvGhSjQILlzyFJY+LiGr6Uf yUENAS5Xrz1QxrOxbZ2GUumkMd1EVwmGWsOc1ZqhzqZRqpwACrnN+ErQciTRZ+jkpdcxZkZvTMPD Ysd+GV+/dK+VNFwLfYbkEQoIqUNQnAvqT3YcnbKUAz8EVhIldwYAtolixFRrPXXcx7/tItvVbZr8 jer6k7eoXXtsRy4J2QbJFCqhEkzhrDq9XHFu1AyiQ+xORMYEeLafTBrxArezuFPHr5jVm0/T/PwB h4BzO3LR3F1phwP44UxVXyBJHS9iPX7V3a47xAeGhH7dtwC2ThMkqszEQm6J821xvGzU+ereyFW7 ldIPazmt9OonhLzdVF5yqty82Yxh5rrhGVvpVaq5maKVYhrO3cQR3zub7gPhw60uQEC1RBHCaj7p Nlom9XpEf8Rk0s+tVP6Ic9J8mNmHxv88nqp31tlGI/M3vzelk11BMIxC45/hmDJ8VANuZr5bonot Hb70Ntr4uubXkpqtr72+lHaWukbSRQ1BshZitQS5Xq9tvX7zcj+yJNcjdS4XrocTR4xpJUQ9iE52 f4OAZLBtqnmRpU0tynr/wOI5ngOMo7iXZSEWE/oFnnrzn2hHtbF8aKmBjK+LWT8gZGzSgZ+DAahM 8q9JbBuegJsup2Eq0Z0t3uAS58YgORT1M7TyQUdPiC5bKj3IJEK1Q0tzn2GTYKN49nCg1HEzqZpR 8Fip95YWG7HdvCEU444su8piW73/pvF6LFHXs6wogBjuy/FJo01jPycB43sIOO4Zwc+LIN32M/1L ZhgNhMMiSdahjrbbT8m1ajhbMPTMHQHFAudl/dQAbRnGkXOjiZyS8/5Gr6v6cfDcIiVhNWWKyXhb X/t00ylhVN/h4hPIjYO0i4HJmhX2rZdWDLD84aDnhwFCHFDIdEukd95uUM2hSQdoiW9TNKOQAzqe e0qmhOQEIbTxX0S33STlm0eHR4NDk/RcCPec2gVULCqbj9OEkyRIqhWzvJRnMmuyvpS4h8VSRFIk ISPlvB7tRvDuQTt1WpVBHewJa5dFcuqJIwDmm2M7zAoz3DIfUfFLhjtkZspLrsExEgdIAYmu1a1u jFbKUDNSrGJZawcWue7tJxJtWYpsW4piIjvSe9RV/o07EFsu1FdE4WMFrxlHEhY+r73CtwUL/0hc IKs4e2u2vgMRcN8JJxA3VkXjF/huH0s53fgwhKIgKm0iduuYE/koWjNuOnhICW16kvGTJ1foUjfA jtD/Re2AvRkYF9rG0Foh1hMHtDtxneYRZoRe+1gOTMg08Ie8cr2xgraAFPsy0GB6oS/1Gn/mAAcc RqgG1diOi1DmwhUydLjalRl/IzgQphKEmqxFwZY5nyB2grlbXvsoH/s2LY8NU7LUJgVrD+Ui/DsR wSbKl0UpnHXYgkcMPpsbv6Pufln2iHcW4Nbhl4WFbkJqN3ZP9BnFfeSMNJWxolvwaGzSXg+50Qfd Y8B5qLWrpKF/CcwrZFomMtchgx9L5CRd21DP7BnmQVKPvAQXSE90p1+UrpE+N6mA238wSQgFRYI0 mbhW5ZsVHcmnQWCtpRWye5C/fjOPGL9+ng3TiehN2xmd4peaUppE25cqhvBhg8opSba0WQIAa8Pm EFDXzDwHPCE4lDXmVi/fMh6q35T0FUmNE9FBxXD6Oqdg3/otjbLuoZDvofASJHMZyEOdSorKUnQw TGcTj4vGvGuXdhalozl7clt25OAjWAw8M+mQ8QxCQmjPCI/kUjd7doebwJ/TFm4Fb1gSI9CE/chd 7LfcKSYZZpw3mz1zz+FginFHyTo58k77qybzkSdHXmzb67V/Jus5DeZfwrD+3FKfpq2jt+3kbb/E wpphZ80EYusfKgCkdcy2oFa14v9g6PKvO5zV8jk9k4150Ri5ycR6D/z3y3RPX4OAiIgfRZHefk5p +eOEdhRrmIuZngSQlL2EggpY2wF34V1vK5BX8hunZHmO1BjTv/sHHy8RyBy9ansuMv6iV1n5y/73 1D8G8bctZAlKv+PfqRFog09TF2XYLynJX976kFn+PjXl37PxD4jxJlOshNMWPlhrZ63CMk+4m9OB cdmfooBO2RNv6evhC9HgOkArMH//RcJ9K1mhqhxEbF2VT6TFL846tpzb1QjHlRLXUnIC9y4+5XyV Hh8rDWoPcFYwwkfpsyZV4uQt+xIc5+HIy+6yzdQkdRdh6wS32wvbX3zMtV4+Nz6T+rK9jTmd6HOo QfHpLkpaf0LqHPgq+0k2Xl7ml/CJp0epJzqjslVn/xqeYk29J2QrBT4JXWbVrskz81zEl3a9Xbq7 HCvOQRRBYK/X+H2Ofmy9XtGd7WjKNmuS4GRTso2AzzGurl2Jq8dSveedqWlGt65u8sF6/UU6nW/z HviOTqh6qnxEzLiHh/dd9ghNEsNKxYsMb48M5elL0CUrEOxp4PW4k7Mqp/6hRkUkCmOnFG1ZLuXH fjV09s6eDNdLTgRb0CKKjD/aKCSgvMTBafbkIstSSmqU/G6EW4YPh3XfGnCrUXpBnfaB8+qFJ9Dp zUrgnRVsHFlpnhiUPWGVvvYJQwKy1h9oN7S0KeGnw0dPp7hzEOXX71SAeftJy+H2yvRylascmAnh 1b2/+uz0s9+SWEisv9OhMNY4LKmHcRW2G2JTOPjjnd9Bi+XBvkdkjZCETsDSja/ihNEpn/tjt7D5 T3VBkaKKlVAE4iyLOXKA5osIKWX9oXwfP4oFDJphNPshM+ax6yT9HnP/XvAKQRA6nMmRQ0Yq9FBf uVXcWiY0EUjBkdK9CoBoSLlYfsXTicpw79VU5jQM3sfxUYrW7KnaGt6gvEo7aprbOdd2BSNssO/Z oEuLOH4CsuDDzKsL8qh2g2ViZIESesg9HN/s4OmHeHQXdLhzj9dCKaLrzFKrk9hYZ+rtv+QCnHNH k254FaKNPVknq1Xjyw6w0eGZP9SxMBRA6HUbtAyl7YzOcbRX8C+Z3zupSTgVyy5K2tmUbbJ9wqV7 oeosbsWN+7qeWm5DGzJ58/cJ6K1M3a/sqyYgRuvIytnknCbzx9u6CRarNX9g5/ewg2PEwBCYp6kK Twawj76Gi3BM6dHIEKoLEYnJ4WHoKmXXwpGOMtFKb53IF+EODhQfw0QzdJf3PbF2GEpLPG2Qz2Lg YGzwZ7Ppa46SB1vLtATQztIZdRt+SoCSEIKKtn1Y+qfRajW0LF+wU5ztml8v5oeLzz/6HO3M+0JB fd2dZ599tcQUJWvplSl41AFcdPuY4tN5zjkYnSzWN8rZ7Sm9QzFixGw+NDYDsvWhBYLpMpDTA1K0 7XiVh6hQkE9rpc+n7vqnUziwzlOeX9doQUdowIXg4zf8ut9vNmrgFWoeeF8ROfErW3Ozvcn79dQF ytx845b34+AXXunL5MQbVCDl9nIsWlvRSDX5XRyok4XY6FnnoFPc2y/If5VG5H3SO1jbsBM9Kbu+ ODjbBzCQZFBazvPyLNQ5123Qif2f6+1aGEXM94RbPtP6sFChVxUhZ4fLVcDKOVyuGKOGFifTSwwf xdsrYWkXOe7CRo/tyCJKmau411dyRRaerVRHXc0hbtJgFBIJ1R42Us7nDN8eQUQc91a81/kGOGgy J5kdc0rfGwezfgeMs3H7LfQeRV7aCNbe2cTG0JPoQXdGNetvaxAhkUH3NxT1ls/S3C5SWQH4MAu1 QIek6P0CsL+x0VLF+vfF/UiWubLzR7H92CH2FnP/tNhOuRVS5GP07bbolPmOceaQW9BxFMEB2Vd0 5Zwy8rHzgsYhJ+56SnGoNcVxGCBzfc6qXIXiK95B4OfCr6vFtEcEzWsnjzIgEKijHHge8HitEadp s9ndC7LRv36VGpYuBiqa0ErNzcL6TQZKroCAB8adVWMq1YnIHg694K/+qVjBBU7VOGRUfnlwmCBK hhnjl8MJIRUATpR3FVtMs33RuthdHCc2G2Aix8XEB468gOUsa1Tq4R9r1J94E5oXnBHpQ8uNaXfY AqVD0HkNSMyzxJFvOvfyJHfwNQgvB2VwKgMI/XhkGlA+iBlAZoVfPu4ptfnw26WSSnOfnIR2sjIq u4W7y/hpyWqdFUfs/0cpQ0sh94ONELM0S/voffF4T0oHoioFqG2g5aMZAIuBGtCZqquXN2bXrqkE 7N05FYjDg2aN6yik3fU3QrqCND9Rz3rl/C4WBT0oniPG4vD0kl00GqdvsiVDAyQcNq+wawq315HC cWrwCqXYNO/DiHIlvMgYcwJdLMHE2IfsqWWJT8e+gLtoxPKoOgUDFGTLG7wPJksokaVw2JBfRwGv OzdaSUNI0ZR7c3KeCI2U4Iz1mOEZFBz9Y4c/KkIoeJfSloQW9BjMuOU0Hi1jtxZfS7kt604pWTyV NcarKmVw9oJ7ffc6exYce3Ibgts3x/1jul9ieanSWlybq5zq60VBXWKJayVKeJwFpuosQupi8z49 ex74RBPhbFy7Lw3fR/1GOFvquPiEKgTk+djZwSHVuo1+IEWo9rNx8Ls/6PtBoQ2c8EmQotBmA2tl 2ZnzUnpcGuul/XSkHncgNiODRP2PSFe7U7jyEeK3duADAh7yo+v6CYSXjjp+FbA3h7yJFUXjeF1v fJ/5qkIiptrc5aitIo+SmAVhC/pN/uKl/+QpdgrAqPYa0FmHbj/KjfyYsRhXfIekYnYyhr+oO8YW XHBjl4sa71aRVv/wowfDbPHtLu8GUy2e6zoqTvVNePf0v2lxjF3bxwzD1swP7MPfy3uqYgx+bI1c vF4sAXXf35uS2f4tNIjVULHLOyeoq9MYk9iFjMDqCT1by7VuDgwVIbyWF6+eu402bjLZWpkVAGa0 VuBg/x0xEZ+9z8IP+FjhHdlzfxCxdOOdmzRKwZHscjXsgMWd3JfS6//JYsRZ5zy0tEm0N9GrtL91 YoAh9G0pJDFIcoNfJDlcHUuv4eBXHEpFxRa63Gr1dtVKY0nlhnZxxTBY/iwZaYVcAV8I1VRDZfqu MH98LTMGFmB6LpafZtyTMMyDd+6uXB8VHg9NXB3/q8q5zf4BSZy514Pg/3CLR8B27nq/xxw6qk4m 0FSTG0+BdOxBnrNf0m24FI4aoOfh1A9wvtzd75stvedXS9eU0anwWjPChhBcnR1E8QOiSEP7ZQ/t iJ/xZg0gA+3Bx2fr3g5FqVApd+o1347hGJqsmtz8sQ63I8Lyx+NNgOqu21ycBrf948HxhYE6EApv FLxeyY2gh4d1c5CZ/iWOVf1kJ6HLZ+vYU4625WLirRqOhVAN79+MGy6ZGgZ4E0vSA9UJYvDZ2HBl OgOouy7dGH/8a0ENMjn5+Z7I0S9jciLRCki1olitLzV83ZnoGthLDQRoFhYyMt9906e+cA6Bmi6h 7MOopT4nlb0FVmkojP15Jfa3OsUlqzuaH2WcLeZUBn1LrGqhfgYIjgbZTIa6dnQqyj/QZmSQp6g1 ayGQdPrmnwtOr1T3fECl6SrfhNG848/CCUk+Durq18kyJK/1kSuPUGUUnqNtIx87BO8d5ZVPxG7E ZFg0jyIYW9wuWz1sU5w0jpHLwx8OJlq39FgOMkkqIkH50/Vt1uwgIziIY/kkHeMIHHZC7Pp6fgBz df9iWz26DQH9QLU5AhhumKKA7YXF9QE0/6UuxbiveXf3Hapy1/fCBFAk1E3wZX2TWBQwtDhY4lE9 Zo475gtwYenpxwo9pJjvfKEBnP/RYW+cpPKYOdylNokzxQ8bd6mvXEPivEvPzOFf3SYeI75dVwDa lIosLiQZogXb5Mt6gucJ2RG4q8Ya+y8xYG08cQISSg9B26o7BORpZeLjUF1glyGku2ZYusVaQVAw KNxNQXYAeS/s8hgV21tlH50A3/9H5g5+fTgQdloNIvU1bU2C8vp45xRHGTmcFCUn0oblCBBuAkck DNbaUji4hw7z8MeA7g6TQ3s5qNONqs67fjj6g6tcq0czdeVIOHKjWgok+aqoglDJaw9nmYwG8hac vtHkpa/xTk3YrPqXEeotm+s/dTh+KB2oRyfjfs2+MJMCA/ZEKXM0u2nerq4qi/L1x0L1KoO9zIk0 kLsEVNl5T3ZfQq8DTU6Klh71CGPwlUdEuqCiAvTSveyoMIHkhx+FvcT/JygC72tWi7CZxb2ZLgOE YkoXcXGdrRu4yw0kD6gnMr/CAsVoffMFxTen12UmkFf862Zi+ButZn9NNlJr9KKaIVpe/rceD6Pi RnyrSIlRIlSITssafBV/mDbGLrQRtUd2yuBqxj14sU+e6CNEdhuuJe9fWvadBDfVyyIRExRnFDBm x+cQaQ7KZQXv4ryJtWKj4qqWJFgnp63Y1n7u82dfm5eyeVMyZpa9LqUDUwghkkTqaX0MhD6UEIAl HW37TohtvR7+u8ZLmdeY+ZBVC8cEH4HINGBtj8aI/5lnFCJENVc9nG5HiSf3Ccvu6md+z3Uz9w5t IeACsrrN+4DxLivJA9IPfynR11svJIRMbvS1QfYxEcxclYnrc6/2oy/TVIN40UjYYKtI3Ccl6w15 wob3jyw7tFV7Hk6W/j/Ykote0nQxri0Zq29+Fk4UNsQ6UJ4HuAKEGNNbPA+YNrenPcloJVnYWBjG hTaaOSPVU2eCTS8nCOZMBRVYKvWPCvlJk2SG10HWdFhSPSoW20xltMTUb0O7ZkF6ao6TCyRSlSat kjJSWBAmJ/uPVGmgE25Wsq34TQi4Coe5QLbxUVi1E7jrmS3Aj2hglEuueTcWvFK0n6wrfrbnJ1zA HYuYyDpAE0fDwW8ZgEHCpuiPrMI8TcRT07Ht98CKM5KOR4yx6mhLc3azX7D8kzex9gSjkDAif/oN ER1AeulqzY7txX/cG6YicLapcqdcTfa5uRbDnNpxi6qDSrnepFjBk0Rm6fKopQf2MqtBN5HNOlxP 8E2ufAaYq0qbwyz08Z8XLmdUt+mY2x2AnQdMx97HQaESbfYiBQ+B3Sw/tV5+EKYzBlR99cVuLzdM TfIEttymjQzMYK5LBQc9v6HrzF8XH+i5iZpLx37G+S0rW8rh1AovZJZJJBMQ+BVzVvz4HyIfUWLq uPgj9Xz9LKJ5VSltBd+ftxX/KucQlpOmwDN3AEfY36kwsNKaqfVXnpvF0SLtEcIIFDX4iFETmieo CI5xMfTkdqxhuPhMczfTv11K3Raux2q6hWg6ByVcotxlBOAC/jXtMKO2aIlStTLQOl0UVregVvUL 2Iv8UFzJsfQiDn07EJXP2gAc/K8AGw5R2Eks9fiZnfj+fZ42qBZ6lMXiXv0FH+0L24TAq5TCtZBQ 5oCcq6wyr8n8E81Av7FaBGQjsNV1Csmm+RyD4ogi8VkCAmhnfOxictFtx2hRr7ruMZRGmcfO6vp4 u4klwdnUv5qyWRf+/OoPIiggukIgsrfB6XQ4Bhw9mf/5P7v7ALDabfAwPq3GAnDsMWzu3zi/KSvD RDI7Peptgt8m+gPIQ1a91m038brpuMQp8rw66JEVHxrOvIZMIsGrg5wjIkD0pBUJFEx8qVuRdrjw nURVC+nn14D9YOlD4MOqYGPtz5y24AbtA27FduiI/gftvWl7sE16SNfPRhtOq3Mk9YCi1Twm8XCE K8hR0KVVDJpMLp2ExMElf0NdFFksPEcCrRJWQkD5q3ICMg0AMNTK5ffqcj9gh93v7wBxrKCQcnan yIpE9inS51vaXTIG7J+DJktndkxJ6IO4dHgL8DjojFg2U9GwpBtYag7q7dvPvQnFvXG78LvKozDa my3bYaISN2YV9R0KsoA2qW8KsJEVQVA37ea4F34vgSzImtF77d+TDQ6MR0NZiCvIAP+TSd580Sv8 /T4v5fOq7eBnUfXDnqvOETFsITn+HEf/iA7diO9srNHo+TnNyA2UHc1yyH8ACwI/Ts05aq1B6ymS zMkH6FcPIh0JplZqgrpWtoBAf06uuctPG5gwrVlFw1HdniEwfPJ6qvNDMuNQ7oofHaH7v1a0vUDf ExAlpNdTHMjm53jqLiDQfRk0TdZeERGeR0cF+xwknWxTeroXJUYSeuAIgLNGy5lmnPgwqrcWss3R JpzDvRIQSXJKwRK50xgQdOBHyTJ6S2dt+tCIELvJRTmwZzS4g91zp5xNZ7kWOYaUwCPeOd5V2GhS UyEnhcOtmqx2ISg7I/NmI2i8C2WxHMSWnmKE9g3IGRH2DL9jFLCpruHU5g0nKOtlAWzU+GTTsf2X 0DKhBlVBh5OGNvlNRjC+6x7WtK9Uz0ZdjbvkVyc4G6ryIQPISLsrx6B46vBuzA/ISjZylEHrllL8 3M9gESgoYUZoceVziEQpBlj+ouHPgQrLVBDN5n6qZoFtqqcKltFIQcostGsSW+qrE8fYWv0I6283 63X8oslS8BxxetOIZtzhxLcZRBYS5GGUCrXwRcXM4Xc4KhXEHzuBfKaZh1lCiShxeXF3Tt468q2L N0ym8T4JDsAbXNvfhHP+dfDdN1hrDAtGmFDUOSU0s7BTfPEUEhZqOOCBQUHDyajPMly+I0UjOk4P md9A84nUBjZ69BATmvr3OorqrEoxHsEPv9QMBIY1xPWhZnoRRrqei4JTYFZ4lIXdxl0TzOAbCkoe OqqkGKxINKFyoHlhxLwtqtvweMG36jSvLEn7XLXY2tjt281otjjuc7f3YuexjLa6MjkQGU5eFOr9 Anp7Hc56Ju7h9MzQzmCPdUOzInTKbxUI7QrvXUul+NkE8zWZxoj5HaLqNNydN4N/ZmSlFj7L6Z3n gDT4LoaD59AZCy0moabNATGRlsnwMod97GOLdQSVvXOuBWfCA7s8DnWRXbXPFiuhcXZeuOFtTFlD NkUyeacD7PlXLVM4tGpqkqHU3XgyMp0tNYjTtlnUhZjONLvZ+7QEq0LZscUYE54xNPy7rLt5Kr1i yttJ4WqOoVYWkmqksXEu+nToNfA7SPbPkLxbU8vSFNRs8EtmQ1t3WqnE1ucpRDCWcZtGjYNBC6Ok 6WR3+MB8TaryoYYYUhTuSAxdLrAMT/2P5npJreFFKwHWx3+8xLdrLukPaJ43UVe8LZXyHWtUWIyv 3Vwq1HQM16UP979aL+U06fzvTU5z4ltAAH6XsKWtBBX5dPkizVHj/XFcE+0bhifU98Lawj1ecjut XL1eypVJsNYh1uye/LTzzcgGYv5d3urZc5Qq80uKC2c1xHRGusS0JjAXZPy479W2zTCmnfDD38NA cso2zOnburDsaPBkQnKRfp5Vq4PH7hWehd2HDN8iOIteDbxjNnUVCkxqPckFOhGWsPSqYt7CeqR0 VacvmcZfZenyCByaLsUp6RkJvjFKgoeTTVDBx35OdbDA5jzDofZy9aQlcnTDOhpChjz4lqnQV4Ip JeQFO0XnNHsJob8tTScWuJ3F0n9gm/pK8m0JKWnL2PdtX6Fk/qZ5qwQkqAXicSfxaSlQ1pij4kFu f/6o6oLnCVFVmC7u69+tzICQhbkZmWZLmFPJOivWTRM9LJMEFkTNnYhHjkaxImnM9QRHY2HtmaeP XeQJrGY4QwXgzRrUBcOyttFLQi5C71zRI7vSgVwpvsQcFVb3c1WSR96xgtzcVDFi8aCYuPZQ/CR9 rJMgTZLf/C8frc5inZhb0AnmU+noYkh8gFOuN+hHdQoTowgAXjTcjwOg2rHnGsUB50fGPZgjO1r2 pJDChQwTHPJ90jkiQMnLgrxezKFHdIXdz+Gv1HW8DKzQVzriPUekQmxwZQAmQrYcttrP4/7yncfy lQnEw0wcue9gc8G1AeRA+zVNLCudr3V+XGpuqWpEfNDYyKf8Hy4fj6LQDhN2l1Gn2EYCmYLx5yNp 0AaIErLgr7KvTSrho+fh+9e40cKlEO89PBYIqyZ4bDIPONjLqpGqy5rbqc1GEsKrfWaHC40pxMLc d6Tf9mVcAXHlNHbOJMwN/RlkR/q4coiIjgEXaEZU5X/FldfcKDnUTjCHmHxsgeWGf8vn5S5reAUn NPyfqyCZER4d5DUht5iu3ZkmaDwYjvrKpkSsozzieio0nf43LHc1nHCHIy+qla7EsCJPtbqn3tV3 CkLolFV7yjWAWUWMeSr/y8sQbDvm+wb6KolkJ9pJ0ajRxunL26lET+IMVUYxFENB3nuN4ygNa/Wk VdcHkJ3bHsPHtOzrmMUCH9ALdMVWGF6hC7sdQyqst2YwlmVVzxbgECG1bvboDK5dzjanlDSiXjtN VOOm7PgC3Li6vGlSP5Xpm1FP1or/NuZfjwIxcAWJ9+kRQbhb+53DJLAQBUBfmtiaT2iuT/mgETgy IAyXhHkO4Y4y9f4te19SKp/7HO7HPk+Z//RdtUpxzYIIx+JilPLao2ADqYu4VS1CpH4qnJNr52Lo T7IgmB5mDQwXQ4mJJH0bogqaKr1p+5YPzbd3GH0DbME0Ihv7mSRe8wvoYGhnaqEoLCgfzqS/SvRb DGnglkEq/Ve6k0a0EcKdv3soWYqriuBEQa1L1VHVZ6JmBF8JtXm7+Hllm8v203kaRD4jZ4u4berD HdbHarMSyNGIF6W778hv6zfBPi3zBuuA+6DUtH0zEuG+q138cyrPFcBxvfOZYV+wti8+i9taM+X4 gtstsl74umPW92MgnKWIV3Z9fptsXC6qqiwmm0828/8oIDo+y9RSh9EdKII9GtzUqMOJ0AfL725v zCftm7OUIIU2deOHf6wiEfy2N8y+QLdxbEOrzNqulrmKpvAS0b/wckXF3IUY2iJ4Mz7sho0mb3kW GkZ/e9rsksz/qtj7QiJT2+fn0idXevtqhEUebHdlga4Wm9eBgZcUSyKkDXyOifJiXCH+Tst01HS5 IrL7dPGap/aPrcV6P+HIou1Q0hj7RFrM3cNV2l8gMR9jM7dGR+lxmRW+KwNm8zYS9xgWBvVbwJpB vvdAdqfryVE1retoUJxr9OuHY5x6CsQ8CG6O3+xHeZc1wnRrbxX/eOZRzaaLk7qzDXGCs+G7D/BO Nu3vbZNPKs11hESMHBJGjKOlIPYOpsYVVA7kKDI7s6SeEvuj985GT3v2AEyHSdCRLyJeJgsRMv4F P/9XVV77PXzHxq1iJwoRPfWiEeSTCAwBSyC+IGRm7jaFEfEeoUr8rwbvqurJYbmI+Li/98rtBCiY fvYBfFoqIu+6UzjME3xLRIw5IgadD5l9OFHzAY9BWZdNjXK7cHT+hGNmU1C18VfZVAxRmkLLqlrG jahYdvtB+xdxAIH6S/Penush6zz+Zw/iQFQWeaNW+2HCReHnFzLSYR0HQ1ZVTjMlMpxtVJX/iui9 AgBRSvDjhVmuxdenC1fk9BVy9P5JAtqp5bSoje6WSoQfgbCTxLrAD4IKVA6MX3lXt+bt65z17kkB HrE0nIOBG72n+qEcPd2tM6iA+Lk4KR6TU8cjeMA5g0cInWVo+LXjsSFMFkmzzpbTMJWD2wYtrh5J TV6TFqRoqEMQ8bLgtpB/CAQfLqzI8rNJTrdOwDdf9wnnd3UgEiDJgSNuHttkqO6oW9Lz1Zp2m3RJ 99sbxKPPcAqKdTQmbo9SZZdIkVh9ajC82BjLoBu7l1K7YRF9ROnGDMwitqqTIgo7kl85HRAjiwC+ H75iJwnS1CY52AlPYW9SQkXkkmBh3FNjyoacc4yvIFkxwTXZx9sVWC4agZSgcwX9tSvOv+8VS8mD AE9zjDWmeV85rsiKAmOXl7nj1pGrl50gYfDRRW9wpXQYvJawN0UBZcWuAM14k5TSLNWkZ/N+V8i4 uXn/L8Og++hCMYkkg+dBNosBOZmeuplfKPkSNL2I8wCaOyIFZWwBpvMn4Z6d/6VcIhNcFfAnnCkQ x3qj1cU0SQDT4RVHuKdDqFeVnJ+i+bkaUMmSyucDM0uUZtmtxmq/fby12kFc0DKDxaw4i7tds3WO VDVt+4czE1ilOE8QL62QPo9MshfDlFFSTpddC3WuVLum2+1lfYXYe7Ys7QR7h4J+isnyEfq5I1i2 oOpjlKdQduSpdeYVcLCt+sNEdypGbFR4YVx3/4lUlSacJ5irNoA6c53plVuecwl9oYywuC65S7Qc 1rw3gLA0THNwK8r89WJnBchmROfiWaZhk5bvVP0r1UiajxT6MUYP30QZnRrodj7M3JsPzPTry34L Ot7WuER2gmzr1eDOE/QlB/q9Z8XQr37ON8uA4H7IZGY5QjBAR1g9tQQIufDDCcu2lFg63lAN0YbU XQUyxdqIathw2C7xxIxNdbEAkByaLpiiZXTeaiY0mcJTVDiIUeEi3PtzElKTh+iywbXv0beB6m5M CaRHe4Ve5Ca91RdJElMh4NOUQv9en62OByQ07+Q2Dg3E68LTuJeIkn/QDyyqOqNivpC9fC9ql0Mk o9n4VoYkC1VIaz6OT5ebAwOq9SvzJiprei6BJxqBKfkguH0MqZ/rmJxLYDx/yPH+TTeW+fZf7jJd G4mGST2bsD5qxDV5uAF0liKG4FhCICjNoEk66LjwSL2L7SfOfOZz8IwjvLraZvN2hXRtVymIrOvb Wjd/Zh5REzbB9NLfuNA+wwOhnV90QT/o8GswngAHgjAafr3tQRNqYOsAtmezNIHczW81PclwrpLt MI6Cd7jdBV7Z2yLP8iLPAcd7wgzgAoxfJ57VupHOefg9D4UW1aeEVb1xu73aYBjOwkrpBLttl6s5 M/U70KGImsciLcFT2UfH7a5TOITINADlL5gzMVit/bgC32WZhZtuK/BcsSrD2cujQJ/KduhYELYw g0+d115e8JMiIu7j7DeDj0DQo4WKUl9nnW47GokMw6ahvAxa478x7c+S+7g7YKGJno4sKC11XAqR TYIrfMZ2tpbYVPTz3mTP+fVyzI8ekYQeZ1y2vpkTCCddo+Dqf1TcAAZGwek4pQg9uYTzqbJDrLm8 CuCe9aMfmYJ1WogzSnya0oTJCzGha/3Ux6Q8rxgQWoGW7h+GRnsIYPUlCeU+ODWclia8qRi4Bpat 5gChyLuo3n2CiuT1PJ5h2BLWW8QLisauwbXimJEQRjgh86CIiOIwvv3fqiMvwpw5JzC2FH/93tmY VUSvVd5qv5H3Y4TZWuRy9Trshh5/K0TgA4Pj1g1y25CTaDzMkZC/0DFl59TB7y5eVqsvAxzOFI93 scjt887A0dg9cdFokIzstVFxjVIBEGWQtP4TQjhm+uxHLBV10VCO5jnhnWZ9EDKS2TvrszKQIph9 If6M7YxHr4YJ7i1pMtTC/mirHWb9uLBiBvoJPXOIDrhPUvIewtRy4l38H+cHAKXkzb4papXgLnsS MDjCKLEz08aD0B195PjcC5dmW/+ZSxXFReLeSV0r3Q0J6zQq9+M6l4RZ8m1+25loR/25Ysxn7CQg blXsB2PvpTA4DCwc1HBlm8Rjfw6yEP2ytqRTr4g2UaVQ7YrdfSBwWsSnvBXSh1anUzKYUyzerpQS szkMq0Ia8OHnZON0QbG7my7s2UGqLCb6K7F/jd8yTZaCkY88k2SrlzTYeU+gNK9GR2oH8GwyUVAw kXsyohOktPvID7Hpmoq6JxfnCt9k0gJX7MdGeh2nS76MWVER2nckRDDy2NxyC5FZvmnUTybivgVs FDijfXIyEncutrqFPtEVNWlccb0ch1tkK2M/kRRLQx6HG5soqFFj0UrV8pSSwJhKQTW21SIMAkEj EM3VcLohUFPfVNx8GsvKVAtHDJ3P8ILXBzz8lqNL4Spv75ui69VAvk75dfWYaUTLYyHheQgfqK8A S8h2nwhPBZWjeZNXFD/qZWa1mgHJxAZL+PxB3pEIOI4AL/jsZaPfEwcdugIK2i84j9U7XtnS726c TOEAGKJYlgqJlB6yT5CFk3MnDa2AnxAkk8RqIkU0WvxBNUrNzzpqtHltH3clMIVs/Ise+pc5l4yL il2ow4C6EO7cgseEPlfOh/3TJqW02qkr0lYzc9dMpLXi4jOGzHNa9Z+6rpW9bWpEYgbQTQHDLJ44 N8IJALtfUJern7WqOo147kyi1RD8tLI8djL+FqmhmtBj6Sb3P3lOM+MEJA8yTiDoL3BgUgC4cyRM 3OhOuYTxdzI6JTo5ESgXT4oShJZE1onN2xdBqj0COLmJHXbLsfZMmkDFQ/kzB3xeVY/f3EypNK8f /xz3UPLEa3Y/ba3FxMlnz6/ZETGscm6BfS4bCPKYk8LlhDQv0IwiEDzb6Pcyfy0O8bqXCQOADP2H a/beh64pdMlabmmCM82peN24LVDY9OwCEtUDXweu/q+S5Ms88XxCNuoceE/pilI9JMmWtz1jDHdS +gWD35FbtNVzzuH4gLt329K91cqE9OYUH/rQ+tyMDH1t0gTL/wcRBqQ1vzD/vdnp+RkOiwn6GDiw dwxNs3qGuG/m16/QD5XxrQC18RM/jPJ9X+7FIiFoTaCWF7l/l/TaJ1F6FauSuH2APKem2KbfwBqn ql/1QApI3j0jQ7Lt3/VSoM+dpEaMp1ZOBxyNuGHP/bRNr7/9q2TncV3uUMmiJAeWH8RD8Padts/Z zp/OhDQRcHhnAdMyBy9WYR1RVf2HkfprOUsVl7iGaG1xGY5aQSz5HAghnT4MxruYYPvoh+Ya/wuc Jyl/AE6CTBZru3BirX8GBNZb6fGpmfDT4tgP8S0NbEwjrNVIHPHTl/EPtWBFEBuMhAeoNInduOF6 3yKdea0qQdKFOP1DcxuINiJSJw+zgBS6lupLv2Cbto1E7dp0C5sSStn88B4Yp+c1WHEIO1ylu8Gl cWkoNdst98pGmpu9ep6iA/cym2+fcYLLNGG5tTPj2/V5jHhG5rO391sy54QBsMLwTWmi/CyqpEMr 1WB6aTJQUq0GkpokeDWZDJ2V0LV6qZLsmAlNrCPis49RgZbq41rqDvEa50F9GMFoeY2zNA5Or394 924rs2ZJrekKQsGapsVDprA6hquQvA9uHd18vakECdDKRhb6/BTzeh7NocliQBma/zWUM9uxguJr 9oDs0P3XIVSTOuY+3VLNGh4V+R8b3JgIrhjL586Y3mwXAMzlPEsOEKp1AkojN5Yje5riQAnx/YqU VTp8rJh6SpR9p+VsSr6eYAgvkFcbSRdL1jLGGaZnCEuKfazJitcUbuXkkvnFOmfKvMxdZJiF2FMl ZENrhtZxXILJLYme/3kl9WaRVXXH3pYEKF7krzkV72twhm08zSUInmqM2oCKjYgF95mwCe3ypERl bxW8vI9HTc79s0d1Sue+WntrvKnfsXq9cgwLXhhPAbPkxiUOYmj6iTuvMmOTefkEtSVAxS+Wo22/ oEC0rQTqO3D31k0sJwf+fHLO4hlaKLfEJzdapV2hw/akw5CIqfrb4b1INIbuZDcse6jF980umbDb N5eb5P+T8F8qaW+9GhnLgkpFj4MUrJ3vdNrtO8CKX2wobF9eTJEKQZUVKck+4QIn68vkZ3AGWkpL OEMKMJbXblk+VLjxGM8pbVtEnBFQLUf4GDnPFidY7A+P+TcW3M4D4Ve55lDCUJ1G8FMihbwPAJ8Z mSvIG/6ExIjcNKzjzrjbloVO9XVaF2P1kO7oO9FjNdwXCe/OCO8hvOusrENZnM2YCZw2G70R6254 x+FXexlbaHa+ZE96PGhBqmguON32pOlhURfd2+5xVxt2/TYaUwBPm/w34yEKSaUpi8PLhSn8UcbN bDI+NFIMssCeML9cvPFgTBz56wopvaCBe2V4PLF9v3mI9XjJMkJdNe2rfnTIkRlgyLRGQJZGSrOJ 9IJ3EWww/T0O/2fyPBMxP5/j11xocuePB2gJ7lLaM7Bb2B5eBRZGIrml/coqTOVe9ADrdMYJ8SN/ 7HNZjgI40Oyyai8jvJP+utviYxo+ehpFmNMPFJJwrwlaOCv0jX4PZfU3QSuu3tmpGSc7a08rolKj BzMXLmSU5RviC1UvoaaSufaRdh4QQMdCBITG4ozV9bLAeOqiiKENrTN8IK1QYb/F02CgmLjbzs1g IFZsgWGQe1mjDu7fj441FJHRCyL+KT60f0mWMMohx6k3Oik+oETl7h+XGEzgYVIlNialey8dLM8S +gbRQ6zK/gd+stLbEkgl3JtW576huqJIjI9tN7Ft4NKZXVMLwQSafSc6h07FWTXUP7+e7LstEtMF xTkjIKZpGvxLi1YfAO7znY8HQORIDLICU4iUc0AWZfguydzFHJkkki+7vfteSBK6uXmQ/PnHfvLO 0O3tl0kJZFPTVr+gbxYcqKYn/kLRkRcy63W876bEOPknZ3tcfe6fpAfNSvdkQQKE2yKqcBmHiMqo ScJHsGkMQB0UStz38MGuOm8R14NQ0tgpR+2KpHxyZch9fS6Js4D2+q0GMHSEtnfPifCaCelocPXY y9u3ALDtYkx4W89f9zJUifPVtKj+fzDJnzkw+0hzuwSaQaA2Qem5grll78X/uBGChPlBgix87+Ij k3QDfn0V/lQ3rlZpRgkj0VP6kINpkfMDaVyuqi4g1or4hGpwWOvEOAXneGnUA17J6+dUSQKkLtcw U8r7G8FBi2pCfL8fzsUokW5vBnNDGxbxqUEFakn9ImD7ZBceUnBlooFfQkkk2O0tToEJXjhs1wrE eGeBVzsufOhK4kHrvfjEIlvu17938dGRtQGKcy4xbh7+Thu7NlhozdQArxPXqLibaDKMNnMbZiMJ 21N5idS8FJPGKIpsm+TTaJcwbnj6+xdPVyKKjfEGe6OsTL6Eg36HcVGnnPl5seW2bqeLVLZlurRR o5asqtD7rxwEEP6RA1Izd142quIEcFprZBsTCrKXRjd3939wX8F0DUxmtcMotsImy+WNwx37V3lK tYswoF6tVv3KSe14lJfW8ijigXof7Zcblsq8HATe9+Vp+KiFM0W3sZon2TS/wCWxOccFvEmIP5sF ynLt8uBn3zHkKeYs4I3OtMAVnTaLWZMI5gK+VAkhOqFSOgi8VDQ+Mf+i07jpUe9CsS3JkcDVZStb LPY0EEmI+SKil2uz8xHH4sY32CC9gX10wvTU1LrTNCEDudUGQFyjfiPWro8JlH5BP9kyXpRSmBXb leKp2D5GklkSWt4jnhHwGyK89sD+7PuDuoTp44+aa+tIq5qOoRr/5BmhzD/Ii6NYFQss6hViUu6h J9ZxNa9GKLJgiIkby5rG0pAvf735KhXmeMamtXU283nnYqKWK0KIlPAsHOYr52hnIBJHNBMPXztC ZHGPj0pZpgrPaZc0fOvJjtt2UKMf+9bofND3ebjyhlSfhkhGlZwWjxC50NKepngOB/V1DipIzhRh PkoWkrHzTxymFwVJx6NoqUSrEb+Z46S+gQAsTSEKwy5Mx6S1WA9x2xx2Ht1rN0gBOnUG7siEecjm jNUf8dhKePpkrLpTNMJXmMNE0p54N6DVJQ4gvjrtkXohWTDbT0XJuh5s/dDzkC5VHm1XBkg/Pl+l NVm9FdEZmmZqLUCLwQKKdoLIui4rvlRDpEdp18nM06yqsVYs7hHRe42UymvjGYaYfJfkKTP+bhDB hBDx7E+yjzdZRAYX39p4W2pZDSnbFutlXqeq+yceQDC+8/w060w+E1KfYDNdEHy65PzUO0+dtOK4 3CwKRZsrIgPNAAdMkqXi8B6OQjagBeMK6yT5QY9SmMbAwjh5gYpaFhFQsEfoAEcdJaXLhgMVufJT Cum0XQZOUFSbmt4RkFndWVkfCdd9kWVw+FUP9kJKLjJjkM3tythSBYSpGXev6p47ZNdJ2gS1ijK5 tD9Oft0iuqldhmJOZ9qucghXtuW+i8L2gDIpf2ZlC0qagVoq6i9yvWZfI/H/+umA9dSU7gpBJCq5 SYYUxWZ3L6DB0YVmSUWz53Ssi+CL60/4tpbwgS+34kMPrZk4V51Xm4o3DJISyzxJf/fjUaBiXISf oR3CzcfPDpzizGYGH8+I9r9OvxSloC7tW1ExilmXfbnPIagmPyDqhipPnNLEDQ91w0O3+l4zuNUY JdGeAmMP2Lu6RFTrAtctKiXqO9kJYx1ujcgx4PxW37TDylfscqElm5MQXgV+p/I3w/ALD72x7bOi 1T0YNUzkJNahIZT5B4FKWR9iPnoFuRbhcKCRav6Z9FBEQ0CkZ3OBAI9ZqFoCX/NsLa0DwRUOuT7a GPp29xC7zjPU25tQmo0AccpFxTrcLs+Oop+z5Y7lkzwcyNQOwSRmdfzc17Bbwl7KqBGfdB2X4HSO 3e4KoAZISS/phGqBgLoWhRjP87fAkcoF4Lbj/kbg9KkiwFrfc0f5slNsJRKXqljzu3+8bwX08Akh lpul66jlR8sFBbJ84OOckv/MKRQPTb5PH/XmFtKEhJkwdcAulze5zC6+v41gxFF5BGdcCvGWpqq7 1jDZ6j/VskSlnZAUmBwEsQhAKB5g7cALi4+Dj0h+5b2mByv0VQl4yg53EMSTe4pK20ezw7gzKJ0j uFaakjZfbKUgVQMlQP4Lq5cOncP89yT2hyerSI4zo5hUO4I8ViyoRaOisTYCoym2ChP/259xSpHx AEmpQA/dyh/id0I3ZKeM79EqR289Yj7AhNebj8jvms2acGH+J/KZXuycgYSZnboaJJXvDKoNh44J ycFvHDuZ6nVT8d8lfaHsp0UtfBWaegsQLfkZrXqhYcchfWAg9w5WIcLB9tq5Bsbkzyt5EOFNEI1d qZgzLY1NYmc3YTTNk+A4ty8k3pY73z4h5Qgrl4CTIbiYgPpZIH1/Hb577ICQjudikEaFJWWJ/+mG spLBQWmYxoyemeQ81/ms0C7erSgqhMxYdkehLlLXgHRj5JjibvA9PepFbrWYOwoYj4ZftA76Npum bUSmP1tGbnHlb1EWToAMZKZ1cUN2pLEqOY86qgvQaWJbZt/qhmRLWV9GG6yewBAOGRKPmAhP+4pk vh3bMzXhhe/sKuTTHyH9zOzEyb2IXjNBZR1ct9NG8VhvJEwoikfjZ+iU43N/e7Uv4hHRo9YylRF3 ME/zfGestJfvv6EISPe4ma8auLmAqdPjniurdPNmQmUFoW+4JRsgeJU2Jbim6sOGYPQcyhen/x+z iRqdC8XXiwm2Ue+UAl5MoUPT6JWy0lmT1qGOikfbt8O+0pa8nN5e+VfslTL7GeWN4f1g6WY6ImP7 Y4Y3l2jsIUS6nSUGYCFNFb6a1Womv/CRpRHPhZLHVABfl4DPjQP8NVzYI0HbmOUgDf2VFQn6hdb1 cS1NA69L/EOaDHExG/ZFJ6h28LSfe0gLCRBK7z9+9574fvfNzfDibUmDoXqN4icdtQPjqB0Aw/vd 71N74idXLSGoUmdzfn9OJeWTEW2BOZvGL3KBRwvQEEubfox/nyfgf4qJB6w+w5zroq1inb1dh5Z4 0Pea/LqiFNcAXOR0O68dRsMMTUuS+SAzOohT0BY5cPG28mef042c7xozUwmbS8N4UdrTsViNGbK1 KP5Kh1CPWMZ8LZ/toG8OQVt/YquLIF8nDYeZEgNjwRgyXZRIs6svV7Q72pKGU3jbEuYAXubIrEg2 d7/oCPavcTZjhFyJcJkb/mhjt7rf3+K7CcMj5AzaVwL5M6Ux54w6hMfuoP83mlye8wd5AZ7zWZ3C Oke7IiBQ7YkC3lpVcKc5GyFRS28QX8ZULyO+sAGtfhd95K+HTRgS1g4QMPFWaQffTbOuOi3ohFAB OCSQe9zYIEUrJfc1nvVl8C51LdOZG/5cbR/ac0uodJmXb24TgW1O1DUcqb6nG3c5Gy+FjSc0A/Jq NwwBJ80MmCLjdElpPACbIMg8CzKdYK7DhkhzPhZFJB3CkKRPkwTA4fgOiZTFikXiMt6tm7s0uLL2 Maa4hLTkaBwFTZ/tNgIHRaJ+3dNCXy/rATFxOAs0WRgJbfiGJHP98Bmuh9qMpdt9/QpSZ06A4ICa OCLUE8zewS/XBXmpWY4f4sIxfq+i53eDOdiR8zmrbyDAp4h2uJWpgT5e3TEUBqfuH3dKUK1X5aTE 8diRxKFcJLvcZh7Q6thXXFH9BhCy6pqzqbaJ+ow6Th41hZhHl7T6VCxiOPXETj5iXvWonhZjMApj N0OVdNk8ZCtL9ApqpZOFs+FrEUg5QPMQymhIb6xST41/2FFfUkfR0fojPHS0qLtZcD5mvPpRabZc g8a0KR4CUatAi9vfav+lS/YpyfoOC0luM3ChXlcvuzwCVZXSxYRgJeqNXd+sSRSiJNxULSNoStSG fuI0p+XPSSBvqJgsEi6DKh15h91jOOTH5b8jt7E9K1C4JvTd9j+37Y9V7/eRUx39AjqVFgqsp5jU k2XRuIZFu5O02vAbb9FEPniGO4Iy8fb+q1CfZIVNagJgDDoXAXtygRd7HGQoi1FNIAjCV4nm5pI3 DhVl6YJ2DkHgnlMvUVeEHWbdVwfnLT3OJt191Uvu/b/HDk4GEt1dj85Z3o1pj3qPLf3TMk+TSUH2 hqKzBnx4on2uoJuxEiHFXtHFau2787x/qhGkNvuWqChjMvdHAgUh1ptiW1gotj2cNjAEthAlU/FE IfcrZ1HFLfv7U9BMqrbZeC3kP+ncSpV1dBHNxbE//Y12e7IEMWmivLlVu8yigmsOKl1ufxj029dg VWK7047Xbimp1Fl+T4f1jC3iCOa8eMmAEO/29TzSOXwdY6g2tYQMZ5KtLN4x3ww4oZGamCsCL+FO 2oLuq486FCe97UkFcmu+DLfwwYO/7YhsF0S6I8/6cOwcP5Tw7oD9+PQ1X+m8cL3kfqePAeck2siH 5Zd3m7ItN5p+gpNUsuKAXHofSgWBwsFo0mlUhk8Z1c9NO2M7aDO/xa3vGyCiBpCNJXQOKNVvI2RI dMBnfk82KDmDIDoM3S2xgghPxY6mem6b/7hnPp5Um/1pLAq58aQB9zAx0FXq4rjFn2Y6WZ2eJ59c dv8l8b0E0ki6r+BOyoHBD2OI29JWG72NETSs16RTK6wEDHjabQOnPwREzXwWYgo7p0r49AhLf3Su ldcixXNiOkzGTLEIOvn+O78+/Adgh38H43eCo6W3fOakl3yF3KNMCp4ZW+YozEN55ZcAMNMBaN24 kZm8VaKn/ip7u+veb0JtOqPVpuB8hETIgKLakCt2Jx4f0mERkq3XwZMUberBaWyRm/nME+S66IWJ +e1fJhYzTwTeU3gCCoGu2PmgKoQi5aPs+Lv00Jtyv3gs190/eAInLdK/ptFnlP0Dt3Y53GIf9tCh s6kteZ0lkfhUG7rtDhoM24cCrewjkJJoeCdfzcSC1g1CDCrN4fy1lBzJn3qGi59+b6i6R95BIlpa JGKJt2MeTpPzYKFkGRefQrOqhggLDybwXwvxaiJ2s4m/SYokhtVOv7/9aGhQ3PCuWhID758z510D D6U06xWN/AjSO1Kk/MSj0hvFioCK7eQSrf8uLKQeGnNxmxK30oKQXzHq4xp4CuexwO5/5a+21A1w lfhBkeaIDINj8uQ79vQKpRcAK3VTe6yqFTqo2Cby4411KoDjq8tHwegVNWKxblWVXYleF6vSQbrm epsQv3IOpiCUZaJY0r9PgO6e4LUHtEvG3zDhDCu5Dbf7yuIwmG9I7Hr4ZI6d6cUhf7PtqSTwp4HX noaQsVk2lHKIW9wXb9eCCvbzw4atxAPB0kgDbBmBxiG8W9hY36Vlf1Omwlis38Eum1y4MyGARtZr CLPErjA3nSZ4SfBUoJVBs5mByodhvg1Yu+6DnUvihOimbCE7NEu9TriPAWsYKwCH7h+UBxwwILVm hqP9YoDi1jOq7EvZ0w3lzdvnlM5uf393Ea2huncVrb7nKa3wv4pOjwcsEMpFMqM6C1f4jMR3mICP QtjnhSEb1Uwf2rylgVDFRTzNW9oYN1hgkFS/NqBuWFNdg0JfnCAAus2WnkQA+y4GxiYGgg9toGoM JwWe/ch8IsXu6SqH/RWa6edYiDmUN1wSQ48ODs6ok5tAIALTbdxwVFBTW/JqwyEC9uO+BA0ErrcS m8zaVRGUCTgj6DeTxV+do4nqre+HMQ+4cknGvQXqN10eKCVJ0OfrY9RtQt1dPE+kO/57RB5LtOgH HMHEBHV8To/9TaG1nKhl6UD98tS3CZZh7F/Layv/MyvFxJSStckG8wYRoFjlbs1YdzvJQcqeJNCS F1l9Gcnu4Qsq9OzEl4oi2v3qPbkbe6vNcWR6/0BxAfkyktEisNkm79/+ZMYZn6BssfxVSKnKVX+x QT0Ttp8pxbBXLbk2WaT6Ngr9g5aSdNHFwKcrKxeMEWAwDHV13qE+6/ZcA9xF7ZPn62SZ3kIFfTft lAZCJXwa+pHBh3C+1KEpMIkMY+NYELNT6/n9HrL7d+6a8pNgXihTLyPI8xsRlTQJ9ISSzlx+/f2I 3fDlsTQeKUO+YV8djXO8nUTQ3brq5zbSPgsdz9k7nTYkprwHmR02wmIMhy+9BlFLfgQEAsrR61J+ 12Rp4nqURvczFT7zTDjQpofvC0xJreVsWL88ePlSDdHRzXe8lZnJHe0PLg73YNaWk2GxCaRI+HN6 W8VpROz42zXVgDhH3fnKWWxjzPC23fe5VRrTHQhY0ZQydtMKARRiPg5trIdVxKCuZkpSSfkc4m53 99UHhCInqX8o+bETYlbENa2y0lru1ojbDp2x71D6MDCHDZIp4WTjW5R9P48jrR7V/V76VNMJlO/H CFDumelfTH7pUUEmjhfnaksCzCQ+egGSzRTwaHUIa6lzOAF3I0QwgodVv5fWsC7r2tSuyTgMFqc2 rrdqgwACsrMmh9fAp62I5NZGVOhykSUVlP6iu8kGMx/NOT+E+/Vnm+/qz641eLPkaP3ZqNno4xHl qrIxllHrLcQLhlHxW/RJo43z6U1RKNGM2j+zoOVr+7W62THZbt2i80W93ZkFMoygqPwwH4IPgVGt /M1b8wHObqOxfyJjA6+5Ip4ThdRf8G/UOdSBk68mGJCqKA0mLJ0e2YgdaOHBuYZ+BIbi2/tixIKT 5OS1vww90uoiBCJQnS3SuWf9NoOCX7TQj/XjEHPIgwYZ8Y6Roq8SRYjuy8dBX8Uup/xZ9L1raY8X eLAwYctmkt7WNngLAfgRJuySeUD+DsJoGlIiCtou922g2+QXmyiImmJO7x3DLGZwRvCGG6vKoXaJ Z17Lwrjl1gMU3IaF5FU6YmHMegZq+iHU2/tYTno7LVgrMWSWrDncodD2Jc+qI0db77hPR2HdqPAQ VWfWRRqc2uzvc8v06ztTtPkn9AxY/S9el/iVCetBoeDaMT0lsqGp4y13GYo0mJfsUgZq54J0Ws63 nJ/tB40srp8/iD1RNrMED/z351wzqsJJBVh6ywn2+hkZ3cTTkASd+B99v1oq9+AIBBUXBVEXNpYj IQh6v/BR+Py8qkHnVkRns+EzFsol47JTtx2/qH89VcDr8qKwIfjtyY/UoX3bZ5CnRxxEHUk9im3h zAY1SjFAy9/O8/XuMn576t8G5tUyFBOH7BvRvvRnkPsmtoXLhLPnKqd0mm0uUggekojOre731t08 4PzV3XJk3ry01Gh1VZ+cf6aFBN3RwoDrhVE8/WnubW/rFpUI2HRPrh0C6dw0WJ9dkdfSCdzK0l9k nv02MkEfgRxc+e3HBvycPoeWKZHCv46BjKzkhlTy+7LkDaunTAwv9tihi+DkV3cIciu2qbj1mvUu DvI/3dyfzMPZvNcIK+6Rkp72XgXwQ1KkG1KXT1taI2rkQGlByNTpv7Cg1xg77ZMjFI9ZxR1Qc57j yjNIHCUWAipaNfQ1zilJ2RD4+VJJasPwvzNzR7/Zz/+BVYPmzFgStVM/0ptPOv5pw+T8IqSflGgE HNilULaaKeBKRuxb3l9q70ECOEZHyZRC+RDxKH7rTx3LJ9SQaQPoJOi2Tx25K9wj5sUcTCa/QL/C XGtV0TXS1aO5BvS6ilHkt7sfa2umgA/juR3Aw836SuX13RQIElhxMeksyLwLqQZSiQXPiaAGwqFR xavwjfNMf5kVuN9uHNXGFKoBTyHO/bUzOaCQrIwsUjHsiu/smLqxqYZgXzeuX0bZidWxVaEFqeCj CT4IBmJiYaqfZ8UKNTLOMlqXR1JKuH4kFg/DPT4gmmvVqq5nfAkXeOXb7PNBagmjcsUtzo9PFeDP lnvmQ9dd0bpll16I7SYDPn/YsY5mLiserQkU8G2ZKf11fNer8g4VrcA1TtX0ewMTfMwowUosvy9n Yfasn0FUT001LRC0joo/kAtK8wt5fqJB0MUUF68OHxEC9jUpgjF8WoH9BjZ742D3cChvPbMpbXSI OHiPlg+PbH0x/9SXJvgwY8Fb3VQEO1ulw5/KsLz1kX5487nqTGLlTsv94DOUaTGllTHqCKFQC6tJ 1ozXP3EPxaffculORnpWqW4QiJdeXeWZrXsnrk182P5bqcTgfouY3hgvWKulCQGfmi/CCdARJczt 5/+vDlPDenZgqGYamEOpfJ6GcVwDJAttZZIeweAN2x+qK4voO7TyJCY0b8rM70nndmPt42fcDnN5 BE5Nec4ubgP6EeIqsku9/0TF7iuuIOa9J7zeySjxrNqsHJo4XwaauNBrjz3scEXWw1JiBwXcT2/p Yl2JI8F/hGi7jqjvS8RC0C1DTp5NptinfjuUhdC78MKyhhmI5EWdS0qxaW0JJvB+t3Z6J2S6TJLH h/AeMAfDNVsK9S0qSK0QsyzRyFK6rTlJJIWckCHFgATeqzc9tHc0sehsKAH2NQthCQSs5z+7M8UW E6X16txFWrtR4YM8UR9T/t+G9xSi1tZ/YrGWUTZc8pBnn+zvdJMOYr3o9Xq/h5gaC+PW7mJDrsai 5U5tu0msFa8B44qveoalOkSsKtJfeM9Fmtf59mDTW+fnz7/OLU2iKJ1KsW3lD4SJBGMMtj2WRP9D cAMuc9JjOt+IoCrTAw/9f5OwjKJK+wxTIek0WgHPliy6MFkBtE1cRGSf+kBFjcev5r6H5MWJ9byr ck2ay3c5ECY74wlBYyyWN4GvdPP7twN/SAhoEZPvjtQLAWmDhZa9ui6zyjbEqvaVffPu2FGBZTiX Fk6uxjdn/oZ2g5hfYKqFCxzEKr3lQEW4d9xatKrmQ9m/igYDC11z5nzwoftFn475ayFUwq1dNS7Y u/okgrTxwyh/tgRi54wlxqwWhgMVjnwJLztK92kMmVxQf9OPm/qlF6T/9gkUrX9+ahoF31HMcyU/ K2W0ADKYFmso8y7oLxTILuQavsZEqLfY/nVRjRzzmEpNHCeOIuXn2T6fPUuSBPlckqwesoaSj02d /EcgKkbrPoQsNc7MPqCWmbIoVeetrMv7trTNf8cQNRnr1PG7PZbE5XGZA6YJNn3adRRncS/BEIez BKbaNU033FPIQco6UCmH/aZeLBVR3G57KivEGtsbgW5PXrV094wdZ5BAOTB/cs9FdFMrXsCnMoDL CdwANZXXvGfIzPDnfXKnPgVMKLS73EeY3271NwwNI0enyaCbDkzdx+h/tf9LlKIrcloCu+VJl5MY UEAM/RE7ukWqSaMR4L++n9F2e1kOv82B8Pqu7aUmYg3VkCYtIwu3FzG90b8kky8TCF7UolEFa5GR f80B6r8loka1IvHW/IUTI5P9gaxyzzpW/1lq5cjd7hQQGTCbKd/Q7EWXDwETQ255eI2uJ1YN7M8n o73TKTK7W3c+YQC/d9Lfq1kQGF58T/flJb9F0d4XsnTGb4OmVOuoQO9jmpZtYNzcQEuD624c05sX dGuciX98+UarWV3lm93bG+Dg5Xx3OGtx7JxEpRDYormrIDphCF9kgVJti/YaV4FkHeFgje2bJvkg ENG+5dCgyl4fJh6mUANdLDWHziAEEM9eq96Mw7CbZLM0NXwR9XzaX8KPas8YDUm6A69AfsvcJZ0Z 5LR5BfLVTEQtyg3SCHWa4TSjrvxC4QYI0UcB/XTM2GIYU1qyVRJDMH5/K5qtait/eLzUxhOw5ip0 f6fnm7tdWjA6BuhPU0GGXEs6PbPaybdvGse6vQ/910gNSxbIcJ8J/3qMDKHrj4ByUHZqNl60uXqa ojmnOfmJVHAr7wZ/s/xrnmA0JiPYZ7P3xY/R5at4EhFrp9rk9sjklb2uMmhwUwPneVM+hZOGsHs8 EpXzlVYgNL+t1mzxYX5IvgV6wbiPXiUbEUoigIvaPebQF5TbKx73x7jKuhapbJXyFmnafib2JmCw 0zWuPb8BSzOVuEfX3/TUqk+kP1bo9H3kMkGqec5zpZ5Afn8ypUFOVO2WRrKUEsvj92RAsBloX4Bk MUdihWe0yKxOFHOlhvLz3hzxoa091qgvikd0OBN19OHoKDN6q6JH4bnjTBq+p591/TKYO7kXpNdj TImBspmw6Y2krlIsZmhRktI2ClipLGsISmngULcP9QOdCv8VN0As80pLWHvvXtm8wTu5DllrlVkG XA+B+JB3rxIBWeEPAS1qmyA1/e5pzwylXa4pQtRDpBIXFovA+J64C21glmCBinobddTtkImpAt6V TkHSyi+vKs2yVMStqqotJt0TR6KxfZIxCUoi9K5doFFebnwaKZHfbvYHQgBF7SpzU7Fw7bCX9jo7 WSkc4nxV+owWjzTMGsSf9oJVsGRgDIHDcj9mChQe45cecXcCfcXNbHOcLu+XSke5QJORt0TW0XV7 HE2cqMTSUUCYHYNC4qVaF2aV+doZrbvY860KzvqVgDGDfPpf7ssSUCjyYMw/QtqhaFnwWL3HTfgS QLTFXysRjyJjb67lrcvDVrS/NsDXU8viNGqUWtpMlW0BEDYCGU6mZWo+Zk6ZMFlEKMo9GbhetZL4 wh66IFULN3Q1s/VTx1GBgGZYIyUHiLxbl0CurOBltkZJFTvyuy5yeDI/bdWSwUNHRpPoR1SLyE+C BUFvizhpc0JUu3d0oHy5/w3qdPLp4HSFHlMWuLzGLdEj8XLnULcnGm939tiOx8BOaW7o8/5yhfKq 2rb7KWwM1SxWMriwDu5BtXFR7RAbJ9sL8jVh+CG9VPcN4c9K1Yf6pn1gUYH/qVF4zUsmM4idITKd H2qLpgUItDreAJYOvwp0HOpnll0jXVrbJBVwY7Rtqs5PNhKOtTTm87zd5aHm4apuh3e6ROeZSFLe ahtGAWeUSf6KUkrpATbGOEDECzQbN3Yto76XPujc6kWiWRxuiOdRVgo5wF8s7esdaWiLg5MZcxYj b5xd/sfqDiYWYOt0RxvTH8Nin6yrybB3KU6nWUAII7LQ34jE21LI+2AC0DYC8loJ55VReszY85vw Cb8LCT9DFLg5EcIZVVZ6WhG98Sg74yULLh+FjcrhyQVFiDtWAiPOvM13ccOZT3VkooKw+WUN9hqO 0A3oCj4a/+Ivha/BjxUrXZVRfgicJMIBSVMsj3rR+yLJ0fFvoVY1lotki6pHNQdB1ViWuHdnsHvX fI0ZdonNYbmQlN845ys21pAxhDL7c9g9X3KyHxgN37qefKYFdO7i8DwcCs2HoRhZzj2/jejebIoR pH3nNMmZxcFBsiZcJchkiuHr2vinGXhzHyqG+3MCOp4VyVfm3ATM611nHeDbjDagsmDXaEIu3GuM z7s6vH0VnP+jwvBXgU5mW+A+o2u+RR2u5n8cQruAR5W1KZZw4LI7PJ3DcepYeAsb+zGv9MkR1eLk 04Hi8komkNeCZ1qWDDK4874zdSKhauAshcYoVPQ4Jv5dc9TKmjFR/p5O/RnXXZiGqUNfS+cDDdRv Z1K0Qkzkw/qhRk/E8bvrJEv+LYQFerfMYTEKgtryKZeXL9t7nHU2aJZg5Qa0TYuDNjKtt6cf8xR9 F/oumUXtwJXTpVC7yDPtu4fAQKo0edy/9tbmsbU5EamoCYMZYOeRIYaEdzaOBwTzO3q1mULZ38Ib xMcW8S03j9kkEOsVFItZhUQXnKnG22Nw9/oU4lPGgDENHbuhTGbIUTWTzn7pVO1/y8zVaUY0Wlzq 9M2H8SIhZOtGkkYSaSX0NWxt8axlxmo0GexROJy9+RI8PJeDp+aEyttPFaK6KHo/rKix6Qbyki7M sps7QyxTGGT3M/gzSBP/zNdt2PLJkJeGz0HSnettMSWI5qM+qZSmd/FQMTLLk57MQ9aE8VwGy/OM Or4iAUdmZn0dZd2+WgIxBAFby0v8tDireL28QfcijxkKXuEZDVKKU6qXgDCD9AvbfE8IHr02aR+a E0x/TbKhnDjpE5ZDp21ACKMRS6mRpDZUxkud0GbVEelANdyl4jYCJuWGVm8JL+mE03If76tQJjUr Ar6QCyz88mEAqx8YJsK3Xb3TEhdrb/2gt9UM3fQz7jusdaoQsyQ6caJ0B0KSLnJPFYygqlJGoH7B dptliHxoAJTHMKDdXpzLidqXZSBaYv0UCkFnh/hmJHqQFAHkdAyTEPf+555R/Bo4noqedrlh1Wsv M8/xTwG9bpZIoKdHXiBLWUdYXrJIs96S679koTZgR3m0jvRBLzUVtA/QmWJvhPM+Ct1zn3pH92W7 EZEJQRJqNU5WUUaQ5FQNzA+IBZSAvGt0Ai9dnpgMF6jY2ef68W/bAWHng8X58zLjoSINqbk21Rt2 qweelQMEBltuYt2KHzzcBHj+llmi0Vxp0uxUM93oD3WXvIo0QVfClLUgVsc9G+ZJEF6QeQyTJtBW BDGhmqkv/UmHAA70k3q2Hx9yVu5HjSlbcV2EYoU2OGox4YLiMeko8CTd8KzrfsED7/1bgGwjjBiP jZkQMlvtudThah95edZXjdpmj7JHQi8t0tlyEKYo1oGFE+NeqPyKGf5PQD+hXJHYLEmAjY1j7e5t Hlhbpr8UO6I1vUQnY1xpnZZvbfoakXxikmSe26yZX0QGF1/FHw0VUGNRI2j9IdCjqsqQbNcK3ZXg KenzJKRUMQJEdmBC7wUEMnpwBMzNOZWzEpQnzqKQg7aMVkkLnx6KTG8gpcuoxiCOfx0Cy6rBSjfy VaXmbGo1Nc+vyeyeHjHtiruwI2swExpb10/JaY8TPlj4qpGJOJN5mN3iyuX6DppjX/uSu+ZROpdu F5VQMw8iBWEiCy7DOQ4tLdmlBiT6frPvI2o8n/29YhnxGR4mz1P0kySKMql/bd+MF9U6bYyYyFDv T0azOd+gUH5y8t9Kpq1n/HM2lFkc6cOo9XOlCTH3Wlk/eLWW8JZO7u19/DMpRhczRXqSuwOAtkkF c5MzQ/b1ePIRMLm4SE6B/xTUfMxmKqCk8GhdgUFhPINk64ck4hVs2KGtK8sH1YDH0tmDbralZbjW qH2W/T7/SMqAmAVmTQCzNeG/pBPKc8OdrRbSOFnvbZiW1ZwsazwNQC0+OQlDtWWh4yxxLL+K7RMe aBMq2k5uraupBiUls52uDW1BtYJwNjDoC//kTkP6ZHu3V0XseO7uneQWC3Q9gfM99vzLIwpGW5TE SZ2y7Rchini8j4Nq5F4raiBLvbGWxn345p2V4JxvFRQK1wHuu4sMdSSVpXit6upnsbNp85zuSgl0 SXF9woHfVcX/6rpx9/aQS38Ezekib0jxTCihNH4oG5sEHT8wzN8YNc33eM7I92Z9DR4swtE81ckN 8EBpZI1ldR8m/zM0Xdb14MIu6Utb9HvYJRnIsDMZ9yDNJ+iU3DDeaQQAq1bzAuw19dpLwPUV9H7E LK50IdMluFwzPYeKUQvbUjJvNK+aWiCw1HKyHLbSZzk+bdV6RDgTLeJ0kGV+lDxNmznxsfXg4q2c LV8CKtNlFanhI5FaQlz9BNlLkeOH3uIUiyJzJPC9PLZyk6nP/2PWgnFSU3BMLr2VOWXVxAsTjs+y UNzXSbq7nYoVsxDaTVd/E04W+xYCGJmWrCyQQVIlz+OrxQtTzrtwkn8ajp2sqn8yfQ0bth2EcKXV JPMAtYn9IB4WHV/lVeeCGMw8+92+BRkxyJg4aqf+edA+UoqJ3FTp1rHvaSHE2O1ECGjcuYqNzBcF wpk6+KSbF1Dra7zfOLddmGPmBs5+K7brHjfLaNavG9SoVU+vsNXTa/0O358ZFKRQce7CqcsILQFt Bn9VxNXwMzYkJvGRre/bayjJnUjL8AThJcNBh1ppiHJKEXEULKXXf5Td0tVShkvmRbV3jiHtI1LN A30ybIbvbpgM8h7OIwRocSeEXcBZvjRAXfbxT3KTI6mTp4aUPAI8P8cETMVjt2HA9GqUhFT71OrE /M2UP1bO6q5a6kPv3U4ZNNc6IlYPUw5iLUXhY+KAfpjvXKcmwTkC2GWITADNg5yNbCjmCGZjugyK IaLM4mq3v+PTWSPOsh5iWDM86xbM90O7i9gpwxeSmGz/eIpo5+PED4XDHQ+B+Fohdfa1FBU10oMf ctql0I29iJz/W6gnoo/5T+lzj/phL+GPEe4rOHeV4jZHQOm2MOKy1ds74QeEWpmO5Uf1QpZMIRij 6DztuolQv8ct2BHfq6nzHY+XNO9UqSNuCpRTsx5YBRuJ4m2KDnMexeaaeg8ZW39LMedDW1ZsnEX7 GlthmBa+aZh6TJD0fNyYYx6F1l83Vn25LWji90rHJDvoCczxYmAD/CMEptCFmkd/srpC84TaSDKr z6wqHlGM8xpEx0mJpH2S+11QcAKE175EQAjE4Lo9kXPnoL0kqyZshk1zl4Y536yBHBagyoSBZO+l paBGRcsmuvkcow2XCDGylc3q98xWtaY6WH9V6OD8EpXgQHFYEa+UQp8BZJ1PajiWPlbIkDhLOMUQ /+dsA4Qu4IfQMU5rbq/RnTkOeFWzKHR6h76mlpCtk1TOppJLDVyMzZJgCFwVjNfrLGmUkO2KyVzK 3NT0AVq4uAZnWQIDgtLX//OW3EBztOnTuLJnbBZnhA4UK9JDS+t0ZVi1M/nHPpau+PKlxU1QQgt0 vKEFu8meIhQBGJ21R04F69eKrbaQD9OE0XgOzDVcmZVNCJPZQYshkSLk269Ix8c0tHl9kOzjWAEo gsIWD6nKNd3wdP6PmP+bqqUSnwa1scofpZ3ytuZMiJ6cRRJBwV+Gxi3O4Tp28LfDgRccH4HdeDNT 5WinsOLrPL0pOrIltn4Zp6WY/wllJ3tMCoisI3Z5LDuYcHAKieYTa37k0uo1YZ1wUrkF5DrA5hhT GUiEt1X4rfYXCp6Pe/HnWypuWWl5ME3pSvsFBLjtY6M+dvgJarxtPH4evTVca85+b8EECuRahl9y hEvUANjwALTi8gIpe8ihgHM/7pTBBKQU3F5G+o6RwtvBrWQtT3+Cm2Q0nMhRc5EggKviOmmmTwL4 xJlqW/JqV0f6S38aUoBxoxdlDWhF331aZ2le6Spbt5dr06m5rzSdaaXZaWih2t4upY7GsQu303iW 7WMsmmSVT+G12csLaSE61/jYFCTBqTSOm9nkh1iTA/6LnunCTDw1j106spXsck2wWWTqeZ9DoBCD xtoKmrxZoy2gX5nXcR9fH/ANB33e5jcFGW1O59INMkygO8VIxJp36hQVOXkJb5r1ill51WbD6KyO W8EowhdbWWXX1wlJ48nHaht/gNZCwB9hjLyzmCxoKgwKgNBSeAR4fhxxbTojAJVBq2K0JtT+zrso 5npA8csqQsIHMCEQgu4ApQNcRiy0AaBnbaQVZnlymZdUYJyeeDv7da28LDIoeSgIiH7rLvXKKmsE RVN/vAF2gtAa0EOPqJI+YyuUdUzUaggV7LWCLIcmPIgMAUmoCUCI6QMFZKJjT30VXAnImsc7JyUa HH/fRhKHYB69uy9rP/KZWZjXdCkaYwbbLnS7WhSzeRxzYpLw+uzBHBjbyvceMYJruw+K2qtUQUJG j/PZgQspEYqQ11lvyuFlMxvoO76q8ZGrctxkyPQWp/DGFqd3nKLoF5fNcNkuVwTp77G9kC8TXa82 i4T+E0QQj860MBE7gDjvXtoFYRyy45xdUrSylDBBv43uJxu28aEXRBqtYSFjhTEmND5yTwj/5W31 zMrlm4347Yycq450cAvW33Vez/f6N0L8MIGgR5xUXxXkymIuM2GP+COjosb6zCv5b6QyjYynMYEX j+zV4xgTHk2nwrrUNOgWAC25+kXwg8rS9Un81cLWE4LK36B+CDihWvrSTAMvYdyq2GcoBEbr5wbA k1cTihozuBbYcsJMN7JDV9+P4feJMEeXqv0vpGp/ij1HNcUp/8MWemnNlwKV6WZJakpO+8gfrmK2 kab0NS2mKOFWHi19VuyKgwrsoP7sJnkPU0xQLzq9z4ZHeqefn2iOERy0tWg9/NPUKTpHLmrBqFPW solESgBIEJbk875orOt6PHS+eMK0PgcmPfzPkLPcArnKNwqkImuRvazoCgYWQ3ytWqaYSz/ehDcD 0lYpAQ1Urci/qO/c16QLcZ1rKhOrFdOHiyNDJYcZa7pfDZyLeNc0K2jbNNnTZzCl7v4a+dpr+VZC kmeUzJka8eJjb6g5XfpRw0sMgcdNvfE73i3Dwl7ckR7diYYKdwh7nrUnjufywllddcShApYlPj+v MY+zgSaRFUa5Te1JWUUzcIp8harQMn5c9eLXSoI68nGikn+E/sIuEeY0LWRnHDoG0xW97WDw6vp7 m2cuIgBdz4EDpo2LJm0czl9953l3FWpeILBmPvRL4H/Yj0gLsDVvMkPSG+sfdLOMbnxkzvhGPQxt vOVr/EQEHGEFJB1bb6NshAvlfCWcYIcPlBNQj/jdhx4MB0JNcDasrF4IKXM4NdxMyEubMgM73pcP VKifrKFlYsfEmNP2SY046hw5TVhzecSIVYK6Q8ZdepXyJr0zWxDA4kKZOXsxTSbqdcUQCg7dl3UT 29wqv0VYYvQZoVQ08U3qMLSnNqO0A6vqrLY+z7uTm6pHcAuW03AA3B1I8Ffdk2CLMVHszO3NhsIN SJ/HL7Ktp3bP9/qoRkRrhOL9qBQKgHavVRiNWuKrNdTG+uxygiRuisHXLbyzKtT2cU0cx6m02ude 1flLo1Y+4Q8hfuMn/IA5ouyq9le/lq3tXzYBR+SexH43JAjchJnCcZynr6MR8fBzbbxC9P5uMuoe VPOzDyyET8+JoSDqHuLjgukEOPvXBUbuIaYPO0ZVo+kcJixehvNrQ3BwLCNkZHq09L+04BuyiIss ud52EuUtaQarR+4FAEOA1XGoL9PA1KYBR8Oya+hpU3cXazqPHYJBnk8TfLg2n482EFmdUtcqdKXN /fbID5kAwEpo2DwNXq7+o3/0qzyzcXZrtisdGE5fzig8VR2e8U92RtY4hk7AHhnBxmQ0LN0WnQhR bvAGyUThiqXZ4/ey4gkYG1phjVVtrplZou16agc7DPDpl7HfuM8qHsNSxHYBwtgmvwKo8rgVhbT8 eDJF8IVmXCV15sBt6/nfCHXJoAnM3Eh9ABAXd/0I5dE9ysmwovlHITrdFPMocKbk+bHA/upp6vs+ MEKwub0ZO9KABV+MHcyb9EY+k45JhtbOp5F3Dte/XN0G/EeKG6D9IkxtTQXzYzPr9EBuQSTrEEYE 6h6aEKtzXb7QOHcadqTIo6joZbM7yZr/X1dLnXGAbziPuUI3FaLUcxExTUzFD4E9/j5BNKTmouAq jDeM72LpoJ3qi0iriX32dweB5cR17k9Mt4NWlaehoBSY0SXXRqv92jxPduH/rva3DBBWoMiBrcVh Df0+0vass6xPUjMu785Dfc57jTJfmIaWFKTR5265X8djk6PdFh9OKdFcdAhuvWj3AjhOFUL9kP3I jkGBQzxQ4DsfAceampuF6nsAN8dJyq3KBjl16y9sE9WutLOyjdSY6I/Ce0IrVMVi85SWN/wLaEZ7 fiNkmhm2VykkQKmOlPWJhXiPhbduIFmrWlkw9iKEVz1CFjX6D05lQ0rEghqs0W9CdFMiKKrQkoWT nVyBOqgB3eZ6bRi1FTBml/wzi3xWrbIZZn6xT+bq9Bz5sSygJI7/AdfTT9ukhu5uxnzfGdrtuF+g C9MJd7Kpg/OxP1SyJIJx52Uyu7267965n1n65Y0/GZs3WNuF+weRuFo3+D3u+5OqKdbTQdKZ97V1 P0NpDyhyAxMh89eI8dkmyXPBCz7Z0HhTcRE3RnpysgCmsFi3L1t10G3jao1KrYMAs3G45xgi77Qr vCZ7gd/KkGOhFqoWNyiEjHfArrPA6S3Ize/LqD+tXU6uH6+s8rnGJ8YvXxl8DSdmdL/sLHDSIyqW nNOPpeUTHOgw07JJfFegCmxQsV7p0lKG9j/FDifSJFzELM5EIbIMlcE5dXwUMJ0WWsp26R/0vvol maCbgwICaibmQ5rt2bVY8WUOOFhhBRC8DTc6jaOu0bLWQVHb9e3O+cYPN+lqsXCHuQJACbh6sqb9 LErHSKgg+SxLRRxa/jajoFSWEp8d0ETUoyH1q5vpz9RRG62fUtiU2klnZrDGHlB/a6wY7vOx1MuF UuZdYEnBHwpO6vn0Gt6qDMUtz0jD2hql8tv7zvl2ZNOGkhKyg/wNCHE6w5CFj0kCU0VD62Lqg6p5 oGZomfGFW+Gf7cqtCCicY5lxSnAiwyyhfYOxqRBpghCHK3btUh/oO7LAy6Lpiek26Tey00ziFO13 4fLUgGSk93zh+BztgZqnd8XbelYvzAC2ybn55drgp08ifQpgI7aWVAPAWbmAgySsAt9lGovDLgYN 772lA6yBHo9wR58t3xGKwneeKGco0dMqnrGDdUZzJ3tVVFDIij/BfovEpEgsJwmCngIHMf3RLEzp 71IP2i2rPNHPikw2i9eymzU9z4/0mCLYldcD69zb254odBACa0aYa/fx0wZLsh9RN0cI2+PQRmgw MzMgLchsBkOg7hEwGv1Mohn2y+3cx7PdsFbH0Z0IAWeZS2S+EkW9xZG2m8XK4TE8xr5UFMS55l2J 2yRmgF+h/dh+f4YIQLguR2quEzWp3fS1BqQ64cElrQmLwn1J1yCJ1XKLzNPVXQicaLJeaMp0HYjS v34oRlGoKMjwzTvLLl0Df1j2OMHOekE/HfqwqHzP15ko5uR2SRRY+iKv4FphdmdneM+rpqU73aBA +MyoKjs8hflL7QIJajfIF7vG+4+C1Tos4SzDeVUfJYcWYdkR4SNb9hWuACS/kowlIqlWy63+8Es4 aP1xlywGS902qvKV591x2woXhdebTxtUkuhnUqRTqHWXSxP+qLEjnALCaz27eeSnjhnGpKdqYAGP /2yTinmI8JiaVjgonnc4/8tT/Xd0mlK3ruV1cwCudgeKKewIgFtDSjWeKAMDW25dnlesSkanMD5e ImKa8ICkybP4ZgvQY9F7+D5/lb9Tigrro7suedt8cqcLGjseVzNRFWALsropWUjRjEISHvKCApVj eHIWU3PDk8vkead3gJIz7iI0OpBpkGHvC+gck1e9s3HQpU2iS3Ts2CdO5yzsNtQdW2bnD5/PRVLE Fk14ZybQ4ZrMgD/tB7IsykV0RMU25g0TpQp9+LYGJE9GUZsTvhiBbLSqg1cz5oFMd9qzi4ytIak0 crCniszhzduiGNfvb68szWBjZNm2PupZFWQp0+gJ82ntFJb7kzrWt3YMDdh0TcCTINUgqFO9ToD8 dUjrZKMpjTjbIn6y7lGpgi9ZlDUj6Zo1DWgN4C7qBGD1O2OAsvo2PGdSpvs6kc1otT45N7kJwqSq gGH1j6VdsxMJXxieTBw1YZ2WmEcih1I7U41DV1BNKkHGHIypzwhFj5pT911OLvKn5FpT6EaW3i2f OdF5IJ1/2+QqV/HnpbDGNgfSjapa2V5UfC8MCLBSzr+RRVeI3GlYTH52ybO+cU7Tx99Mhl9AXSz5 tIPGj0xDuhLOXCN9Gz/JcD92RNHz8ejzO6NLllORhiOTqgJgfQkqdh3w/2MF9yooob88CjIPA0CZ QcBa76tjW/H4emkWOU6MklN2O8TSNG0EiqYNUuJ+tS8NSoyITMQFX7opVFKE42YXkcFK6+E8qf3n eyPIyv8Tuwb77+ZM9uRXjc+3oFjHOoIubzLSgejlrEVfpvsJ79/YESh8ZNDI6yoLBeTyPBDxAUdG 7sIGIPpbKCcwFtpuXuiX+wYPvIdnfWtmkLhP7TXoOfE3T1fpBpuJS5Rz79TpaGnIY38DgLBX/+vl kkDaozsd43qN98ofh8ikJZ24gAuImzLFTnZVZhSFc8hubz8uReiCTfUzwa2WcJ7cmwgnrUNYYE50 3hWfpY+OHxKs9ktQB1aydLiyLDx41k0dTIkKv00AOqzAY9TbzFwI5wixGqH/EBavYq9SwxtaRdYS kg3P5bnUOs1HaY171DAOX0rpiqOoie94fv1AlKG3o4SOWSk5o0I4bVAfthIk0MRZ6oHbrduglLqk iR/kpRxJHrjJIoVo5XAY9IjU1drxzITxedzvh5MxKfMwZfglWF7GhvjES+InSbmZCRKlOLAAfAFC 6ojtsvPRuJu1YjgMd44wyfFncWM0cCvbUisHpGr+shuOL3/wIwI96mbpz4tsQp5lxem/2tniSeps 5uWAS6564Roh33w2fj/aj9aBlV2dOvUKt9lzT501gFvEZSmbwxy3ovfdFIkry094vaccXLrZSBTr 3Zwfjr1gEreukS28RcYK3ZLS05Y/+JW38IRhFbRRo0CfoCtWLfzYr9TG4KC3c7JV2IRS4ycqcGJf sdYya0G7hPG84ZB+OH9p4qMQufdV/BgdlfHhwSfo8bjYUjSfeb50iUo+KXlT2GnYE43M6LeltSmW vV9YoPaQ/+65ygHcutJPn9YanF8ODhZI7UBH4jGCF6sRkhhSYutIsM/Bt8I8CEuXEaHqTS8BXe1j WdKZ/7eEa4yyBbhoc7NbIKqGa0ZJBpA+mekd/nmO7KqqpXjhtt//t+zTJGLzOcEYdWNbZrpSvMao ZgIclniK1M9Di1hAeDyzhz4h9Z8wz7A0M8JJbLw+R+CfO47KQs+kEMckrnhvqeG20HTE7b5s2Hr/ uph/VUmRwC1WONkEdYtc904w5Vn8rn2H3FQi004r/SagoB5u5r5KJC+uyqP+2FKTUbr4Eli5QlGB 10ORPJtwpNS+Fag5BGD1VgFxuRjMJOtyvVh6WWsXoNaoDtz4nh7+YNKZXAN3qCh7MrIbprD5+AYV 5ISaddo1xLCBFUtNlHviE8oGfepNHReGaGGOAZ1+0Ypr/5NofMfBYM8aEHYNFJN2JHMuFlkT6yi2 lHxVaXvGZb0PXDSoFeRIaoPVuM7tR1kB8lVpNiDX1WsrDzuuEvbUMOHQG4Z8SMEAwTjBQCw5DREp rdiZpYQBu6v0Y6lD5+OiUv8awarDD1GY6n+DMgmqQMpkqiLTE2c9hl1wkNkQp2y0mtbxjH7oNu8j cDeieBTL96wpT/BeTWlyaTDOenoI3rh8gbxbjV/xXdgKdHzMomupqsUMhpomRzerQJZPSZUAgYt2 MAYkUJD/Jgy/juX4p4b+YQZqcV6gKVLMZe1mcHPYOXNkmjBcGyMSHyX7zccG6VxTBi9ZEId2djZZ jL7rBplOeHWSHJXX5Z5iprbxFatReMD1oML4EXTjIe1RPjrOAj8Ou3JPwGfwRey5njGn5N4MkPJL 4J2UJLxQl018TuNO0ULoYKkR8ohY41hjb07B00e5yifFjyyA6Ivm6b7wPW1Gq7Cr4Q3ckBH7FJDx oD4MK1wNgUNb5m/cfqCH9kOIUgiPfFKtnD4dSrFHyd4sxrmh0yIIYoizqyZgGXflR7kPDtEPj7PA FKYAOdpTJmP80bA5BySkUMADnuBQuMOTUwjVkERHjibL863Y0BqsqCTcMFrvM++z/0jYaoTGBp5Y TwGy4EGPbF1AbVSs6HyK3feIUburk3CIGkYqmCh4dboOgvoaIklvINWdMZTfQv3oTfP/yq+FunLU 2ZZVtLMHnYp08Z9mZyiGd9OKxR3eAl4kU7khXH0sz4naHvI0N/NuhIA3rKo/HTnbNHYfbmuwZ6+I vVy2tONawQa/QqiaH8coz/CpSFQbppH0/MuRHmK0Zy5aENl8Cj9SEQQpNsq7vQy6qZfGFKUIVd5L 1ql6dljoDcsUh8jc3OqySYw6lIss8aoJdfiDDK8lSVH76x5ohjYONWILuKWinrSw0Hku5W/bdrGW Qi8qQZ+lMjSxXw4ko4JiZ5gxydBhwrJ3H5Bpu8wtwdM+kTW/th5Qr99ns7kGWFjYJILXnpuYmOYb MhBrKGzrCqRHxFQAt+kb2qYoc4Pu+qVshc2mFytpF5iTnYgForhJ6czU5TGTX8Be5yhL8f3tFxax XAnOj49EbJLtGnmB1DZO7bgzTgvyAkjDG8ZhFLwGohhUzN7wdA6nmD1KfLGE2JxZg5Tp0A0JDYt+ IBNoYhYbUcMnhWTVYhwXPLKvtNK9FgHag+WSExaBtStYu9ucY5yle7bXLYM5Edkra6mpCSHHrfxW LrvWc9twC+zKiNSuF/qQMr8FpHz/vHSOk0YNeUoSYMNF/SpnGIoxnBSSgNOV8aXoJrt7o0FjcZuJ ZZnyHBxAvRkujJ8hoZWSgpupkyN49nBtiL/0q611Oor+PHS0+QVc+ugliGXjiuQn+MRCIOtMSbge +DdOubeavrG6/7G1CTz8JpYXyNy4ZVPDckhA0IfspWfF4AyU7Ymjmy59a2z3PWv1sWJ+/Q+f52yt MpVUXAbW0l6b56csLAZPsZylI4dDeaFoJX6j3x6fIXKVkQ2axu1O2iTGFbKtKjBe74AljVixqR2T t2p2qFTwD31S401mh8iJxg/rkPqFurnvxueWeTx1hVWPRiKKfmbY8bOqsUHe0sHxfyJkRum2mRPt ikpIGLl/2iKUGkWYycg4L0Lkw55FPgVLIEc7ovJFQFxXK2AjaGnJJ8nWxRxEyJ43oTaa0iKH3yPQ iGurh2Pa76l4ALsgzyvA6by4OEf+N8klcHw7/gfCqGwj/sVuloz0fVOO5VTmsyO8PUhNBj1i2uBj jYXoHHs29e9CuwL3PrfOv3ei4LIcFbkxXKMogxlOpY8of8KadvgVkqxbh4ylZZeiLYBvTnP2mNUC o//D3nHiRi2aqqeAS/krcrfMQ6a1jSAYPz/DUOHJwSCSWuQFJE+pQgFYcKIfDTS7z64Xl+U4y6sp X64R9VIcVuG4eqOZ4U/WTjwYejK0RZNBIKf9GNM/sBNuQACMo9tLghzxNc9vM0vvfwEoKdGghmTi uu6LNa/FA2CE81rTCDQPl/6bwocpRFja+ulXcRTZZUDl0WTKbq7E1/WBB3acNmcu2NE4yWlM5mAo jBpJZclvWriy0cxPvv8CZbXvivLnMQetukK4vm+03FooNjMWfn7/Hnd5J+gms4AMLM6EYzGxYB3f ZhDAp9cOd3K359+7TI/x0i4oq+OgHArqagf8r2LQ6ppJcUpM41iAWh+NWEVseQj1MQ2RyxSReOuF QTjDfdmnMaxp/Z5wGMdjgYk0pBMK04W4ErqEWPlYjYbDGU/1NpsXlYw1HxnBVWtqv8Wuqhiq/dhI iAb2ai8F3r5uJ+lCbKzuuaCQUfM0Olsy++vGTXv2mUGkNm/+Sg3URc+u+k1SDzUFGvNHPfhN8JsN vchT9DWfkqnk5BDwqN3OaksS29qlcZFM7BLigh3NxkzXR5wzwfDrNoLWksOcu0KbS0mvwHObX14t R4lLJZHVKwp3he0eY77C2py2yCu2VyWNttsIjUoLDUYUm+QdaeDUCNtZbbdhOAyuhUm3+LdKDPSD eV5C4f0Z/1+PLhMNPPK4VlqKl8Cw/be42N1+1prQ65oZ/6+Eqwwvaoo3DHVTG2Urp/TnYUiqIm27 6MsTkUeChzjC3Mzk25lhBuHAsyrcv0cOF58//UrP6sJISp+Dttm1C/XVQZlWyxdW3dS0i2ax/558 /v8vBpE0LpmP1Mvq5Eu0yjv+beR8LXGf9kHXX+3MtjNcxiiELJdUdeKx19/hxS3JuC+sU9LKbowB ANRxHni5iqwlqZHVyLB9OTiGUyZVatXtnU+jUrVrAS137ZRRPWMaw5MldyhXMFoGppD0qBiY2j2h UCjMNh8wdNaICVwWexVcn3Nzu523+fn4Y6GRDLLoKA3xpIrTgboMe42eStopJwObxlYbPYxXGkGA ZTC7JwlQQP3YTjzkhqm8FdQW8b5V/oJ+HE4bWAT61Hn18GDpFv9LrJGW5p8l7VcmQA4hryvtmTuh 1QuMM1fy9a4E+uf/jTFXLtGbM836WvWcIufrT21p+/D6LLG6xxvXE1BP5C4g2uSrA2GRG5y2bHIq 6N+SZmI90s37zwsF2gbfudB87A9dlhu4ljZNMQEliQ1BK0JaXdzDykTdD1I+xtMGGPZ6scLaGvRq n4cUXoHR53UfJWAuITSTVLJkHb7fLPKt/ChEOWOzyXw4iVEQxswMPBJe9XDh6d2POzeJ4FCgQ5oO nhQ0cbCaIdBgTDcui/vPQ5Kp/11f1PlUOxAGsTJhXlIOP1f75QojbZ0fNLtNsEY+AlihT1Gufcni vElgKGR684sreCLoYiecu9H+YF2P6XxtY1k6/kqfJoPJNuByD/baqtjeJGwHYnjhw0Oq+zIVPHAp /MYWyjEeiwcDWqkSmfIwH77vjEfE0F3f4QHNoe4PAMaTC8smbTqRVUajZEQwYFg2zczLB+PwNChC IBK/CgjcQr2AYqUG9iaUn+dV9U22oCFO/1sy2i5sY6nyN+guHsOcrn4q1vp+LHbU+Zm/N5aIp3Aq CUQOY16MbgbNjeYThwbWWiQTDeVBhVtvlVl351nP0PEUj/hti/RZaWkWewGhFIoq0BbhRogCywLz gin5E3a6415c1WyVcCCK/+X4qItOsBv6ZtQA4/Jy2AD2tCeHalU/0kZj6htiQbBYRIJx97/SIX5V IEXUXGQaoCQ3k2C/TOwbThy1aBk7V9KiKyY+PbFohnQMNdzBCUqY6hpifVrh7f4kNXQr2xHHGsGO SgxgOPKIM8OikfyURUP5eEvdMXveAIXN1b4F1/LLusGHv80g+dhyYrJ/6xnJw/iKVq2Pzf4TlpU6 Auae1ii5y00BrdNjHZNJlmwe/SsMFHqudR/CcxBIuKpHGFUxSGBdEkav5Zz4YjM7CR4W/dLjGMnf Ie3/vsIAJQDY/ft7etD7SZZhXgydLOu4rg8BYdfa0iBRpQ7pYrcfuAdEX/fqZkq/QLku+rgSCR4+ 0Oyx5pFd1p43Ocza61V1KCl3J1NK0xSfdtRBM8y5vvfEnB+UawyAgnrM1bxHeC7bcMstiFeRcw2d usnzVrhyCe1NXxYXRZAUQmouRhCgajZ6eZoPmWiA90GQPkG9ulpD/RpDkunNp/68RLvXgPKEhkBa eEM5sNmaW3wDbx92PtMioLgOBUZ5I83KUYmg7rSwv93cwFzN71b4Vpl+Pngk/pB5DckzTaFH5oov feJctAZOM3Li7tkCXD6f4DPjq3fyMWexm1CfHf7CbchK7e/+4q/oE6keA1kwoCrNX0NBoLxH7NbW 43pf72DuMB5VA/RnvjlPkjoEHnqEZ5Uru7oQAhJoS1gq0cr8dabZSx5MY5y/9mUjhz2727A/JttT eO0TK9aNY0kJvNct88Km+j2pLbrQz1PhQfPidjYLi9kSa/l5IXQq5ew/Aev+4zgcJuEoyNavX1pL s2vhh5f1w00xoLoHz4lhtaKZBB4mG/SXmVJGKOJ2zkfi/+MvrQSymmyu6PYL1GKyxvhxQWXVesNH LOGqFvi2HhXAWDtNdHOheXft/JCHUfMzcmUjBuo4K0IgHtfGUTgexRFlSe0T5b+7x76rejz45/HQ 2HUpJpzvvtQEXxWOVTMEuaIcHaF3jqpDIcQ3DRvigw7y7QzsmLksd2YSIUff0BfsKmifj4PdN0Ac UL5RvVYUPU3MJLThnMK0frGT3iNWoDTs8a9ZZMiCVWEONtdu3SLcdE+TrYAz97YKz8H7OzeJIU3C AKSts9S1KnIF2FpKqcC/n3xaN+usFxsKA9FL8l8RmO4mkz+AtVxH4svxSmVrNxcGL6okGOBvX5cj aKJJx1N+I7GsaBETUg5328iFpML/pUg+hH+WhZhs47849toHRpoaXgDzZFWpupAAHoYeFxq1cm5v CjCgY2aH088uT++VZcdd6kPm3yu/wYQUkITr5C51ydKyiWV/AR5c5D+FZY4G43n2HEZ/Z8u+obMP y8BZzLo0o+Rso4GmA2J5dN0nd7zWrHov/Wgqgdt3adia+mWtVFPp7XdlwZKIoZtsT6lioQAG+yNW IIvbxJYbJ9KJihb46qKcTrwI/hlNIarfcc/NHasWNEDMkDO6IpqyBNU8T25ml8iNb+X8iOwueW/8 61lL+LdGRiE4NLDNb856BBB2GTivyVxdBl/lmGCgDrEMlq68My00gwmtJjf8gu0GPTV29X0eJGjV NAQcH18cjrvx0BZNU3yD2BY2eJGffKMMQbG9EErwhoI/gvrNoYUbuqDgXZ8K51ghY1cV3CVj0MAF mDgFAmjR4u9GtT4xDw88FEuG9Qeffacj0dXe3et/8HmBIImMtt+ng75GXWPETwdV6R+i6WeFYjzC 2z/3TN9oONKTHeIrHgqvx0lY88OueWu3bkFetcPp//+O3VX1SuwBE+peRME1Gj2ZJJKzP91XlPy9 KSgVzVU3TrSU5r5NzoRYdDUa6xKsn2xu5/ReCW56DcCwPKuFCM62tOAcpPYGZv6Uq+Ru3KU/AomW 4FibbEdeOTr4RB19L5bvaLywN8yWay4GDIVcJZ1oHvzEL4+6fCcTPYyr3IHG8DmtWWUiXFipMfB/ +I1xCFfvI8qZRxNbNw/W3EVFJqeg4cR7vhh8t+DO1F9FCSBn4w5ueziOaixcRarsdsedmKgXiP5F eUJPtzvo/tMimaDUzWWLgv9wT5gHT4VoCzdAjyulHN774VEwbphdyYliaPUJFBXouNZbSyHO5Dhd fsn/ytT5pU41oEeAgtHYOihHwtVLauKE+KQqEdi/8s5+Th7Zd3LMYtSUV3a/gbq+SWzEjH4He/Dg j9dchoyLU7zE6TOgAJbmVbPNJMYdznVPzYCenihHJr+4bU7qVScnEwdgSzQgSUQY5DvFbSqWOOC/ R5k9ohbePWiRjIMqHqb6ov2V+8qWq0m3DhZHGSDb5AMr+GB32jfOoQ6rlBrr/d+qguED5igeeZrk KZr8qDfOJ8XeekNsXQ1NQURtFSe1ne+0nNVPYaQFF3GaQ6imYI1RTdYVjW+E+V8RrZwXHaV+zAwk ORKCnCBMTrRMLcrcft8xLMi/M5uHaHgM4CEVbrVLcZ/5MhQvHXG2aZRQoEMiWc771eJ2HsIIwWAm OJ1lRWYkJB78y9KAviIHqH+wTRbtWK9NZTgNokGx9fYbaFR8UBZTHID3kYDHHNWWLBuEsAPkY+YY rkYsNSE5m+e8X8v8KSW5kXlB2JndvHxrMa/elEkbaNCqk7oOWSAuL6koWCk6stg4Hg2lw485zpdz 7AgVzdrje2SUKl952jE1XPvJinL10pEXjTE74RJI+95g8UeBAcmPBKdZgCWvBsXAzDOoQ3VR3jJf AV3fTSMlpTs1of9yjdQJjKk3DzLl9HKTr2BalOTyn11zetj5P4W42bWxgAb8Xj6mhim3mOq/7/0d 0HHpc/KMSPO0rWNo5o7y+gD+aaSN3Nn+ohQdV4Ai7OtTJMMetciHHTKnc4vrTM8xFOlGYcfLEbdj RgoacCYnF5IJtqNwI2nl5WUEM22Lv7zmYn3nSGFOnwa8GlEettihk9VttVyPo4bsDNuvgd53OvfY vlhwzv1003JmRrzwWt0qlIuBlXEzRTdTQZoHyYgTb9O+MJOv6i+PF9QybcFpI5mweS4i8TBFlJaB UiY6ruRrf7XlymJgkWkuHfbNfe18l9eXsla1BKzJIxYIJn9D3EzKTgn5JQqcGQ+EQMsRiUeNtnDW YfUrrNHzn9YDixFvUACAw3mz3UEJ1tTJIgIwcxnBZbPv4FsnP1mBwip3FbMGydriscsXFY7VmAYF 8sVIHfFv/tCGv0pjf0s6ZksVTCNdHcA1OoLIZGd+iognKYdDTuy5RMtFDR8B4xLzb+Ehjs/JQvmL fsaDGZuxbwvWLl/HxkPIIAIDm13f6QbXcq1oItnzFc0dcwRGArizYgZ24+dSJBa6v5xEq6+hFGr9 qw+GzmvsmHQ16VWGCHXDQttmg+fr+3bky/wbAel3yW6wHCpI66wPSHeWFxcaSvxMv625eESjYemV vWltn8vky9NaZMxtDCSSXEWGF+xGgRi1hvosVIdokeXfWcQvgnwtKAH/1jERJZMqJZhgKmLdDR6H akhCsECNxgMwS8URzEsW3HHl/nxQUZGk6ldn057ZGIWBQ0B/PtOYpKo8YF9/vgDAXYqm7MqKrYLZ XZXdzWpPtWbpWeqRvmlw6BzmlTMrsOwO3cWcJrvAa2Pis/yNZvphRiZbok+s9+oLtU+5UCYApQrQ PpPV44W/UVQYRUGMjvgPdprgSxgP8/eqE5fkZMD5oeULqwp8gV5B8abX4OSKflORB7ZaeaKM9bI0 kLm0UqAgn/+eYOE4D8s/WG5sqknuKR9dMt0CB5jfQR88MD9nl4bAbysU4PU57bG2wP6hywZSHv62 mhZ/sa99aeABAeG3FC182w79tNx1dku/UPhOYr+1rTNwRz0mfd47WS/PN1/dFbUnkY5FJ9KgTF4a 1PFu2Or9Oh2A4ugHntOkfzJ8BxbViWZo9WUCAwIZ0mEBtrnvFLdKPICimuvD6Ptd9SECViX2de85 UlqqlIiZZ8zi7TTQtwyuyNk+1A/if7ACqoVvLKRu59mv3ArgREjkCTopG7YkhaHTxYKq5qffQ+n8 iM7GzPStSqTkcRZLut9Mw3tif1ggHgfUfA+GevnpaUkEs7TKqpu5eNuNdAX59fZ5yF6pksPoG0UJ c6GMrj4cdleKO5660Jk5pYRVd8Nv+XDHJZGnCfQ7VvCLMMWg/HXFy/oACtOfHBifMmi2fuIPrkUc 5E+9oFlajjI+UZuYPTQ45TzantFdTGdafHKeBX7A49nSvuIDfiILGJe/nTeY28qN8CXXqdrMh3O4 elSlJB4ymEOmA48vfwTZi0S29sR3qURL8Rn2kOw+Or4iXzNfhLuVlRbkaoX+CF+5mhmEYsD/VTCm NajFhounbUnqbn4kHZu5iR81ahXnR3XbL28kkcBSNtujRw9dXj7eYHRAjKukHkZjBQ/xobjyhHeD BkrEheYa3mE/qr3FXaM0VZrzNmNXAIKpdNH2x2ZzJD9AoT4c5zNUCipOtKl6rZofYk/Qn5Osemd5 Sx4EHMXjLY5sbYql82bLaOVQxO33riz//Wiv4hpcmcD36awPNrx8E648xUDpSs4V9RQUX+KEN60x X5rHUmqgR4jHePc5PNvQJGlLtQLVwcznmyVIS80dJjoRTYz/dmmBS/8zRwYQ6dY1yYWC4az/WAuS nYHdbKhC9LQ4AwyXEY9ylFChTPZgw78HjgDJtGHzDxA28zpj0Hf778Wj3Ml2/fgLJddO6/SdTths VnRfdds7XKO9+cjH77tiYROntJMq4Z/6Seqvqqg4ZLMbGJ11qh+qMYsnjIC6oq0gclXdQzLrr2qL 4HxMbpxQLn4HI5umyQprfXwDeM7omR3ornoQPH0bFMpzlP3s/iBWuMVWJKFbtuleE64oxTbIjs+4 G4a+awfPySfVHLgg2yR4yG4gg/VI07lR7gRh5ThZFL4IKgWdNKNUWFhr2kB/0LgXoDn8KsdE4g0G MC6Atmz2n/vGLl7bxIaOyfXBZk/lRoCEwTtUNW5r8EWzImxsSfnzm3UDd5FCt7J4MORH3h3HqtGm GptgRnU1BBbnuVtOi7eo7FLUI2frp3l5gughKvQRZatWkbghiwOwDZEjymc/fZg5KWebMheE7srg indvSAcwMmH0CW+ZUSNao1Vwd8Svt9PCEeoQlnlQQwcMHdSRNsV1ZsEpotf2KHComfBlQtAV6dJW 57VwffqUSUaxVaiV3Ax6nvmFaN+rUJ06hq/m7kaHestdDjNnIFvp4KWLAs+rskJvqwqAxm5evBPo qFhNQ+wGBpnRJ5QmI8DCINeAU7tcwmFvLpAYn4hTJFy93ktAu5SKgqHC2ZtvHB6wCKxREbdtdEgO 8acL+IXxKE1j5oj2r5nnC9N8x62PZAywUdt7BaalFY16PfPtQ7mg3LAnj1Pc+4o9tEaZYp16y30N G9v1M53bBxgk/3EX2s0BLYxf6Xc6pp8hcYXwYf6GHSED69mZjWFnIYg6v/vhunbMEMOPWnYvTjWm 0Le3IO0DUUtDu4UpFJOB5N0dAoU6KyGiIY6XDlfP/aXdvubAj8C2znst4Z96dn+l+KGQAhs/heU3 Ole5/UrH+07cyGI2vx8STM4z3Dkt79LM2b/iGOwzmBseBLWwTJsALUhF91UTIpVdM72wFuRjhXJw eqLCRtOkSiDMry+sa/6UCVceNiGhf00EUnWDCUI+D8HCHLSNfCGDoCh+2H46N8j8RftjeYNBEsGd 7+JQk/h3LNjlGV8KU7avWH9HdGBV2eCANR3cdgivrUN8TKu687ue9MZ7q5wIb8s7lZOC+kLDpVsE Z/Q1ao95LmgvCeqUYWmXgycb9GyJnh0U7lRGtGJ/Rg3PZg5sbOuJNkiRCRambNhNAwcf7fbHXto4 /bdCCS+CnWuz0QvAqxQp0jNbVqDoayDpEHfOwuAx+u7CAutGLTqPHIxe2YFs9J6bxMyep9vjT7gw 2RY9Gsa6kNYj3xA5+M1ZAnvxTanlUSW7deyI5EYaikiXOqAvBfBTfehEDlmBWTPsMyYoWkj+24Sc 2DI5cTcrb1GMWSSmz9AeI7eh1De91oLCsm1AHQgKkFUr5zsfXDj1IZrchhPM+reA+KjSPGWhBnTy YSfaZIoiYTOyslDWHUwbzvWh+mu/Jd1QXLxLE29swFuoPSjeRBugx8ktUNy2IQCa4yBk6TJT0WxZ CInqaioOWlfS+5hIk+dDDVmrAXCiGFk5CntTdZcR9omPolLdS/HrAQ5k1p3Qyjm1ofKogzPWC8VM FQCWGWf49Ci1VkAJD3YE5RKD0UgBPZaOiV4asBaBmqiSRS9sUJ9qYbR5soFKWBuRPWtzfE3iicoV 2r07d5/ajuSpIE8tsJ2+Ey5uglLLEl5q4U4wBkDOoj4gPanHvxsglcBFNYlf7sMZ2HRFTYVwcYUA NH2O9Drbqy2zIrKalh+/+ucaVHkvuYB6ypRsv4dZH2vNgeHZxxuQqaCxK6DI91MAz62+ypSm3lHZ bB2zBOhMnHHpljhU2JsQfCKwPi0QPoiLzQZKsOGyqEqxuuqaq51l2UDLTBpcEbzVLWLMZRNkMKAZ peAsGDsgum41OT4ZbBCFtkqDnf5ag16VGZH3gZj+v+mHQWHOYa0CsDDi4uEKBLVLVFYb3e2XaH8g fI904B5NdBaXspwBG09KKj0pvA3XgOiZ+/hauVhXdKapM/chY4M0stcrJuJatqdmlucVanNWtox3 Mg1gE0yKb7CjVTPt+mAeYBIFfKSFqi+IJFRGfxGaTo6ask8wO+cD3NntepmFi/hntWI5Gky2cAGN 446Dbpwo6812aDAkr3wmAbDGMLhMiNIsTT+rZcfO5xi8+w+Vhy5vK9vQR7X+VDaxqUyUnFdd/Rba 2uytwIOuNli1bLTa2tNQAuMlbhZFx0U4GZjpG5FRaKIuEPZjfaZmtlF34Q4OPoctEkhUB7Fsvpm6 1Uj2n6n+ljqDD9JEyWv6s4YnCCR8kkU9CRcdO1HLvWzVW7TaQMUvcEA9pfDKNwT+YmrlWq2S/9xF Be7uLSsab6qCKxwMHK7AWLSqLUyBmkJ850OG6/BREzk4C0lV1+i7towI4p63+/Xn7kCUoOSSBXG0 AIp13APDnNiwlrQ7QotmUNEK6q3OEMJpR/Pq9fXmmnvgfxDSJC1T2v/HPMUIlzNOAXGXqOjYMi7b MfV03GNEOefi3XNkqxiyw22cU7a3Jp75snUFJYmjcMwBnuKAs/oVJK/TxssfpBzCdEr75tmvzG9P ES8wI8trm0gUKk7NWE19KBqQkwjnMAQoxubafgdbNivW7NekCpFp/t3AeS+Br6/2qzo7LCa7FY8A YRzLEvtTwfrFB+nkaDwW+/GBmFKOjI+9JAD63k+B5+It9Xyyir8ikFBvmoqfaTwmFGCTR152ve7D LoY6YLPEHkrWG/o1+YNkc2JsR+C1utLq4AdvudFLHOTsG5KeyvqQ6g25gOqP9rLBy1L+T3L/LlEd y4kwpQEfNEhu6DHiVaaIotS5QfV/cUIjjKOoJ2BrSN9Jo28pjgM/qzGjLvV0UKW6bU8d7Qjv5ach iyiQXvqSDqT6at9QUh8HnB428UccnZpWL93Djg/+13eTcv52fnNzV3z/XOT/r9t1xV5lJZ+jMiQh BUNKVl+KIImF4o+6iTjiCTtPA9fFjy1+2URafPNa6ZCecP1jVi3qHLIflMPVV8LTXz5sF1ivQBnD 1sSmBDneRyKgjyLJQ3C9YpZ1afpntCReEccdacI6rAX1RiwKAXYLG7Je0Gn8zNdbFND5bbu2rHEN R/SaEqxy6p2DtAqaHuDVJJCtMb3+fBlMkdOkBt60sLbQ220SQJ6nYm4gUAfBxlbthKhPE/vl7CVy Vbr4VPDMZC2kpmb5hjlMCDbI9+6GEyFx2WTzDNQ/LTf92PPKdTUt3zc0ojub8zmYiVwlmdYsQHkY 8GBjzH0UWfRek9wMHXTDMguzRiW9vB0fvJi9RKLpAPyFKg98R67PLLZO/fy3zq4q21IDGK32xakg jux32rMKjWyFpaNif7omjXeXi8RBKu9nVA7cQDRmo1MKFyRpEIANBKC9VZ5qqzI43sin6LjogKTq UqSfS0VtAzLnnjyfNXd2MhNZpsDTLTG0uIxL0/a+RjxMJgu+Mt0unq+NPSYtQk7BOO3eGXA2h8un KKrzzRNvo7a64IFm5mQQfpUqkk+FA6iQzWxrxAQta3xqgP5R7htXFOoemLhWZY8ePEicbfGH5oBR TUPK70x289pfZkawzXBKbUPutCpDkx91Ih6Tx6qcyfPM5VshdaiPgCDsq+o9jsE6i2l4wBndILBB B/188Ii8hG5rhU8y1ynjEWIYybG9IMlBllm5FIWEfOPwp4U6uhV0ozdDk3eZSGpi6Fa0OF6Caxr5 jxLXoe5JOkaqO8lm2cgMB9s+YRh18s3DDF8AnFN0IQ3AdtncbSLbwHRBcbX6FByYADYMZSSaiHaP jo2/IB7qEdb6CqpLaiWhAjsO8r6GSGuKy88nM3TYQIxxlrZKM4UEctwblNk1L5e/0hBdqlmJg3+Q 5QS/QHh0DeOaOe0GkMgnDX5oIclLGK9DtkHdP2UM3ulO8xxkRHoqpM5kL4g3yaF2QuY9DYH3xxFP vH5+za8zXVJMMAz5DMim02sBywuQSMTWe9k+9JFSgEa6TLE5DlVoyV5P7Cf9WSKXCC1oq7n78+uy 3ZB4FLG295IVFosWsCsyxkUxrow75RL+vayhPgB2Epc/NbQ20/ZBZkU3WJ7vU5urhmmtJ+M6FH7t Rsvqt6Tbx6aBAbECrQlQV9whSfPounfSNJ/reQiT35v0qMFzf/kFhZzHkqQo3AkclEBZJpf9kQCr d8kgx50FdiZanJ41pPJfXzagRtlk84Aa4V6cWUCy4k3m6nlnKMkuxExiuXmqmSDg8vyGol/REAyw 4+hTBdYwVOFtXbx+XWP9qe3nTjWzuFrP0p9JOilpdULOY2krq8eeo1jxaQQ/nVLdmKQUOI8X7jUl reJD9nBNmsxpfyU98bq5I7XO2dD+Q1+d1ps4hGkZfh0o/3CF5O8F075nkXXhBYMJRYbB9XrHyN6m l0mvXnwpexoueC+Ycab5aqJFkh4ZbGEQJRup9iXTl76ZZaEMhkLfU1T5QqyZN2GVXKi1fl3rWwBA SqSXljGcp1K7WRbIJt6T3iy9Ci8ntZwrZgtcF+C42B9yGClbsZAaYvOLmjR/H7EQpFsaUH8478zI FT/O3iWAI3dE+cbNA0EcxbgsONg8LXtmpDiTlzgZ2/TcsWUKyhehKWRDvMRENbWQoXOH25jTcxEA bCM1W8XRwt/ELbyI91OraMot9qQ2OO8NEZeeDJ8gmi9P3Cj1QuZxW+8qfdigtV9BorRoUw2X4CQy /wumr8BV3l42OpLm7i9+FYy2V2WYQaqoNpeu2JDxPh67rWnYrX9cUVSIUsjNcwxi2B+OT+xvExkJ J/Ker6MkLo+kujbROw/k36GAZfrutUXbM1WS/zKZiKocJN+CJIj9l7V+IjNdWffRXVIW8/MoZb8q XbBzumPm4tjqmzFeamwshJxSjn9ewhiqWSI6L76iTcCe92mGtBUPcPHcdGYTKw3oedvbftgSEtdx CASN/wljgBmaKcW9VnAKGsSwM49B1Lr5IdJZve4PaVrN11yhhudNC/X2e7Pby9pGAUA2TNB8Re5S 6PAsBGz8TZr5b9y3TrWe7gKDrNPryA6GQYqHc8DrwdYN6ND8JbKFIrdGKjxG6zJGf7rR214LRMxp Jtbm3ZXRK/7YvW/wCH91xx22AIXCG68fk3nDip0ShFW+1vUv3cHi5ZoYwObtrkJvIaxbT2yBubgh TkP49u9FHPF8z5AaIX9FCcgs2aJqzUcgzcdTV7jz3f0ci7H+5HPSBC/55UAeVAAkb8QrROBn8xft uGwX1R9p3zCscNa10NcGts5B2PlbtSv6Ch5mtUeaKmwz3UKid6yvxLz2QOcGnL3oP3jt2SUSQgFf JvF5XXqpZyGktTWKG+5brTjnVLLnfKxskZ63/+I5t6Tr0yOpkoIGF6ttY643IOlmPdVu7srfplNb 2Cdsnxje4F0crYbSU05knEWNT3/FIFmHvJvF7cRjzrWuVAth+yMzXbrWosWs6jHX7sD9RV4W0uon O5nqG6TqSh4Sfm0VW7QkCm4OaZl5Q7bXtJiRA+psEpZcCbeTRjjGpT85Qrk8o3rMQLY/IVf3Zm8J 7MZcjxJqVCwYOzSLoKNjdD5UY5uveABDaNPW2fAHVcUd1czXv3hFkoTuF9uXBWniYGzA7oNt1kmf Gi/wn6OfbTsvdPe8dETxvfUhd/HdoNuJqwQSQvV2L7mzBkIOelfEQ3SJbmEwJDvm8zSsjh24pnO1 pvy0MEg6+BoObnSXNNsZiRWi1lxedloQZeBBwxkHoRUbGg6DM6YCkJiyDTiebNXTVqUhrmKuyCND Q+rP+NkZI8pHRhafKKH9ZpP2U5+FRMYWps0gUyhVUP0EVWX1VX+MLny8ts8xq6DqHxHpv187poUn +3LbJa/vWwS4ILuMLtU1hglZRXvSwDceRtaHEYWCI1rOEVrLprW4E5wPCvIJzgVWktiTWxRhaKwk +NhF6HLH8JtNtDueLP65+n3SJ60FEfu7u1sD/dLr0+3ZAEahAY8KsK4PKOqJY3KmElM1G2ovH1NM pYK+P+o4LAhuA4t9Ek5HWvzh4kjQ0LHu1lKFlAa/zaUt5ybXhtIkUHUsquHVXuPFL7NuxGpWv6wv kMIGEy5wO3ePoWDF47yFEfiZlkqfQQoDmqRudtwhXWdmesaDmT7F3BHMKf2vrTjUn2Ipcdf3m7fU ibYM+D3DG+ZzEIRgXLEzGYp1VycaT48ulmvxFLgBgePtnTcDmsEaI8eVaR+iJnYlI3CCrQqXsCf/ dSoY4pOq5YElx+g7Kf4FsD78uXnxmNjunpZ1Im25Q1WyAZfZaj5sI/cn7/ENEbola+yp81WcepeW 3or8wzUQW4y7JxdGAO4d1wZU5CD0jjsUPqTplR21qQo3mhNmvGr+IdMv1EYu9B/Z+RGKtiweUPLr QYLmryAE8Sx0wHDyzGPRRjZNylcwhJdIAuVY6BHPygxRco7cZ46nOaPmbeynXvAdqG6TfxlVpgsc kusiixinkjRDNdy+3Tkh1jGFOIhB4x8JdkXnii1JJjMCjkSgBXtduW+DZ74mjxOp6YGVyycJZzGg B7UwGgAvPxhBJ2qwwikAc54cWoQzt4sf30BfA11hCnMBV2SSqe5yjzvquueJ2tnmIBSOR09flSMC g+wVwrxouh6bSAp9tB8oXYDTLAuXbRgelVsN1NIEa6eCLkB0Q5vMPYYKBkUlGE3q+VxHFkKKL9LG gzrbxnEhMzpBidfn03OxUbELI1flNPP6U3XUMPQVNvNFeNMzi7gaTSPG6v50F2HhctUQNMhJn6M0 DquvtuodAzuhdYghlco7g1zN4vFIEcfh3MrFo4B9KknVIIX//Zfo4sYeVlQllq2pLIC4JS7fwGS0 dstdcQT0HROHd4dMSvpD+9zXQU8+v2BKoSFvxBh1oO3wotrA0kECdvplHH+HOR1a4qhd4m8P2lgo OQWnHpOggqtys5qBPrKqBesREL8eVI8W2GkPjb39cxe/TFobp4LIhRTii1esbiYi/r9dE6AHSMo1 OxeH9eRCkmweKIqzOLPVKrcNhkEzpd6szUzOf+ZV09/MWDji4mpYk5zg7sJ4LmM9jcggxCZHsT7w V7dw/xE66UZN140w6yzn6sTMiMjH5Xfu0U9pWH8f9ybak8D/TwuElmEdYJr6LRwRybBddf3kGDG2 HKDRg2bOoYlivVBmvzzoIIWSdr5347ztd30fid3IvTmPXDbBLiarK5+Y5OiqJiKS4Z24MpQPA67+ AWDy/Qpqam6RdXbVFzUUgBA3W6SbRQZVYam67QksJL40InIwDf2KmLzim8DUZFpN6HAd39DpYB5Q YZOAttTOgB58BR1U9PWTG4T3ii4HbTGUYVogPjiRENKL8PaIKs1dImeNtAFiV67OoUiEnFvhNVQc JtxTUuOToQqvjRw0LfFZEC5BsLw37X0A3rRuJiy+xOiViFsdl1V0vIQ/Zsg9oRZB26249Qxh3gDK WOIe+KtiiN4HzrmDzYAYpbwRxpWOG2ofDqaobQQC5Kg6lG29Li0kcON6a0I402A4jC+CXLpSforl 46vkmsETNsPCP9w5aZWJNWceF3cIeolyKchroQVy08gUeUWW9fybcgNtdReUNsLInyi0nRrZ2JBh aCVKEWdLubA7fw63vjhxr+vD5vVJ4XNr9Ribvt4KNXzRkUMCBu6CsCEGTbWDWUUYsQjtHdw2AGdn o0bPJH8gxgpP2TyF4AtR5KTRZnVY4o0ZhRiSOZmn8LhmsAMy7ewyl6vqb/9sByM+oi4PXsDbYRsy uWLHEfzWeB1tt6Qxvowzy/66aNgmAHYZwcZXcjb4HSQuCGykoEzejoS5C26HigtW5Sb1BUajYxvF 10pCR4epJ5+FDPogZ23Ic2u7cDeFjawc5qQJ6gpzhieDwF2AlFXWBk8tHU2H97sFhDa7WGVDYohQ gs8tdDtK4viukVT6JKO/347zrjFyc0TK83LpS8YunS+4JITtWST+nkjtIIq3fgM00yCR+kpoVjri os4+gmUnAsrRvInPZt9A4StA5ZQHCXYuNs/UMhaHtC/2uxVzT/fP4HddlzWEQflxfm4PbgTFxcsO FniyE6a38t8uYVuAVrxp6FhShSDKVrzsJlKE2jXQwa6NnVEaNBT8Lvt+V8hAdxiQYKKPXUnO6JP8 dxzRNjx6rajmXg9wG6WCzOlU7ffTll8d1Uyhsu3+Zb0Z5tgdiPV2sE3eyDORqEiZ8zPxUyip4gjP XfPeYsoKvqIAsd5S7OMvsFxdOCQmsREnHjD8XOCMEQSNeAt55C8PEqTdAXs3UHJFZytpy2uXPLFG Mv1Xo39gybKRvXEW4RSc5gxWZdwEk7QZ8Dg4xTBEtybcdZ1Fgr8FshVXO5rNCfz5bdUfF61tokfJ 51eRyf6lIztSJzGYUrShSGy/FOleYDw2NuEDD2i3a49oCsEMQQmxMpAM3ujm99Af8YuyPO/LirwC JWQDfr40ZTAY8I+gb4V41CYagxc+iHNg1ArtYZBAuS1/mFJYWYOsMfPPQZXN1ZkQhI/Ls3/01xC7 qo6NNy9lE2haiNxGSjFL0M4pVb1/OwLUNqFSv0dKH7nKI5Towx5hH3omndE7IpXPWv4b+jGDu+n+ dm0+1j7LU0qLVBV+rc7ZSl1NEwNuG76Hq63deGqIHBnyJSzh0ZTC1wKzkyzFqMXygUB067U01m7T xP88je6SHTZQVLbSbbUyLiG1RKtlpXrVNmwhFi3Ck9SiZQSzXkXXbiMYTtioIGVev3zQ9fF3Sm5V UKFC33UqN686VAZ64MOkvg/FFgSz/CE0dVVS/iatHl94qIMaqSQCCuHTdr7J5h1BTIsaK0uoUeaf 8yaA8d1OIv0UwxcHHQR9gQBe3YJm7vixZblb/o1bv+lHnDDGSjlWLigpaPtTRb27az+qGc1Y/i2K ZwjIKplHxi+fdafna+g61ig2z0zDtFVDEWM7wrIS7pdInMuTMCGYpUl0dUNDr2VeFEG2ohVLOyQH gtIGOE2tNBLmZRHW90iRZDsABxNxXcus5zoInp1FaoiWYT5CPef1gSY/wk5TrerMdxrR6+f//9tJ WoFJIMUKHrf+f9EqFZwy+eWPa3cJkRYx/jP8TP7ZewwnrXxv1kercVZ0qDzFNHL7yDD0dmYP5bpu bEe10Tzv9fof4QbKTj1BYCI7x+GVG9wJd35SDOTp5iPq1Oewmxtdmx1uAhUUkcqUiZdOqRe6epH7 cYX/wz5oWXmDrrDhzuPceKZyndcAH6zakMyBI24yvc8XaS27hXEicd4HqOOwar49z3uwpwt/INR+ 7pMcccdH9h00XCYu2mFTJ+mzpe47HglILOK2nS7wsCBZwIpn3EgGcNQR0y9GSAv1+DXQNBPVigz8 EyaDKC/mlmxf1ERsL8O1tDpNk4LzDfUUsQbkeq9Uzw51gHvIrusAUvgaJuD+w0NXznQdviki0orW w8Wp7s3qY7OBGdlypZmWbq4x1VA0hoqfUgjMHjeDz1gEGTs9UNsjJR+jNBlKWoCyxquzX9XvsQDu bjpc7nx06QanXKnq4qdhAPfVxLhqvvKD3+H1mlUc6FqEhOgwXF8PFwjZkXUJxL9rex9kiQA3uwCc CnLT1+Sakiuc9U3xvtuVmMR1vCknTCEvXNrK9xPBjEukASj6FHQKLOXiyLR+YVslkGZ9tkk4LIuH cwlTQ6yciaCNVWmAxx1WJ0quvVsKitsV97v3ctQXaomTQqU1CXOnmzF6qGyrNqMyd7ZvlcrquIMw vcBzGdIgPhA7Zkpaw0Vt6Ao0VzdHKajX4Gi/pUM9uF11jMh5UtMhzFeJwvl1NSgIzlL3LukEqHg5 SLEQ5oNV5UzNuIHsLb7KHcZCM8sg9ph3KF5vsknaH4B1haz/66UIoSgxkNUxfhz5cRIbD7r809Jv Ob/gE85q71IrwKSYW+Bxf94n0QMdmV34xNMc6lr0M29Wg96G8+On4Q+dBvvwahy4ukE8Y/oZMZYg 6UVjMtb8thx+bGHYFQfAZa8Y2JGi5g1J+zUJeCRSkLouGyB8/DCa47Ib+cD05yj5S2AF0Vtz81Qn PwvDrVaGWsa2J5bWMznaEhd6bN+THLJShdb0eKFtL5RVNYLb+MZ2tD8ChLe+7N+gPF0NCMvfzSrH ytuf2WUsZh0B0fQmQYGV8Kp66QRWGP6xF02LAbuznY5L7tfA9gc/rYn+VmmoXcp8fII6t5KGhxa5 Zdmos8QD0zR+9LWTFkEeSZrrkdgNfY4cJe15egU01pIY+uUphtbsmD/yC4EssEb0X7YDFWpYGICl h9h3KIpUG8w3thu4pw97v191GCtr/lq7YF+exTY851y90n4Iiu9uVE+W9mapJdtwsjgT3XszqlBa a8WIngtIyrudlr6Saq3r4PQIDxTPp2GookVQnPZiXAwQ4WU4///4AqOhGPPEylQifXm+v44Zdc80 FoUgkntiCvK5E/JbKmKRQoV60jwWs6rPXElG07a8WGeNtPEUURP2V1X6FmLU4ho2O/DKzUy6oM5R P8QnQzX+XWNpXnU8FlmiXdAK1f7peMXnVQqrg6YuzKu6a04c7o4FK8t+ZdYO/WndrgTqjYnmv2ky 80vUDhYNkT7v4QSCfAgkpZZQF4mDsHEaY7yRL8d7bTtDFl3yAGgs/MGB6zWse+dUJbOSvtj0ph1L fVmKdc0BYdEInE3VyGCQapkqIKnQC1oOrQywpeiAazVh0VYt3BL/DboVZmUvYSCq8XVzcssdRg2e fBOFmrIyWoGv5MkSOTdt62WmqXStXUkwZtQs9tb0k4QQ4TIix5x4jHIfME4z/yCLni89hqHAXh0x byRjA1W/usEiCyV2xqhM4YLH01vH9WvZZgwLa5lCX6fr/iez+dmlGzknhqPSZ6HMzEFB3g10v/4K +R6rFgyHHQCE2pJi3yXNxv53LPixKYPGmIpJ4p0HZNsoDhesrzBXgdyuqliYiJgwArGe8y68XtC8 QVfZGFMCHUNp7qq/DvdhIKqY0VNxjtbNf3Nd1Xp49GrKvMQv7dV13gw3YAdSKfEMBRWBd2NmGtMi Qew+BR/n5XW0WOH8IkJmtL+lK0tWSnCHNoMIt/Nkqd79v3noFCGjM8k1gyOZc2TNvSQzCuGQgh8c qcfHwgDJTh+8tQCfnaBF9DTfqzQ37KggXl3pJZkZiDxrzp2XThPvP5u2B/NVFij0AVE3HDz4V16o KyO0I1RsrVF8rAZ6ehP2HZB9cUETMwzxEJtEe1A57Je9NH/vuDAiVCJmIrK5pkzNu3kt6U2oJFlx 3VkH7zpnSKYONhYN9PkLzKbca3pzRAc7+OS6qk0BlSkRGgh77K/XZHzWOmXJ3uRiOAd45faiLIhd sRxseWcC6PNr1XiPhwDWf95yoNfizuB9qgfSo2qZ3c9o6idy9i45dRUpXmwiFFSbelPvKm2akNbJ 2brXFFa/HVfJNfA9yMPVDfKXuyWH4y4y8zO2bDoTC163xAuGnOAfNXRZVxYANM+2Fbkyt3wRo1KR sLrKSpQ+ZKdWJGfL3HGPKWa8Te5qn2V2sX6J+aGo2z4PPOGmOUH7bv/awKbIoGPt6VnnOzlr+pwC y1Hz6qODIMRfjT8d1KsgXJwYKMlqAadPBRmcSRU/a0UHXaXDre3m3tch+ioKkJcKYvCbqjYSll19 TJ6DR1wRXetZMDJIC4G0kjs+pJ2tiLeRz7scl0zBY2RSOSS2CWiYopJsJGTQCRW9iHAN+AaGXv/y Cab5qm7HaAPQ8XiigriggNJtwoh8QwFC5TXMW9QWm8JqT2EEVmRiP0Pi+d+o3B2a+tmuRD7eUHMj gRP8fukSIi//4yklkWsH3DAJHTfeUKUZWJMxIgbEOe5ki1SoIdnKoGnt8Udc5fgucqCP8bzXTcS5 FXebyboCwgiJAQxrou3Xx3giDUOZZkEBmGKF8WL8gJeIvbCvAk5Gd1Ztmi4WZo2zVI2OGUOly0j2 NgXOsql0KkFKp2/57ckoX3aaJhbdi+goOqtP/WrQ6Ah7vV7smTVIoLmBHaWjXX7Az/ZwRlMvs/i+ 2jmfZbfSkH5SWJOOaXVlbfi+6qBSWzCFz+tXFb4lW/mMqZk6gf42S5T/8qru3GUt5+6LWrxEO+tz gTBtiaTcV1IJ4dMK+Hp+65riqhYSXs1+90+iKO7knsmrEp8p7Sn4g8kqdSdYXptlqBHvWVHYGBfQ xFXv3n+gkPvl2nJIcfgYnz37cxkUnHFkDDuNXolwJ88GBKHZNiq5Nklre+QWD95PQyiC9PzR/+Ra AqYtLC6EUAiGcOt0FMB13zbqfHjleRkOpWSQigZHf6oBbheBD5GeL8ABwuheUF8SrDEIRbPt+KEg T2UDc1QJYBXlmtncMBbR7MruWO9pMp32i35u0NS4OewsVUV2aCFOrGkmL8E2wKT51yVwn9PzrqRE o8sigB0ud90+6XZfjE8755FHVBYWQpxFQ8G8vFss3o6QYMOGfn1L86xrOnxnEzjN3FjK2Y4KAmJY 4ZmgKjlbteSDIakC2+YeAh4VG2vK5VznasI2TxzQZPOthWdvssWPh+2DLA+yF28TvlX2nA/Wn+Wh JXWYBKXo+Lo1yM5DuosXlHkOUCPqZOBJLXZcY7xmh3wCPOYdrdDWFuDlUopBZA65u3oNM5zYOVRW 1BVvIKujLYpmiIQDjRcQbR0DwUWePb5eNT0Uhm0atrGrx45jwEnW4AY3qNsZNAn0gtF1qoDa5a1E nApvNw47zMml8sbjQWpIVFTMU/vn5tT9tZZgmARECoo4PJQJVFi2uuKTN/JzBg/Baow3FwiVlBt3 eHmbR142TCs1hx876ueZ1q0dG6NkXeJJwfm1Dms3Yr/ot0WF/OnO8lHVN/d4QoreAUR+UWPVtc9R toIz6uqJLtlviwScvrIkD45/lZwnsGIL9N4XSohdxMNc9aonm6nufwuSy+J7HyRFsb1Z5/7yKFTe OW/AgeAn7Gxm34nQ/0cSHhKfd4BLTXtvjNvEZTtcVXadtWWCQj2kaMiISJyM80THmP/3ZANeD8dj HSdC37MJVMK0UVSgk5YhUIK94OGzQix+YNZix3n1czlGR42s/ZCUA1l+BoxLvjNXi0KaEopIaGMw RTlpu07aCG0Q4H2SyoTL0I520sjI5VhJtAOaIL2xNU25vWF/gmvOV8hsHyzto28FViZh/mRjtI5L tBRzao8kQ2pnDd+FlHHSMLEbIA342Ts3FXIJJAPZa0QBrxNMbRQf6jr3AXkV+1s8ZeG+Llmr/pUy Q5nA8PxnJCpVJ0gqRylinmR/jjBx2Fvzsr938ZGpmlbWkMOOeIw5pykVJeVoCh2nB7vFM4HQWQGs 4aa/NwPbAcDonY47jcAb00wPEFnpfPcasuyQradjvhFI3DnVMnPYZqft+f+x+P4fv/OKsCD668dQ hixPoBelNoY6iMcvtC5A51czIWoLcxxYfJ8DIsXh8Ez0gBtLsMKh16LnI2ct4jQDgHug6KMYnntj nvF4tgL/DOfzULEKqWlCCmsJBE1sOWYd9XAsoSTsvuMh+8kVuFmYaNLyOq8iopUKfISoxavsruw3 cd9CRRHH3vkkAj19qstcbLmlNsXpU9Uicpy5L3MFqD6PBoQe8CNPLsAoO+rby5qOWXh+Ln7kNhf8 aYX6ceIM9FNHtsfjmGyhP0C3xooHKvhH2eAp/mT3Fh4TrcASl1spj5Xu6/zaaUQbDeE+lXb/PG8i 8b2T3bGIlnEs4+lF5oQwSPOoJ05hef+H73nBQu5rVCegsnqDvYZBvuX3NW/Ox4xO7NQSxbb2qpuv 2+6rrKmH3VIZaAf2uPGOw9SlVfdY8qfsYmahJ7YT5RhSd5N6ZTzS3qJvGs8eBjN+I8xZQK+f3oUO h2ixEme5LOt0FVsrDgw52gEUsoMlwlXtTddRNFuFA+otSCutVzkueEYxXAQM+EsWJmV1DG4J093n 5HQ8GfgLndad46GOv8DdRpsi+Re4eMufVZxS/OB5aozuzXakvjpjTwoJw7aJRvhprXk9PsdwVtGT y4cZ/iFiaEQoJqzF2uxX6bQnjV06e0M4Etb8CmkNVpz/lYlmmsbtaNt0Aqfz2YFc6oJ3Z/0MPIkS ASWfRjhHJvkbfxBRQoGnAfLTROIs4uTe1ZArppiaWrH81UaNh/bI/rPMRY8bgxhhNMEcjpVtFiE0 m1HrkHtic4ayEgA9fFPKVq0po6rSy2I1VFd4T80HqvILUcYlkZTbQQPJS6m+khUuCfoUgpo+tMhQ f0HjuA2Q531Uts1smHu2xNrZFcmVRJEURXiTLReDCP5hKMbynJwy1dlM9RFooWPtfENC/3YppUJ/ lsPl6tnF7CWTlF6WpuMGnXAR4Vd8+Te2rx6Z7qfbPwOBY+CI8PBS9weDMCQW0fTwKfpDM48LN1ZF uT+vGPMXTY6kIZrmfcWeqS2a3q5ePCdBy8ZYXrvyc8Q0aqjHAmB2++OK4tga8H5DpDnBiwlo9laV /IphHano72ThjbLy7JliV+YV7ZmrDqkDFhZVeZR4nDlFyMkqa8CWahe1qvvQiM3Pp7gzEjxxzwl6 F6gpE79IZny/GsqXSpBkEMuZcUYI6t7eVMTKA6NnAL0HHNrhdEPZuyN9oKKXpQ9Jui/92wfVuTOm PiUBeK/nKh886aargD08ytor8odnqUXVLCd1Z57tcZEwSMyohOApcMotFhCkCSPspU5dPSCgG/Ic /RyaY34qYX6V0UzO2ihsTWapEqNyY+QoI4CvqHaM0skIlfoXq639JFtYveZ4ghdSi3F3H9l9PVCr NrTIl8PQmSYT3YrYtL2lfyCUtHFzBlvjFY9iR0rNigghXALJy8iWMp77bp2ocWCNUvWfZc1mKWgT PTKXhVvLY9FcWyHAcukTFjPF5+491ivKjwTc3GZ1FaXBVgzmqnWJAoL/+mMCHZ9fv272OrvmqdKj yBAtT22mEn8l0CgYNH56coCZsq42fPg0IyKiTtpFxsUMLBCuU65FgzU1wscw3v0gGspNu6jFyKF1 3LiOd6vV7vPW73y9ak8ARnfMx05yNrA8cPr++rxoen1FHqiTMoWE1NI2Qnm9Yxyyk7VuFlj29Kkr MqrexQhcBiTnsxS3gJGAwH9NUCfmIUSZzhsxeqW4mN36Lbx0LA137s4XJPTbGmWjF7/Kte8K5SQG WJU2oiLnxyhxTLsqD24cifqx/X6x3GODiQHPtbetJpdn8/Bap5m1E6kU2GG2LdSvyofEHQmHaEq9 IbH57BvR8841fWa2v8TdLS+ELfqpAmfiQOLrwfioIDDzdBEZl/cDKoA1KH73lHtSOuWcdkpTN7bV 1zzgInStixRm8WGNDQYYL8phCISzad11ZYdfzGe3nAk+Cor+ai9qYvRg/cNV83mJ/86tHJuo22aB a9SU20BsPNG3T0GIeXYurkT3FfJL/nQRUydrUi6d2w+J4H5e6PzxhtVopJk+hOxpjkLqGNEP8eNT VKIe7XnTtpwMp4S9NqEni04dC7/4Rt4fErm2Ran/9/C6CdEdyrzE7psef8GQRizqB2w7b+vqXW2m A+4O7ACQjsmt53HPfXHoezvwPDVypUeq0nihyvhk2r6SVRbJ13qtCrJndQIE/dcb+T8xvcrCsQg6 qcHsScUFDCGMh0W2YsOpj12rb8WkRJLdbPvnKTyGqAgTV0hoqJCRq0HDL1VRRnNuLR9YTBYtXXt+ OOe7iSjUxl7cvGiNDPSSSYcBUYZhn0+88xRRugDPXRCAXsXVnECrZKGNItICVcfeo9zOp02B5hsz TWQIokVapNhsxqQI9DrqQEtmoI5DBu/gYoh8kceYp4b+pQHUhsnV7e6II25FFRaW3MuREr/I89Gr +hKceV+PO2oLZ1UFNFo3Xf0d0FNHIGJ7F+Muk+SqAcs+t6Hx4LScHqmoH2dI3u2CruTLzZlA5zXE AEXtDZlcwO76UFq2gk+JanAqTV1uB3kKKsEn+hvlLsKuoj+ux4rF58J3jFMtFeBsIjaO7kpdCyXZ Cc0Hni+1fDnqXFcRub24K+KuTGEXY7R0bARbh71FZMDfa2mN6gCLlntPWu870E0tubyoWBBjqw92 YqgH+pUV26b/P0ZJIblAZ/Am8YSzUmE0PKTodUJ5NngAt/cYPid68v3dAtZFfNBg62UIZnZ+MjSN eOcpaxC1CaW0w9H1adaNYpogYLQN+nLpYfiH7bTx4tRAGQY2bfW+zTWywDThg/XFD6PNKcD5B4SX 7o2410PznyjIqieSdHIjmBtU91SLRSJbhx4tbsbUAQgYFAJ9VP5JZl25fgcL1ARfqGwbl+owsnMG y3xLf3xX4uB8omJVqBLFcjLzqi0U0utlqEEToL117JjA06b0AZ4+JcgLwStBOXVPnFwFXYBmih/X Hq9V+WUPH2dCW/SnMkHu4/FC5BnY1MOnP++RmnToD/ed7gv5keQHPc0DUHq5Bg8vZ7KdoNjEayoT SdnsykL7JLfa52LkOzZKTe/Sko+Sjb6uQ5Gil08IJwohrNxhh9NukYMbl7JRSxO2t4wyzxQyyIGV DPGdJX4lHvoiwRmNccK9s0WaNuVyAgGiQDS57F/ybe/xIQLMvS7kLFufNJgwbDrTwjJWvxXdl6er YDhtLvpvCjvaqAM83b7Fs7cYZaQgzwCrKic4gj/PUCSKC9zAgKmaDUq66RFQBqQ/EaxmFxow3WHH F2tWKTMIw8BTeC2uP5X/yjQQ52EbWV2ua6mINYRF/moUnbk+tNfFngLs8aS8x+szbo8n+Vjxwpda ouimVt42iNDaUolEr8v/0BiYgCZL3vKF1sIeiYY++de9S4RVZ/zY34pKNUZN7WJ1l9tlGzuW1giG xm7SP4vcnd2vMk04g9hD3SpASe2C1ho1UH/Q2lOUws2IRoDQiy0FK2yLtw77jT9ezCvDLd1+IAnC QcZsupKbMCqc9bZBwdckzL5hcvgaiSjKrcOGJie6bLUh144iB5Q9ehgp5cH1LZJy1AbKxhXqACVm zgzShVmmYuzZZf+3tfJPxocvhth+eWV5UU1D1TWShfU+TA2dF0W7+0PE5/37cfBsxfeAf4DCY4Nl NbYf6pMADIzvDCw+3BqvciOXL/oP7qA3+waZ+GEIgxZV0Bv9ORlnpGQ4wnPgL22vHNhlPS5Q0V60 M3wZBkzNmA+/sigHj+hhrWryWso9CSEJCPN2VCP4YuXt81OGUMV21VSdHewucVAA5sfOsEVxEPcD 6Ha7jmrPs+zH6ALKMaIzaXKCv53H94U1l6llYtKMko6Ltjv4CtmeGo24J9JRyJbG3bNLhby8HnzS /VVzaQSKQgQw126jCTQtLOFZIKm46In4MYal9O25lVDu5IPo7oYZqGXOTiBCcXq0r4+3hfAoNSpg DymUbtSW/bTXSAdGFELTaeYCJ8omSVpkNqel55GkaBfMtoc6NwhBBAmCaha4Vs4hJ6XsCdV2FCVF 728nMSKoAGe6MphuVeKXawcF7u7Lh/VcjoyXosQIISSWGw36rXAK4iVR4aTEc9f0cf/MwAGGvlfp mKvRxJymPd2e0HMmgEBEjGsUOauln7EBMvl7KCb2rVKi9kMsm+yM0TiITGk8jP8JZfP/oeM5qAwx zL/mM7QYLFrwCqktKv2xPfLdcEX2wCLYQE6MP1Y1wjzk9/MS1y++5diDmAQx8H8IFhBAtYrpXoyJ ovvbqQDWUbJgC21gWZPPm/f/yof/BuDyKh0GwCLPVT+6tT98TVuEpwXu/KWPuAfsZ6vIzop1yEmw OlHGrLDpp06Taz/hh0HbrsYMPKQ94z4gC7z18Xd1tm044m2nDnMycqM2Eso3D6WAtad74NQ9fCgB l4g0xyZZjtVVcR7uIzNhx8jrx/TNn9PN+fkz6XkXox5Ucs0xTtWYBZyzv3OGDXkxVfYovJA5ziOG wA97KYEUzaoEEujjdZlRG4fzpcCt/TXvHz4t0GjGMcBEDA8Bbb6tG3oUNU30cbHxz2+NB72odnfE Qqyq0uwYwgNcwoQFuTfaJ8f4pyHfewM9bBQo7rcME/pfuHWb2nkLsZy5YRTWE1sBjU4CuNh+dDDH ZehDZLisaq7xvbljgikIOcAJRdAzpUU2vAQQ3zN//oXLR/JRaSOwNqexo5DUW3JPNxHpw40qMe3G j1dvfdB4yQ5Jcb/ZZu6mKr3z7Lwu50AVB6w4ylxfl4oxqCVtRqk5lXedwtGlP6zY1I/H1MHggEQZ qWIN4znN68vBzR6l5m7N3SUpa1R3liSbkul5s8H8WaZfGumIfKckyFwc/DAImv0pLxZd3nSJq6OS Jkfdl6R420wJGAPURbCFtjLoL+kzVnZmWU6guOQKwG15P9c9ishP2pd6Ns0uu28gya4pYv6y9lrN b/eoEPY1q9a7m7RrJRj7tNFUxOGsrJ9z2lntznz/HHSWOAcmi8Xl7BWeAbiNXDVDRTOwjjLa1khs D6xZZbG4Xd7RMGCPaQ5AM6gyjsVXqZS5BdWNd/CTH548dJHFkGpX2vHiDg7eFcfc9b4w5HrqQtA5 o7uoRFvmdXGU54b5SDhrsvTosGOBXZtTV0I9cM0+ucCs81ffj6i49DYcB+ZYg9lUurQJw8TTUru7 ZKe4zEFm74HojIwJ4BhsBX7USOrt72yJ4hko+AJIzRGDP3KFbExNt2IDq1hFDFhFg03MgmK/z4Hy bzUpDHKN7DvCEoKF/WY0wHrSterCOhJjmkTtUxnYUwfbwyanlMqWVS2ra5DJ83YApIIn+ZCvOYTg MRjBWWY3cmt8i7TkOls0f2oFtbSTgKupu2WCRmOh/4S9ETiHECd5phoX2v633LY+uglNMnekKgKL plMr0GFEPeBYUsUgjKqLUyrVaVLuhHxpCxL1ya7iaiGL6on1T3/RouUaz0DkA62//fvEGS/QBRa+ 83ZwiL7HWc9KMm9s3ibgNkdyrXmekHNeEu3fFamkiImMY8I+/G2w+OBye/YZa1h5jKTuR2SbOZa2 +pRkdy9ElgDIS3DOqJ+aeWEGiAaYVbmIRgAWBxlzd8F2iYFIadz8cGXE6bF2hwVwbY2e2Y9I/SFl 5SirpRXq4Anpon9nfPmw+xjpYXvuI3OpK5vD3j23YC/ddArHxkrW9V1lypGqYs8BsLlbj+pUNYi+ OeRiELXJX8mJIwhWPjVQGYg3tK24vYqa9WaX5lysGLhbKrkmiVWlr+Jwkg2bxyhtWNF4UrNokc5x jpxZu5mtQwCI5X7nKXKANOgQWC5UTw3XvrpVgISB9uSMvpITOzDBbvtflp61aoM5jsNLegCa7qKx YvJM9T6s8J2QRCdClmCRPilWzFQHTeqoXo8HXA8oBj1EFzzmwCkFddpBsBPPQ4hRBNHg0Us0V/4C iIWBlfLyRH/eWLGE+KeOchFM94D5uDnidwfbuTFmM+fKMfYw58nELbWKk/hzgbAQGg0Esx8kNg22 yrQPST+RycW3HqLmQFGcZRLzi94u07+V36lo6eeR4cvmtTmEcB41lnlfbfrF2xjLKU1tXfOQiP66 NI/Qo8wisz51Kg0qJlPQIH0gTGDoykyMZ7PZsfN2l+yYELcMDMOPVa/WBn5BlElAxsuDMaMgRKRj DY9m9DiP+anlUTuu9FLUeogBoJUet6WGsOoqfyl1QH5JCNsrJzvEW8ymnB5w9E/X6zuBKBh7zbQz Nkmdw2bTF2Pci+ERNEKOvmZ+ClvCpu5rd+KIdyAuaENQmxbNlpziIvw9os9I/L9aUfTXj/turBv9 xKGczUoAyLnAiOQZ+OhVNmSHEZTP8BQhu9OTg3CGZsgUOUdXfa7T5e6/gdvEMppPhda9AbzXAZAk 8S0TUINUC3mOHmV32uign8zZ8b9h9tFOcLXDbcSUS0VTjAWp7rt/U9iP3EjzdOr+44SdjoKeuDiP gx2rCiQTYmacltxAQ+Nopu2Wp6uwlNu83mDFPnTNK5yfNe5Ah/A0FHKgV+5L+SF6+MSmIMtukNBl 643mAVLaqgaiSqigCJ/ysQW75umW0a9JDlLGCkx/YXydYRz5UnTih6BIk2slwZUcb1KuZQR1Lird ANZRVGoaKEl9xPmqoxc8HqtQcKVPiGFhmW1kQofRrIwzyTuG6yy8JpwwnwdbNWXJ6nwy9L/eEVnl Nu87XoTGO6kzSNO2HWA19UQpMIHkbta8vcVhnNHB3fWXv5p9UmPcgpBioOMsGhym3JgSuBZzGl3Z S64QENOe0owR8PO7yWNv3eT//kvVKiqYtnkWQuGt1YZyP9NLBniAkL4IdIvQuxwftpeml1Ve/1Uz MS84qUhNq4h9Uzzx5zNpKTBmZE+bBdyDzc5eBudDW4T8N0IvOrkBAdM1Xafy1dYOD14tKhIdPbfR UIxVId0LyCzUmbCWTN9xz24ZtixvmN2rmBaW07k8iNJ+M/cMqFRkCk8HCrXPtZIk7Es2u3nNvz0D dTXrapPRmUBukBdvTlVUEmPbalxdLzk6SgFQW79W4pX8BF9Pn+qREnJeVjb82Bwh1VeRNA9Pb5O8 eobW5v6rZSBPOzSJL1twZ70zEPB0uLrLSMI9kKk3JjX78w1XVk4HPWe9nhaM5Y1ioGAT9EsDZskd wZ7zplRsbYNXw39iPnsnTEauAuhp+c8cgoPx1A8rFMUnxzhw44zdS7SRSDY2AP0ap98MIatrLqWw mxwtUPLGS71Wzr0dmZYetF91uW9X56v6uWp+0f/i0ddjn/d0z9BzP5D1v1QzxC4D+VrweZaMF7fb gQjy3uSXbHOvTxBNPCYYE19DINyeasICrGOFUX2Kqj3WSiEe4ABHo3s25oER7tbwRU0V1kITVflR wwHC8MksUf17wk5q5RXyUWNrVKl34pvaP5O1oyF83xmOA/yk559OGuhrXO7VZ/ngpojMEpaseu3Y 3PHIQWvDfsupZsyiTy1zk41nuFCQ2urLTMz5lGkYW5ehRDD3C5HHLxisreKHzxL2Tbd229Crb89V nPNTWgCnCf67TOQkxsBoMeThYcne04qm60nkdhTNg2lmG0oiP1BeKr1XhUmqXIoE3aTy8AIRMA3B uL08J2eH+fG5GudpfEpLiA4MsZYeVlZSEjMK0k3gSoXID704q6+mq1umdP+er9xDqDXzZtMCWULv rpZjQt2MeuxM9FN0+jJAddMGsgI2dVrgGnSQ1cb2Bac1AF8YJ2b5zl+ICBgJm1WSBmfHTYs1aC+a IdcfNe7TuRBb2g9utxcw02q3FYgJ7otqCeKc6GJh7F8XFl6qtX4o8cYzCBp06EE5q2lRjnJRsXY6 wgJ+qvliuWTh0PXY/BFyiinboQ3IRYOkbSld24YudC1N1wXKq7zf0kqQXVKHfyIqJ48P4Zg+Ow4S zFiHfkuR+U+H7XjPvxySSHcCK3WqMIx7MspzoouN15mngY/repLx6yZCo7Jn27mri5ARL2zA/Qqw w+zVwtZgNQhZyqz1KZer5SGqFPs5P3KMIPd7gu4JTzDp990BL6RAnj6GS6/Rcx9w9uIT0NTWprlw X/b5FwzrxAJGeDNe/VIXhVmR+r6xm4n5CbzakQMxl6CWGFsvn/SS3Uz5FvgIg6VN2LLX3M/MnYnA ApLhzlDz81r/+PvRDf4nSUKM9cfO7kUL6zK2Xa3H7QSI5n6o0frtd4CnS7VeTKpWri83NFSW57lF WiY+58WQKJiiohNLeNEoFgLqi8ITlqhOQV4pjuqrHcRpKezQT06SzkUQH7WelQoE+K4h0AdAQKhE xuyNJZN4uKgldF7rzL/nopfUfqcA7oEjtuTHy3kNCmnaF0f1Irr8baGmLJftaO3g2OBK0V369e5n 1uqVx2HKVsEUfwI2vB8FDedyXgf9U9z+wrr98Kf+9r+Wl3bthD6gNZrhBguaVCDvAeSrdv1tV9Pp L9Vobg8nUJNZ360Zz/ONxv0AiNas4p2hj2Wgnd03tHN2m2tkFiynMiabU4VCyc7X36Bhe493+/fS PD0E1KiAUtoczZpwJQTFLa1VuujB+n8ulqXtFjcFvxsrZSzBVQx7oJc4vt3seL9q7DHoWl/rSnXB a7jRQzzkbk/aQ1ppNHX9t729k9BEkN1QXTBMnKHRh4npNSKW8ZRKfCqtVu2KT2jkjYrkBxCXdzn1 BaOWzXszrkYzT25l3nYihPwgkXoyDAErRH6ZebhBMRh5Ck96sVhUIPwMxbMXgWYrAJXnEARJ/nRJ rZXR08RwIRfl+blyEhO3tZSbNf6R79I75qX++HC4a3ENo6sgalMH55OzPnACi2WC2n7iIS81zJtn 4jRdjOkw7KbKV7E2L3UB99txkvWZ3yXRMAuVh3Cs+tYQOBzPop+r8Y6om4rASrYZqgtNxWkzKzmm XhUebbP5YscxMWst/0mvkvahQXEBKqWT19iG5Cd3zNNih8Lxknxy1WqQYX51e5vPGBYZdpUmv86Y SnXBBZZf8+3BLxKTlL9FFOdLGokuWMKJ1V9WVGhN/snvQBuZoKXp9tHRjvRKdNW5DM/KGAFvwEgC 8bWu9CAPjO1NpTRsq5iEhxKIIzDT5WeLu1YIKoX7XlIYsK+Ee7CrEaS/VLsZg2ZwaNEWXqd4KiGT Vr7Q6IjnvcKaoX55s+67+BzHPJXDah136trn6nw6YC4z9lYre+MITr/O7znp27T4G4I2jFvh41zt xkPcRshbD+MBs+iqh4v1whIquhslWiAXR6hMga7a/zD0q6IbgGmzF1xyk2j8gtI1QcbbVX9lqZZJ rpyEfh5Q2YaFlKHSUu+Pzdy4a3S22iyUFTSnQWcN37Qq8RSf2de3Mq7zqVycM35BZN2Rl0Uwwd9B M3xMoVxFYd6RPOiMye54f5rZVFor3Q51Wmyb/DEVNz4d/63dJhwP7F7YcYzup9aJoLevSnsdAgNE Uk6CP1goQt9P5saYirXJd0XxBat4N8X1Ye+Uf77N90Y6a8KpvPVgg5q0AwhnDOjwf+PJdo/162Ei dkS38MobjuneiMvVjVNstwIcOYvV72LJl6LU0vpilwiJZhiUi5tka2L0GFXTHWU6ZiIZ39s5h10R ZxSpN+OIS91tajsforhGeahSSr6sGVvaFtHcOrrtyVcAOMs35lXvbYvoQ+tAu0Ea7A5Awr7H8SjZ E9ux5UFPzcsLU+sOmNOiIhR3YxXKRDMG77AO5W2rdlbYF2BEFUiaVRbXFlpBZWF/c+1WyLreBIBZ o2REd0dRNCBcwublJ3TP5hdPVB3XPi75HRacCNrN09RcCzaTYOzVted2d7ZaenI2sTZF0hYkNd7F yEC+RlGoSuVm0ExIeSPQnJu0mC6fZ3hlbv2qyd0uTvGQQkEQj1z4c7YqzBQuX1Us87Bb1PDuN709 Vk+cDHb1+dNuOYtw3kg5ldlPJVwRQWvJnhxr+O4HWwWq6Uu/MspwJmtdBsDVNHGgYPFcDhAXlYlx 1eXPZj/jE/FmczPobb1gFholCXAV7L7IP+DWjFLBN9sBe2fJaRWM2BTuO6hVYCN/lwgwwwIJ2Gel gx+Kp0QtGDQr+Fvht1jVHaJRa3L+iDgLklbjXVUawueuAIuE7GGyjEJ/UMOGJf1MfIBj6WQ4hfWS xta8qPG6eRKdByazAq8LW0b70RWfyrfStPaIFMJ6f3do+sXyVVEWYVeyqvt1J8pXTrMPbkm75m7m gn5YHZz+bnC6t8Y1di+txiLGfV/91/VRkN2L6Vdro9WUVUoKr0ViZ5owWhZGF2gbwMtJ+9TwtiWf Xupi7XqgrGSHR1s3aBE42rUS0ejY6mKWKXqkXoZQ5pb7YVsD4S+uqhi3A8UaL5eO5hwnnab2+W2O lvyzRb9iNJtst1uQorO/uFBVo6EUYaSMYMC0FSTIgDAEWDGgAWQ21y+AOYylOjzL5QleFUQKaovQ +ZYkTajkfPXIm+wQSeLh6AJvslfRS/jpl3cbIHinhKeplq27O/6Hp1tX5htojr76U1CD2R1tkyv9 oHjIsKrwShHO7s8M2WYDJSCWeys/qBuXPtdblw+2K2/k9SDXV+6CV7aRBEw20IOD9+NuxHrLET1N I4Vo777GtzPVM0jhc2noGWTLPVdGlOGnOyN21oMNSiShO/KxDxBtAJ3eZY1OvPJnTNnkAhjYpo4B IrPhfeEp0Dq/Ckwd7eIiBX7GDPu89EaFCi/HRqq/SLnKMiu+5su3rZrTRBjmkSGlhx6MXnilWHxG kfo4h7uar5asyOGmCy6cSaZwSoXLVDkPD2fqFmKIeOrG13xu309f7pgQIer3CvknKe2lPn44eN9m X/XOIVtq3/i9QRU1Wc7/6IXx+L1DokI2HKj2Zpof6fpAakGyIqQi7i7wLJi9I7HdM+Qyy1QX+KcJ /vEcGRAeiI8yp3qmrSSYgCMfPhOxqgW8j5dN8y7FA5cz9zLaVMG2zXjnKM41O4MOZnpa1ggNU7h4 Xwwk5uPr8KEetLr5VYe/niFaa2hdH/HLWsgXyvVykYMQ8FclGe72CUuRD7fPfZMo/n+T36JJn7rg rDGyLRj84XBuZ9OBM5LMzFPy1ZvnRXSu2D28UoieT6T31bzSYCiUyaBQlg+NMgRHxd8GQFpUwJSO 4KcgU2KmUE3yGG3BaKPTUyHAqroPWjJ/i/GqC6DrxLrbShJobLVbLwJFkyxO7fHUx+F3HN3UmI9A ATS2Tix3JRAbkIiI/E3zloUNz/PDG3shwt/vLtvcM9dYRGm0ndN1TqjXsXg8N3CF1nmn0yZ4L6T/ zVPYGaB2lD0SjPAzHYCytX44Ea/CN9kdEDAtY1RmEfjvwORNV6wIOhhuSuz2zqWCLmFXurmWPwNW eQj6vsOi9bEqOcDewCv5lLuyFEWbsIEKywBOspFrINdkRAyUVUIfSXN9cVJhUgi6XDBg9Kg3df8m DQpiRwUQqh+j+MY1nqfoX6BnGFnViX8oUdCTY5O7MmoQV370ib/PUBxke/GoREO8ggonSrN0K589 xG3LpGEs2anlGA617TmiIsLRbjjUpSBhSrcuVkXLLO+n3ZPUxDZMeC0Ros5ldSlvkZD1U7bHb6q9 cDQcEbPDkOZhaxoX4vG46RUKYrl4Q0EZGgpPruIx+aVbdoBL+5J3BWICQUbb/Q2mZvZUBP9q8SYi JN1qxcI2Vs9lQXp1DhGUvBNtQFzc+idVeVA+5hbFIJndR1+YPHBYqqv/sYnacOmdAZiXodmWwm6q lgCRkR4/Xu7txDjNN6BQMEvN0kedWwhcZMy7VPBLcs5YJ+bT6RhSrXBYbfQSa/lEpdj9vxsE+5zq eEdq6u9la+0cnw+3NgvASE4lOjhxF8Dc+ogwTSwR7atH5sXnEPsxH1or3iAacILS4tmOjZPXTLIb L6Mx/mUOqvJ9HCdKNhVpawqUUnT9S56LC1mhGMMvK05+Y4gs0wQ0Pt6kBZFqBANzhvs5s1If7MLt cUem6lypgVmYPZWKinrI4H65BNt1Qvmpjr7vszko67VEFtN+fn7bViQkokmSVALYyEpfWI3dxcRv qzfR3pgfCTRIqUelJe1bXZkUlZ41MQT5il/ETwgqTHymnXkwjxbxIv1wlGWXwj2lqN9kR+PRxiJI 2sNmH3zdiP55FWKxAKZ3g28+73l9FgMC4xbkIgu0RqjroZcrWJU2tnlddSgK0cAC1k/zvqr+K+D/ jRCyUzOzRkH408JclqJrzPqH/HFIomjJlTxbRhlmgE9klFxYmVgSvZWFJVvNvRfbfb6ikwKyc+Zf DAgIoZscQkPUITyff79FTYqi7Z19KB3JjbjSNRcdDuBuBuX4s6IHMej9r8DN0x+htc5CsIfdWFS7 HKZtgihC6DBM/VASPExHOv3HuASWW47BwbjOpQxgHX+40IwHhy+/JKJXYt/cTe5LzyZ2ntmA/4X/ YHoteSsjfkKlcGOrclsc8t0n2Bxjn8Nz6C6Tg/re5Nzhj0yICCqM+H06eb40mmI7E8jDg65fcBDk Y9RGhr4gRBbdi+gXDaP56TRFhmhMltsqcHx4W8Qu9Z4eF6OTzWBOdhDA8EuNoUn3yGvth+miU1Ut rB79AY1/mtBPwZuMNn2L0JdZVE+v1ZmFMTK5bq1+25Ca2r5mBc5sxVHwa59r9UU5RM3Ef+UJYP7e 4tbyrlalDVNo2A4ORKAdJROppGgnpIGag1O2SOUOAnrdwPDPsxawTEs0IolN0RRLJVlZ4pn1qVKv p39HtkcWpGlWkasPRxfy+Qsz5c+4VwTiJuI2BW56gHSvT7vLdDzFycrGWo4gcFA6Up6vj+1Yti+E pxr/O+PS4Hfns4A9it7aY2vzPaNqvNpgKZAj+QcqTOD2aJNOfXsij745206S3EHMXqqPMNOWkdVe WQg73lmy/r79dzLF3CM4GHeYZ/wVj4lUa+bklo5GNuPH3kvXDwAaToPXOFGbnMloIWCYAtdUX7FR 5a42CDgvpD/KFot91s4NwN5zYlMLpYLcrun5PO/YggXVemzQavXR8dwD/JUBLAeVwPUsfrAOdxFK m5Hy6ZOf84bzGEGpleHdu28CrxK4bl8xlA8Wy9SIe4pLpr0uFQMme2ounV3B2j+DUkzsLkovAOHw nxpyIe1uFSHAwR3uiflohNpbdzyzNBa4rXUNr3wb1V949SVsoLRiVf31WGi72wojsYSfW6eYMCIO eLDeTtWi3HAkGjQ2rDcxgjFEO+UD8skbV/pqx9TCOnXOSqt3ZTcc9InZ3xa514KC9DtnRjyyh5YA DbSOdtqAnsP9fbtvFiACk505SXORBLEBhDlsQzSB4jiGDZTCdRIKS1FZ8jL5QW8zByr3OvfvmPtB omFBIfK5Ig0nCJLjc9VMGXhzro/i6mvH/tTI7Es4XlSpRe66BA+dViDV7We+uPWRD/CJBqEvrvSJ enc14pjMkvRo/DbOIeHpRKLThgXlwUJx3tQeG2Ci+XiN6XsdCMiBbcqUYWplEZZUrU3AaAxQbvOi 74GGjvcfDoQ7s5TzegTMjuqDODosMsxxpqsEviNh+JYkpCcqOwFqXRgex56IX03Fq25ar6BJqyTy v+6tUUEVvXwziqHsEZFuq2FyZUhpB1jmD+nz8MM71aopDLLO6EO1ZIr2wIxIEueV94A3T4DDhpT3 CTBCVaFWY4UQHrAqEVbAzArLdrg8PN1u9PrV9SPImVt6Kmo0gwknGrzg9KWuA5+d/3GPXsbjKpP7 4GHQDQTxTpTlWlRIXOCHpkdW5VLsofhewFu/wiq6SdZBllUPHjPpS1jVcNFyvvxselVdQWVaibs4 ynq/+wwNLA3UXnB5LIgbMvgMBcY1F/nbkOjK8Gj1EkkLJpG8d9pgV2e0Q7+4FWNXybfl3Zo/c3fp 2YEFEvcGZ8dyD3t405pxWP/jZXENO2y/vEJwCMP1dsfPPGGnkMITR6XysPVvorHOkOqAQThLvdvy k+kMqMo7+Sp8vSuHx+W98bhhYpOlwD5oxY30570AqiUZZNzx3GsmFIhJ9tCt178IpXLPf8Q6+8kQ Nbm3FLCe6Fn+zJCXhkWhm09+Ig4D+5e/ptg4bETiIj9myvpd7HqvjWUm5QgCLgJrFivbcfgscq83 DDFP6jX+b3LGnjiUuBZsvx5NA0VKw80mWLMlUeze1XvUURSXBoa1IBhCU96Dl8uvk2iqcj9+UwEU okNbOPXtccWcwLS0jKiZk0xFmMFyvdUQJaL7khQaiJHHvX7WKXRglLW047dKC8Tfmd6qUEjeOIxE etFLQ9eNmItPGY6mNAZ4KR6iPxKRCCoCfNNSrt52wMTUmbFo8HwjePaz1N+bnuZImmE6YuMWpj7A JVsfTfWSeMjMWv9pyIw2QBrLk8nQCerNYAg4ifut+a6hylyNmznFC8pljvS43An1QVi9HOB/Bsws p9N5Cjnp6DZu5XnATXqCSz7/yNFd8uuUQNLv0v5MPr/TnETFu0rnH+y79+c0zn/+BgqCF8+VENqe sCWn0glU0/o6OS0svnHBIzE6ifrnN3yBBa6Dgb/nnxzTjxRTfswop6KCC0D9VIKm4HzVPg91ldMb A3tzBgfwLDvq5syttlOAWKaaFYRPD29A+FBc3dBCYhtwH3GWmSVK04zWyD+hhiGt7K9eTWxlRwII a38KTVV0IAQiG/ftZExjdMNgZf4dBuATLbKMBKQF14H1h6S5WL7rFde6CkbveghDlDcWtUzQPMVa bpCTj08z4JwONGPCYnfFrfSpX8zz8nsz5Eh10D7g+bIdxAc/PwaWYsqCB2w79xd5SbkSQ7uk0p9U MtZtk5PsGN6eJou0DibBkG/6zD9szGerhzMvp+AZtUje5qb8pbHgyhH4iQwr97WXAfTOF8U0bK/M MnX2kA6pA+n0B4A6WKUf5H/1KXoWM4/SvsyCCqwLy6z2Vh87GihOxqpyG1+wFWDcuxLHsWmKBBZY mvv/mzcZPsAlLGLJebIuEMUgNwnTNXtxYdAMe/BNbpOo3Dh4UdKzamEYSNQZyzWUpWRIRqjspXwp rDDsVQxR/uD8zGqadeXSA/oRwxH2wKcEz2TLDZII8sR0em7wPUcbsOIcl6vSN8afZP7OwPZtMggD JSWEh27H2zhXHGP6+rUuLLC43Bb58aD4xKXKGsluNhYuGH0iW0REFl88Y2JnNLdX0cBZyELvszEx mgoYBv1vDTeiauHxRmAzMh21ufqyhbVtwBukdBm364jG7hTC0rrXP0X6DIER10f4KinfQUP6KxYd IvRIkwvNXYO+EkX/AVLKvqQ1DMvyJSHUZnnVxhVSBg0JalIq5oYdwcWTslhCI5nCCcMO96Ox17pa VCLMnPqyWbHJbEtPfaHoCqD95iGx7HwNs1dd+MDqMBR3hKGlXsxBB/dKWHACl5geeNkLliElcMdE bFnoYuYX2R2UfnCtcEBmXTqUBiU5B8wrw61HC9Fh9OwmFBpA/1efcO9Bvegpz77gfiDPlEltWE/6 mU+TK8n6FY2pWPLA2J3KdFQ9P4wiIa7MFc0A6Ay0ha8CSOzn8Pf4n5Kenc2yDxqdFPyY1LCh61Zw Sk/lBn13UtqWhJx4CeUe+v7VwaqBS6kKEA9mVxz9jLv46HkQ0lJE9qUWYCDBZAGY9lt4HDcun5xj wCqTurZK64bbLvXnYirDgu8jJLflSUeqHtZJSqjx3CnCbYSGh9XFmF87wCRPYYQECxce/1IOpNF0 VnNZG6jD8P3YNzV3WBBI6vq7ABD7sA6xl1U7fHMDTtfm13Z2XyA8XXFa/SZIUZhPzVM2s3f5JYFm PTLqrHfdycoCg86a89WgbZXQTmxfgdOXGaVnubD26zE3fJZyYspsgoy7CFxx/jnsx5lWDbm1Gxg1 uiJgd2xkScjAPlezs8ZDUAYWLiu1I2Z2GXMT7DB7p393d9z8VVXMJWtjS8ok+EIBFCOrDlJw2a6h imV1M1XEfFi8tURMey6/zYNjYGIKvPNIu+A//o7pbV7CtOwB9RYzOQ6/TGjBppfK1hkDiwpvqf6o M/LT4YUt0WUWepm3PFn7hXMNt+cdwTJKE+xGBu5xVaKMZBT94pC7F/TW+eJQ4+73OVgBIg0iF/st XdWkO/ZnAYHJd6m7RXEhNxYesNOmvQWJJ4XrenBOla26HLyOQdsGy9oGIvT+pCegkxkcvGCzNUic BjDaa5IS0tJv3R1xcRWcsg/NjLE1BxMWIKzAL/bTgqXHgZ6t8q9TVaaugBQQKJ55+zH+AQQ+WbKd rvFrrx+Z8Gv536O6SPurIve8i67c5uzasepCY4g0/yoeibJF2UHvKSf2YFjl2rog4e6x1f7jUida oqdYbpD9soR+Z7yl4u23QjR1vHLSCedFQNEVU+oQEdN/jQXsfAptMfty2Siz6CEKQ/MEmkHBeJki 0QfR0cMVcHNo/7JjKY8dSMBZqSVGxWCGjwEAmHTIMUS0SWLMLqqs9oHZnS0h1XJJ/xkXGoda3/UF GZ5PCT0ZTNg4FN6NrVcwcDhXRFFpQjtVTWZ7uYfBG3yKJS1pbQUwMCtsla7j80dRFYmrHVufEp6y 5vYjEfXCpRWSaVDRimU9Ew1hiSXoFlgliTYQ7z3lsIUzgufbIT4B8SlsTMqIGpYZb6g9r8XR8kq4 6GevxMZ24CjQtDxHq4Z/DXmzK2wWNvjoxkyvgoQSwScKbr4fs1tSyrn2hw3eJ6tvj3zv2LCv8rO0 6F537O0BCTmKkJ0JidtXLKR1F1gecX5bRVi2zjTEDdFHnT4zIk3uzV6N62ompYdZ7gnrLYjjdVtp mmPGiolKGXPINbTSCK5zrxJTg/MQktV+T7jv1CBtf2dT8E2CKuPDW4GoJBrXg5sLVNwXloDV7FFd /VgAPbQc1P0I5+v/OKWZeVjrDXYpLLGhNZG/LhEHVDoIa4m//oMyX4yulxomLF0qODeNcA90AJFh 1cyw1xpTkYXQvyWnu7C4JXvt29tAqr+RKYw9otzgZaTFjxSyeDw/MnMFaLoX1wQaan3n9tqKkYnJ 5iVKLlNgavw4H7iBjuYQomgpvC9Ek4ltJkDfxiQzXmnGveH0bP9dyVJKGvoqjsvmix0K0sMKm+aU Y8KewJgKlhdhlA3wz4dDLlT3Ajcb8/tUiOEyKg+N3NCNzCoxKsV4yp/A1YTwAI2ieZTlHZMei3ri 6HZwKRchYxF5tb1OX/Os0pXIFN7Vw/hqwSE4v8Fp/nbc+J3QszPQPZE0IjhgQziY7q0DsUXbbkgp v7+2sQlWoFg9grf4WXOJz1DN0WoYrPea9xMDVNNDLtk9pRodnGccWPEHSdx5MQ47y7UhmrSTPgwI LyXN1Uv3hd3HDEbDSulw4jazdD6F5mxZ0j5rkNJG6qJyUR3lkfYuhBK4hzW4QJwEh4amwyM5JGMp vkhgjQLUqmoEIrm48LWB6/jm2uPTZ6kvarbjfFK3H1TdkqWYUjqqWHCh2kGOjWFqdmekO9ykW1Oo 4oFhyWgzMTvsHqqDgHWe1+ICeMsZlpLOt/oaXJpiWxybzrPaNWVQW3RW51SXJzrArYlMpQMyRz2h etOmrxvrm1itpmc5Ud6ugR48NT3stOJjp9BkPhCscwCDmRnVJyuyeI/P2pQyEQo3jtrJuqneQzmn xrPE9jc2YMWK4If7ngWVddEjZMNRVX0WRisQD31JgO6NeeQ/WSEdhR4ix7dUxXcY19VPjnnDpi87 gkgwEwjbIq2kY3hbEKr8tj5BgmpBvmthuPMrfd2m2Dkx/DrxP2T28MN84Sx9c2JBoqkqIgk9A9JP aG0Rmn7vBqISBMy/gTke0L3/q2A/iFivU/shu4fHJ/eCM91tVCyOZ/E+rSG8EYsJrvFNLfxN5k48 UdrU3uydkU3IjtSXzWPrW6FUvyAqOEkePdfi7UWdKZAnL9C+mA6u4fXr1I9iEWzOQiEGSgHvArhZ cI3YLMafrJTH/wF/y9BhnFSkNcJqpsfwNaToGN+hwml5q3QAgqUTGmtAglqwcQzB8tI0fGK4FCci G0G4L9t/TwJcY3OngnSPvrrWdgxTaX+6hVdUns3fx3ecrwQDreONe4vhYDw1lINFMP1tmgvG2DO9 2uKdaHhxD54qQJlP98D6FfJkQTMP9hssAnsm3CAQ4CS41XMsSwep+oDLrrv/33xKI7iZWScL+14y 2DKydH8Ktp0z7+XHuLh9slYP9UbPln04guaq27KptnnOwD3k/JbEp8vDJSsym2TLyEZCS6jBLTal 5OTEzsYJTuH0s3EED6fOHzI1oQKZnMNH89ojlhaf+3ZIHmPk9mRj07QjaCTZd1ti5+1bt521Ma6C zrBC/pR9a9b3jnmaGHttNvloCgtdt0DqgPuYxYQVS5/bo2SN38JBStKIydeajeLjFfxux0oA/vHL sK7CdkHuyVGJYalJage4QjnhyCfqOcpKiNbpQOae3mszf7eIxBa29TGtAtadFkq6bRZrYYAO+kWw BdXUKRUj/v895182aQCzi0XsOdhPO+F7gxDa9fOJyNPALmATGgmb67wGlJtsPKaA0RefidX1augI n69Me5wdwZh++FNXpHc3kDB+RBMutOLX3WbtuXFg6M3Q5K1ShOGN+CfwASRPliNCthjUCpVpL+t1 3/zG5cEGtGkkFGA2049uVVYD+9tgOdhEjFBVkIetl8dRHzanpCZu90v4KEacYQd/Pfh2NhxtA2VD FHBA68HyGLVmHLpwOf3254rz8fr5XpQx+Ik8gM49uyiyUSo5H1XcVrM880q6ruueI4LxosH6GK9b CLADd8xokrhwXnztkNZkkR9RyXJ4dwj9fLGY05xotGnZ7oGyiTOGtvhSTqP0uKUfAaFtJhXu5yWi IgXEmMRqevAVZ+ijbZgOzoGadWR1KWakEMprs4P7/o5ZhelEBFaxlivt7KgjJil0Esed8a5SRHsg jWT/ReAnQy0ukGjEqvvrZ9egf66uFVyKNiaN1StqYhkLJBFB37IqZ1UVQjviGE1XJte9XhbbhrEn kykJ81a5ItLMvoGMmPShFpDKwkWcXpxs0ohkF4dJPbCH3xfgR2PhVD3YHgZb3qLaaNY451lkvFAC cF13ORH0/fI5MaaLVIdTwNnOisuOd79YvS5BFXB04c4Fj69ZZ1qvbQ9ikdKEh3iFkuqNeizFMIWi FasFj/N+6EVEOKfo5LBSOQvRVCXRJuIA3+yNFF6QgsxLrBQwBofKNPBfhf9bp0WwIqywOYF1M3CC ZUs87NIR/XXNb8Rhvrq8of/6/DlhOVfW0esyrz+/+84mf2YDGYW740DPB27NUV4npcpcqAzkSrI+ 8sOejkIaV70WF4eS43OJUpashNRQGniQH9VvzGkph1N7Wuc1k1TTFDC4ZwA/f5zDoNaUFxftw+Ly mCBJnICiFcp+8sk3p0xd58rXL6S6qCA+JGvizEsUAOUlI9by8Z0shKRuSjF3fHKUoy4DFfhBAl9B t2oUG8r70zDWypWB3Vt+alWRz0QqVMeBzhuL6dORRUWWkC57dc49rllj07AwfBAK5UmrFCQ/k+rw rnjnJP7qGnKqILGXkyoajOTXR44MYX93S1AsIZsccrMZTiOWDsaK+0GuIQPLejh0ZPeMYtQ3GJ1F HbFw+uv13nX/CZL8WsEnjZGZAKzWl515w0TnT1afTP9aJVeKYC9Tyjc7u8HZySPHP8z0CFsvD8Bb 2/E8J9Obt5yjHErlRD9HDz/X+fC3lYmF+bYAw60iXnmVHBbce+EcsoNPEo/luiAeMRTAt55sTVYt TDCyqi84f3QlYBsS577oyfa6ZFkuTLSJPfZDgjCOcn3Ky3X6h0pEkiSsw5H4JBcyrcLLW4pMtghU K8cNwA9Xnc+sqJJ83V8qCM2V+3QkoLZHa3QsJ2A+PoOqqZNmeydNjJu0fZ6DrVsUZOEHK01N/tad +s1cigKmcpqwVwem92P8J/IJh9cHttJBv7ywadU2S4Rr6Xwu6rNreAaiDswe6WtnfSLzedK8smg1 fzes4GKYhXYMJkDsCmdNvNnVw4RZgY7+NwKQF9NmkyhtfVNGt3E8S4JVoEuwNGpNsXOqJUf5hOAY WYXb6YqXa22FiuuI0Ssr49Qtgi9YeCAwtbMdINY5G2gFi1IHeQdrlzI0t1o5DsE6ZGWgOaicjrjY bxFM+68FxG6qkAQW/EeuA2nAomnfXZfMTpXNoUOAHh8u/xURWcchj2KOvbtA0UplwSv1SiRVRdev 2NlBwzPgt3zb57dKLPOSa7HOb7eyy+qs8pVfkGxJiD6JpTnJ5TEhR0MXWMjFYe9kI04h5Xaa0I+I gfzyxgRT2CeIT8DvV0f6m3bCAzTPc51TNwhptDNRip56ESL24Jwc3aE1E2q762/E2BAlI0BBEyF8 MiGImX7JVVMmPvMK+TBelt+GeOfPK89aKeW3V9dm1LxLegbDckcyLnapjMVZ5fuht46A3Cspt0Mp g+ZqUKKdyqRRR0PcsT7KeIEgp9MzinU76Z9AuFsI9JR0BTkrX3Ff3CuRuYofvS6yyqpdirRkF+iN NQ4gADYbnWj8POZv6c1ebDdSF0MPoN1gNWvAjv6ULBN1+S9o/46n8EPWbkXE5x+230+1sphnvQ5z 1aOfUE6bdQb7Mdf+O6mSoOH5mv5L35AW2YoYQQraO4qrwq7LQ2aPySgBsvF1aOxb2svg8dxzGlw1 6Kn6uYuqqFo75cwjmJmC7wGQICze873ZXGVxHjytKW74Pfhno2JQk3lmVwr/HlgZrtl+0ysUNa0I Th+juQmkG1EIlKFeDyGMPZhnfs1H+B+tQgIDFnB9Yjrisab2216jhDDyrjrSRk0OSLuXF38rS3ri apdzqA92Rm7SrbGLf0/S14Ma/Rzkr+Dnjlchb8jhyLIKYQq9rwdeOuthS5FTw9B1sjKmX/wKy0ix 0ebft3AkcIIGtcYUFYwVJRcpb9ivXjteJWXiF7K8EkonbXX8wsWzFZdgbuKsBUozfMSrRPmu53eq X0s0OQxFa1v+uiAxw1Zy/Ak1XDMJKjFmAVL4a8IuRtzrhmFsLS94OJbax1tTEsTS+kAmqZtByqha sTs5xIyNXKBh0qPCAmaYqD86mwjcu/9sifJEolAaHysmJndkCIhrYsa1VYHUfQTwz4VoDqqCU/Eq P+pYZnn+LwzOwb3eY3hmcZVo+tUXcvciG6uZnQtoglYY9lkPHZt7wWg7khhT6m8qPm0BoJW1ueSf kdOoC8F4o+gc6GFcTnzgajoLMRx3dmcBhg8+wKL/Zzr29LzD2PgKtA4kJVPczzGgpkOQX8kBpFB2 /qoVUWj8e3C/f6ZRpWAFOZCJyiB9lFqYwLiM5g8ZgA08PqiHtH6W0hQQHwTi1de5wVcNF8FwuMFO wGGDOPczvxJi608g7IB+qjGAHd8jDorxb8SmRL7szeklGoEKpM1e4SnirmRNZ/mmww5aQ6EyPRQ5 LjgaJE0nR129OVaDOHm5ICDvqMMDhYWjauFWwsIjXhh4eyO1ysbD13WKLCARTGjFxvq8kdHz9Wwl 9nVAchDTqAFAhtbyiUlCCp7Np7ole2/Ky959jPh3HiqUbR9w7soMSFj78F8zvq4jNGQRsUQgUSYl 7ZjEsa7X/VyVp+UEI33sD/Nf7eXbAcyOZP+/2nxx8L66KczRTfgL23IPu8WeEDo0Za7WOSHDhTQh E47OXxt3RXc/upjFGVZ8G78x1pENTb6ZcS3exer5zQlw7e8FWeJYIXRufhHVKkDcvMkFj855kFGN hAc7a7mxg70HkZNcUZQin/nhloQFzY0iHIpCPQHXld8zc6BT6hL0qSuYgp7yf7NULdynsE8h9oft fKf/a2WwIfsAebxMQm98rsMRwnyblR0O7mobam0xZB7xrQ8X5PM4lkCZlUUFxcU8rRJ03vM0y6ez pwcj/6OW2lvvhtK9tlQNukHdzHMUCGgjv0uGqiGB/7Ce/OaX777OyRNFdj/GDZiH3NcG49MdLMJt 5/S8k2X44VuFbmKV4RFbDPOPiSZF+jF/DseefeF0j6TcsLHZDaR4fXMrF/duHAx9T2vGTuexKNQV ecDBJDaNPVjlHA3BReIzPZ5uFJyr4UTLElhtn3bzyi+cxR9X23au6zARn8ju0zk78PBjpPTx/MXj bbSrobfDfsdb8FlrtsBjfAPWKiQUVEFU7OVgt+PiR6Ml9DMrIqbnEwSDCFa5SQZwtXh+FVcA1XGz 5zLmocUQ40Dyjhlul0pWOWNV1I/IuRbdCHuce4OiwfBiEErc3KQWVkDCoP+q15cBJp62KycqVchX 8RRNiPYGFlp02lpsMp9S5x5O/TPqGgz8TRxjRQjqkiy/7An0khKl+/lqs5aqhlPvRJYgPI4vRc7p b4WN2kEY7QKHoDxoO5YIMhupOb5dQi6NQl5qmjq5bdPljyEMwFy+Y7l2GBHwtaYLefdAVkhsDAxa EN7bVcINbGbZmiAxtKi+/ZCT6T/6J+8nb4y8ohhph3uX36CffwTzIiH+pF7Zv9jJnpbq4kBvkEg0 ELJGEhPXsbvGlUbcN5yiSV6tLr2uKCmrIVPcWjT6kMsuEmWCoZtnvUjKMsecgAehpCiYHgooCLPl uLlTRZLBZiCJaLb8eTjsUMnZIEGBavkqAGWFbyfAeeei9tLHbbosEooFYhWYO/8IglZHneoUvE5t f+KJoLJd3XrMCxsoTt4JqPCiLLFl6MCDplcFCpPCbqzgZBrv7LYQCWch0oTLfU1dKCG5wtUqB1A/ lLh/pq52rAmin9vdz47mWsyrvcJz7/qK+iDk5pmQDk0t3DYQ4mI24u4LjwxCVv53/JFyarM7Ben+ z9WHQ+j5EKxIOEBUZRO3kM98ZMyUAy+D7gs+UqM+fWtMvgqgUzJnNl3lcetfd2vcEW4jBGB5/t28 MxJKvWlxLemgnbMhdelKXP7bHS9WrerPX2CSFejQVSdNjEjTRmFkRUJeonV8GCISqgWxvfYUt48B avuNVhfP4jU/pGYrI0MeWXroYikiNANUaOs9lqy8g+funw/tMyu48nebNA23tAMrtJITkVrM3hwk eIZa0UEZ3KRlj5qWE+SB6R3KT6BnSRjh4pe9fYRYwxMrz4xxrX9Lx5Ttfa+e/rtw1cf7GIhumPl/ vXdnphl7oCbUXKzEVOotga0AiGcAvVBGXO3sWO2ZVj0oO9YjQxSD/uVHM2joQs4X+4qjlQ9oIs1X c94oY4CwNQQSQyZh6t2pPl7jGU+I492MTyh8j/5Ur8pIBHcPZ3wHivUdg/jkJzwUBkXSJNoUXKmI p+SpwlywH+nVf6Z7yQKW6bBc0poHOnIbx2VEtW8oh3NomL04daCDzt2Hb2lzeB4iKUiLcSsoYsLR p2sGmqro7b2P8yqEFBZjV30Zipt9iTavBxaCwVCM/iv3KoR6zPYf6RgPMs3D/8Qn9RYczpTMvZzz qIty1VmOO3L85d4cLNientPHUyQIl0G7gzP6Pvev1X9UPHQfIzeENyjfeUWer/pr9tJLEqqgsARE q4TxxiIe9IOd0IZls3IA2/SCXvlUtv77iU79XuyG3Vd/4n8cwg1kAws2FXvBjUPcX95zv7pXPjA9 sNBjhlHTXmAMR80OJSJYEjz9NOV1DsfURuMOs2az54XrsTRWgJqO3dWzMehcu3raDNvMVUSc7PNH BaM720+xvL5L3W1usZi2FrOSxJy7ZjTKXwovRk7tRW2+ULUwzsBgQF/iCvO/QSfD75u6SOYpxOJE xTKI6aKpTkxpC/3a+bDUYW/OCJjTQjz7A4ESRAJ60gjnfJXv7frW32/6A1N3GTfUqsp2gNy7BeTH 6V7cR8C85LIkK11dsXHSLLpryvXtron7wttKC5xYkZq6wIFgW7/ag9BpKiPW937hDftd9AT2qRvG cxeqXLGRP7uwhfvwSFKFG42yXpJuItBvzrvbxDVfy9WKeJ7egbk8tB5YEXwJisPNyNwjBJwrnlLO g6W0DuzIhaQwg/egBg1DpN69qk9Yps16jlaUnh/Jj8YX7m6nT5MDuvFEzF9VEwAt9wWL6iATIgO6 cDBmIPlaYFS65v0vTR5RLgLzETyFCijdqc6dPTd/6kEappxJWtjC88YZyABIgm0jz2H+tuGJi0w3 ZTaDeuZ3PXvMojPXk0dx2OBUjgENaj9ixIHxGciyxjHfVfpgqirWtk2UvNKNAfxc9wfpecPceD4v 3mfpPknmYVa2p7GJvWZMd9Jn+DeO96SsR/hF13ssQKwUTaamO5+h4F3RG6nul6CU83fR4syCkZe0 exYWsZ/rht4IJGwe4Nll9qNqpFDQEmPrH8JjugdSmybRshv7ADvEHmmaw4K1s51aJL3TUE+i016m WA4s/tuNQFaLrvvBJe21O5lEpLawL8lLPLm+YFYx6qYEbXqOQDSzfvLYAKg8ViWFpAytzHHwV7t0 f398cveygD4SBWJm7/uW6bm+QAwYWgPrpeNlPCSeXSDnGASY6gXN/0bOozacaA7r8p71nVJlzt84 Ip6l9rKrRNdSMpr6PDO9d6ynaHbHXPmEDIATCapzuutS2XIq5fr9lVGPk6F9B5BDUNu0+7+0Ddh/ KYC8qRHheMaGMZIWRPLIJHxgok4s3w4lM/CZZ3Dmg4RXr0IOzt05HRjz46ZOVxfAZw1xmK7Y3zDG aRvSwbE/R7K+iVWGvEwk6g9zUmqzbjTUiJUAxwOEd8L2Mw8Bdwje0TJpgE+G4MGqC3zUeLcZ4PUY KvJ1WGFeABGGdKh9xJTQ9QNaSwxpieb5pbPTIK6wuB88b1mbJDcGlC1GPMn0toRbh+X5YomajUCm dzFxVRhFVMicDt/Oh38MFmPof2tUJRJSzwlwEtOJ6bfE2LwDXT/ayIXzNJywJSgk/+71WBhzKzQ/ XKegWU6uwpU5KnouP4Gtqj+JHsVr4QupUU/MTEN3E8YF9//mZeftTDwfVBWpc5bQdsHLfRifQ/8w BMrqbZn/1g/f0vsJxgzcH+QkElfU+W3JAffSC0e5slNRD1MhPQ36+SSBAWE6s5FNselvOZ4gt2OT /EKaq1EGgwpGxwryM5jke949Rw0CYhF3BCBUah9EGoiHMQg+LKZA2+AKC8mp/7CiKNElQqoDmgpU VpPWfXXzxD50EHNB1yUrh2tgwvuPMQ2NEXgqINJWIMN0SkI8sZ401JTfJrPgd5NnJil04m6R6hc9 qehYiZMGEgElh1TIq8rwjjrsrQLweMDQ/IJZnK0z6GOBjyu77TmfccPoq6jLMJFF5s/hbs2w4YFE VCGbNhkghG6KCTl2Qa1Ylzu1syytxuICU01v6c410dib0ZF6mNXhn+Fd8240RF2NuFC1684m4JbV uzSoYI5TgS7XKvjht1IHLD0wmI+s9YyfOuA0medqUhT2bLezl7tUCiKiNMzHaA1s70gdP7BSBxrk y0I8D3gFpX9OCJaVazl+4IcDLmR+K9y8PXp9MCw6//lOHmplXUWNjBJMsMxEExFgqi7RmjFpHi5x VvPtnytfU0nw55AKQ2mIZzGpMBbvvWt8AnmhXckaEFGjouS3Gm3/P5JqB6qeQdtM2o44Be1IwZov pjVd7tazQlbjP0CVCMbttFtcyIW4qNZSr8UJNunT2TQP021OPYoujyTEQEhHP/H1s41OhqF42rei LP5QdY67BdlY/NrJpH3pr+eNXqgKnoi/rltLtaOYwXbv+hxrAOMKMidVzC0CIdZtvmRZo4cMAS8V c0Uvm6m8YfVef9f2j//loiDQvJXxMOKZhRFgAFYbE51ZyD/Ps+zHnYtmIvDsJBWGCdC+XlwOw1QE XXjPJ48dbkaLFdZcegDZM13Wtuq0wifoXvAcKrBFu4Ij4qYWs0/U7T/Nj/jTWQ22QEvT4/6PLuJf MXeTlDjQ8YBPUUvI8YkIwA/O2gCpsUxJtO+TJCupm8eSxVsdviU2Ikdjg0l+fKXrtrOj+fEgHmzs 0ssUYD/gN2KLaymnt56lTjM0tARWBB7wZQUniwtF0Il2Im4Jn48JU02w0Tf7Bq7htxYLSbTEyxVT b6JrpjOoJEr+LbGfGq5cg+Vjelym+wvaMZR+0f8/BguzQAhn026vexvc6BTRe9/xIE6lR/H8kN1/ LLwn8/vXJ2ZRbwco2+6yC1e9CcRsh2B03kn2H+Di82W/okA7hYRhVID7qxZ+p5wimwXf5qKFKTvD D1FMiO9jqiY6bGLERXHriHkNMGXKHTsjtQA0vy7SHF7ESAN7yd878gQ7i5J9PPNrAOrZA2ONtRRY LtugJIuAcladcoxBgVDjiZOtuiKoXObqsTZny3a5eSWyzk0VUTUW9WZQC837L9Lla4puMSIQGYqm Z8Rd3eCt35dJlYxqK3Vd5iMcdmDJ/akGvoXfQOY1Z8H+/igBPDMmjLCvJ++cwJpo1IjjXZo9g2xj +slDx45C5eLVTXWJ513nGcghTRHR9HwowNjHUbSajZcZq238NjQ72ggTuXaVaH7wQOh8WBPlipaE 21M3EuTxiXNnSNfuXdynmUGy92nvWT57F/79p2U6hWg6p1IzMUAEd6mYCIYS2U9dLGvfORWxCUqt v6ql6PInI6hcQSHtVBvENj8lbI2KkgogL8EfCVCInNp/1m430OM36WkNvY4USnq5Ni4TPfcUIM8/ ceONj6WbYD65nSioyByXlpKk3tcURZYF2MsiDJ4Ga7g8mnfDa0PvhZ6HRHeZTt1JV+YB726jqQ6B BQoqXv0hQTFnoJNou56iMDMh7JP6TmlSp3nGHbPaUUIyD1+gxpE8M50njTX+2IAKmkEOb2ci28Wu 4AGEwx0x5lAVlmbNaOi5hvyDBKV3rvObMJkQF+uwqJQLtWH6gYHsbaZiUmDJplAjiEcVq+m89DEw e0Mn8LmHcWI0Ukd1tXR4v9krnxB3+uqIKnJURHQzAJ8d1QjuHarywjlhxVpiwgCuDL1/EU4AHahF 0Cp8+4y6JKmVFpQK+Pw/BEGF/9gofu9CQkXw8ICrl0yoQUe832fGewBxj2cb5aoFzpKIK50olsId VOsyPPEULkSQK+eqOgN4Gv+gKVVjdb3KNt2BBcnWS3ZJN2zo+YiGT46KOH5OU0PMhb17tei/fWdD weaqCD7E8gznYaHLXayPhbajItfx4+m6LtQIg4TjzTWa/m0vshNPEQuXMEjvGMKmZ5hnOspxas7Q FjIHS7XPTisLB+CmqM2lMb0YQ2+rVcNBtOgDUSa+jkLmjoTShv4Zl70KhfxRmjwO5OSY5MKR+0cP 0qVtJPrkDviYB8jJ5yKvzzVuUGhWYQ625CiBXe1bQj0JwMX3fPgte9Xc61r+xrLsqvKYyKnwFK2S K7EYDviC67JGXVm4/0kQ4SXEPsKfj82riqRGr9+ZGa2pl6gBBk5Vyzj/DR0qWN+wtKW9K4I30409 DbfzvCcqS91lcpJsC1I/IuJ7F+7cHXWWxHIdrx98eX55WAr2Yy9FXRrPIolHViOXH2Y5PgvGEvL2 S542zbgaLw0jSSD3k6CeCjBKMJ9+BSdD1IclpfP1gDvT9rtIsMZNXwEPAiCGICC1bJ/jvlwoRyMs mCUigpBVa1tSNhGvSggW7q0e+8Z2hXSa/+tG6r9kzLOikHDLOFTQop+mcvaXVyHHc1Qs4rSLFeUw Y4gqPMXXN2LYdudMdNGCwvz6fCI/MRuWGXQi45H6tmsRuJY/p5xdwj+2ukbqTS+7HwGpBGvKZx3X nmVsLHvhH1oAsP96jILemeszBvttpm/neZmZB9gb9+mNhIqR3wlEvaSn6yGcnEHZVfJs1bEEmW+s RV1VBiNYpiQIxaQpdelD/ILJ2j5DBaGlmdD+YvTuppjg7ADryPIh/ektuqCyC3vUcgHpxMPGTtA4 Z7pYiJ2aUqig/BuNPEE00F8G7cjsXniGcydHzqwzbam6rH+NEgfBYFBYhPFxyMJy10k4Gn2SsF7e /rSJtmgSFCsfdH+x61J8UbAX6L578f0Q9JeMwN1clfeHF92jQlbErcQsoegVqUWmgD3ydx9CTCHP hyKTVIsY4yS4ca4u5GrkefYyBZAxT0xL4MFOHNgmrHxnsPllekWxyoo3LSPKa936k5zo/jbLChQU QMKWtQJOQKcQGgDN75V8T/BLFsfZ3lhlAL6OtWN3oGm66y920PrI5PP+3KXHFgGwZ3EN9LfvburD pWsTplF4XXkVX6IAOTAfz3zc3ipuAbRYhxMUBxp8B2uvCFGdp/x8asjnUazn4E0oJN6WLdP5SpL3 W7/C1nUV2yZUgqUEIDgMn33MXIrsmjhS4L2y8F4VXvvcMb2Hrfj/C0HpMuGB+p9HMFSiQSLMf1G8 A1vdPiY2qKvTjWzoSG2pvFLDF93YgTnsm0EecTxaLw8IKLzC1wC8yxXCFHlTFN9kbVuBdXLU9Fxs rybsCn13JX2W7HZJyk0TrgZssD9G5nlES92LPA/AbLKqsplFKUjMgwwvRinhv3A/ulz3TiIa7yT9 RVzNz4TCwIPzwU1n0WVsfrf5HLbpOIL4REaCyfvZtxsgUPXiCHCRaCqZuM623IVvse2MntsNLqIk wvNSon9M50NGdBfPpG+QDvChpk7JXFS/vX7Ie+1wsp5SvJHi1+8jQ0b0o8rI0sCPpDwJC0j6MaRW aB98n4FS2f8CtdrisDUWhnq+5qJbjvvD7K3abBVb1mCpubpM8ai/9kTFFMivVOHZ7g5qIfIld+Ak aSPFWUXPMU4j3iXs4Eqv4FluP4yCX/lRxI2S5oOMislgKUdKECuW1ASh5ZC/qsDJoMXVVfRHWRBT EqZTA8dINzIQQ2BnK3JMFKUEyriPefL8SnZ9Zvl6pSXwZkF/Ah8vMtqqWeJJPLBPLulZpSS1jU26 BMbcnaSyZY9e+76lc4BSoyrdwZpw+8WoFvZ75HB6kdsQwv3Ek0GbKIKA1sRA7wrD74gGponiojJC 9/B3+6MgllNKH9r4nTDhbHqO2dMYE0LYwgn47KGudCBxKmxI9eIePpy7ur2syTxWQG4qF9TVX300 7goNAfW2r/rDKVFgr0AL2BxFckJxZXsy8H1tWFLAFgYSvUVYMy/LD0ankbxvt6855cAAH4UYxnER n3mZjmaWf0AsQEUG5G2gia3KruE0V8DSVj4WH9SPssE5hQjda+wROOkNvlOoi4PTmPtRlpbFiTxQ vtja+XM01VOufOt/qYWIl98n4JAQqYXv7gzpQXzInKwuQdMrXC+RW+cLInoCnE1E27PBQ5JHf2Y+ Vq2LQuzY3sg+WqUGtpF1IWpp+dYFeyAVgLI5xR5rCzRveiBTiKeCQVg32VW7QJM4h9sKNsI6TX91 CiTTJbSpTypy/Zn3fHbyjSMFUxwgFqZ4VlRBxvQBuya8zumj6w1JyYZrp9FUHdN4qy0rtlmIvO+F qFIhSroW8/feUBChi82gz0HuRqtdc6iaTJYkomCpF/T2DsBkkTG0shDX6I9ygfEBx1D3HfICJS0u y6eBoexh1M8UmAWJCEA8m5D2jPIdQkDEVW/i0eTejYA/pCBIhUZNH52hfpykWfOlXPwfsrwMPSYI 2Wje/nm1DaMNO4nrJqgH8PCyCBLL9a0g7ntatwoGa674h6KgryOkFOp2cdeVD65ohrqK5J1svVKa 6B49bviFh+bPKLHuwNpjNIObiGoKhAkaoZPKGGUvC0lrCQ/3kVgziTDjihASbLFi5MT5mFF+EItG VBHOtMPldsnHNFFR2GFXeTx9+xgS2WJ0rLClfghtHIO97RlaBnxC0qNcBTwP7M6V5GE1P4URrKNa 6b+OWxSsqylFWSIufRjlhSz1SnVXIODUbIPy+4Uk6/O8b1NVXKR3L4DZwelLa0CQYjWO+YRt2c0N KF62i1+9Ua8oyAPFlYfWGnAvYT20H4E7Ewwvnwc5vN1/Pd7L2awMM8bmW5WrzmsMCv2EnvDorPya 0Z21+Rjm+levorApSBFLn72iY19vtOWLH/eHS6c22mn8TLywmCfd5NcFeFiO0SvcHtrPYtpbfNes 1usFmL4vITUu7tkO2wbW8k7wJkEHG/R5E2tWLWLzHvPIdiq59B+Yd37o0jpyYJDU7htvIJpocijg WifI3MX717CE04WWsJWCM47BBu2V2IAKE2Pp2SV1u/aGgfwsxGSnzKb7N3+EdaHdSb8NtN6d3v7W /uqwLvbyZJvnye9lsf2x2RRLYAEm7tcOhCJaiWwzCvJAI1bS408tEjfUUyIqT9Btt3G2qNdkWaEO wY2wHoIdI1WkvuywgKVchCkIA+SQ9aTWYNfZazgdggpkpdY2KBzRwmoV1DV3y6A6CyS2cD+LfjmC wVzu/dwF9DKI/bUVj7ayPxRO+gvemXprtCgH7Aep7XMLIW4aSU4Xn7CxNvEGqGYOJFs9k+pp4Iql W6coV21s4l2JjQ1EW+VNZylv4VAB7yW69cY5539hE8NlblLb81VIzstgEpB6W4rthF+9TR0oSdfB vEHlAx7j8erJUYdOblcMWNp0Qiif4F512+lSMcLtDWYg3wW+LU8wgd463iucFKyUf7Z+1zlCQeoO +Jx08nc6O9DxMnJd50B8qSn+MH0VV0vk0wEUPBXu8AmOlMmbAVwIUNzo8dAZa6H0p92TSwvxNH1e JQfe9wna6bazWNxFsxIkogiB/LZa4ZHL+vDOiCZXzhNKkTbXECEYn1VlBrpixsEfsyzRV18cEg62 +jNv1ad2Tu2PEgQ3tGsCHR98vjDUCwS6GtplMTQgCzahTLfyMxMTmeX9pR4zdnVsmykqnNdLpwgJ P0mSzIEQp7H6d9DfuG5GCPlvDuG8CekINK72srV+S+299YOj2P/91ZIgxTLvlYSq9XWdMjqdk5/O y0aMXrRsRXIRlLRphF/qYMQnfHVEdK2Bkth0AxF+aSjPQmiJAUXNlzYeCIysEcvMg2TW97QQRkxJ rtgwBmFLKb5VaSWNHGe4WEswKCo0+/DQHvHDJzRZGD21cYK1MG2estiC59JEHB5SZcPGimHtO3dd 4LdK2jUYH40PgFKfcpdFHRPMNCV13DhYcAg+J5Em/wgbzAQGy/50HgTRK4pB634GlG6at4WHznrl WUGXAJ3yWAD+znevVce1CuCDwB1LqjiuTr76d+w9KAyj6VASmxhwrYGKlq9CbnC8sPFl+8ikPcS8 D8ptEIY91r953GAEdWfZ4tiUJqlvOtLViWRz4T+oW7FZWriWRvai7sF+tvmx5CM/odGEwQ2ttb9I +aVWgtZHHJtpUsVbYVDB2Ps804vZm69FxXEtyWa/IabFo9QVFFmjDv2XPybGlPCcHRDPj8ucxttb GqqCqN8UxMqqTjPrEpZg4XAQe25XKCCjF/av0vfeEoVHSAyWJy2I2710mE8P7lnJ9m4N+dUYO4uc /fsRWHeFSzl1uKUsg8S4SzWGFqWzkgHxBh2b2QQWFAzNmdXskVEs3E/gEVoZRvU2A3K+zbeS7Fex olJcm56HrZuuBm4O2HkpUyWMN5tIbq3SThrAVQDgz2hRjQmwkYmO0v5pzJU4R36m23N7Us+N2SKT XU02ee+Q2sShgaqfwVu/soSRrkte8ahi4dqnEvigVQbwtea5/dDT18JiZhIjkI3jNrQoIhmWVsOv O374KosZYvycqVIwqVDBHXwDu06GhFtpwQw1CnRUCUsl2r3lrU4/XswDascQ3L/S3RryUjEzhdiK VI8XHev2xrFg25+9IvUDD3NYI987fdBh2Z0MW2MCy1DSrNDq8KApBvxmcmzcTHLEi0AMUVzsY1RN oTKLkTM7WEoVRkLL2LZvl63TYgOH48qnNi0SqtHXq+9czFq8bGO/A292i4uwvsqm2bN0z6v1WxM/ ah0DPKsEL28FNcrVjy9KQe3poRvV+PBWxfPBvlGzbE5NRvajeI22ETc7/U06EAu2h/T13EajiigL 7KhnVNOcrvj8yIIFVlOJrnG9T2l6OqbxcqyYc5hf/2nH8L29GeY7Y//v5xuJkXz6F0Yn8rBOdD0G hSlIfgDOgS1Uu3EhDIZbzh02uDXSnUP3lriDlW5PG+jg+ZwC+RN0TKlvg0AOm8E0LwxSQCya841+ cJ2Qgg9qpS0YAKWCI71iJJgJ8+4BMnvwMibXAw8fdXGcKxZya+p24DiIInpWYL6FVu+zglcGqJHI pS2Udb22MRjs04ex5z5mZP/PPLh01jLO1mn/ZGXBqd2uCge3cVsreVruKfwSN+eHJOtmbFPggz/h 3/hO7W8j6+ZLiQafFqHgL2OELwz5+HxFqtdnGINIRgTud7VaaG/bQhhjS4rrMvCIJe9Ay2IGQW3o 97U7/EiRvpOFepEGAW19LIScidsTl6yPRFl96HXDIo9Hftg//2ZJg5W6DSIBEvpUJH7BmXjCKnWa 5kJopJK4F+fo9h1hSVXKW2ypnnUksxWXnPCAnwJNLLYGEQpDcvO9n67607Oreb5MOf7TSFtKEcSf JBRFOJXjTCRXEQkX0IuwO0oIQqTF7VEOoiS3PgMP1s6ff9QbIaHy61wjqwM5PiU9uh6Pm2cIPRy9 Rs0Z/MgouDOUWztg2OYIq9Skzfjni4+eXj8CwWPwQrw0py7M0TwMDlthyF8F54rs7ezrCKKBexzK AjAUsn9CqX4LanQCTFB9crWz+BFYTCwwyayge1UAABX6mTQXnisPWrDorbYbFP2Q9rdtYEN7m6v+ VDBMrf5mWOFOGD+pCpHfvr7I341sB20mIZ0dfsMphGPzNbF+9OrhYEW06YBm8pCpx5uaZuKS+BgG F4miARs2k2lw3DWc5TlW/5+RLN7pZyQh3epCsn/8Pna4shMx4M82GnPDON+rEAdxeBAJuLHnYsq9 Klecgjxg0qgBsJqFELC7Vv1sFac6wofEz+kYEiPAe19TMKyZUrYfu1uffh+Y80NyD5Ic4vE3qAQH UGVc7JSBVh8KI1DvMucrYBA8IOARMOjcyAqCzrUuEP49CNHPGmuozTwAXprHcBrVgSFbNb8PWlQ/ iZTZaYvErv/IWABLcFjNXZ1+FUHBvqmazbOXB9OLLf4anAtk3olC/MgMUKJpJH8tzZm52FSSmGxo RJxGDmzJSAgv9+w+CbPkF5UXPBv4vqg/RuDDrGoH2dic6BCL6eEkrJGE7qEPDsWwhOfSaHgOc7ZW o/3vM/i69bAszowjAplhETorPI7WnGTtdfHozLarzmc1+Nn+8KRNEgyzaH87XeoJun/ZYF+q6xyb Y6zDAYEBrFXoQj6aCNNBrtBRQQswVJZ7KXTKoMuhvI8MJFdziO9m+fucdiAsD1YoG5t1/CdkENaX AWn+zeK4uFMwFqn8fh0MWhi0h+HKGOVRxIQjnYmEZL1U7GAwulsfj7pY9fM1mbpoubMSFqu7MaSE X2F3uOiEz+nCpGlntKFsT2lcrJEUOKth67h0VxmizUe7gBdzVJzfLCEO3+etAo14Ux4xmQaq8NBB RWPVxA5ZRjY9U+n6X3DryBvgt2eZ+vS4021JilwFBPT5xYwojQwrZfaSdSTDC8x5AnR7Z1Wvhu+w G2cYLnje51ck4PjoCtwRP921fltlkkpsz1KsgzCFK8NxhwbwO8JE/T1/12fJor51S2fBr6lz6ndT q5Cd92d3nbE7Hf0rII9vbP4EESQDU83KnSxZtgfRQ2tSUJEbN75pWK4B4MWlZGzNerQenqZwFJdP D05iwpjc3BcAFvzIDgbr0V2saxjpvnJrbLMLHSJlBR5uHd25wo8qhyFxv89j+YQp+nOcbE+AvQCg wJpenZV8RhbsYPk0Mn1R/90Dg+dcLrCcHhkqFnAf6xyDAEnEywMhN5scpezojNYK7ZDSpFkAN+ew CxUrz3SQYCzn8vBWHIwAZPcIhd0GOcAD8s02Ua8syes1gVBaxpIKJiQS8sdW6vH9eoP6Rjtn+Orv kd0eoAGxPvF/lkybxcKxOsebQZX+WxFDyGES+fpz1qYrqcTMmIYGDv+Erh5hDMAGp55v8hKuO8VU 9f9+vUypL1qOurhJSpWjsU1+EehAqejuFbp8EhUhx9CTxiP4e/03anFNPHJ5tB7PahQ7jp6OggBe 8bk+jyVBDHIx7gfOpf/u7MBQ4r5P1O+L4+DfIfsg5ADN+nLBWRb1FclBnjnrF5k6iHH9lr2q0Nl2 2eWH/OBQ40uOzNKhgaUavPMeBnXSLOzphO9fL3FRavtIjdxGC8B+us+IFzX4G9A6NdeIonofUoA9 GVUYdweAMiH4LGfE4vt0T4m0TM59M8JWOxKEMoeY/Z2xCwyGz91y5XDnMHhAJmvJX1TmC7D6xNVa WShxyTYpwh+pmyVTIMd/S+M0Ci/LNStd+OAmvjVJqn5Q67M/T/86Xv+djK/nTMn/Dl1gcr3sZ91S ruF+FCC2Dh8AuUlrrj8PgGbwn2i8iQPs2TQlzv51U8+ziSf5N4zY4kuuYYwSKEHo+OM/cMMLpREI 6Jzl47VIxPtIQgV1Dh6Yeb9RLNA4vS7F4tL1yelkHEWXxE8QdI28wB7X9KxTas8H6bQ/CyGDAKHX 0bHj2EHSlIrp5Uyi/cbdD+fgzDV+SEKcHooEKDkOwNfCr8PChBxfU8pyMmeyrkDxlFGqeqOuXH7h tg+s0iD6kLj5aqFNCEcSkbEkWCLI7323Hx/XCVvPeKM1sMGipn4wKQatIKz8txSwZeLQLZyeYDZT 5WSpRsK4QWPs6RjfvkFt+jDmJ1vXo/ZPzKEcREQCwfyn6rLM3o2tY6kjN88bRT/M4yX3t0OdmbQJ Yylk0gCizkdXbgpE9nzkUP1FjxGljx/OizYGZboEsYbzZKMBQnGY7MDdvkTCRwT70kq5dStwBn/5 KgHvw1z0PQWY60M2hz4wmlHo60C3vkjLnHK0VHr3oVYsHScp2Rv+aJ6dTKc5iI2fnvTIALCO7SIV IYEaFRcPQ7pcsJbCxNFBRYVnsfgpOOe8IGXQUF4bxHrssFb257yeTOskuPBVDqvRLnZR+snHrPB7 EsuUPxP5YyBwLH1gF7a1rzstJlaVU5YxboczrTSzMRiXEEdt7e+bDnwPNCNdfmyR4QEmxwSQQp9H cJh2O09Ae0ynMKjoJTsvmQ9KlC5krB+jnDzDnj+uGCQTyd+D37HqcsVJOJ9p80tPCCGFBUKzRPH9 m8sUCg23Dk764d8bX3DL72d+gnjr6Wg4TgRHnZ8kqRrzoBF7nkbmoY0pcHasQF6rnESWFx8kM5VI FIloN0U1/DuXieHn3wNr2IZ41OaRvptKM4Bb+PXdV1QGAMtb9Zwc68Uv5iR1vgFAsVXdilvhCAHg j4+Wqgx8i8kt+AWVs2a7nGuUsLFLRolR5POQNeh3y/quWc7udMyRqhEvi+poduDAjKU7DHOpZAo6 zLJSMfaq3GvcOWcHARp4H2pJhfg21rRY7xVpe07p4tsfQ0L9kORb+Hpj4waNzLQFgI6gyc5H+Mr4 yLBtKm8G6ws3WPjrpXf7KgoRSGS/PqRG1ZFwQhM1kgtIeSKgheDGiJ5LX1QP2l9UaC5XqyO5Dxms yJ2VQbP3B2v1/Ea+gEaL6ulE9WRyaF7r+srGFXrAS11B8tWDpu95vU3Bbqf2H8sQuGQipREkfjvc jcRaijBy8KaHA0ldJdYGsNQP/t645Yyj7cEFmkwDNPndwcGSslkwrhWW6xsPgWUMEUN8GshW42fT jbZj2zTFH2FgGi8QgnTdaKc4mMPexgxfn4YzHcGiDJtp0ob1Fs3vT09M3L+u7lckCzEgcwXWRvu2 8qWJqI21oc2NKa16PadSlLiYHMwtx0JyvbwUbOc67pvcuVn8qZ4Edoha30NlvhTv0r5AUkslyYub ArP9C1jy6j5JIEzIG2Nzx4syfCBmTb/pnJM8Kzp3CzqTm2kMz3BidHdlbU33YWWaC19eOrI0LlW6 JDBL7odIuyU4wMp3pwPelUQJ0b4Mbakcxp+DNF7o9fwQH5A3fpPXG9E3DAorrq0g6kHPVTyBPk2g 3Bqq7CubrAFO6lJJrBU6CYdYVOduGHHrEGOQ6IYG6oGFIgPaQq/wFAk/58Nen8xGAVl+Kg24eFLB MvbRLxxcpHbue7AE7y1tiNCCBdV0cp5nj5/zPRpypoFyOc52lzvyL1NWpk15NAKaiMXIOVe1zMtO O/E1VaWDvSi9WwULuaiBIqGFoQFUFG8p+z0ey5P/sK434A5wsSApBsCZdXNMp9rHKTTspi1lE5i9 23Qmxm4wyMvi8gDZM2H5GMfAFOpyQg6eTiDTMRRAIKNzqIq3udQFyRHGckaOpt2O6UjsBLuGDoMa GG7LSwZBcD15JIVnr4793cvg/05Bol+O8GduWpjzIcQt1QZR8NJZQUHz+zmii/o7xcVrW053vAuF Trfn+6PN3gwebMxdvavyJCP2cFvYL6tPoIXY2xT1b1gTTOXvNgOCEACSQNVKGrE1AB4Iin8AFbIz Zk/RJDFz3OzjBZ0I5pVcgAT4OfHgE9NyR54PsPNNCIpXWxoZUX4f3z4i6jrw1b4+LrnkvFss6ie4 cMgA111yvQRAEC5oxCt8tRYyCE2dfpN3RUnt2j8yVoozkOKpi7wyyGVIF0V9KASHfkRGJ8oPva0S Ndi1THMGo2rknvyuXUO9sMW/uU5SuOIWaXLiz04wvCK36jFDDmffgjd534XtigP90rLfyjRv+2EC Byx0IPE1U4WuKW9UE2qPBZdM/PqHYJbwjDN/rxeMzbtV/KRHNf7VctfrbX+NHziqH7/ZEL2++V6R mWxrL/uXlri6uWZtCflYgBqezuddyBmaK5vU5ib/VRZZ/AdjdD0bsp16N4LtnpoT7kDy5Shy0nLK vRYt5AIprl5fVEoxQ4mJKaw6vMxonE6BL6PjW7lNEB4FkASrIjquXOcGySYsJETEjzhA2a4sUclQ ZcBUiS1HrZxgV+lrq1IRjK0U2Ig73GjpTykCKUh6NC9dVqhXBOXgqgXJKzsiwBUtzsFhG2AAd4pP oW79PlweMPqeuFPRPtFMf3Noc1dFkbqFr5+EDOoJWxGY+0/b0PoxSe4sL8hwFIzcgZcv/wmx3eBO Drj2dMPYq1IS8Br/qPle99A6i6C1I8a2yZdT8kZPypx3H5LBBeG0+92vN3WbMxZxvphyI01NEB0g nsKJe+jVwdL1SaS2PSQTAPgc3XvhYpFwFOQsFGIncF3ORHU6tVRTKPQcRMbsbdr1g4HE08RS3Y63 EduTd6MzTLPZrsNXRzG3csR027/UNUdhk+hQtIfJAFY5wr17/IJwDbknxnBuv9YrPqvP4maLbhgm q3pWgDPXXzgsOkzUgMVZ9cK3K+qILmpY0wPLsmxqX1rUQlZL0TsdwApQJltJiEgen5LkgcfoVUCL lfv2N6EMUtbwQw3VZhT1hCYh8Le+LqwI3O5Hmj8/6mU2GW6NpYRVSrYe+Me4LY3cxLqoqAT4SG18 0/NXMUh8zSTLIL2Q9Bdl8/q2VP+eSA+yPuSO6X8oQyugKQUvB4WK2Yx3/reVEg33bqSPn0j+8ThL vmYaHekPPNm+UO5+QXJmUFHHHKqMxTGSWgIrdloQLzHDM0dfcdT+qPW7NM2F/tZrux43KV3Gz++B 3XUL7z3eZFrC5WTekXR9CE1zQlW8Rhy/aEobty7je8X1kVJdVeywqUs4hl5scBkzbLdbD9dhyaBv gWWix2G0KSK/SOzQWVOa44JZHgUiSqPF4ewwEldoKGBVn7wXE0OqYwUhtdUSfjGScD+lWkGiJilO IaxYieJXELKCR88Tvw2Knb1Xhe6wyWhJKgZ6jXrACSob01GPfkjUkuzIclScHhtP6qXsvIKy0Sf4 O07lu9QnfBnjjIpKnbSNRXIy4mwyygrhCPxcIALBJwjkHiW2DL/qmbWh97cONuAfqWecOxeKW5+b 58fbzvcS7pjXH0jBwL7MV1iDV0JKsNJPt4w2jyaHEVkuKXYBxBeY7UF9BemMB7FKmlKuLh80iANN 7uBRZTz2BUGdtm9J/+H5/9mJyNh2um0ivtN6zPMlfFUhIMd+mtcoVoqZgI7y2HJnsOfM/jisG+QV t0UZZ1Livm/dtt5bzoubben0Zjw37bh8YKN5R2VzTh1IqiyTF42Mn2I2ExvC38NwiqFVZmcfinYC mH0klu2bBMQupmsvyA4oa8HR8Z3iHVtmkw5BJf5QUEORrlkZLiWEpMZLvmHX93tHJDCcIUkYu1d7 clUbyEB210dJyc0D8n4ru5U6BkDrhiJmP/Zj0sWcWc6aR+nvS5zxkkKzMmmeXk3Vg4uCAboDcbgW UiWE7wcRxiCMBbNHrHkXzDc8lmTTEjhaZ2EXsR/J1xup/BhBwRV8EnK8BNyAbprslieviPa98ZA3 xj4fH7uhMX3a1q/0Yt3UNcZaiZDQYktPMo65+XVhgExkL/6FookB9E0OVs9a4JqaRpB64v9TGu13 gBDIacHXKLmAVuykZBQUWOTQq2HG9CDp+DyxxmDlFzFR2ZyejdbAED/dMpluKxwxk7ESAJcB8QeO mPD/7TU6QbR33kvf4m6WbBpMYLBg/53HPlbehQ1Q/5ElkBiYdE2yYew8R+O5BcAsPcSJTE8QRis4 iMU0CawGw26IKCgxCbIX6/gGxTnaS0xXy2D9C85ACKuAFef5bA1g2h3a24cD/0qahHPY8WXzUr3S GXl0wFd1ySWNY3w0IeSOZpQxcpzgRWDw1R9zPmgwdmuoKvaaEB/f1wIlnbrQ4Kw2YW7CBKFequ79 aH9feSh+Wzw16PVYFdxaVAaCrzLfZ16ug9ws9VAHZ9kskzuc875z/ywxd51R1kHfdIKLQD1qN/tk wiBqRv1kVPY8Q0mqRhQMr5j6rS7J8N5j1TtO9j8NWdOr0bu6NaB1vqISjIPluCS5qM6EWoOFeNMN BHnDfcrqS45BGewzLdCL3h9Xs5/2iufxtAhmrvrji24+OvG/gl0r48j/ZF6dWUMYYhIQYT0aAADI UGDt7Og3/CuX11OJaG0al8QTgTdDah2kbDkxEZRNfqer0XWZ2MailgrPpasCzhb4PC7wexeHklHk s9cyCem2ZkYNBoS7WnY3ZWyCGhkrsWlRJWB4z8w3oGDEbRwZpg9FTi/hd1g17Hejx0GalID8x+/T 5ejLNHSM6VQDYoHgZYhmdXJMuBEZlzaSWJ2onJXDa7xLmPMgIv/slUUtpsQEwUKlbdR5pjkzFlJc //lCPcjepsU6cPehYbHM51FuRnGJForWP30a6UGWRLHGH8QwqzckcPEQzwwgtcsMpp+ogZlhpbBS VJCpj4e9KzpHg/H3MAfy6OO7+9J3weOBLfMmMHPbyEl0HNlD7lHiJ/SYpJLsf748HHul+1kmQTQn ViCEp1Sev7nHI9CI2brC4QeOMqNGOAScu2iT7/v6rW17AHjtWjS+CsSQAGXyM1HjET5qfvlgltoi uI+uIfMPvpm5vhymAiUZAQCY0AMvkfMTOr8dSyNU1VlDaEy7crioNL+dzOaWjTVPqSMptbRbN59r Khas7zBzeyTtGF5mOug+/5AS32CBMIwBLLNZSkxT27dk8sloKtqh2hUvHg78eH3l3xcjqoHWbUFO y+1HZdc2V2tCSkZ5+RW9PlnjH49mbUFkTJ1lcGnwdlxaJUrQ67hk9pprhLbMoE8xGsFquT9On6qT xLpsVXvwSkT9vyBuL2RLHj488pmKqsFov6d5UhFQPSzHE9LCEuYCZqC31C6QtqVTo2KcdZLcGiAE Ac3xaBDXVhRwLTS69X/72z4jjJ7/xYS7anrO1Nzn5HoxknOo33EX85MbHHcN54plYlXffTZuN5Z6 ji99qmsqJPY1Nuxq9UaDHvxDdvO3AMBKgdU7742M/E/HlpgnI3sRdgc0xvwUbagUmWiTysFA9MMG yu4+qOnKxnY8FmEauVgiMYnvQIlAfYg5ywWFNOArW/0Z4kchS047IBhuUbQlSYLSZaSvrMZ9jah9 K7BVwYTNbV+GErcNR9Pkr43GH9at72UB6gBXrfqTOOpCWz7zdMTofn7/yMgVk7MEUa4EhHP9TYaT Jj6cJxFQsgaGe3mjJkgdWFuWiZRC8/m3Q6JaQESKZG1OKxrFC2XSF87NdlCz66KZMzGrQjQOL+33 G1SONM5aE/A9//9FmKwTDbK0g8rrY0U2KILNQfJno1oQ67udPvCy4F4Mv6SMdZDB5faxyaeDrBd0 SLQHC3Ec4kLU3Afw7TRsPK0CnUcqVY7vNQqeQk4ADbgpMkxGx4RWcq+LcFsKeAach0sOzvbwwIL3 lVcha0Ee5F/Om6o66yppYpYaIQF13j4Ti6Ad8BhVtpH8uyRpFj7WFVbIAzfLrZbHW3WrNXHjj3yp XssbAv3dFhafoOyGAlyKZXUZElwSzgIdNtFDiRMpF3zWvdbnfuDvgltXEMe2smIHYvKuOikAkr3r tmC1mhIekM7D/dEcoT8lA8iwvfpSr+eaUMzKqQGiCmGd7Z0pV6piTgJ6x8defOxSk1321yhJHt9q OXMpRG4q5bHGpQQ2z2rbRMRC18fiTRg2EfiEsvZD0aY5VY5JhnGTQbjK3zv5k06sCm+Rnw8d3frM Wvy/OWyY9UWOGdTfKoP6S9xBma1Qt+ECHgQgZV44zPbnmalBdcA82eJCOpt8xrZIkGvzJrJwZIL/ iqWVUER/ST1hocYHvyM5F+jmuXlqhoGAEg8JLCGMxRG6ASC70Q7hVhPf+K5um0v5RbI7Dv1l2Vv1 r6k+YUFXbzXfUe7vEMMBlmm0N8lI7Eq+lShO6GbXtOhu9gHPQ7sqoYolkBzUTZITEzPKkNrUanKA afOgbEqUOIRkSEBjGPzTlm8BrMFTavhVgOKR0od4fxNfWokpYW8B4E06OAGF9C8xPFPdSVgK9lBC C+LOyiYi8cdk3ZlMy8DYesKiDXdUe86gzBir6mxXi04ak/Fg8MKulQtPWYsZeeAULabsu8tPGaAY o/LkAkFrfIwDzi+wQONmDMlEHPyTtpbmgrPXN2zw5JOlOx/BZxZxUZZbHwo8u+oZOB2iaBZ5pxMp WvzpPyOUBfxAiBSlj0wnaCMOQyJv6CR3wWbjZZaNvN0H/eD33e5Ylm4RaUo6ENYGh4YhthYW/j5V 8fO/CIfsObfb63pYGHdDTqCNS1DY503tziJEpqvy0e7+a94ZMmq1LuIhgwEbleAu3ckXD0oTlAob 4tEV8XsZ1vCjq759ZXho6IAWpgpa/Nhi1CG2X2s3lhmsnaZqEXeozYWKVDtltG7NIaXjVyKgcPUB OYcnuDlcjgjVR5ZFZhf6KjGW4DCtIvGQhWvPeZ/sa0WXiMrgbcYewHZrOUFJW9xeGvtzqc+cl8Z2 87Ymv7vGDwAMGX4BF5Sp5Q2IMydAirIQNVv9nnIBC+HKdzLXfdiO2dq2/O+zo1EgAFIcqKjVrBsb wrJj8v6G1wzoCdZ+YY8D1o5+47nwHWyb7BcE6V60xq/mB5nAJbu+Nevz7fAtXySunrLOrUs8KmKp X1JgSEpw2q7v6qzfidBK2FnyOFTvB7r9NGVVIre5VQm4FstnZoZIP65vCfXqySV59cH2UPDO1l4s y05DO6EHHiVPKoc2qXDtCBBMNRLV8DnFkYgxQtgluDGY5+ZRd99c2mMb5CWexTdcelMV1u7tO8Qh wy/dqSYtAXVmtBYwhiy0wcxex+AywgubQK28CeDu0+nPSSHYLwpz8mCnGjjxp37Qy9cUlYWUDSR9 NbpMHSXl34p1TlnlCbazCkjimzNiC4hwopboBXyALtdSNgVRhLlPc/PFBuCygJKZFl9NwSwy+Tqf T4E8D7s1A8mzBBXjWDXMWsvkYsKnlwJA3Kjxx6cnuOg+7cighIJq1hoS2bJD9ZrysXEhY9bEpite i2gnJPQHTwuH2o4EbQ1MgliB2mdHPbLBXnoPZ8vaisTglmuSfN0+/7k04P/Kz/IMpmaq8cUej3W5 4jshTbV4wrLDQgbFHFClSvQ+meC/o4ZJYbXx+J4tXbky9oUnNv2/2AP1/3ctd42FeNSupZXzNgZL yZQQKGH+Ycc3kLPHAvxKNffx/7T2hkLnE86YNDBGwSbAoqwoOQ89En2c2X1velTPAz3GwUmNqABD 5tGtItuOAuTP4figCqw7mKhJiehKwPFRszCfE7xnI7rqw80cv2SdyV/e+Vm/XDHPWglpy82JlXgk cU4+PIoD/vYS3nlvDG76B9lBtputqtf8uKrmObzfaNSTpWPn9auL6/GXZjLV7Kn6b72EIGteLB/j 1s4No+pPnq6IRQYxtC3swcFgP+QljPhtUX8LIbGhJKsSUuvA9xZ2wTiiH/B+Mrpi+3R+AgpEbP6T 2u30NjL5/O5v4C8SPLlHSlgD2rjotL9AMJXHSViqzJdFEnGwMHgUd40ogMWRFPU2flf5JSGfSWYf 3aG+WmR7zmC3cXx3I4K3CQjhXQpr9qKWkgxCcPs3DTDPRXY06G/v7KgEB5Z5k29D0kwIzwE6f/sz aSmq61Bfp3tWHf1mdZ+vhedNEIPLDuE5ksCc41c4w2fVCQ7wLXpu88GpN5wt7G+1XwMkhgQs32mb P2f3LMaVZEhacFNBnZ/UJzoF2awiWScv+EwgrE8RE38qy2Dq+rIxvep5/piBlvViOT35W2cTbmhO KUD4VMT5GHY8fYN5qmzsABo6Riggau2QVimWpNwWcjZcO4rERmXFMAuwE5xclAoJi2wcqYlIipfU 6Y/cfjpgcxlk6i69Ut2pl2mmX1UGYEERpUO9ysP1hdkV7XBWxPwlJsUoVcK76y9Hacc8C/KhS2SL 3sOolCexh9G4BQqrdtKSghf1DwaTtMaXWI4UlZ8N0i9OiP1YdHAr6rIyOLlkUxqo+ivzg4CImgy/ LPGifuAFF2ZypfhUizlq96Oce7n9ZnthAZ/67wncI890uMna2QigzmmuYs0SVFBCJU+mZmcrh4s2 n0CtJv46+FhJFh2SAKPq1XeZ3tRITTcwOv8yGRBAoDivn1QAGLyS0T1xzwx4nPHHp3HM3KHkXsrf z/8nH1cqPMbMVzr60hZimOa+wyFRbV89NBjEHhKSrEn03KPuWVhHChfxRiH80dHMiEgqd0TRX83v Wb4CD0jYBL4rbjDQ65fy7KePn4MhNqfHxVxUCJFfaNABo8BNKyhJ98L8XnkVtmG15o/Csyfrdq5H eV6jCwDdTDG2pjALxoSf6ZqWy7qtHfKXnNCrDz+avwytwexTc/wxUrh3kKPUmixMbHMuNxaKi5+6 yMIL3oD0PQ/NJ3CRNuRxpH5+D1DBfJN9tH6Gy2uznfQndbWxb1wvKwmVwhAl3WGhoKwvAKCYdAMo mu0U/qOJHfeJ4Tk5q/QHZVECfxccf7m3VtR9nTfxd6ROZmYGJ8FJf0Pqm5CQCsbUsPEmdstmoZU2 JV99/uCM3WYM4tDbOQ4bdNvGBBY2XkQaOcJH0rKjGhM+u35udGyfJxtRRrSWQke99hV/1iuyKscn myovLR0qxWdpBbIydz4xK9UyEBirJGwNZSKWwNGNJcSvV3d9DyIV1Lkkam2gETl8t8vDW0GIcR09 3nTCD95+MyKtjGy6rAfnuxp+O1nAHChNvxJcCoNoK2HVVQWbgID8f/su/2N9GCpL/tuNvmGSlyud kVE+khrN4zkq1eKBrHJMK/Yds8dX5A9G0eK/iTMu+dukzlTx3MnBnUMzw3XLpXzP/IGw0KNevJyh YcBw2gXY+WS2nz7j7VuvCtKu/SOlNEoZBhQOAhWwb3ydIdqnVQidrz0Qk1AokdjfR7vgkl+YZ44H cnKOOMQsnolPh2Ds/o53XFAkXsxPQplS1wPWQJcdzJc2y5xnwJ6VDXWMbSO9GG+9WZS9L6FehBd9 bEG0QVgL8vdRkWXasBVflVjyJvuG61k2UurouWQ25bEIiaXlVPDdpK5ax+9704QjUpDcUxq3kcZM LV+K0IJs+nOa/zIHSZbf0AZrUl50AnPH6k+1MNlxx6qC69vYxqOuT7SlYhRCM4MLfhKbAq+6wpjs rULGlA91aiFLthHzMPvpwKp7KJiweDoC9Cnzw5aDYjf3YLdf58I5LxKiRFEC8FKPzrRSIMw5ThOL Umtd2oDk0IGTRvxL9stQ125UKRbwxlc3kHNueY4MGGvnZe5zhUFeYDxFqrwRWo6bRIyfN2hXACv8 sE7Q7dZZOdRXUcRdNbXC26qiJA8/uaM0kySeeFL1ctr9p6YkAxKmtJ+raocYeT4XnnrjkrpUf/S6 b24PflRGWaYIrhT3YkmCzCpQpo7WvG9rdwN3dYG/CXNGJnQK3QNH2gfuJiQHrgizZJqTuandv/tF RaKMdsopnmAKTcwwHVjMTsTTSk2hnKIx1Js/axmVBaOWGJIXPq/RaT9UZblGvJfVMkLFcrlx3P9R HIipt4TwwVWB9OHv0HbFokz7CrDVlXqz5w8ZWPLlUc6DVaElABwPpAXLbNqpMFIuzJzS7H7oT+Ja 0cc1qgFcGtyNRwSwq+Yvo1XZpcHvvEiiv8GqMwrDv4pzpfAvemGBDMLABz2/zGUUchvxuEUWjPDM cMTeC5MNje/HQ55n9sB9Hk5eIPpGs2TFYdcYvtJ74f4/pZ5w+dceC3YQ0Z0z3lNEi2YhClZfCcAU mI+U7hS22QfBfaE4PE0HRwBIhDHfYgIQaZBk2hHPT+Py0YLi0T58LoEPZuOQlOLLU9iwzZb8ZVxg 6cjWkUc2tDoRKsmJlTka5CMJ+ft+MgqLFkHQD5Ee+TYS7/fbWAI+//ITNfuyOGxHxEl5vE6PACVX QnWShXJLWXgjHfGul5ETuw2QGjyLovdqQIVgW2EW+tXPjEZ9IktO2VnY8CLjldBHiHRUc3IDx5Kt XrbRUzEJLBzPehp/rEf2bOWfo/AV67r+XnZsjRY/SMLvXsSD+2sGCurnHdQOvDBGwS5jjI39p7G6 1AjsKeXRFdhi5KcMwS0XVYNbU+rLHzMimU6YhR8XaXqJJordSwn0RE6rz3VrOz+1w1+EWQ6CwIxd LaKKn9Fy8jH/kyIsnn8HwgoRRkE8vza4naUCdWfxsfc4P7ku8tj/XzipNtFhs00b/nSk4QjkR+9+ hP35UuWr75gWanOKVRSdU8qqekqGvXrOyzVkfjyW6LoEXc3IF+oezLUiWTkAQiZwR1D6t4emgL// C5SLxwBegl/GGPUw/QBLbFeIS3mQopKd+b2qd4tCHygO0IJvD2cCsKJa7IxHN/SLxkrNexI/uIzi nRgbLMTIwLWZE0TdP0s3/fKRZZBifG5jKFizH0fwe8D+IzkNVzI0TLxfxLuVUu4hEHr8ehZgB1T7 jEHVsbfa+seoRrSmsFBwgLLUMBAFs1PteSer+mPCaCzbYMWHLjrBV+L0l+91ByDpuVt414U/D0b/ q7mhSzDC56PteGWzhw3beVtmoN5Y6GIHypqKsxjvA6qjEu/SCTqS+yl2tP82DoZWnTQi8aODZ5tz SPSHaHOVB37kzzsp5za/Xdv7hkw8MuVx1m8G3vyfcGvly/s8Fr9Vib8AoaxYZv1P04enB79Apg0J Md4IEsx5Q3IwLviBEP4UFG6YCozVAC3RiB4B0QCNxLNFwUxdEQta71W7k/v41UH2bEqTdK7nMht/ N1troSkeH+A09NhmjXHyp4O85YaJpalYX9pn1pdcj5JZKi/2dq4YQcr9Kn0yLjZc9SpuN6dhduxp SUfPX80wLNhzdoNXqmYm1esrF/6xxA39H4rJKzAJ31snneByPV5Fr6hJMf/7x2rGGO7ObmbnUY6P YzNCB/fWiH4DwH0jB7ZqCfPxe+/LqpExh6YH/QApzgw4LNkXTBEDCVgMK5Se8SBQatqq4SypKLWM BQmfLUMQ7ijJBT4VswnU6CLBsoSqXEwKgzJetPzunWJjLAC6GjAqA0gZbTj/oxFhbqFlDwcqeYWt y6QkFj9qgQWZdhiUyIuK+H7rlKk45jmLCXAtll30Orrion/6WUcoPgwuF5n1nhjrLQIrtdj2XCNh yrmE+UdCliWpmrM/I7aspgd3IxhB7TyxOP21qBU6mWnqDMAukrbe5QPOg9/+NvXYZUUj9WCRWcvQ rzz6ZFr0Iv0gxjv71C+dU0V7D1UwbRmJ/O4XQbYz71uf/+7gewyABrcUg9NdkNhaHTa6SLOFt69A wOC6Gl5sA0jGCb9DKUckpFFgYkXMAyKbrlTgk9ypCe0YyKD1uiDMklwOvne6dQQaT80udP+NoJpw jW9yRP2mrwTSFoxp9se6TyuMbjmBf6JmaKYIDFAkvXIBx0c0sM8EoGoQixRTXov87os3cBfjGSpE EGZt220kThpym4E09akicTF2Du00zUXsBRmn8LUcsgYNmOkJqcgRJvCbRnU1YHt+wpACNHILMpGA YPn0o+ZoQW2DvpiXoEzp8IuZAY7kelOYD1h+bAAkf5CYOBopoJXY3+DEiZdWqliVon6WyS+XFxlA Pz3KLfWlDV5s8ktfiwgsCZtqvckybp27m5TamX6aVG1LMQ2T/Pu3hAiViLF1S8HUKTpDLbbx2lgK IjSZll51H8DsgwKp4MLj45wuLcG8H34bzHZ8c2bsZ8yOwwcdCeHFEtYLT/QNcH3ek/BnwmnYmKxt 5Q/pPeD/49QqFxViC+6LSH0iaVgvPF+nEdzlglx8iXfw2YxDIiQ+DOHjVILCJ/Ug0wlvuIh/rVmK kTjnvw+qqiWj0gEINdxey8qLCwGSDulTtOdudazEKIDfxkC4HfrO/RABaO1GqAeFh7A/mD3Cskg9 zY9xWISAv8xLlZ59VwTx09Lg6kRFhyrEkMFvT3ouuKGzCUEi9xix7IbEQxIrjL90OZfIAxMDQw39 PCFLXDZvxfYls2VugUul0vH/aqJEDHW2wU2BSD+n0dtfBQGL7y+hZy2Y3fgklRtiqT3nQYqq1A2a G7Qo/jHhGiOt6g7d9th0mH4B+T6hHeOWhd1Jdo5XCJmlp9tX+bAv3Jp/FbLTE+Jfbxzs4lf2Cf2w f5dsrk/Tgfv6Z5LJ/7v+w5ftd8jm9AhOdKb0W/ObMIN+yw8/+fnjrdUANwl2FcgBQwTUnzfNz22V Im2f1qSA2DIEDOjJqc1KPYiarmRy7+FI3KokvDz/EZtthjhIZpmCi05qaO+GAWMayvotbCY925T6 2CuzsGEe/yA6oHjl67yz5uNWKEEQ0GoRhfARP/E03W/ha20yKCRTpUCdHewTgzFqGZW0jbAvClxJ +YNKRZy9qYdMPqnuQrsCerHzUIH/mOiokt4rp10BnSsfj9tVedO/lXaZBFYILVtPZ1B5OnTjYH5e vTfE+GGrHT4kc4H0NdEx/d1buqUAihIBHb3Bl/1m1JpbVH17f/WYNs0H9ePMq/wFMnsrBwaznMz7 Om9OE9EYdw+03qVHbnyfaBdzTV5JZCivOzhtaMbnBvXB6LT+An/QGsWQ9pmSBDTdx4Hn/f2PEygE 9b/OmNTnjL/tzUdZRlbIRp0xLqeZsiNcX7/D1ZPsnh+dzMKUO+7XGw1zPtK0LXkYBny+f+4KmkvF 6RYEusz246/xsq0HdaEgpPA2kAZcbaKYry3kYWb3nzxcbjbA/ERs7uTQY8eaCY+KCRvy90ghKyU/ 7KbS9QNEuvGOuQLp7O8nKAk03WUkb2qz95+bbtzepMUfnHPH+qPOzbdzujZWSCbFDtljqU32HtgZ dGkT7ranYSY6A5lyr6aRLBMjVNmMFzKNmRYbA+RuR6aCIsSFmW4Kgok+gYl/NL0b4uH5HURbrsUK jtxe11LwtJfE2eMAK4rfdeVH4WrWKolGqjK8kXpdKdwE3BsHk8NOVo5G/zQXLndLrH113LICf28T N9MGNQ+RLB0kEATlROdmMxExbylt3KgtrAr6m8TzooBHcNlrXJCrjUwRBhzV0rhb/mVha3JXCJ5W qp+VC4AleCItuwrGyxnaq6PLIEEzTjLWToFJ/S9V/SseC3OW+Cf3aYzeQkCQY+iKEaevPq8gcA3V gugATIGiCz7xS/YOvLJzotD6upU6QSbQDR9NhESbHrxqBoe14Jr8yAmGPukAZXrjtsZiXlV8LYpd rIxWwu8Z52tlAmFEPbbOe8+2WvGwYMUHBqNElAHZZqKRGSJhkEZeemE+lALxHXKrWZL3hu6XcQhj A2NKXxmHwSsKxYCmdCVilhWjfANDqQtUHBOo9DyKa0Fy4UUUtwurNBcA+jxH09gk8iUdTDCUFCKg d5nQhAsM7YciKnFZKPRp169osLP41JX8TL//fEkmCUqgvXx/Kx68iBFxbsUS84JBtEjTM+G3NkSL NoimqPZyzb4TNMwbeTUKyMcKKg0rMAmC4stOIjTrLcI0Uz1drMj16FrsUwh23EXjIKG/mY3PrsnT VY1oSkLrpbJgAWqd9PvcZv7/dnCsnGtwOIJS0wHV98HlopnefK/e03JJm/RK2TqS1pIrtwnDRujg JxGQleptiekm+k5msQ1JvAaFRkedbOh+6uFPiZBp4iG8/BKiTl/dLh+KIalPrzx4zB5nz1QnQW1F XuELTJynVjLzqecJsHk3X9CQL0q8Lfzs+1hwtQtRTuVVaER/TEITyXZwiNBTfmvioDybCOVq3dE8 v7yuTWcv+n3UcpbjNmoPFrSF9MoBPKUjqZgjabM+zsZJBEdYpuDdsP3B2KOivXAZmJFmH+1u46V6 RDFapnsFha8tvHMXHDI0TAE71MY+GGSq7WnNJAemcUDhaqlv4b4LBEqx8SMkB1iRqDt4QrWx1Jz7 5TX+CqYpKjAaVEHVm5lrE8O2eJlRBp9LcApM40SrPShhbHXd/QgNg12RPxdqRzVftmrpfGlM5SyF bvRKaA4IskFWyr3ZKax53mfmpj1bkw7sexJ6v5s8BRMINMq0XDTz8Vj3CAMDI000gwmrak/cpvJw TYRNmTdUhkCVjjgE9YQtUIZ6WZiGFR7GuX4/gcpu053ty+LbtQsUnsotewejgghkMbWPYkUVdGSm XWD67pjkZd4B4qKtzKUdkiNZfboBMElMlNnfCyBPEMm+oam4fTuPtsrTFGV3JuoKhSgyOJR9mCQ7 QBJ+9/QNANhnTKHW2W5dVLweU8tyH82WnPxqSVuccY57jSJMsskpV4n9mOjHhSscRTCiQ/BGYSVg JszxyStzW7ufKhofAxMCmzf6tIMA2Ml1mpTxJAkzzS85LfcY6hej5Y2z/5ZHNVk/L2hNHEMhctwO tpeNcc648tjtMUdsguWMkm/HcsnWV3b9YK37icg/i6rHLFfdg8MGlCMXy0nb4VLdXAmH/kjsZpdS ZX5m+to7jtmnmN9eWWZ6MIkeOB/uayVIRouYTEzXofyiubGsytX5al7b3FlWSyPZzExQOgsUrLUj 2i1SkqFZNI0o8c/UIJ5lJVlxNE2Ece5dWNG0TPg275z7xlM8rv87vEs8M/UcKyMC2RZKq0vtbfaM S+6tHc7eeW2d4+jW0HghpoZxiK/WQpa3QErBTN2dbc6AKtXVihR0l2q8LupVMaDkV1TmIx/C+fSR s8ujXsEC1XBY/Ia1HsVeSrE/4BYd500z9MP9+k4bB7rZH2j6TJUQtzvlSzWbyivuOGxvciMb/Rwd qOcg0rS553qUI6lTUX2A+jS+/SY4xqRK2MYQ49wwBW3T8e2Hb6lc6P55tyCWOJflzrcQ6rOhTyHU dKCRCJI8oF1p6u3JucAlA+BBIH7l4lpEo+c56mXz0225PYWq+AnwJ046aeqvpPzVXJfAoiawGxHB 8/m6n7qO0a7b8AHFD0nZSWXAO5Yf6rJ8HAaugA3BvYriTF2wD9K6Si0Jci14FkEpJHD31RRE1WIk 4gyGdqp0SMDHEALNXIU3KDaIydMDZ8ToDBQatpVlVwrjnxPsKf2dSdXdT7SLCyUuL8aMF+zuiW2v AdkJG/6SIV1ciUfpjPH4ZCMgpjKKx9fJt5g5AYD+Hb4t8BGlimvTQ+A8Xm2DrbsOe7J6ULtHJMiq faprC9wlXeW7jUzC2WesjPhf3zHja7LZZha6evzo0gC+lwgPcgK2gmROgpnvbZd5D78AB2awGAG/ JYPL04Rk9Y0zkk9WTuo7inN7G++zEU3GVts34H4MbnMktqsRUlzT9PqeoeXoTA2iciVyn9rCJxKZ kmuAR9XRBCH9OspH74eI4oB7HJ5OhJaOrc98VP/6geB88mHPXWJ9e3IWPq8wtUjvQ8IiFLG0ux0O BELzupuERJV69os57XYRHNrRll7nyFrvfbfPzpS+6HO3omJ6uYuUVFi2Q7hs4eDj5MVqOW2nGu7d ZERZvIdPyBdNom6U0XHcqQ22AW6HfYkRRbwgxM926VmDv8Kc+TJNwFN8pdfzruPGjr/gW8v17ILU 15GE4UO+zkhccRaHky+cwJ8Ekyu6fpLTHOtQkcFRBodUpRB8QpO5owfJU/Mm0Ql7wheuww+PAeYQ hMi03QA6sviBnvCUEADSuflrOoj3T+Yg46aDV3zz1Q9Od3NLRWS1cPY0bnquW8obMSDbVC7ABw4J uaEfUfOLDe+xdA4b43/5ol1qF2c8dYXih7Ud7CmX4twNpVdibIW7t6N+/zkJw+Y4IiR51gIBmTTH l0GTl3ktTNrX7QWDiF9XuIM+q7OPPnHVBitCL+6ghcjO/OL0ZI4LHf5jkWEPIkNpVg55/777EZd/ 5ZiW6hIHBjskngHfrUS7owW0Zw50SWgLugDkE5yGFGOqvo94DG8vlSFd4KnqlcZetoFBMmxFDkhy XhDbxPCaSd/6eOPThBa8IBJ0fa4qOSqupJqM/pXHNB8sShM/MzjwpqMsgk+uvYGzu/jI5ZTMDwX5 Sl+gFchfh+OjKOB5/IRDXvimxOgegBc3TIn7tj3Ze+Xgr2tOXEqCrWC4ZRR6b2svyFHZPMCZB+cJ FE66OUpMj/iO6b/C3KoKTZXPVr132skem/5KG73+Fazs8G9DAk6n6MCeeT0p+zzmTb/SDpP2OMbe QKTb2FLfqKTSlZZnWCpG/d5tC2D49uTM51Go7u22wsFAiDGWdnEUWmBN00tW0xKSlCwG7XbhLMyZ 0UUDSY4lWbzdio0Z01kQ8BnQM+doGIiHeIqn87Enr+tARm7XgnfhJeCjAKMORrDkhavYLl+vJoBv sXOa50uKqXvn5AozQCoXTnKUh3bVHcme+bkM+wzy27Dx+mAWz/dwTQVBSWuNLVbMn3sMnRmWm38R ZnYV+K6SAgyCwq74LHfTZvcZXFg8AlRCrstyIZrjMJv7FW+li+4uLsCLLz4l3TVaQJl1eKrkvEnd 8Bnwo+qD3NGYegD+XPkLCF+1kthRRoODpzBCaNxFDRTRsrVKi0MK7pYRijG+b8jsdKKae2wBVLJl LloIx+to1AQTDQhy+6c54AusfwaYw57VV3GzjPVyEAVmPCPH+ouyt8Iku3m6kV9dJG0ASN/X8XqZ x0i6SQOcLwJsEZnpfmpjGybkNpOaYKRUrJykPjWzOblqmc77Zo5adebt3vocfPAk+QIYMkwAY6Bt Utb+/BuLvk+0fJgoOYRug4DaE8mXimkAVHZRWrQ31cMUdF6mgjjU0s1/dzaMueD5CHaYyPeiBCR8 R08hvVhFFRV3wZpM1zEEg/tTRmtx9t0elFfpTVl9toWO3hZ4VwLqNnC0UTmiT8vWeT+khtKiZVsj NvKX5AlbW5ewOoZ9FTkVcXyOpIqdU8T14iNVcs1cOqcK97DsEwGypNDNN8EnmJfGVY/dwbeJDvYN 7zPS14F+/3jugg5gbYSBOmvw/6OaBXfTVGuv/WG32wA8abuDLJQvQMBdBfH0u2vYoCeZ2NnhRYwP kNo29kZpyREioRvADGSc+/f5GFWSgcht11QyrPi+hDMty5Vs7LfdbZQ642dPmo0JrCIThLfqM+Vp uHShuB3eiDGaiFnsatuMX73PifzS0kF5zha2MLV/2ueMnEptM4Jpua6El/nYpnGqhjOXBUAUbRVO dIXDUwEAwHP66qZweX9tIOKJysEZA1etUh3XASBRbjV5x7Ovb+vr/I9aC0WC5XYC2bLHOcvA68Cf Cn4V8IspuOqOI3fFs4c+oQfWlt1TObgvCLBSAzuyJ6Pd/Sj9boxpv+INTJO7oJ2vynu9dpK3Es5q gFpP4nlOStCRjjNS0waZPHKYUQwlMvYhMtIWMDYx0jtKsaJfAYY2qRo1qc/k7peeRKadC1RaNa9g XzyAu/ior0axkNHiaoGnvIBAQ74XGt/sC1QwPaaCfRULmmRs/YyK5EWkTj0VsyNWilVgr4EJXlrb TKQA8SzY58qsgPU/bD0VyQYy50KpGrk1titASLEPLn+pwUgb8OR80kiVJ2CQONj7fUZFsw2At6Vy s+xiZwTPXp65jXjCy7c1leKD+dpPIp1rMfhqGBKmC2mUw/VAXGmdBpDGhra23JDRv671CSzfip7d q3w59sogiMqorGtdSs063dz4y8kScpZbMi4Z2zMvisty2v50h+vKXf8zOK/Bqdv4RHD34lcDUnPR OXe83fckBaGouJo0HSv+VnD88eJjvj3myDmNVgEB5UxlVNTleeDkPKVl1B7UqeB61HGOcZvfVyms eWIjz0liaU3sKoZKIy1xwlD03ZXhYljEXsUmIUNxaGdZ/P5FzoP3qMfZJIQ0PMyqzAc6eA5FvSDK FP1bWdOzm2aryUGsFlbNsQm1d8V+EvLYx3JjzOBBzRM6VQIM2FV/MSRmn49oNMh+4sxONwQTmkBI I6G1REMBaVQ15M42NcCOwSexNhpfnUW6rfT94EOAVZWTM6t95YVbJdQBsO3pURoLU7FLbDkT/iG1 JfHnKioSmAqGn6GjPr1Prjenyh0voUpr/LJYhjA7q9Mycd3sbD7++YV3pFEnJYd9nSZ3NnwPvblT X15+kfiP8cXV1X+kgldUeSPPA3o36rKopOTG2VS1d7RH9KuZELsR4kcourf8t3oE7fm17yf78dRM iLdncWIzcEfxKGEbRq0drdJC7GyFjJlW3bg9fCWIO+Mf5YnUM0IUIwOGFR5Ltk6ZrxEo+UFM1b0x 8xh5v+bBL22Zmy2rLUol/RI+DFgrBD8r8fUQ5xmbH+Q+f0Lro+F9EiMMzSH3MzwDLrg52YspBM9Y +goq2wgirT5mMaGlehk7UgZJri1qLjGMEphU7MQR//DU6nNbuWeuwINwyhDMITc4tMvZqbZ1Vcx5 6yyOt++8aQSB1rZNLvsD7ayQVOqaIObx6FoYGtE4F876ZAP8JUlTHbPEJ9oKyvS66g8rYtMPRhHP oTGi+rvRff+yUSGz/dzTZazxXf4DgifF3bxeZtk/C304BoSkF1mNEC4lFbgzBKDh7fycAoVTUYP8 Jve/OfKfsyS/4CCBWN42VsOQabqg0Y6HH8Pee29h/CZBqGFBDIi4a9/Xvc0TMAVmmf4eAkjSckCj dhOZNy7aAxL6IhNCaOnwMmvEp0kK90MeIxM0Tx85catdvUq0qldNTqQLIEllC4j9A2Ihvs5pUbaU tx5RzNVHW5PChlvtD7UWV2HU4Cfhyx/jzbZ9w5ulQdxEaUmsCWicVX/lQti8Sokxq3G5kFOX1+hN jG7Ph3OSQVBA1C6t/YA4xT9SF73xF73lBAx5ZB5aDPz034Zu2zDPxsOSaf0+zL9oPHUUIOnsmIKw mcopu9gZp28OKi1VoopyLosevTnF//WZ00gK5FFOCXUu/j8C3L9uMUS4TLX0P8hbdYe+1woLJmYt 3egjG0ZHiqgHiKgIcWGq1VAitEqXCyiMZh4yDAdNvZczxI3eVsH2KtoUXsU0EhhVmvVWbnYPBT/j EIRB051adISFezzjHlzU8k0dskeWDzUXJZIZgHZyechufRu0vN/qOpKlwe/8MHOvX8yxJvwf5Uyv HjC9CtogXH0tParh2/ulle/cdWMW8W1cUN6vhInaY3lm3uqmTyZ4QakJXnhctgE3IPFGv87rMMe6 k7YuznI4r6ov0xdN1tBRoVAgIHLPerKCOtjOlYygd9vhMwzphuTCYgJ7WF5vVxg2f6UZjWz9Z5SO RtwzTML5kXgIwHLIi3EasIAlbeDykJbrSdI+txikP9dlY1y1Vw10PvUl9FFhOsaCZleLHK/mJ/g9 njFX0kcoCq44XKZ8eCdx1hokauX+Jv3BsKwBg+R8vrzQSlmgV/lqv1FP5vu6ryrMBJHa1ydHdWLK JOauAPDxm/ealu3+Btt7B7jB/+4mc9KAa81T4KQocgC+kV6i9i/0vwFzw0HHUrUctWFKjw3VP88M XUH6X2Lnl8Bx9bZJ5ZRG0I2NTzlmj9TzfyFqvaCS+nW/Zf4owH9Q4mQ14NTkPShPgYAsxDH9CI3H qmonDbBYg3b1HZ4/W2k4ZBgPCRRV5BEYyTSHgLbKCV2DccQprDeSkri05dOv4g39y/AGlW8knZmk 3qvZgXPYmnZxUq/mBwa/qd0O/Pm+KROoQxzYzf0wslJaW8jtpQeuv5dP0bXUNJwJ4dqUbspCYQIV GvhGwN/9qF1RjfKDm4PyBqB3s6nsu0OvyHXVNSgEBgXQ5hB9jDsfh9zI8JjNXOBpj+W4DFUj1fhv Arw0FM4KLsbtHv33Tq+X/NF3i/E4iCaKla/6fpmYjkhXagiUMYDPBtFfEmP775GNBpyB/int8okr ZpYODA5coUxQxoNgXcisXqSCSiavEwTmOp5iFSN+SB/NlujNswke0OxWqIdazF21xZEijgad70Ze 3QTQp3+we0326DwQuTaJipFP+LJySskokEcuNFmCAv9DWUYqg5GZfTEN7fIyCP7C9W0eqUOQ//oC WbluDDnkvN3CNMaTPxGpVE+9O5rE5ATQn1kPQ4M6w9Pk/7o87LXoMilOrkaMythl5ndofv0oC/q7 uaxnIFe3QExLMmmeljW/UznoUCN+1f+zDuWCfING4na1YklkDE7grlA8ZzczPz+E+1c4zZi2ZXqA sqstGoEDyrSTElHv89n1LIKC121GJVVWeNAKVtFTocX4zSKWA/Rs0xDPw39zcYeCzuKUqirYIpDD 2X/eURnZX4bQ9RvLgUJuzCfBXEda5CHyrus9X0YLfGIkCIhFsKb0IhVtzkqVXJENSeLjyAU2fKJQ qJQQEBerlqfT9dbqDMopfPquFn5IEtBiamjD+KCM/WhUiDGme3Otqnq/fbKelY3+4udzJWmm7/G3 HbjkY9fnhDb0X9EwL03hQmfN+7+/GFvpXKPkE2PT4wmxY5+ex1bgOGG+iIUkyxhfDu2qELaSG4ls o2NntFQuWRCH/4Lf3boCys6L5xjb1td95zthxzO4hCkr+JGNGeu+MWzAp7hVx8CbYJhkpBH+hN8i 2aKSHe2zJ95oPIwfJMqdoABkz7K+Zq6AEjyPUZVADP4lcLGoWxaAOIR4QZWxib6zLtJmf0PFgyxw X0DVJs56my5tD0sK6WU5JC8w7nNVNIAtCxd37ZgvMA4lTjwLTDloQQSdAYOqBmpMuiYXTGazlf7y gh2ejGFNlVyGq+1LcgaEi/Pn3kIGkNiT/fBQ1IiiSmKHRO3ArXUlSbFi7NFegR3OrkzW/2Pi1psS w/wcO5O5DDHnG5hAyBtloEWjeiocDbnscU5DePdEeF+hQHYVwOZUBuroqWqEYyO1hJD5ekZQ5gey L3MaGj4n3m52rGC3eOHxTFhndbClw/QbE/Xw4EDZqvMTSpDp4/ltB7cQ7lzXDDxrq+iwuSJ10KvG 46IROnq/1wa7sDQAQkvx+rORP6CfbHR4pQnsjxkll3ebTyxWHBfpLkPe+AnSvztEQslDvYbPJNGO QLYpj/MHKOaMd4BFiVfV4mje4LlWg66Hj/DZstf2x5U42nBxL4KqqO1D0deaumDOH8aD4uNjqO84 G2w3eTgQILUEuVcLrjzlSV3ujb3NZlamFl/kqxa5SYs9ji+9zP1jjSabTa2FWMYI0LFGOqM9U2Tp pAIe6/8OWgkh5TXpc9g7NKCGMIvhDDtgt6Dv4KTLS428WaZfDp1VfegPVfpkAHri5Ci0dC3eeu8+ nCTOOQj3xufAt0t8cLOwBTygVFVGslMkSyiruYtCaj0++HgMTfpyDl8wDvbqnU7BKhd2R+Nb0R2R DSS6BfKEfYNa6MKjUy/Txr9WxZxNr0nfCDXGnkRNWXQ2doUNsSH8cwyjXDfVoM8Buswn8+wTtsxk K9u0UPaIjFTFYmd4V3xxjML223wPJXSb5CnX1fZNT7vyhF6njDAy+Vl8rHhZXIBakjuQlQaNzrMr yoL99s4CeCoLQpoR/ST9hZDFYn2FpNAz9aNC6tEti7CkM8Un7M0k+dh6izz7EQITXHhdOsSeOkaQ jYs3STJe/4Mq9BJo6h0yj59NFKDPRxGQGOtb2EfsdYGk0xjZMJqJ/PhJSX0E+Xcx8uxpBVjJRRve WduF6JOXtBlAy/XsVuYvGYFDdN4hpmkBUGWtMV16t0XLoGDcgdC94EA8bYNKqdBZeUd3pEUZ/EtV SBdUWAauQpCQ6YNWZjoFqY3FQCge9iG108dO9acn7o+Fxr3uKblBMdGjnwMHn/QVOXoGNXXGhGHo I/CmBvwd9OaY9SIoY9VnLTgk7/g0u56Pwd2R0Y8t0whip/PvL/31a9amvAmozItNmVrID8QMHgAC UCsogEhSokWUf4omRSiylvmYihtiwRpNDhV0GESDj+DRYjvLo7iSI8fCMQsmr7sOs4sFxzc2akjW ZoMhq99XDCkKVTFFeL5NtfsWYs7OFkRbkOMCjATavExRB5DBxAu6eBqnmcb/J0uwXhxFeIRjD7dE 0Ufcsq+6IbLa/6TR6t5HnkwOFl0dtBZyxEtMUaQqPVHIbalIRnjAyEIEZ+lZ+/IJqX25+G72vSiC Ni5z+qZkBksuQvyBzT+dtaXVIrhS/0mhwqkLlJ7QGDQlziGoWuxbHqAlOiiIuj6rMZKI/9ux3fVd laihG9NLHr+l9ZgJTCcvLCHIVSMGkUeG3OKHEn6KAHrpODv1R7aqK8mUEWkGWVeCEtH/RcrC9060 B3aWx2rezyrjoKxkivSEvWUR+2jgm9IgdIxjTQUQN9GnlrK1JfAiAf1yOFUTO8EqLWwirhiEyAku QsOLD05egn+juHwmXV++T2SGNXh+MEAdR5VQcQljarz87gdXQaAGJsHETRxzwXCt27++Fo7+h0OP sQfAxIgXj4YfgG5/D41Xbv5SnTdWoxo3KgjXz94eLuPKPARGuqRoJUiAEFkCSZoy3+WeZ/wXpcxL jOFJZYU1AVFFq6AaJqURoOFus/cRsKib7bfTo+lhTyPp7RUR0CQ52wEXxPsOr8vaHrmi0Im+tmO7 U/SZSzkuw/5nx2dC8JbGBzM60Ox8pEGtXWQzD0EvOGaelF/Mi8TQMa8NSTrPU2r4vMTaC4hLzZy8 R6LpuzLBYVUR3yy6GS/L320LTTJBZl9/FLTUvR3RRAPPSn6oGKrPcFzvhiTNt0OiI5oVCF9VQrpL jyyaTRsg28B+D9k/HqnBT56ZL9q0moySPzwHoWysAnQ53i1nQqhpiS261fndIYI4h4OnZ21d5Kse cC2fv9XQWerYYzyFIOFJI1A6PA1JOlF7DfCUjR6SH4bEWiBOE70SJyEAk8/21DSgZ1oUBN4Lro5H Svuz5Q3HuKOc5jJ7+BFukOqV7HhX8VpIOliFRVpVFHKk25k960kwbiPKhSwFZoCjCC0WawAEoW1V JiJvDUhNRG/XfcYpORjPBTNCf1N7vtdywsxgPTjSLbNXToPjBM1/vW/zv6qZr0nHd0nGrk0I+7Go Ymvi5b51kZZ9pklSsuEqN1u9qNQ0wbrrlxTPhH1M7sZhRK9e9XGdZUktJoxHFSE5Jh7vdkf9JJw2 +yVflVIaiyDvVtZJ2fJiDjIyKnIZhQpaHua5EfuZM69lJh98Kx9qSuThmqU3251iAsGUaZrcSrbQ tzwxZ9AByd2nGIuwbyrfHPiLwEW8d4BArxIo3Ogp7g7A5xcI5NZro1HbWhk6+5HfeDTfV4ICpUYG p+hrJWDluwOxmtNETPpGnapjJ2egXyh7PRICmoleq1OGsCnXvRunpVEZnBY8YUbZ7nwoQ4T6BoHI sJJslrBNJM4OHk9oLUOdR7j+1Rtq3UUSNgCBgbuRZSEBfh0OzCMCe3k/chqlc3f6TN7X3y5HuS/Y 2hfQ8IekRbxzWV1I/AP5guFKvROVJYzhUahb/NNBGHFzOD2F87firfLaWcXPwVeJ6aPH6esjJRbf gumf1AguMk1/Kx9m2M1SLRHkBLKBCgH0HGOCf2yAXMbiGxv+r0dxeMJiF5rkr+Oq+Vg5rCrzlL9Q m3DpSFb0FA7dmrEMe782QdUpKJ4MszQIvP08TAyENP3IC9toRbiw9GPOsHfF4lfxvOrsGpMOlbAZ S04qs7chSxgSL5dWbjluFWkqGvEiD0naGbffTP6IEjV/3HKQvqHZVM63sfLrnQaARE0BrlVbZBV2 qCmDjXl/PJmqOtb3NKbCe0RkQ3jsuhbiJVBFNGqEPRLrxMe8dmpY5H/PhxR9AU2qU7dlH53cECE5 TZxiVa/vHqmUohc7qG76tfUjvYAk+OlfAiCcd/4SniWZhk3LveURkdBA7x38azrS5LDqcLrnJcHg jN1Avi48bv8WPNmwQJeMD1vzndIpRz08vSVHyZYmXl4B/RyXilLbAx1Y0Y3vHVTB/V08uhwx6vc0 jrxs+oMYs9H/XiEQdRBqD7EAhtebKiGA9B1hHmZGcCnO9e2o2W4zUosFZ19iHhx5sRp40QiLiKkj Ufn4RALlvTZ+8rWEyXnxiXwy4W2KmKQE84zAZ6semRZE8LBzEUv1XT/OHNOkIxuXEvM6bpZAQL/s /g7YWdA4da3BxSGA1Uy0qe3cPVG6VSapMydaKjpKfMp5/vQ8ACaOg8zKpFbRyzpZoAbH7DrAAsVX cJvArhPAXfSyNRgxyM2mEAnmzVOsIJuYXXI5YEuXqkXYIq4AEBWIQBRMwx8FhB5WQEHJIB8wUfKD GOIwLoxDTNjM5SnmuNDfPkS4OSE+928iFWzcHIIMO7eb7YF/fAcCsbZbs9JIr0GlAypc81o/Bk1l ptWhmRQ20WXMtDkHeeJ9nygAGLLZ0yCM6oQ4uaYLpuqiFoEFvLgJAWlWTSPWXLnIMx9xEfDuuhkO iDeRSILFADaKJR/EQ9xTxPgd4ETrgW2SCE3uofFLV7MDGJptM9JWRK1jQtSUudeem6++kR3YdMmB QRwG8vFqzoaTbSd6TFDerYuM1fp6FlKEhyN4p0fWfn/XjHEAXBN4J24Umj7dRSWlgocJrBCNlwx5 ma5ewVxoN4/uOpM2Ztlhaq2rah6AWLen5/9VTWhzaj30eqDrzkXG/+ZskrvJ7mCxtXX693zxm66Q qZuqcxl0AwbLjdCqsyMpnJbwLB4fHiRdIo0GgLXvEJIbNgY6DZYOhftWmft3uDChZU3sU4aTWbj4 Vt0Q3lcbdERZ1VkVvvz7n5U6DQO0T//urwrajKFzDSpaVJuJYEywkR1advcTjXxDSetAA0rhumG+ qidnYw+BHVkcSyVauz/qeRbJz79UrCPvxQFIA+dYVVoj9u8DN+lVo87JMn4faecMD0TUm052hdAg efssJavPJJcku/dS2Mx6DXkAvLZQ3bBSUUREU9te2NFHOTS7fP5q2+2rfT1I0aqBiKXhdLJ37SK7 ZD4Uyb7x/RHjFjgZAVxmxmnaHqRKT1Y03qeaMTM06Q4A0VG071xhHSsbZq2zg6WHcWr7PauH0Kqk PAZAyI6ShnJ17ahuYVuZm4k93P4xhZzS782nMpIG3oEWsJzx22zRP0NNorRvVgJ5O47Qcj3nof9g 2iPhOahCjNVjYBltMsCXwLcJ2LzUrkrQt0txBxXNhN+EaCM1zUdslWfaGQhPJEGev2TY+jcNIT8G G3EnvAd83GkaANm7BmoEIeMFpwcn0IvNIyp/VJo2KMwnVFqeOXoV4w+OBFgo6PK/Rw7+FO3RzsBJ Z4KK0n2T5crYDtqbFJ6ad201pQH1mJ5X5Bs2JvqUFpdKsWxwyxNZFG7mnBxQR63r0uOSN+95gPxx eYwpqpKP5PPxxZT+SbojrAAsLJ0h7+m22Z7GcUXLucZ92GU5MwK212i8QfciUxkUWfJ9yYzwbK7p l+PCybPohUoeNIPd+o/zY2foSYi7wOoX39afBW0bcWKFEikqHUn30z/Hna+yIbBWmTv9cYsBf2WG DBFmy+pyEN+RFc326txN9Sah5EJ9bwe+kR6MgK+N92PO3s5P3AtBuShIcZ6ZMM8YzM8VmVIzIbZp pW+Kja724vmamXWVLoPIOmQpHjNYflL4iZ/nZychNxMht/TkCCgj57tHRl7o1whTOKQPenCR3ov/ GfTdXXMSY9MQMQujF3Z30gcctZgPZy6nvqVfbPhn/9QJTVSvhjz8wu1YwyMdtDKiwdSnafQq6HcR HIXQIGHO8ZW6kUj0UxiWGL1JWFRXD97noS7E5hCLjuMvFfS6kzevKl+PPcWfFNFK1EuZh3TTFg7o IMGcMJwmiN4SX0r5V3HM2eYHNFfRMkL28d9/QaGXzy63wjDHLy7UIzayBRVe1HBn/FDHBb2Qb3+6 3FPTywGU0hJwNFY/WMeyETfAo116KVANulGnHpHY9/VhqWqbwV+ExF9Rcv4zQkOQ6AhGCt3HzZ49 IEURsGymumDxgyQ20xsQWmNVbLgWEWLS9fx+PUxDdUxKqyXVmzvCatJON9ta63Or32JLUMilXNQj OZJb81o9nkBKUEyxowiCMidhR416LhL9q986jnsDxG5KpsuTImkPoRfXRq1Y6uSpjoWfTUrh/bO8 gp7RtN9nY5BD31z70dm0eO4vEs/aB3o4lfftjPCI3zhJS22a3q8X6z8uDLEtHYmgYusQvuVariWH d9xEJLQssHH7KYWXYsdcadsPjv1hb7b+kbB+cwypDg0V1owgYPehmpN6sFnTFrjRSrlCcRAq2SIP 4JXP5r+l1NVU34P1onD8M0ApXYBenwZ8odHYcEpaKwtR9LG6/HAGJLsow40fiPtPac/5KWi/a9C2 3OrvQhTFTxTmhYGWvxZwb117w0PWYKatoScTDKEoprXra7D/G2J8wLfQpdA52ueztSSNNXzUhILH whZ3QsmANQ/vKzAxaz8eBFKbz20eKsZofYjS24KiyLTNhqsMUw9uj9XNkIVq56zuTmzHJcOp7l27 ZU1/d6em/JNss0dJe7lcDkdtLtD4vZf/ESG+0KMTobVnv713yn3+7P+xqh87OZEfKO1p/A0v1dIO cdXlAt7Yhyg5pFza8GzWo/rq7+jo/HqEaypTnjInuwQ3uWKl1gaQuUOiYAvbUvPOQd6rF4Mytsot qjfIXH5/QiQXmuUE+48YjTKA1hOha2EvWGCKcWkfNNx8idLJk2ov4XPOiDi9mqrxn3IF72v4OpVj QsYHNTQ0yrLO71/9u386QRFHkOXiR5/Cg7XhXbRinUotsvKQWskvJBSuaMTiv/qthjPfcfRjND8z 2YfSo2lKDIxvxhcMLW3GfmMMlFahqDofnlaJkb1s3aHH87TqPEfcZp5Ee9s/ysAMMm6oj/DQo+nJ 8jS9Af9wLcs6X0/+oodnuop1G/E0wCB0n3N3mV6whFDBRUhZGlnsCCZqIxWF39xMKGR7kjhQl9nK CIx/k0GoBVmum5f/5qsKMYJU5IJqJOz0VtqKUR5XEtJcMKpsEkwEwij6ut6OrE+sGRitwVJZ5ctW w2wv4a7EksmcecN4iElJW0IkjK/jNHJQB4oH85IZQ48ABwFuGnKaKNpOTYEUj1hPCd72hcGvxhf8 x4AtazrzVagvOp6qjrq+neakLNRUdE8pnv67nQsEdoIlY7WTHg/U0LwK7CTcI6/Jn/G5roH9n81i Ci1Duc9Spyouv1l6PGFHUX8KJhdl7/c7XDlT/Xm/M/Go1bO9U77HL9IaP1X2e8LZgWSh74SEcyOI JHbuqRWuYwqxunzd2JnlCIaCmTKGXhogSEnIIfqTG3rG+TAoWGKd2EyJ/GHwkSyXe75rFF1imZ2A mxlBaD64Jpi1e0/Ps2Igs++TxM2w2wOJ/sS9bod2OXgnfhLbDi+4WmRgOND1/bqLeAk3miqpDMMQ 9jBSqzVBoM2g03d1UKr+898vWjCX8BfcR9uX/ftFGrtH5Qe1jRVCkBWN538SYmtqHiUN4ss0S0IQ zWUnFzNOwOqxj8BWn06F39xtD712hxaahLfuvxf7/IYj+A0eK8gaixwh7dDMru4dPmjACd+zC5pj 5rhVmQFpPDjbijBQBvkOz+hzlJMQ6aKUMZZUedcJysXLIkWG9BOLiU4imxRFDLJ0cRyNq0VcQ4H5 tCwNny68cP0YJRJK7LKIR8G6xrjFgz9d8expHwpY+cvV9D/e93zQKIB+ePeyWgqFaEMdKSQGdQtJ PAC4+WZzAQSDlBCpuWOqwivisejU2TYP7uMVQ8s26c7TdaHU8qVWxCc1pA0wZGvrKSXB67xFZOge M+X+S0TtM5PsK0xQdPbmjZ0dD0gafolKYNaWMEI78veOYDTixBeO8iBa08L/aNJDT1D0wk46ToGh eyhGdTRiaFtg9rTkoPWPupMC/Lsgd9rIX5WwDzXA7zoneBTm924hzRvPpgBh7Gujo4zlosixoZA6 dMSilYC0QfjnvwBxTqqWB3i9BXX7CH4Y7oxEtZKzwGzWFWfT5pdeMdnzbrGqyvWSnhcqn+ffdxZ6 noF0XM/nF+N6pYNcWWAy715nUixyCXgtxApdXrtLUv+GvmBLYWqRd7+Dcr09Imj5vvpcn7+TTdPG kAzPt4fHB3aQF0ryIsmZKvkxWt/FFzkphjU/biDv/MCHkpEFHvArZ6rnC38r5P8KGP9zAQ0atBSv T10ekkakvOSWOVgd45opGj5M0NwNZC3+2pG79ivWJT8FaCMzvfhgqhYamsk46SP+T1+aAV80S/Ry yPdlISJLQn3jybwGsxVS0Aqvp2/hB+eVCtEs9HMKO8FaDxfxkJ3poKGJnSwfeLd/mAetVNfbh5EW KD+vBiiO1wwRDH6GZwd/ADyaUuINkoTBB2dtFSFwDMELw64Z05RJ7N4vl4nGLbxiwafN6pB5Lefl Y7klqj5v83mt3/J9WaYX3vXh24rEtipTS8j1t4xr0z5Cz6jkqxfoc3oqIN+TT/kUfT98GEyW2c6N eaMQsomJWA8M5Bot1dfcv/QYhnZI4xwJQAg3SRpLLfLzZbc5Knc1+UXPCkG5gYtlfQwgmgqco4uV Ew/7g9YD5weo1ycgg0iKEpWRBye0Ht8a0lOfGXP/w7eMANrwgxtWr+xBHryuRRAFX7soF03CU0cx fZSKFXg4Wyz1wjCOanh30ROYyK67r9pHMi1QCGRMmEm62AdfcCsyTNDvwXGxD1rSPYfSv+esXnUi 5cckRvB+7GxKZy+GTgKdK/mdtKezPn/1+f1m5RXMoViNIZeuvZcr594yJhknKGmsx/pnINwO2CaN Q9uD9mfOmo9g9ifGYFOtVMQXp5lnEpzwiKmqHx5w0uYJQ4ax7SF/xeTuIZXqDZ4ZXJxjR1OTeVI2 dgDtIMIuYcGymrCLsIBG19nlISVNlKUI2CsTO3gmOkKqO5xVcM+/Qkkl3rU3DxHaZIpY9bsmKcvr may2mMAUk6WlMloHVW/7m4dNf1V0/ldtmU2e7qNhbPioRR6Gm30pJuvZBmZsrahZYP5ll7PUTa4v Ea2Rl4WH48SKYh0Jn5LMGKW96JMVJDWVdOtyO3rIkn4Syv1x9/P013X2jporWbVE5DbQHkXOhN2N HpiDV20VhPYYidAWR+oNgkTwMIBSbcqBilsBKqGVr2OAZA3OOlBbuJrAj5UrdgF0yn/ZYrZNkRS1 PA0l5/e3SexIpdfxHZJcdwTPvXihDCINB/WdUjLElBQ7sCimbvBxJ+wca8LqGYbfsSQX7CEiesY+ pSGVd9n3GnK43lgBbCOxQDgA09jv3gP/yyuxT/sdIkQoIEHU5sffCOmtv62G1R9hJsPaYnCl8tAc z2DbrThcjDpMwN1Peki3tE/6kj82nYLdeVsF6oThRbhVbf7E9tS4WWXEJOsEoipejbyYIXH612mo 4D8VBjKvwh29w5ZJKFUXcJVymzuZ+mqYETbQNqeUSqpyp/f8g2M2ZdAG227sq1vKBWst2nCQ6ooa czAcINi3IwHaBUCZbUBSDdp2DaDOHXNem4NyEGZKwp5YKqSeVMILHO5qQzgZ/JPuQoeUGgb3wF7r CMWqjaeyBrdflJAuByqGuD/nNrQ130HuZAc6v5RTo+A8+skwB74acN/ppcNsZQN9MDzGrDq8uR0y pvGNPyvPx070aMCv7KoeC/pbF+++yyzyleCOVrl2Kou9Vb3ha7FmpP1pga1d+q/P8WbaygryvH6N bmSJcv8LYNmMCj0HeryBdz21lWzCBpQOwiBig4OkM+p6GI7/1Jy1/MQU+m7/abks+9kwoR9DBRxD TE3d2fwALEl2yqA7x5q13/rB6AhgVy6EFmLclR+r5bsBB1KMwT74aW/iaqdXGKSTKWXe9/ZDXIWs ektUzFcLrA9qwq2Z3JCkqNHYanjNItaLDoqiF/ZE0WEcz5liONoAmvdN5wjq7j2R/lGdVSPDAQaU HrmxjLJItq9UjGtIaJmVFXtHbDumDymt83j4rvOFUTr3Eb2JgwrkswLbmFo0HKX0E1VM17UMxC0p qKa+bxCOrHuprDPDlbJwRxMLxTuW2VirQiLWw6jy+oHifYFIdOBsofpPYeNuqNea7rQWwvM6e+8f cQKCWBFeey+FE48FB6cDfq5WM/67yWEmdTxIAoq53CvfVT5mdNY04jTniKllWMup9f+N+aMbVLVJ 0ls/uo63QgUC9IGK+5/Y7fFahweA9MxSarfHShE1Rvi90ZJffs+SyRvfE7gj1UH023KvozLY4hhX om72GuxjpFyetuNof7O9Bp+U6TTGo51m/cbMBWQ37GfHPEfTwmwOv8iWJhxdAGZNYR2MDuan9Uta QiV8OsZUGhNWzogPNqlvP4A9xjtR6KE12PEbmXOw0C3FQYPQHASvLdknGPnO3pvON+sJuXfTQokY chOfpF9ncvWwMUUbD8UUmwNLO9QHuGtfwkfOaAP158tgzx1NPbbWd1tDddmq1rQsri++3y/PL3hW O/mzSesKI/B6TYfMO65POL355Xn5siQHUuJvZH0p/K9kif3i+5CBlyaYdBpFSxOTIVJqBBkg6yNd dTPq/5+0o/C7TcfGt92+POH4+OLPZJycdD++jWifgWMiAfcMn4V4VSR7sFMzvqXvhajpzKOLuPtV yiXIyp5I+xtpbDTJHtCXtblFHtke/C+2O0I9WY87+hnrjGCuIpbU4DNxbjNYyYPXrUM+PEl1TSiX WxNPRleNIs7Li3Jr6TUNA5ua7Hl70X0qPjEk416UPP/aVN8Ds/5Amle2SboMoOdTDEp4fixJlVQK 3rzFcRJlDGO69tWnrK6w4sb5AFjkxYVCGI85rA1R6PcJwIvKt13E6KFOJXGy+MZBf8AjlrkqGTdv G8bogeNIrg9wUVNcbzK9x6AevDBUhDIJ2NQNrIvARI1dYU7ecjfVJ6Yx3XJAsmMifl8SjptWUzkB bK/0zJwdRSpSriI0NGQal3lRfoyy5MwdphKHxfImCSZ5ZgLlgxMR+Kcz4eHonzNZdn6Xu+4QVNab QJ/qm/HCWKCOAIdFH108PmdxiROEvR3HROTl77KNAqVx5MLkVcpTYEyTFeQ+l6ezdKWmtkwYymY3 FQ3X0vtxeOQIdZ0BGqorbsNLn0PLzQ7URUzzns0+sheZ1xYPaTCOUoNkIch6UQxeMfafAa1TEna0 QBjEPLLPeqYwGZNkDI8iexEaUCu9+UdIIa0jgVhYDSM3zZIrezrN0xCiwTwWjSKsA9FoEOPhQVvV hCJzBhXZiVPBeKxa4rg5feoCKxTcWsBbA/SSX1l+htbDrqHp+FgtVWGCPd+3scmDJqbuvwTf6wZW u4jsrwlWk6v/ToitCK378/uIo63a95tGTcY/CtYUSj4kPFk3JkrWcLZ4QZkXLXaR9+OChJX/69Av JiR2UQnB2whFYdpeRrUEdNpwbXRHq698/ljBdATO+LO1LGq3kcLFY57FwwvnlwMMJ3E1NWLF9Fuv lz+JGJ6TBvFjci4la+M+DQJQv6vHG/Eb9TumoMg7StbAwOowB2ZaGnwfjVX7XjcXqII09NXWdMiZ 9XQ//+M3kopPfnFSmzDFWCt7kPTt9by6kxP3iNWLFF4ED8+d8DkGp0Pp+IVDKN5KrDKZuJ7Io5Xz Q0McfFEgSHhGz2h02a9ECYW5w21TxS/Fpr5C18IQgjbpmUWSRNqEOKNdxdhfqChlkp9EV+v94NLC HzA9S3ADAPkoDmHH05cZchFtRuS9SU1DYNakJeO9lyyV7ynQhd8HRqTh67QECOAkmBKsReKTQsew hGqNEHB64HKFP+biEed/A25dkvCYYyZfCorxIQdSS3PEhcGCa6tvcy4n4jXQLJPCdtnWWs8TOAYj lD58VozJEMIYbYXy6SaIKJyy9AcPgQRntOslszbxSu2K6GV0arl23ls7qv2YQotBYS3upQcTpL+P pS5dtcWStgdPPeJCBWiJRLeZUAb6EnvwV3v8UwQuOn7z30RCUIPPR0YyMhTiBzXMFicsSKmkH5VL qTIMdDy88sIEspFG2L4ZCkHEeWaplKRHHm4jxLQW5OswXXye+wGqAXOkl3MlPOj/9pa/0KwIR4/3 hOsoiaFp3U89s5SGmBRuoJj8T3zbqEqv90JhgkJ/6gHPnAPyBuPVhomeW8f/jvQuINU2TMvQmttC /KzMYlCXWfhYEvd1sHs7nm7eYEGapZXqWPwPvNd29Qv59Nz8LWJR8zZuqYFtsGGTwk06QjQjAZ4g xQWLH/gzM9PPs6gamhmVQZeKVgSs+U0eOU9+u7bWJgWMtD2PF9QA+jYu8D1/1MBpss+jZFS07Rq1 Tca6HXJ5HqB6UlMGi6/xXobTvC6k3yNxmuWgJ/nNypd8vHVlfFW4SxhKDiIgE32Wx06RJVZTDtB8 lDfbtfV8NnDV740loNyq0glArCZmFnhS9wukOHNuELahRoOXNlU9dale0p4EYoOjjcBSBwpZMPM5 PVTI8V5+FXcv49ipYoubPb9A2h39L38+eNEpxtaHpStp5trr3O8m4ygxFydSobMLHbJWI75kg5yv Q9OOqe4NAFDI06rjZTFr5M045VkYVJtqUja/2ypXTjLdDaYy/KLhIskrECf0Lw/KEeLHJqdnYKBy +67Un8AvvIPkJ5xTXY3eWMKkHZqm/7JGrE9o5DYK0Yfd4OogvQZZ7s1ulYyC4NXzpezWaPByjkDm eUZEUzoU/DOzU3VoNXqGbO4wV6i/CjR3IfihAK/+YVbiKEhO2N1+GvY6X9JMGvdac3aPfJggH4Nh g0E7e4iS3ZUJVmDp5hzOtS8WKMlM+rqAhHdCvCZ2q0Kcm//AyFtSe+f0D1mARh/p75GKTM1P6VLZ 4o1v0pAd4nY3AfcoFsOQ0bkmISnTyFTTIi+X7Uusml/lHetZ/eEN5sFsI1QMk5+HRaMyf8d3f3fG A4pbQoGYRCfsYnLsiAL8HvINDfMSe+15n4i/dKbSghVg1yp+zg5feE+meAHFu0Eek01jhbMp3Rzj JftMAgm6q/iqQKfnb52McIT10Aee7hQWoTA1Hn28E315KGTAZw7c3fcd3bsFj5NaPEM5PtSFQmMg kpkMl1qWc7e4WrefImKXtGOGAtMNm/1wTz6eOe7o5e2s6hT2KHDuFETMksYMfmgcQdUuVrMacm+a Pp3z1HBeX/FMnroPC7XSRlZ+717wNVs4rHUnG10dMiTottmNMylNtfE4Z7cVsIn5Ntr4ANhEUwMS Zqg3LwEikYJnQPa72KI/QWf78KUxsQn4kbtLVHZqaGl9gvmjxbxAfNUsVe2dq7fdWKn87idxird6 0gdKlfFIZmavhIzUIg0rmrMG9mlxgtZU8ijNHY9gc9Z+LEqVAdxhztTf9vKZx5JtTrjIHDdM1rTQ qTe+LtC2ekTlCXiEVnUGIoC3SoL1IoaJR7XmoLUMZL/GxTZ0/RfupoS0Z0vGdRn51xlzTzv4D6yx iYLgYvKCViEfI64CKWQ6V8+Dl4DcyCE51TWHx7In4PprzAmIy2xmr/KpZbOF2aPiowbtCBMJd3Xh dDrDcyUJWxWwy4MHG7blJ3j4aDjzH5emuTPeMhKKVqDa2u1Pt7gNdpHw1CRREduGj3WkRsoPhFQ8 CmYO2+nC1wNJMFX1CNcWA//FhodiNtpog87zTGP1yRRwBgf7tfr27YYN/YFlDZfGWUqBlumFvusz qAAcrz+g9qiqrgD+5tzRTqAnV2RVojYIvLLbL4IlTvWYMMAb7TxOpTdFOyrEvHxHLW14H0G24fJS SnrJJ7lzQPOGlx5cddhSVJcEpYMG8nQL29kAaznrCyUOXjP/b3xWuifKHo+UqrzLMgbnlGKqxcRe +U+/EzWBgrOA68cduCijRAYZe6KVO/lmL8kdvFeC8VDmp+FvzuhbARaM5QWc3927S8D9pwWhor8x j0AYgfIWvRAjeJhqqiZEnQnpIkUPA48CeQYmGfsq467J872g2mh4TeZ5FXrsLz62LcKsgCSRip8i fu0smssoxkl0RNnCQGRNlAUSuWSHMkC3pBY9ut6tPoWcsHCaroKpuJTLmhhBeTWshgjLzC8qBsVp jf4E5B8eOh5N7GIjFLCUntJnyUozwaTOXLgOS0mr1WHVot+lRa83mFnznW9fQ7jn6saTlKnvGOor HA1Zzk03nipOAzOPq6szYT9Gta6QTQoeSa/KE/4vss4ZMPZsIbyqVJ9QhzMuG99dv1EfIlpVtSb0 kB5rlkkyPqGyWytWFD6DIRTDTCQMN6+0KBlIDqnQ4Iry1JyfCgOHhUq72trFdgH3Rb9KzLOzL5sV LZOvq9lgMQJ5cVHOXyxuzBLDFrtOPU0qj/1xZMTXnNJnZZUKErQ5aFARqMKnHwssdvg21jPTHzaT RxB++RbvUWENKzEXSi9PEV3Bt7DSqqnerTcjDhbpjIUD2Rqr7i8cU4H0sxZf1itjDq4k9CREZ3Ux 0YGCzsR9It7FohTPpqW7iOIxstFQjPtu+KXYLlCyI2+xtk4dnnFKRSobN/RF/s3kbN8HgdC5W37G UiINFwgGci45kzbw7gf1M69ZcPfUEucS2GXii7o3bgapEgsxfnenFzRZJ+RlU6qHS72xihkU6ZdY 9t+jaH8UAufWEsLxmAPBdCTgIY10+XvzlkZkDH1IYhY8tQ+8RmElwqP8D2Cxi3jM4neka6FCPI8s Qpyref7SQ50iG0EeotAUDHBvYcWUak+kgcbzDhOjeBcd9nUDoqsVx4LvdCPpClrts4Iu61h6AZui UItLhx9QHkPyfar1yNurex8R3HksvdgcGZAVoVMzGy64TCyuYGaa0YbFcsT+ErL2c8sZRv1+Pp1d xbHtvVR+jjuKoDdLrSLz2/7SCp4yGkWdeCHK2QLWys4SJhFCPptDHVR/NKDRR/V4KhsW45SCVSXL smOjcuhS5rwGYsyfLL87VECRyqM5bpvC/UD/m/N5t+cHQDxWdZ6Y8wVbO59mWmc0kC+jwQTUKa9a bH6Zrz6UKir3SbkJ6Nv8iP2JRy1yyRi8ACCAqRTjYFyqfi2pKU0tp0LyqMuA7mtAJhVRFhFSw/Ix wzr79mddffDtBcpTM5aWY+JBtP3rhCyfiP8a2HfbDpsQ1sd9aPn5VTIZPaN06k33T/giylEaWLMa P60KL/enZMqzTTNUZ4ic2dP4nHlEx5F6+hwPG2n5VBwdo9bq9imYgTbnd4ZEi36YhrOxT3oHMG6G nNDr6/D0BqH8cfMx/qo8EjsPANMzElEFBK00C42Dpls9dcH8q5RoLHY/hIWdGmJEZQfpAfiua67P yqsAHb53TkKCQTcmekBPLbl9qK62M1oT+5JeApvMNz+TaSr6M3R9/GBdbIGBXVYwrIagCN+JYSC9 nEkxosVdrgjQxc9iCd6ZNIR8L3qyNs43a0iZywPCogXxVtL6z4cRkhfs8TQsWqyOb6gdRBPBy4Bn q/HYSvb/vydawoM8mxwE7UrfvKlVcKbKlOICW2u/7ehJs4LchB7PAHY1Jr8zaLCvhZ6Nx8NvT8Fy yxMMwyBUGD7QKCedZf5nZL3E/INfzILANMVSCIhqj2BToqE5sB1+XeV0/3qOvZ/L/1iQD1QlJ/bi E9sydkULhdbVdgM7HYzyatJL8u4T5BDZv9QA/9agXcgA1ZMhX4VVZyTu/WRI1VX5iHCv9v9byDKZ PEn8rg+9SkhyUWgnDgDf9wAaUdT+odwmQrau1qzJRdw+l0cH8/Eyh2jkEFfNWeyuP+Rm1RioLm5M Y684UTInupN+CgvDBYUXvcj+BBiCBSWrTen8ICejGUNCsg75H78Yz7t56Qv2hnXNN9uim1qT5oEl c5uEpzsIj3JzCnJpTHZt1g7INsInrA/Ri1PWVn42XvoYa/dsGSGuSQ7yhW25WMPp0zP2717Nen/M HdVgoN9orsNPqUCG77Bm6VD0pFd/V6dR5sPaOjQgYxjGsbxXMaJ79IEkCemEVkcbCBQiVP2Ggm85 Ro8c6C5wZetzOydAmcDWqxnWxsQVQ46UIAVivu5McVx1+7vOCa6ZyCpP01/OOWe1QTpCMTf1fVCi w3cVF8YZWGIe9SICSs3zQFpa6pPdtok0LqnpwBvhSYFLzFk+dlZUeFkLS1fFJzUm8VK/6E1zaxaT 7MPlxH0n+IV8GBV8jGohJMq2z/LTfPyYmGMn8avarK3UlZ8AnFP3rTg8VrR9vW/tZB/dWwIZyp0R rO6DWx2EaPMb1++GmQEK+z9hWePK74ViyHGroy4V/u8em2wnGj1tdFK46PZiZn54XpKQH33vvxnx 9XwswlNKxWBElPNlCUxVfZ+1wZFMr0/SywFhYVlfrXWXqvZ6Gk0exkUsqtzzZpQIubCkbxhEeO88 xWc0lb+ATEuURVRk5g7XNJaFR9QLjRxrpUQQNl87vFCB8lYqu+d8FSnpRld0LBDAp6gHjcufIRQ2 fNwO2lN4aviYEoBztDrhUs2NxGAEv0gSvbR853LE0XbKApRg1pr31B5XUXNMD8l5kpk8NqFISC/c IUCzgZxEbADvq/iDQOqg4QyqZ5tsVgka+zzSjlAOby+glVjKhx03YoA/tXSkJ55iDFIOjyRTmPwH QCZiX+7Q0ddqmz0zHDuZV9fionysmDcrdUxUu/oesKCYhRjoLTw+019zxfgk3ditJ+JSvS6APt+Q 6/P2JFYA6P8j4zZzZL2meyKwwenycOVlUaMGhInyC9EE8cIwynARmV8GwBWMFKaZ0D0KfmOwZrfw xhNnSOMoT2vcRYzBlyaTfUdxi9ilxZ/6jQUtOly+5tcbae1ZoG80juLCZYLxhVB/0T4BUya+4wf5 LpcRBua2CnZwYt4zmIf6kikjCzyGrjFngnSg9kto0opZAZLH0FRgfy3uRK4GPcqRIpbPn5FP1zQ7 uHlBhzgUt4mfcFQHmU1K2VnEWY+m409BmPXuD7vYN/k+ezCx5LSZDAIFUL6rP/VwI9EeZxgUKLtk 3YWDLC44mBapSTk295qqwDnVdQ+ohTUmsNoI9J8F1c9F7pUZjjhHaidMEsTsrDQSq7AXKmfJaGMs s3md0Dqr70MtfP54Rn6xobMN8h+i69k3gp4esB1hN1PRiCPjnhWNiJgeUHGYstfjuv0UyI/9DSi1 AFqN7Urp8Cjr/mgK42VcPiR0fj/LUDCRyfFlZ3E80KUoIfUHsGNbR+2M0/xb5y8VEfUGjhIN3iKv PMBFGFerz/yQLGw0z6U9ZgwOMTtZdHbEJlPmSL6qUgXfw7ptZaZgRYrDI+rf7ii4Dh7eRqPGXWCp EAc45ZpURQrVByiCLoWCsevqKUr9duEPrtmiK6T67vLlKD4pBeUwm/RbRM1i8YcHRSb+VwVN6jOW gGK1CmOSe6i980xJw5Wf1ZAyaHZjAe/4HEDblXsqwT+4kRRMBM/dUdquQjGWBn0x9p1RyjKmJ0l1 La/++RJPhAwZPMYPX8MgEjgIGZe6IMnZru2MQryLZgePYoyq2uiBfhjeuL4nf5TWXBLZVNG22BZA 01gshxPbLJ9pZg9UVwRFrRHKyYbumZTv0lSvL5f/J7DOfPFkaalDwLvvb/ds2qqblYEdZQGVKySi 8WdlAfAysmGCx2CxrP7NXaoayd7PdczzbHRVqcI7C1RKbHCdgYS4VqI2pEQlJW3vJioGoOXC4BHr LxyelIFhJNqd0oiQA7PFQvOk34EwiiMAGZM6K8nf/Lj0VBUPtLJjly4njrjt7U7W7ECbo/8jshUt GSUkn2COqZTryG9xsQ9Ec/MhFwC5Ufg2LunSpVf/ThRWXVLtXRWwn2zwRSVtZ8lXA+S3wvHY0z9r +EEw1kHQhiaRocUY6ORPPrBR9Plne3WNYGTrKDdyu+6rfHTwNyHw3S1OJaNRdBfhM9ySbylIrs+D bsL/CtUFX+w/AjLmMG/wC+cG3OAfeq5QkkPWsGIjC1zkK57gjE88EWPkEDhrLcr5W1n1nJnFEZgx L266KptyKsgqEIxZMGPPbsiV8Ha1o2L6nZAQH7TPbA3AiqA7b6WXYd1H3Cg1RELR74yksMJ1HXsd vuyEXe5z9Nq6poG30Jd2zT+U9FnmMlwzedXKrDR+26GXXOZl/Q30R6KrmTFeyAzALGnk0hU89lYG HJ91FcvrJfe0LiqNlu23FMiwJZHfg7xnqm4SdklDsRWgC632GOZQz95CUDW976SYj+WqBLOMhM7J S4SRtIkESddGeLvXAym8sm+hqcshBqwuoMvyDEeBLNK3P8EjaaeJdzXuc3hvhId8WSbbda/cIU4s mraw4vRTEh3zVPxBmv1wwuaWSM//5wxpRnj0icvDmDMika3jIRDFPKtyh2htKp8/2lUmvgFw0lay oMRytn9QaCrWptYll/bKk41QGfdlyGwcIXLulSLxo+/BczwWNX6O2OXs4BzqRkuKjzp31JO8lYjm xsO2txn25w3/NLu/TEqZFERWdSGxcoCbz5NctX5ClwDEbNO1UvofREVjxSUi4aQSH+tY56sIhagp ZQFCQBFP9nAm3h+XFDBLonGqWlkxpxJ3BJr/Vqe1E74BjUNWbqp6mL9LM/FkInEQ6bNfW6XXji5h Q2vsa3RXem2BfRuvGijPkCyb6yOlqmwJJVnYAoA/Ggo/pndalXm1sH85W550mdgQwuyelpelxqyx rK2gu/lqB4d1iA19Ajum5PUJz38Mz52f+xFubP3y0vdO6fx5lYfuYntQrC9ZHj9GRg5I+aWWAo6m V3nL6NZ8Ymcsm8aiOsLlzNRh3UcA8DHDQwLCHMvWt0ljEw912sDEcX45CxMQ7x5Bj9h+2sVK3pkJ N6xQ63d5xLcRRNTQtv8rCdu7I5OmwG6YjgJUQiYBIBpE2guMuVG7ouGpaWMuVn7bKJyaOxuJefGT DOQT2kqNPNtxB/zEZqs1QD1weF2Ptl9HIIMhYUSJyn4qmDBLaTrupF8dHz+VPUMPU31ZuxwTce9L AS9aPlSYQpVVEs9dbKzQAZm5V/Znn8xZvrjYljEyzcBByOrdsDXJUtK6DExZwfqrBVF1MOBapjQn ctdQbFOqYRnMeCdR3rrVggqw+/FwyLAWaClv8XbosA2/bQqEy0aBRtaREUqVfaX7/kxsi+hUI52h y1DxvmC+prQnL8b2ZNrL3cIY6rZ2uIxAEj96RqLWjIceLiMOEZhHazkkn0Se5Y5P8swDP6fxIqEz pdhoXliN6iE/Vq9HgihypawtU6b54J956Qw+vst+evdkjad6bHcpBdr52HtcVCMPhbHf09SQfVCf NNo6nQeV6+vDU6CuWFrX122nYINR1G/H88N83ngrd2xVOwg5+wc3MmnweTGQXEjX3w46BHT++0AO BiWIoVy14LCSZFJo2sESrNBW7tss036nLebJjFn979qxjaBPzkwVm0z8eo7ln6b/TgawmBVljIQm wfwpOz5Bk1CFKVcCL1SpSbJ/KjdCyab++hx/kgohLxyg1brbOLZocY3eAs66Ud33GRsDF79XXFTh QWVnVyArM9TCdvWpsoXeiPf4PjvXM2Luu4Y5qpiGnicTmJN3OtpB6+u4+uVhCU5ie4tixEb9IEHy JyuwFwFhX2gYek9rxGF7OAtaya55/ffq9tUyO9fQzzx0KF/R93/9Io1yddyoYoWBFrvfWXtbAWsv YnlQQBjDRd9vkSPBjW3ajzbGXT/CbWs2XE/symXkMouRivt5b33uK45htw64G07JCWZ5S8+f/P4P TjiNevWhBamJKMaKLzQViX/FnxU9LR8mVDCbG6S7KafRA8vdpVWzslK6sBTQMhW5DY7R/V1rcMBT c8jXmZx2mjOJY98bxs8r8kcjj5/6dWIgXu0gnZ82BPdonpL/hSzlIwLs9lAIjYqXRGMgUdxId+hT 8hlDlOUu89dK8dTzsNd37pOqyFk49Qw6GmC2/pYnRop98L1Qtocnaq1NchMOZCboTJLNV6tbmhD4 fsHfMYMkkw6QqfQS42ncgQ++ErbGEflLkIPWvxONLPw0V0cca85HSfjW7hbYvJ2KxPskPyoqucIS SxkR4xIBImnhxrYubH1KC0hBsMwiu4ZE8xbjReIrCAsCiat2oyanoaFkVY8yMHh8HxS+d7lI6i+W GgJWTHc28/QqSAkO+tJvlhMXCfeh2mDIEC10g8kEIMxHXJnTZME76bDd1sRXaMXKEVtD+R6Pruxn EZF1jfMGTfH+MlRnolgqgAdQqdjAb50zIXYMFpsnHUHim+zJabOamsPllw7XwhMYt8Oj0ghCQ128 eckNnOfI05E/+xi+5OaWRA4FOeQofUuqBqyE9s/uUNhUfYIXV8/QzoH1esiS02I8cIla0Pre6SLA NcbQFpAOBNBYz2Zrbz3dor97fmSPCZf/vJLAJCIQRZCoGckvN9T/ZM1WIMA8tAfM+NdxtaKqjGuX NXD+qnRmq1hxRG1TvvpG2OLKNFll3K5uGdqAeb17tHivMgJtjJWummsXhoDlR7wlEWJGc4G7H7SO DqTFwJKDYjK2wY6MFuSa/s9d5ic2XAWTh3r/H2tEJQQuwHP86WymLoO8ecCNLU6vTf0ke8BwNPgW dBYE6UnNxPv+hbwfMVX1ZaVFxUZNa3PY2jEhnTxPPP2idc1bGuYJk73R+9rY1H2dzsmDvE1USiQ6 DSxX4Q9MOv1umcRB5eJq7uKUmQua0kKpZ9pAFwxuD80vcLG+PTwgejtkCxFKBTGdWjO61abX/VhD JkWqZr6zSv4p+hmxEw6hT6sy4d0RWQiQ14xkuqNUJbvpnCGZpAIl5Cajn7XhycMCf1bbrVIexLN9 3xjX+1sk2rD4p4RkoTaLtmeZwFmBn9Bkt9190gaTIOumy69WB8aK18VoNWfxCRQnY75AjkbXZ75/ YI8QVqTaP1R+eg4xSJ+QPdMXN/6fjMbgnZfDRn0kJwvK1ISgcLDoILWqtkoi2GTyvIT1YN2Oh85g jMXngSsqS7ucOJF6PC+31lZc7CWIHKV23v1EMeEZJm0H0pMBCOfrcskdIFFiSJsL9SVjKLp9mf6S e2ZrrTzsF9XYnW6KU/uIS4yl28/3wvz/7RkuPKbJQuPcRDBdWSnT+DIpgwGKQQ15BwBddb3j6Ha0 ii7XeDcAw5I1h/q4myPiZ+x4DjXYtmas/5jiyICftOAEuh3m7IL3HLWfakthynYFZbTS2qlu6mhh srxBwFZ3FJe4F20smQscxt2VXSor+cF++8Mf+Yg+9uqhoW6A7gq5mbLq1jPw6fj+sK0srzRJ3/tZ kIkHKiiHdFdAvoB0MM9EAoNqDzb2h30ICDkkoNLBolAqsGruBXw3vO/y9XfZ2Wzm3gXQcgXP+tb1 fi0vf+J4/pmakIrKHANpt4CSojFd7iCouqFcyTgvtTUJTBeIhfM/AB8GWW1IsVwtG1DLSMj3htrF w91jqQdy0Gxki3DuAEM5k9BNDTf62kGR30pFFiKqsDyGZsfo4aVJANF286c8forgwV6mT3YmjM34 LYv0/WU9LdA258yBZEE2qADe8Y8AwBQgVpolKFxiKXfjTcRr9M9v565LbZO8T4cBq1Po4K4sWXje y2gj6AZ1sC5u8vHm/Y2NjlTJ7OIbognqaihBZwmYaVjZTZXc79a5AZhBLlT61VSRrBCzlnAaep7n tztB31dZ0RPMoOI/IvTqWrrCxn0ymB7bjlEI6HM+frw75YSvmKwvLjvS89Hdzwa//nRcRvIgTgXR j8cOZ4wln+8KaoSvJ0BPVKZGGu3D5GjT8YmRLMYokXMniaYdnf2SMoVQgXB9+NfDgyaekYQ3forU vwMiAJGA/EJuXOCEWL0lTMBszpQaTS4N1gyyYhyQLoT7c3uCw1f2XkLGDh4UeSVvO7oA/T8p0IO+ hQ9UhOLpOC8Iczt7UGPXnAJUJeWU35LO7hR7CKEElNKt6N1eTaimhlHIT6JMRfWZdOyuQmkV4d88 fYdQUdaHf2jMjhUuyj0O9GKOePW9erj0+n4zylLWfMS/8ZMREOo/xqDp2b5SVnpms8ZafidsA03w 0qx17BwV5coKNnxnmfBgtaNxDC7pNChJi+htU45PfLuWch7lVNiLF5JD2K9dCh3gwANvAIfqZsF4 jArKKjnKxgmGSQj05cdgIauh71jw6l4N4CoybByXL6G/PSYomkMDIVCTFqjPsubAtLHse/mZMBg1 fgja9frjRN5xV5P5+SZAxx9Kj4oL297qsnNl2j+/xIZVt0ipI0L5M+DKEs/y5a3gO35giUmvQSEi 6v8eq3AMl/idKsKIC3YJinHl0WxttQYWVR/+QRHKD0xI502P9QUTGRw0V2+WjtHrJQrBOKhWrEi/ FBWjybrbXjkf9Jllo8S6BRX9tvZqu8PCC4C14bfv7PACc4jgu31dkqnJkHUP6UFcXYHidJ1Y2qb1 jsuch150iPTvSOcDlpZLT+IoyMYhmtU0KtHIkOzOgkqydKdtj16yOKoOQzzfIZsDJ1EmDStt+v96 P6RmMrh6vnZyKlhRDqID97KoFteV9HC4RvqggVeotI9thPLuoLYCLAaQzDNKYbwbyGaavxOUfb5Z a1SVMcam8urhTeOhphi0Fd16BXhYraiAxWxd44Tzxnu/NpkmUEapeNRnzQjLFERyUmVaUBY3prsH Prcpl3izlFCYb46HF6JpSHxYNZNaoZF0oTGct+nJjoeTTvKAzpCnmdsKr828uMaI1CHYOYb1bHFd tEDcHxZY4QFnGkrm+a9t6VB/aqu0UZuhgRbsjgvGMNn79vWRGGHrE2mUO5fI9KS5EXqiKDsLaZtq ePxdrJTJrF9qfnyxE7N9VhqrRBrOVjEeOr4JxVdoQf0Dtq1O6uCKpSIghOsSueStbBITVerKUCLt NCZMHYOCSNFNQ0OWoTUxzddiqfzUGQVD77BDidO9KN0KAKX8yl9JvJxUPMFZIf2fOZdrpeavxONe W8dWET13td6Y3dbLpwNISb/t1Ve6l7baFlHEcNucvoIe25MOo9USouqyKgwe1UhbH3+B5dl/XhZo Q0JqS7eJP96YdOy6wbW/IHUjhiyoDNPfVkTa6CrdkbeK6Gp1ae42vYNefzYnckEsC9X7vjU32Wfa EIj7y0OEALUVB3ZJpS7YKuEFFPPrhnOrcSyllYRUUtS7w+a6s+r+pqRihdm5f7p9QnkSbii1T5b/ QBUbNvlE4hxZtvDGZ4f8c/ItxSI58wPaoE3jkX+tZXttthYkHOwzx06spsuZCF3QuKW1HkQBf8DI QW3/0cEMlKKMIt3gK1QjmE5Tg1zmeJe7urg6HIyl/z1Eov4Az7MEszSBd3/A7WikJ07mokdBqBA+ VtCvd1XX+X4K7Ve7InhQGKjPbiNIN6kYR8/t8EfT6+lK9xOczaXVxr7smDHKTb1LluQPakom2qxm qBK3WuHKROWSwvdaaFb9m23UAPxn5w4xzbsj5MYcRG5Il02b7B56Zl9IVkiwe5VyiZZ9ilLFW5ms 0fYjSgJTaOO2W+QE3kpMyyu752rX8wBiaTH+i95Mxx+/1Cz90GY3jQe8kh+PhEVQaiIJecTz47qi qhkGldGs66B6JMWVh4NFVbNrm5TRFc4edGp/O7Wpew0N/ln0TU7WW3K1Sgd5RFBOGWfPQVz6kpxA jtkFYd7yqx0vJ0hH3O2DRlfs6U7+vexCFzy3XEe4bE0TWVnhwNEUFe9obhVNVCOPHS2TDVMqNVIV Mc7pR4TC3CjcVlSt+tqrkr6qkW/q2KmcuoWi4LOdpH0BvUfjscs9gBK6MctHCf4NLzuvyLu15kvI 0Y8VVnz2XVXGtEtAONEuy/0zRLxm4naw0sWGDlwvbKSiLl7XIBVtf5noCujX4FVpza34TcS4vXGe ZewSpMr+L52UcnPLafM28P9PQCdMY/xNqKB1vlfmsVWDT9xTQz4/06M86zfI+hbW1oQ8VvcLeYUY G3FXFb/WVR9LicVW7Mu+DU1VfztleFfYwjt+bSKdL0AsQssxzBUaXrotI4+EmS86Oo/dXNvtOTog 3nkzFoYZVldUVIxGRzCLa8pjJg61Ln4cA6SWYqLJipeEdNNwkXT9G4NyefMjX3JWQuC9F3J2yBIb fofyXV+cHBCBRt+bIPYinPx2I83ffif3Ev4btKw25IUoBFzJZTGLSTX3BjxkzDyEXDtLMu22rSSu BQMrljeXKf4IDpuBdeMPu4C7OcX6nCktaVqbDraMHANAn1VCbgLo8f/t6XQf4o/pZCuoSfrgQQDI jPzseCka3K6KK4m0MJdUk05p0+FkjnKYHzU95lzlHhzefbrtOIP8ajNzmUOxJjOyOJuhvDr9addN RF5NOHfThYn6EeMUahCENXqIvmFTGWyvzUc0/sTZ+YTEoKNhWOr1v2u5/RulvZuw/cw3uriJO/UG t8TYcLfAMmERXfvC2yGTI6FASkVhdnWRBpfTKR4Bpt/3D7l+qAradeOewMv42fNLJy43kSQOzu8I WL/nSFkUi5rqrkO1upGLjLyowOAHlhhU4CY5V4nZ0xi36A7jZQRDxwJKdvB/dbVi1MVxb+91wsCx glvKTtcFfpZhm5y5FEr1gRzfZ6rTTG8E/H5joN2JYdtHjLWUtV+FuJ/6cI38vvSjfl7gwrW2Yrdo 07HKkXnXDy++XlUbBFzczGlj8IvhduVziAM/v4zddGxqI+IbIk4B1jyOMbYkZE4MJCufLqAGC76Q +bwpmqfTiNQGBPqmLKjW5ahiY3Z89PNdiGPiDiThQHk9NAsd7zhn4zmomzRI7UkDffHRtDRzokJl IYVlfVSHyl06zFvVl+zJ7BmdR5u+MV/F9SjKOvnnRMVDjUkGwv7+4A/yScXzStDtzdVp84ESgZZi fIRxws7LrBQsLFkL6M/+TIl5T7pn6S7vBre3mTCGJWaaUwk1/0fEsQPVmr/pXw0v2YyFhGEl/Yhh 7ANWy8MrLk0X1vevbqNo/4jfOmk1gLvllzGZr8s/TxbrlGJAHW96vz2OpELa0xFT3T+hCGudh53r cRwk7/RPB9Keg5r+hjbjmkA8+UEaVQrCnbBUPwZx26sdoCpcdYZ9NQAuIYmQmUaHZbKUa548mML7 GwAiBzIWGs/K4zrzvJdHMbZuCvkxmulpHjXe5EVYrnDHs9s/xfmG5btWBLYmnW+pkfpPYjiIcopo gVhO72EvPtdC3dyv/lrl65IdO+C18miajlYgcw/JBGCVOaBJNkohka83AvUf8nPQfEGtUGU6MARL eBXw446rBbGw5ipkCEzzLW0+Z5wyPklWRn8EOwiSC3FX6FfJY5vX+t0wU4V+50bgHpmN6VzdjNIL Tqkaskbi7gi3Rw6QCQYAysQPIc7MNrPP0X4i5LOvbKh44/cpd1VclEsaULctniROKdCrkADOZDnT qfG47jNW2q2FXf95FjbLZbn1wqtrHfM3iv7WYeHOpnMAb1FA9GIo8SnFy/+wSSk1JXsWEqFWR87e XqG6MmAnOoui9/ctyWk5aL2D0UokxDfcVV6r9SzvOAPslPMNjBP1ix1Nye+KVozPwunVaYdRn9nk /wnDMcEpw6xGAGiZ+ad9G9eWkuZl+VdgTV1rw6i/7fw+M1GFgseu49JNJ9jVv6mvL4jjbmZfjECY SkDUdljOCiU5J4zhfpxQGVeVInWGuZK7ytldThTM4ySjcQUQbrTPiLO7EzdJzrG7V9WQVbvgfNz/ J+Rg7XFOCn3Q0mvtSgCjAxP0cR2cY/0x6FJeYyqZqGb6N5quZ+ui4pd3m8FgHduSQl2469L1vbhH Sskn5kkDZ/tOUaGQY+W05TLqkT86rhKxJp2MSm6wQ+N/u1Z3rFx7YY5n286XLXKoyA+4TAldgT1f LhDCOPuv9t2wbquGaV9+5TsQOvlVusRiIPw661osXyTVZtTR/2c6PYdO53idiu4dF44PGp8OOj5V yYbVWwul0gcTUlfHGf7q7IB0hxo1FKyvozBt+55W1CIXouS9cllxwuSSRFX9BYhNrdWnbMVI6QxG iRqxfF4g3vtMqc5Izh3T6CJXAomauzPwKwRPWIeFXNJ4yEnsuFCE6AslpTS1qwBo4JUYNw1sngW0 4EwjGd1vEkb1i9TqG2fNTl2NxtqLTyJj5/WyFdKqlMCgRaLqCaUYjCqbIkqLTm5Xbjh0tUiXEdYw bi/yYhPVFkeTdwEc3JO2CwIXe8M0Wy81malBFQj+hvaWj1N3fgGyy+LPlXKB2gHRsErgaqPxADly lnmc+o8gHbZE0GCetUQbvNXX28qaJVxrEQXJvJGyNOzchSYrxKpHoaDP0AYPrtNjOk2AuMOTlKtb aQx6TKq2deY2MSOBfSNsxkIkk4mrTf9XS2dwmqGSZlKq96aB1EwHXZS8aknv7xJipcgZLICyoLxQ 6Aodhrozw2ou0KCDRO91rlOiw0Rn/Lw680H5TYkCBJBow+m5TjMJsIIH0PhLtR6MSWSp5iRiGsgY ra5A1slj742Jl9XmVD4rMLHSS3qTB571vk4WogZ/I2CzV86dN0o29+GxyaBkbthown2Gaz5ChGZc w9n6a3TQZ6hUo7oz4LX1vR8HGw0HPi0B3gxhhh+iKwX7wc376xEMANsVm9JbuJkdeVfH0U61p1HD se5zcSNlefbInENYKOfRy4Bo3DH9/quFTLR1gFLnu47DOUr6fXJNpqsHrHwL8hWwRahTOE/V3PP8 V3KZQ//D3VC5LTxXdUSBC/qqk2/KrWlQH8NY34AQCdkzE2IdDHgH9Jkjbuaj5wBa8xnVGXEDiHbx hEkPC1BOxZatsQQSSrVR7euumX4/ddtOH3bh/G+Yiwq1Mie19zrqEb8qqXhpyEaFR+w7J8tCTuuf OGCAZ9mrErZLXz/TGvLaXxFzKM/ziMURGeX79LW3fWeyWVEvsHKGhv8Eb0l0HDZRPEvIadHbFVtY f1mH1hUryHCHL3ifQHqc9Q2GmCJfCzifxD7GwCA1JSi7O6t41uXjMPlYgU27Y5XFJvJ2jvP9w/cX EPfzh9uoepg0npBjwK8wJHxKOkXSWbRUEuTrMk1NpvevngwPke2yu5XWUf3LzVNv5p4JRvm0ZzHW S7/M/dOHi7TPAKi5mDq6b/Rvb2KfFU3hphmgycx479YOlJbuX9bvFFA2rQFEq/C960qriHs3AmAb 0OpVkA8mJ4E0OdHpI2ZbjpxqA3Xngi32UenacnH2fntIdliO3Qnfy5rd54XbekOFkbdBUO7zNtCd 0L3kBYh53J6RZXObz6jmt+9apydmz21OjfcifHLznW1BYJnxrOkY5IUR9QrWJujqyddBTT3PxHl6 egicho0KTam6+hKD+klOJhoQVAKiNytW1e91MlzpLF5iTFTlIU5Qi2UQkkjQ648nfNzsiw4cq2rc rOUNn5am3luNScbO8ymTH6m5ioekTvTkw7d7dAVEALebfCvsLx8UeKt91T6rJ1dsWWIn8JBguVvY U5Rtk/tHsagP8o2hEx6Kv3eLkzStOu5ncLUhJGZdRaG1pKgli1MmUby1L36liMVCxmxbk51Js3YV QZCLHrJBcDPDnpuIYSkUZ91zS6Ez+we4AzA1feNUqUujSw51kYfTm106G9zDPLAeFs5gdEd6Lmdb vxQTOliBzDU78f9nxqkmZShZqSLwwjQouRRD23/utQYdD2J++DQpy0RtTzbGiGW1g8A4Co+z7C10 DQXq6vptaprF/3XWyXnjiDHfmgfBYPzPG93Fl8y8z5AKwRrvk0+0N5tjzdzIOXKUNIHBICqQpwGi Bbsn3zck4YJxCGbzPTlxERLz5B+14JHdZza2Vma4tv/9e8J7E4e4zULNSI2a7CCqBLTf+weOkFuM qGOFW419qXV1d5KocBpT6Gw/MsM4ODv5LVo/CwIyNbOD1XVd27/vUUMknN5MMNTZK5QgQDllkS+j jmTqm7iew5AAW7uNV/apd3vJYrR+NZrBpxlG/+8nAmDKVi9a8YCfUSAIzuEyfTpQNwOKL92JaKRj AuGhCIHwLhjmhLXbZ+FxVXRJ+lHUJm15hwYAVm3sxbOp3aApJQLkLv5LTzLw/fDoV8PRlGDMmyUG MYXiY9DQ0FJ9XnTrdbyF0/lPPsrVzIQ53KdaiS/UYWQigQlYOSUDwyY4SJsmahm2eK3LKcMf6Omq 142z3tuEJElvN+hBy6gaOuu3UpAd4glugWNI+9qKcT+Ozr/f/zYsdz37V5nwGiEn7k53urmvLPI9 cYmRO+4o3wEMZw1fTdneDxK4pKWVhoxmqlVc+HTQpfnNAojHtzjiht1cTDTrSFTW6Qu9Nb1RJGIf k6PSQC5PPDpNdbxFvCrhQzZtykypnb5NywMMToLT8TNRojMH9HvZtHi6voJbiNbFxV8aAT+htK+g gzR3PVuu9a8q/FZdOadsxZPBbEtfR18uyPYyXj8yJP3/7JYLDVqrSTXi4OJwprtm/n1UgOw40BSC w2zO+xgOGso3NyTBCxydEZkzfXQ4rGKlf3WFFEflLqdoSyMHytOhAvTLWNaSq5MXSwbtmp1/+wYY eD1bAOIES5WemnCY99lQdpBDkkXhqp5pISNS8I1NGVP9i5dckYn7WGNCpH241ECEMK9GLTccDiWF ZbtgxL+9RcpS5BrygP36Xeu2DarBns2Tq/8feX+HtMGUm0TUIbYlTCyu5jDN8NHyOzpW0c+mbwhP iWPnvAYM9UiSwG0cJcfI3KZdO3hrdbsQG5whoNGgJEVJEg65Jaz/mIQLSBnWscspI8YJNEfhLJSE joof/MersMPHWQOUdqqFOHMAAZuFpyIbxOCPUk4XFsII9lNHxvOKAsp0DXo0TWiwOxW6Nq8An7jw s/VAr3ijKGKMgK8Ko2EOCUu1r4IiHMXKByjEshEMZuDOMG+FmrZD+cBhj4rz/FZfrh51cRDzEsTH m7lHEqCyvAQkexoBSUQY89JY2mj5RxSpq2ZRS4MfrrvRi4O79RpN4una9VAJoP4QMBsSsbnSgA37 Mvmgs1jaes9TQ6nGASxZDmVnuu51bL+1y54owAlSv8L/ZdoO8infFjvBoakaVzYOFaMNhmwtj0e8 vdnA4ctoAR+2u2N+5ib+q8AMDn4VDspyTDTdQMNv8BmfIlH82C65rjpNLwNgj8hMkId0dN/2n2Yv /S3viYdPrl7OE+1yM5Y4ELYd2S2mb6LBq+AJR28wesIqt7enDfk7E+vHYhmuClOgTesLj8hWqsa8 srxw5s11Kpa5Iwgy/Rfi3Pj+3R2YqazqSICYfTMNxPtQNkC1KH2Au4svSgRam3VnMR1tspH6gxV1 TXOU71PgcjpHCAXpYh1Q1dfVE4lgWSUQQge8yOVMMt/XnXDPyoUODDv6kkGV0mtq9mE3zRFegD5O OJ+UjdRXI36NGGalrh05glsZ6TiANYNex2VXS2puql1QVCLnLXaeJx0pr+2+QireX1OYFliDUxTY cuwcuCjxgWMjDrFL/XfybN0W1MSJ/ei2C0IQsYNBjQe9+wUyE4aWb7g+K5GDl4buYIna0BHpEa4l Znz2aBLPQEcr2KUjPcNVnFOVbJDLdG+zjs/tEvWeKEI+IMpkzDzVjlaOHMoXrPpVIQDId1OSz93c PJJ9l6iJ1q/AirswAVDWpaFmkPlhtP0ckbGc57B3w7ZkhwKSO0MZ+9j+tZtwlglt8gLpiammAqig qFzgTrFZ1D1gPrzvwID9ZQnmE7thrME+zOa7JTd9tyyTixw/gy5hwMgktFvuuAvVJ7dvQImIaJH/ 9sVWblzbUJyVH8ITYf07vhLBaJmVsZAKgoamLr+6zn0ivqh2HCzEOqR4TlK78k4HH0HnX0vG+YJ8 HirAYQmLpFJUSeQ2TOti9oqQsR6c8+OVeYG72ZibFk0rMj/baEv5pKD8m4n+lhixo+dOiKy5h4DR Sfj/ETciBy+L2R8YINc+uU2vSkoG2KMqhi907p6ZDWr6m4nk58PDDYJPpTQg5cCHCgZOPkbDsI71 a8c4JB/QP9C7jWFXg0pxfGg3YHgDOQZnb7ZCjjMcd7apL3j1IlhPamUe6qndP54ax+lk0oA8tAvf J81n3lXkc1Ycu2Z0qCmfQIf64RDmXXWWTpg2B4UzJdcJ6YtiUkCz3Juo9arFb2sCHi2XBNh4Kks8 +Q49yLO+CvQgSseM9SQakNT1R7LOPE0SFiD/XmE1+O1oNCvhl+iD41DRTI4C5mV1smU4MT5MNgVK YDUeuAx3IofcT+ta+dCAYapCWXK4KD42ny66TyeGFTXbgipuvk7n/Bha+x8JKrW8U+RXfsW791aJ UdztdbcNhBkYvWaef1SSb2BnL6KCl6dzQXFbYAXaHz2E+4nQH67b37TREV/LEBhEK+mteH9PbPwn A+Nhw/tf9N3Zto8g960a0wCcF8pafUauWrjO3sTJBjnPvK3ezG49PZ+CchX8vBVdiYD3coMYyl8Q /YCm36bbHhPeErRn1Of0as/meaqvJ/79DRdjUrUBN/X6QzBM9uyPyxsHEsEsPV18dYuw+cRk/fJ1 PslVtP/ZYqiUfbYoye6Cyiw5JD1wUuGIg4XNj0Kdxaabf3SiUurd6Bvi/ZC2khvJe7JXxvzR/70z U4n5QbLUkrF4rAF9qqEBq0hkdpBf7V3vZX0fHicC1UTnSrMiRqcFn8+RuSq0MIQrXQaOZoRp8fHu H7BANeNPN4gpCKTmHZNGzGVdcu5TufltbzJVbXf1W8CUWB1+kZieQC0kkyH8ZlviW/KkUFDMfWWs WKcvrsglqrD6InKpvxCkuRsIPoRpC1QPme0p4twWlMC1N1KKC17debNKpDsm11Qmrokre/6ElAUc d1fYUUmHHibtL24A14uZHxH/yOcSXZW5SrIB2pltSM51wdwPn3KvCpHhXI9RYFjsJXeMS4ovKK5L cvd7+XsVE2V+0JOkt9rXW+fN8J0lN6GD7ZRBmd3/udbyU77KyKSjK3XQ6NOv0hkxOZ9MQYFX8QVw ge+XUD2Y6vZcSxd3HzD/1tWbCH8N8gzvhFQ+9UUFyUFm1LxQStMCXXkXqANxn/b0H/Pt6M2QF7PA obHKw7t2OtgxK+sfU5hMIiC+JOpbAskvgUGkGeHedUOUx08Sf7JCFw2JscYcRph1lhrcAUha0YRt cu9p0bT/6k4yLVyuVULmOPXkzwMd8IFSk8hiA1pLm/Fxl3+BaO+aXCkSGJ6mkKuYZFSdjeI2lBwN 2fGy+/6MeY0oQyr//OTg43/eyJIeimspP4tq0x3rTx2WpwNPJRGbqXH7tAJhaGwH49dZogU/XvKB m/qYM/XwBAgCBo55CsV09CSBkDquM+8f1IL12ctBSvD6O/ngKWhpsKYja9XU6DBhh7rDkzXU+JWM YUlGxSoMKjVvrxizNGZHb+6p0fqFQqCpQ19zEKJsPzQxxaSOpfuwELbcLccd1ufizX3sduWWYahG Cb+S0E1oNyCe/Frs4zbR1EEg9hgAtCJwjupSCKpe2NwJ9m7RTAYmAf8SP7vZxTAnMtZMX/8Sq5xk chT4eArYOVnt81IOp395j6lEzgjsQlv6Q/qSojsGT2F648aZJjU8BiDb0H4lCPF+YItLvivboxPp kIu9w3XJoipmJAmf9qCRtQThb0F7B720TzpdcablDf+W/cddBC3OiztyPWAyjzm1eHC32GJM07dh W6F9XTs69qrQ9oKHFrFAUWnacXV/DLVQeNnMXjEWoIJp5H57zPW1/EvhFsJeRnb+MiADkpu3oAT2 0mLpkA5Y7wawBrTur9uInMfzxdducf8BAug9cYHOGqiluAXJN3V+2pp8wMYfc4WW4BbD+SYkuVSE UeTSjgrs93ceUjzUZ0rczySzukpbfd5cxpowT5QY+UqUstQ2PT9e6jsEiVNKzBn2rl4216LwzZ5Y EgOsCaG0feBzIKEh3D8VewWI5FAQabrvoS5mbER9h0kL5OMlCcmw5gdagdD1xxmRtN6EePDncbJO rAZXZM+3kQBjFjVbwddt5PKAUmiG+BuiW0Pa7+aMui6e7ChgKvSE4gKJlkAUTah1TKCxYFq0qKjm NpywzcY5+j+6nqk6w8vjiIUf2FCrQyL3HnFlFQKkteJC+LMagxn6DQE9DXE+yBLXvI7K7KERsDOO CV88JGESPWhol5EIIJA+5ArXqPCvLjMWXxpUb09PoodpKM+ugfafhAwhLiUBWc24RclFsj/++oYX hsSCbVoY6y/d0HIOwdTFAdLnjJELmyPBqD0Ras49P8J4xC1UTUDPNIugoYdGDBOB9iNM+zd1bs8b rXDm2eWvfwcpo8rRmUPZqBC+X51AD81YLKCVKBcy1MPc/eNrJuw9rXcb3czSzKwSqiKKG2JfRo6K jAbBO443XMWff4FfUTPWOdPR2sQK0kxMs8u4Nax/uUgq+MWNIAql/7XPYrMfse69QMktPiSkYGEJ 4qunWUM5ngaI2g9t2s0HPNf8kuUgcm/bIfiPK+y0P82dPacDpV4aSkEKpWd+TmqzjJxrd2hCWNUN rxkbt8Zj8KU4aAlhI9K58WCFNi5MlBYrcTmHzzLNYdEA/rht9uo9zbq+dpeYrH6TZwq+W0oeKk3O uf9sS1P7Y4NXMSEX3MAhCE1xuuTY2wFsc7sdDjbVnSxKA7SHPhOp6X75cmN4coFUCUSZuKS9W+zt 0XZq4arqVZvo7oJ5fYPVTKSoPXMyxZD2GZ0/azQ3dNE1il9L/Xb5DK0gc5EOw/Ljph0RzLLg5Ygz KIc5DLpFdzGAdqS+FOIp9dYjhNrZredGC8yZA7Y11/S2h8xwEDAUHf1UMSDwiWrJkA8yPNgCH5zN c7QCwG9Fgsji/hFU2XYon7JRlNOlHSiNPA0m0QIJnFftiLX5TMEi1xo8JpT2uCkGtfiwuo++5agc sjk2+kynS3Ze0iXkHlthjk7fXiYwbjR/cdOHsppAthaEA2zAkKcsqRtm6RfqJCCBfWPi8YVLFbhn rYcfBRoej/qX3cWZIFp6oBwwyVnbjpsH742ilgRxqR5WlAkiq6JvPI8Tvkv1fhMLi/PQNawrJg+0 3IoBobM+RKlA+fNF4uW/fqC5p9HjEDgkmQs8htLMDvLYyxqTIZSBIylsmVA46yCdY9WEjt6Fg0ye nlB04MiXHrftCxTBFJeCPgD1OYKk4QhGtNjd720TjItA1/xrPPedtHW2JDUsoLncFmsBpMRZeJCP JyNxUUr16oGyPjVDFEHZo77GcSEZHOvGcaEq/ZWzuXCWTpSm7mWoWhvVKC/adKSW1SLWEPq2tAEv AVk5NyWLOWQ/tVvqD0qGlp53F/lkrmipF4z7AHRFJuUnQm4X/7KV28jcAqNMiqqov3eGAJuQEGN8 cq9KumX0VaOSW6Xs8VX5kGzgLITOQpzuD9FzsIWGttBHYT/2ESVhQCfLI3lHqI7Xwad3W439N0pM 0P1t7mCnLGz3bUPXXdKHOpqKXsM4cD2B8h0YJnS2Xyn45FZCwHOO19HGCjSDcsEQ49RTTy0fEYyv VIAe69x/ZB0NCybcmCRKZ4DaQUatVjeYUL7bYMKJ4pl0yY2II4Y8wTo/COK8gDXfCCy4sKVbWiyL yxzCCiBrhdrb4qcp0ET6VH0fz+2pHKr7UbnTbSCss71rJrgOOlWp7YfGUz5AfGOK5GWomyFiAuWT QwgpBfsTM/FTamY1oulP8yB3Q/YxcMIEb4GK0T5W5cFKofmt/nhkMOQfRWN5WVLVmdA942pqGFz4 pNQpxBGE5PJM4KlCxXxeIuAWfCKf1deN1d5OWG7yFAchTnkjj4MriJqzDvhxMu84W27VBCcWrxl1 N6WvJ0+T9cyG4GDszQmTKjMZcbzuO6YINvgND0VPSoZs2pcSxewNKdTO2hqexAR2FUA/60L/ty21 YMh4V0eL3DUSN5J8+jmjfY3oZ39PoGsaTcrdTBsVzTcLVHl9xy/icbeJj9FAwK+x4TJ+JNPDBmTJ ikdUFA5+a3VkocznNXs8rkcc5i7zwa/Mx5x2wl3kk92cfKfaSwrd/RzyOJdhdrKaVHdbHf/86bAF rQFZ7d9xTaClZomvRcwV1dIPB2bVA2XmpQFzzTvjcr7eWIjar812/oQczp5Cs9X7KyTFm9VgSz4T IDWjggzOREeLB8v4HQ64yUL46YqbVh8fg2DwkYDbOW3zitfzb9TK9K5EXYn6BgvNmCdkjk2QCuKk apeEa9iETilAfCJX1NdQ3yqYBoB3LOl42hTaXisdLjPjDJ/tAnOPOhiGmHfzJHktKgbXt3091bN/ m1u5lCXVBgNtwttpYNYaJBBsM/6CFYxxjAUFckvA6BlsTUUFX84q10iASrWhAbB8HBtJCRj4oN1D 4GDhmc61xF7C1ViHdLXfKm1+D42/rFDqsP+hW5i9jGNyPgsBHpGZkmMSS88C18apVGe9ZlKOZwwX B9NdPhazW5ckny235JayhGjlz0xjN4C2Kvw+J96rhIGZLiBmKBBfwbbXptK6fwGUiJ9A8SzXereZ ekSMJR3uF+wTOEDoZtMKeHsICAeHLB4c1xpx1fIDOLNrfQnI/Fm5XZeIltr5D35oHWko7WWH1nVm LwxE23bO+jmxn34VO8Acc6YuxbaqVBThg28GQ6x0iRLasNdkzs6poZpJoRzTceJfjqjz5Ti2HOKK mvxrAC/hCUg53/8uFdqOENnRVsvXgOsrDmvJaNqmeu8s0VPbunjBZaDVa+pLKY5XM+MpjluQfgH1 +ExiWwHuBxlVctoIP/x/ls+U9tvyYIcootk17FrqDw+l+zPgof29D38jHBeM+NYSLKY49diQ8vOr m5F34yazok5LzLHygWgeSz6fO+y/EAzQBCxvp/8Ct+wjwHu9JFd3zRzFBfDi8Bl3Ax+oWZ2IGm8B oCgbc0OYEeqCkq7jTnZZfqTl8/75YFnjVnJol0AJvus7F564h0JwqeJwH+A/bmmcB7vJfk1l4P1w /+KFGhDMpNqqAcoxYLmJtc4A9hX4cAFkrgjPR4VscrZl+xNZgg2rvCjXfcg+7PbJtbMhu29fUfQf hz4PtgZHX/biWSlS2Qo/K2+9YrsRfDPHRA8V6pydFJaJV/6D5FDqL9XMVnNUqp55gJoGIxoqJZeg t5OCkH9Z6yZKZ+4Fz/lLx1BDesLb3zHWt6ErWAhEZaoPjjgmvkc3aE9VRDuX93NNWt5OTt08Yw9H eMIOKjZrv/ooMEmVi8I7pFYKa9fEZrSH2fHa4UbIRUD2r4lMPcixqsBBMG/H4gpX1YwZT5Zh42X9 h1g8ockB7nRLcw/s4/4D+SnavpEu4xkrmEyW/ZDSB+H/pWUuj4ZrsEV5T15VxqY1IqA6pilBZCzz 68zRaikskVgh5M5Md7FstlTXO3bkxBVe3Nl3ZBXR9X9A7zwQB42gzWqhz/LSm5zBG4ILJd0clH/g 7njqtdu4PuFI3uw8vGH1UpN4NWnm2gxXD6xDURKh1tWxRuppOx/gQC0JOnGEOpkHoqOqTde82bza 220f8DTsOzsTG8U8kMyiSxESkPnQDoG+1o8ez8xGq0/kKtPgQ396/d6m4ss/1c/sk/kWYAOm7l3w EmVxtF57jwcSV5YPisLDZkH8sJ2KApoUN+ij0fuAB8Cu4B7G74CH7jaGuxbV6H5CLRy8jlUKO+aE AaR2q8E3BrutV4E1cTtZim8Hylqn7B21vgtUZDeSopTC3siEHVe+90lB9ZqtGFSCpBM5anpSQ5CX 0VBj0McjNoRG1LCtwBEJy75JbRWabosV3rd0BvTXhc9wQQc8nxrlLlQlrECnEmOgrWb0bHBmpDrW ZQRjrVAuRrNy4pp19eZSFn+5lbggymgZFuo/ZR8pSTKPwqET7HRDS/KeCKZye4K4b+DcA/f1NNBH euj4j1MnvzBIP6ikdQbkt6eva5WsUJk2VclS8JuJCKJ7NJaMgstSaoT6AsSIoe7aPC4iwv2V7jCI 2hDjBt455bL60+MC3V3ARqCWH7CCSzpFWMUhBshF+qGw8HHUcCKLbYKNr7fGMjPQkOTYyaCDbRu5 uFunGuX+3Rt6rxmOXF2JqN2GGWIgfF1rDclW94OD8dntvslm9gc0yIxV1MhBQHmU8FqIJ3Sbucim CjDakhgm0wt5KzIfpIcaGjkCNJtSEtOtr1CnaotDKUW5uhWuxZi+lz+Qt70ddooAZV9ZvgojYWR5 y6hOPV5XEBqA1K/fK5I6/vF8e9babjjcE2aMPc6tGqwjd+5HdJHTUghJdxB5z8NDG2tNmvDcV1U6 r92Gdd+mgMx7G0Kz8oqdSnxYUUJJ3h1ChvxbiQQcne/Hq8vmhyQzsYZSGJCLp0ouR+TXmHthqNzn vRLRSjaIunA6vYkeDAP+dnw7JeeDPYbIROE796uBVZ2aprjsdW2HdtcEeIWwz5WvPvIkp8LrAWzS 3IFN4Zmz36f+bEB676TegNKkHEbmVLKuQ2BBRADX/Ab4AfZYKxY8bNqBMA4uF+InneRrWEjR6sKk eRaw76dJmYE5A23e7crkbIOyMqB+cUf10ro8AEMFXhI6hRW+dNHpJAmYGMIu+RVcB//nUKUMHAr+ xWkPg/ENA6QZQ7WdJADoP9TXZULyUfto22T3C1CYEe4gQuIJuaJZ2l0h/7BgaiWUeuKxU0HvzYwp XzgKhKoJybcAqvOadpbTH//mNTZNKh9dOzHJBHaIQpZd7HbvtswGTRl/Riy6IFOOaW2/iPgIKMnn Sz1r25Zh9nax2voJNPkdq7CPqffLXERZCsMv/PStfISrYlPEuKr9XHI12BieUaEGu5ZQprt8ssmd vblpZT3qecMlUet6g0/+1YyFyvCr7lh38XDipRSPDVuGNT+78aDDDVY4D8bFCMxJSF4BzojZ5cfH 1cBWcYS10rmrmxM8TWObA7HUl0H/7+1FDI93fSmu7XsO3h3eMkcXZBuEcXQKT+/52XMFmEtdo/Y+ YUQkcFiirW1jEX5zYYtXG2EABoHoeuGkqS423kdy/wAB1V7Za4tGyjMuSI+koDtf6oE7Z5LYAVo4 Wpe0XY2AxkacWA+Gk2CGxHNAzSs5kCdLIk917NYXYYdUFUf6bWgdp7RQ2ADD3d76wAyp9U8rJFBM A4ctcHmV+ifgwI36KNNQbpWBTJ5ARQF/7iCdMq+u4wlgTD3KWj9GMi90gdQD+7G5KnsvsDLCAt0b IpHjqECxDThcI+rx7uu98oqJyweIV2nutgchaCmLd0hg3Yc+CXyKG/rasaVMIrPNyE9pO5UGZlV9 CrQhv7CCbxNNLXBaYxt9JMFBe7uPO7Cq/erY31Z7MYO5/h76OdvwjikaiatlfP9fk/06h99NVBeu Ljo4HQ4MZo09+jXR0xwyb5STJTFmgdIs3VhhsqNeUGRXhz3gEz+tXyI7HfjM93WwgJD+fn0g/ons dR9GCasF0BZdr50cqjClJZnauNCnojnTb/PVi2BWjjjlkd6KO6q5HUxdsgqAClvJjg2dXHFggmIL 9Kd87NiRgFmF0CWkoao35i3SZZZDJZsidzm+snA7JMgkH4e0ZQhKw0NyFfhj5IHRIDC8vnxqzQVw ugyRmF8F+6hBVNfJRqS5tAcanA2LuNbR5k4SdUJquKAO7fWvm0BXUddfkBLf8zpw523vgD/MaXil 9G3zm0fQbsuGtUS3Yh71rtj0DTnahiIuVKzVoKAN9IAnJQkAk8Qr/M+cAtZkgNwbchIcpWHpkk2t 9iu/eTbWdQXUPUsbNOQ0cF5+pXdsMrtjqCCsTALmxMLgRKMMZ2+z5YXhD6pJ9Msn42Vd02PjChoJ WfzNxpSON0oquINOZ/ZsMxnZ3Jkst35VZx0HJdJUzjimGumwAOzGggw3wlPbFIid5Y5mYxw9sf0y nUZtBp4H9cejCiJ+RT3n6nS2iKRjP6uS65CAvD/IGeOcLLk0U0pmt5cSjZhad3AMNbFNwXiRfcS/ 2T2zf4Bhed+zDDOIXeDSsXypxJEDnt2SUo4Kj2Jd3jUDvuayCqIUbJJ+wxDs83/oMsGgw2VQmHPb 5hyxSBbhI3t9iSi3NnIiZsPhTrWKzZ7pMhZl4QibIWNbNOHui0vEtDPOiM2jlhLSdwK3gVfJz746 vPtX8eZ80f9CnFAVUWnyBB3hr1D93dchi5SeRzsBsCzqMHjuD5Kor3nB+lfQb1oFy+c1nZQ+jxoL +PznBvQSSrgBc5tjqONGqizyFwwch6QIePw8fBNbkTTyyt8jpxmx+9dXcTlY9zeTuZBkyfpskRz5 +ENYyj9La1FHtGFO6dthlvkcz2p2eBTcUMtcCbQbxFajlQavtuZMEbT2hfwhEE+5xV/ldr0vvUqp w/JsujF8dop56pexkQB/8RsaDn5oEgy8BFn7YsBeA6fjQqvAuBFi7Ii5MSTP1t8OMz+T9lV2nfFt 6RDCxuCdH3oSp5lgx7zwBFtVhNavxoTBRrLj5vjMyOoLWmYX2H/cZrEJeTLhi7BOC4l7hPxzTBVV twkuhIrHscT8TvyeYB720K6e5N2XKMkqqz7UtFuGYXnRvK9Qb0e0n9/pJx12tjFC9pn+73opnw2Z lERkB1nq9hvim/rQwds++ZITXafTI8g1ivGDg+u2zoIC+mpxZB51ut7m7dW2kaPkKFiQrr2GvE6M lPbPdgmszZjn9KDNkNsvqMwDXIAieOTNGd0o8O41NzbSet/wHEp2VUAPbNL4X2YiTMLVfdilGBs+ rC5Qu9y0HfxJO5R7p4p8BrdyyVOYp60KqRBlHlJ81Vjayw7UJJn+QgCg1WUWytH3NEj6bdmW+vuR +58U0lJZY4iUILvxpYH7Tk33jawTCiiiqc4bH9X6zQ9tm4rB3w9mDE96tQSHLY9pBweo4AaNrN3U zEgzUQCFt8UJfTl4r1lti6Rx2/5/9tPFBa1HuOi2fHFa/iEJstKYlc8MqBii9k/DRTb2m57imaQ7 mftSBq2L4MKtonE2/hTqd5RDgWKS4hxWx4GesWgypmnQxYXvjlEyM8lMhYiLoHOA2DSFe/Kc3LrB 1LVyEcixQNWFYbIFrVhCW0FRG6gd3NrN8uK4CznzmMYVtVUFSa7MB81g0rtvRhcfF5g1zBtZpNYr v9Aa7ylLiTJJJWfCn8YlwVZy/8M9bYOcylmalyRDjAdn78SUZ6NUyn+kC5MSQo+m5DetMnXtXPIJ uaxPqJT+qM2mxMWNSbd1aqjj5lWl+sq5SFjNYP1JfLO+unCsAj1dcVHJ0pKkPycR9TWI6DenD0HI nTfIG3OSP17SqEM2KDpOd0pHYb455H6R7JudaMxUla+IDQyOCxLzOo/H9OZQ6VOqoiymnKxyLl8H 81L28IVr2L1Z8dO7XxLOzEO+kR6aEAv48nEsW8bNFGG/s8HJ4zxE1gu6qHFIIgQePiK0F7uD0R1Q yfH/sOySEhZHmBPTnbd7RXkkFFtmAhyyNcnnt9R/BmVut+qn+606ykC6Ssa72wc7YCuLT/A2cqLm 1Sy09a24SmtBfDWdzBnq5U+1zSdm5wVWX3kemxpLuPlE0asqSYjs4gv0MZXwnoeP07vf5WGp7w36 AGJf2roM23bLBARZGFwZq9p9c8V6ZYYaQRwjtcwsf9AMKAlTFWb6qi+xNU8Vvc8EV/hWk18tlQfU ed8Bs+KCGa18xYla8wBbrAAqja2ed6iGaDq3K7hm3WSVqbFmUxaNPb98U2jTbN6XMtJJlVymKuJp NL4NduldJ5G48KRkzwdNkFFWZMLBGwrbhzcjGMB2/u/LUeb/IXZbCg/PLbg/fx9ASp/oPnTO7Ia7 /Ld/os39Jw2PEbzzyIC9mym1uioXCWIuAvLbWf/jGA0BAL1zFgFxBkqHNSMO7f/Aw8AG8+BOfji2 IEQEMwIUVFcjAnQTOwnw4cWw7RlbUTRgBGmrGkpJA0eAuvo/t/8qf/KWbrk6UQ49G+RYhJbFui+A 8y0v65JvF2zOOTZY54X57S2AbOU6H701Bg+Chf+I4zOCuOIUFMpuxAstD1GtfjNor+/DqUOq+/H5 Nm9U1TZzZEqgh8sZsVGyU6/h+tsfDWEkp67612ggqJ1fPkm6S4MYoW3stP3u9R5uRSkhhO9KnyWk 7oq2e7QtyKm8jcQsAlbuM2PqrbiWDCyYAKNWBesgI9UL4QvumydmMg1jyL1kSKUVjTFylFZI3uZD ZRG+TtWnuowcQU4OEEHmEt3rVC4b82DJxooWL/C6uDmRUNJG+3Kgyu38RbQ+X6UXID2TpCElayzh zXMH8KLLm5X3ce3PEwRGHTpTO1++u7UwW/UZp22jg0tqky3g1frPO7Jj12UGPgBB4R6O0VxOYk1w ViG7DJha3GyAIBAdtXk2918/m1TkIHwG/CnGg9IGueCrIA4gVekGUhhk7yoiSWvndGsPiA98Tw4B 9jfFiOeC9y49NMH1CrdQu39JHRXsi8YIs+W7KJvHpfzgeA/K84vUxHfmT18GGpT1duqMN97IxrE2 H6Rh9LpMQsiVfzzYxcuVEMtzByuFy73exiyuENbQo8D55FqzPGixgR1o1hNQnbrqoZnTGJ/+Bgad KxQVsFBC6KBNU6dtTye0xCm6FvOinC94Jp9SGodPy/OM/pElNytpEWjwAz0kBN9v0gco5cyRSkdU ByrMHvC+p38ZA7q99rhmm3GK+7RQGL8XNieQI/Ir8rrxFsgZlc6vR4Pl/0dD0ZooPjk0TY+4VXji 2v0AN4Va3raGSjlSqkRHppduVGJkyAnl+0lkAuBgI9TA1ibIcSLxIx73P0Heif3Szb6PYs+TEpHr fKsZrUdqsmukiDHp7m0KZc62Wk8qcLjAcDh+McJneF75RQCnFkbqKbVYXocCHDBONIzCLStuhVdj 85CTbMX8RScYW667QNAwy67hAJtILAmm1BAR4t/Jky9vLaCzU/vPowlUdm11jl3Nynzsdkpd15MC ckp4O1hI2a+U7krLOIJKcCtRAQtd6XnqSSF5bYzy4zEbs+JLQ9+nbQXThDBE961RXlc5nTR+9LgV JL6Xt3hz09yYBNDLj1PyIQkkAdulSukB0CqufC6A+TeJ8UeZKwA06KTNrGWJTdhawASXB0gWLsXj kVVjd1uPqlc+PXAZqo5b0vP1D+tMYJBC4eqfaBiwpBVSB5LA0l0ZUfRFFUavy3uikgc6wb/kbcS0 RmX7rSLkgqvcnxNI7xQ0Tm26Jj3N6mf4oqRoVqyW/Z2NhvC8uKwZUBvbTFlt2MJauoAKYGrQhmm0 MpjBVrlbAT38Slmt1eavo2FH4XBmXbayUQtKelhr69sQXHr3NSj6n/RZGyXat3a8twEomNi/twh+ DHp9JmUwkCOIYaOoFzMxae3q96O4ziibCfMmp4XZoDweQ78JA6UuIL3OrqqQTKw1iDgVHhFyGEXT dogymdkOfsywLsxzFDl3c0PDx/9QpX8lrb02k+qFhx0qslqLP84885kBoF7qY3G4BBJMYBVQAGdF RBlit4H1xijvq3yutmTJfdqLyJwgUTeWwM7/zxwHjfLBsj5AfYwMYArlc1rxn5Kx2ywyOD22J2k3 pHIN7SKRLBIuq1s5WrI1qgPhL68FRbF6xxufteK3btG2t/i84yPElf/dZ/OaE9XMJlFPPGXZ34DE 0cOOnZOHGPlV/eib2uLWL7+BcBNLKIqqIeJI7s69iK/79jkl4NwLwGUnJHyOcIkbaePEGbmfcI5v VtUfho65fv8ghP7eUjUB2apgie3s0FyvK4DwH9HeSKzJVGhew82jX6CbccPLvEtwvT7CBTn6Ruw5 EVdVqx9f6lPkUP45DCSkTZrh60x9nKwArcVukK0PgRDjhjNFL4CU4sZ/5tk/v561A5kytBWI3sIo MQWPUnDER358jwrfuEUo6T6VB4FSc2xm+n7jftjTUpFGkSzIxqhVibQIFQJYMkKziIEzOmmLXzuO NCN+Z+CYMO/Gmyo+tXSqJzQEy+JpD8VoP2Phrs1hUQdfqzqqLCItEq/3K+x0MLI+nOeeVYGsJL7H LNOniL+nGd5HWLSxuNGjAn4XnBpkL4HCrWiAB8w13yagEkGes69b6tmjjUmOEJ5eH8WvwCucxw7G yvZ89URNDNiT403oRWZBIIwJhCLQ5DO/BF/3tvOTwRprjr95WlHwCnlGt+SdbOoThfGxxSqrBjGB vysPAebkiEPp0DDn04F8qama5TKF5CKUckc0SaFHb44X46sVPQ4PqVMFj8xGHzSblGNnyCd1t2VK FsKdVzAhMYh1V6AnwBJaH+TGbG7sO2JTaDZ+AWdLtyx9TwGmiVmPYKV+7aFAaNqAsGZCXvPMT/+d TXvzVG4l6vPZO5jjHXgvs2Ixl0m3uzKVGc9TUfqzNVdMy4vi55V2ukr7VcEYz8R9NVfHbRfecq9f gdwhDkmKfnQwFpdrI4exANxn0fTaqBIHfZQDdVAkGtOdPBVTcvmB/6oVC9VKhbXmMctp4qAQqjj6 56ahuH2kG38cF1INvvZZ+FhPQeF5X+ZJhnwwm6WTzdXZbZ/lH40nyoUH235tpN0ooCHlfSasYpwe fJ/ih1VMjjpbWTh6LnqSE54OzUXbbeodTrSccikHPYVIZuXyhoApy/0eCKkWikse910S7YPcHR79 yT7/ERi+BOl2O1klQg4EUYD7AmR7TkEgiMt7UzQuoRCw+kOkepkUWwN5qS4x072YR510g/57JYLK 8nrV4p/Wd0aGRTpzLI0izdsTsfNZVg8tmDcT3vZl163SK3xljGNNl3k5/yfTk2eiqf3crVnFmqn2 my1IOaQwuP64Ff/Xwiz0RRr+g2W2S8EtE7LlLaxW5ip1zeCizLDHs8ovbyezeB8Cgfp0zUmKjZCH NUDstRpNqJvSLasJXL5ZKApX7xyc6lgT4yhS4IhKp9KeiKP7pNMg+fdSE2EeddMXhAwxLoFF87nu v5J4XQDe7cnJeBabIbQsWZFxdAHxvymlaBNB/kTVaJMBHqOHISjgt/Yk+LLOGOERL6JvMpW1uSBm 673ifvWot0UGiJCX2g+3NGIEU9QansSsbh2pYOFmN7zp0QYVcszO/f5Y+4SmMbmA29mUEP6iZJ3X vWqhK7CVFwv3UaxNTtXpuiscSzfPZRjgqZ3Yb3io1iWnsi/CnoHbyoJNxWgrE82hQkYdBZnqex11 OAldDWpFVdWKpR+QUw4/8RlSyEnz5ZNifWPqETvPPAFXRyzhRrtMhSyGMXDE1KuhvuJvN6vDcwTZ RZEzucI3NXo0vkKdRkzjQbcfWBE9jpa1+7Jxyu+hdgrYaJulOKTz/VyOMk9KCWR/Bk6I3+ITAG3M 1hQSaHsCSaTiAZtRBCBT01cUHzMrZsBXzY92XBX3OY0vR9dCHQ6zr9104TmKqq3DW90dBLIc66rN m6YkhUoG+7iv1jVtDXDLD4EE2Ndx6lzslDxCa/Ut30ZFJhKkJyXruewJcwIUYRhGsoKoOzgnggz7 uNNDzG6miiKTGK57Rb+yqPjpsWOCB4G6UWqCKYodcPKmLxAtJEfAdbxREtmxvDWDDaKdsmj/AEdX n6RYJX/sW/Lqb8UNynDPiJOf0EIRLvNTnb2pkdebSAq3AvGKMQFrkdNRsoUDLCpSO0uzneidpzWq c8Mo5yB8px/GmTzmmM5SKSOQLTB9u/psONafYiYJKiMen+0A9Y6fzeLDegdrmZBT76qZ04fnXO/H +nJutCWsxLdWPghZkLQRidPBkLklpmCfLXlqj2CaT0CWen8SjqNWnwGdN5PbP3n2ccK2UQ/U0I1C IqG9a7oK8uvXSLrKRJ3GHM+xwJIncIhiegxvDENUCDz22tLjKifrEknkoYBvMOjp8mkzpT4zEW/u ntha/B4G63aaMy9saWCeMwfOF3Ujgaint61epBpROMeU8QQ1Qbo/Qxu/tHexfJHsq/fUNcp306QE LHfkE6sfDC2Ddiiiibco0E5fYGcsRTzRPWePknPfoHEH6yCzF/aGK7ABtY+8XRNWzTC2S3lhtDVk HcUfoeh4GafP8EKa8K3FlgUmUM0FOAidgn69atLebP4/4GZJZVoyZYfqMkiSfjp2NEYJYuYgVD+k WTlrMNgs23b81lzBjDljC7fM4asdCJWIaMLdpe5jYbh+QJoawQ5CkjUO7jwXxs/YLBmK8sn1qtaq XUFOjW32hdDN93Tw6tp8DcFulecOVmb7+4sZ6HvQYxGYhH6cAHZVQsg5qmfdOZYt1x8vRIKabGpm aVIPmWahCBEsPJqeMmwc8KxgDEGJgPSDpTNfHBEvtjqTkeoBj4g3u49vyCEypQuM0PmnJH/kYzH1 bXJsL+JnoWh+v99RMB7KAmh4wxB4N6t/IgXgjliKymP9aJ4WcWYwGehGAH9iqDftWY56l+MCtrjR /2N7mgzan/Fokz26MTQjhcDgo6HGVqRG9P5wDK+wwGEfK55NCXe3a1sJzr2sjOkrVDThuvDm+pRo DI1lhxNhtSn1Row61ecb14uQIwdy7VE2EsJLHjPAYeIYZaBjs8vzk7HYOXz1+2Yrnd7h0n3QryVG LQSkn/t+O4mw8CP37H5Gle9l/uBp1t1Zsqb5p/zJg4oqS99jlzemTuaFd3nVfEe8SDmKZQANtW9U dOO4UJ13c2B4LB2V+uvOdv1eoz2ssHfMR+qozo3fR/lrTxbnAB6tB6K3ihHjR/F7DoE9rNcgWJhc TcWYPPXOIsYL9CtskPjIfAgOVc0i3Wtb/imFwqRgC7KNJQvQNzWTpmqB6r/6HS2sKm0r1syCy4y4 Bny1EpEWTH/9bV2W5bM33GVHdS5zXn54f7zyBlACLggl/QfJKoVLaSBcU3UiW2kGe7ypWHg2it55 a8ZUCM4gH+ntP6WZtKUrMCw0wVvCbDwyPyBkTQDjPYIf4DVrUt8VvEYCewxfG+EuuPJ6sunIxAlW fe9k05RNFeQe6X+VVZhbCK8u/ZmDM5nDUHka+N64n5k/M5JXtHUOrtcve8+ch8/uOZFi/q+8RwfB DDjBlH8b+s+Q9hUFzHAoNxfpupfZYsrVF9RkE+N1D5NQ3wB1e2ivC2OK4f1+jq/NyXh43rbq1QTz pPzFZgh90O4dfilZLnqaoejvhT/wluvcf/+ydUmq+dkhUCX4Bp5tO4niIhhex8znqJnugiAf2Cyc +JHUika3F076vW/GCiYLjflJdzHVu+M3z8MlKs4ZNCfbVtzEd58X1qxkwdx1X7z3ZpTTcaVQXIe/ jhg/B/OHXc50CeoYAIDNfOgZCbfImLq0fahXGTzf6gz12HiHxMAcuew9VVznSA9E8LLsqcI57uWL zmMJ5HYFL5AieKMg/GCze0p5bX57pXMB9IRIvbG78pZ8qe7pHGpOEU9NfHjfuk2XT20OOT7xnnIi NGA1px4br7YH9QKYtF2Ndqfmr/bMwuSZajn+kcIQAscQKdmZ7W+OwpIfp6MOrASiudRZRoePBtza Psc16BteLHq4JaiW3dYjdZuaCslW+lWQS3P4Mhoj0w1CG0qcDQPI3NQqG3KXSSqF/AtakUoNbBGm tAPMUC99/Bl7X5urFphwo1riu2O3reJGsTEwUjQFa81ZS4WTTLqThNvjeddEiKVdZF9HQ9lRH4BY CZEn+XBx6W6+awwqoxPQOK8W7gXWz6oPdoGnJ+oEsEaLfJLYPPjZ9JTOPaHWAWyRpwRu99LCqo68 RNGy3RJduJJVeO1oNRciwS+Haosod+Vgh4wrr0GYn6PwQhct6x4bjOsAgMjvjA1FHlXhmMbU4wMj zJIY6N/oJXh2IqcQ/wUgGqGsTjWBxRdlAVdEGoyltLXirGFFpwIW4bObW7rBSpXY4WboCqTl3O2T KRB7PZKEBcgOrkFQVuIKAqNbTbDImyLtmdFjjfl1agkVR2L2JRNAjhlS2lN3rpKWEXDOnE0Ws2aJ h1hH/K7k/5a3c0FgiGfanr8aDPY7dvWDHHKDUaXE8Lu0vATw8jHubOQtu9SWZeZElAszIWvFtYFr PX3OeFJ6nWObUUYNFCn5CMutkq/0tWY2k/Zta7tEzyLCWsK9yX4bzqlPgtYoStNSnm/lW4enTHS5 AOL/lcQ+2laXHHNLxa5RciF2Lge/sH9+ZTp4tPnb3psL0QxPhEppRm8mxtAVoPIqIwRFR0peil3G Cw5WrFcm0b1qAn85Jf5IXEZT9dgG86ZxIggqLeOW0aTq4NwTfVkR1CXaFoRdIrNY/PHIaVVqOC+x i+stXAmfYk0tiZe7Qa7rMzmv5+i5ckT84lUK1xFfa+AE3JUTy5Eq7t346mwXwNbZKliQKyJC8faF 1/vwkgEZSG8DA75uL1h7Yby6sTlJB2hHpmCra5b9S8KzQBQm5/O6Nu/37b2XHGwvRzn1YQEY5CAc to2sUBO9xx2vUGmR1txBUPXZRYgp589eaFRY3xeyIchEgXGAjd+5ir+DMa8GDSGRFo9EdfDWIaW4 2x/esXepFuVsP7k/9LClzjnBGgO3y7FC85XkYHeCLb1o0dFdzzAFGCv4H0lI4fnknYpQKw+6noOW 3XfzWFvUWASDyLMU5WdX02A5wZDQs+/G7vpm8DxQiBpQqnY3sMqxixZvKtq8jPSVr3Fer7t2XND/ 2hVVnfsVKtsDQ6rZ2l6fP+ZxQ+gnQvJ9xUDX5cFzjDp7vhl/OtIp7JsiFR5OrI5a9TvxMQM6uPXR kLVYN7Qd+ZlKhNzv0EW597hVXhxHhj2FQhVZj3rVxE41bPZCptwOGpkL48qqc2msFvDwrCxU3t/P vD7wVr2JnKyVhYtV7ZSUXUOOQIcPtauo624NLqe1mjTGQXiYuD/KwEWnGeHfbh+WdfO5vwZqs9yV y2DcCRa0MjH2/n68FQqKUjFvbd77FM0z2KeGjSgAtkTRdjwPoblI3CYMY0x8ZctGs/MA97xuMGcY oXfYux8Cwg4xhWi7+WfCFkTDfS4XUefsibb5HFRwtIR2KeHg7H3xnVu6YVatVASKyXCOVtdqmR6g Q6V+GQjjh5B9aKugSKEvQhw3lLO0/npstMn+uSvIub2hQPlsbVLzkPhN0WRRn65M9BHt7c8n52Gx 6Dv5I3E9xEULp2X0oelK+XBQeh1+P7f1v2baIHXSvhTMCC2s9pfSkEoKXgxelpPVY9tt3wU5591A 1bN1KopiJ/ohzG+z6egzXkUxGD6XmCGdZaKWOhqv9cyJzUjHYmpungdugRf+qTtS0qrAFrYVb1mZ zr45I93zUzIoMz0XX1AcBhgV95tJp6PnT6b63sZ/kn/qT4/Ww0fcflUaS8SR781fwAUV/3wE/e1z xSNnY1bL2PCoF9zDajoBAaPXLKiXF/5Eyo7oljnd02I/at1w8obiVG6BveM0ipphAMx4AexYij8G XuIyApdI7A7fJ3RLuwNluRd02KyiMCDXbcmNcPUsr9B0Oc3u1Yt3KsAnriQJxXqNn/yHThIjy/fa QrU8Mpl8vpMlL3rb7PoKNI/r0nY0mB7MNL207AgJdnyId4SsqXuSvzxrbtRdjaiaE2r6czEfuF7O iTifD63UgvgqehZxeksLUxKoSIw7DjWBMkV3vThpZHAmibdGCFJ98CVJdJui/HnU+CpqTAPF7h+J cBMXabX4Ouq4RSL031ilymtzvuB8r2t3i/23VZCp7TMKqpnP8C7Zimp/vP4eeD6Ydruyhfp3tjow 36b0hLjW1C5m+lIaeP0NiPjyuH0vlmrghwEM+egZaPhMLof50Qb6smhKCzgJjvFlnkgCboCvQZ6J MhG6f2FnrV8GUNegkmhzDFOC89MhIZAiKblhSxYype80MEluKqge6T+n6buc+Pq0w9KdQMHE2zaX vS1CYsPj+TZ73IWksmTg+iKW0qzkye3iLSxVgdjoo0CRzABLR1yt4xnzo6QQNo6t2q7kk1DI5Di8 eUXGKLYJZa7+t2mE1UO1gISQxm58iKCy2+2jEu23X9wh4W2auDLXgWbF1noF1/diJmRf6Nby8UW0 NourH3rxxysXsYI0eNKoSjwvftJHj5BHs+nDCAuVzA8g+rwoxCGNeC6W8O2+k52RNUkLOhiGFu9g GA5YIFWo6/v17Vnff+gHLpm41gZWEQcoZdx76usjXGJGNUVBnI8dIq+AVVJ3swI7JUeN9eX5UXuw Kf95RM/OD1mlm1R3pYT61G8hEX43F5h6G0fvVsUNQiL1KkaJ8vjIOgqh6TbuUQeK8MMZc5mfbyu/ yr1lDmaFghkOn2uD/127vz9Wgd5Dh8S58t9Mq8tkbQB7iyfSr52um0uKGBOThHSl/Vi5smgiBtO7 oHk/l+MR+FJIfBX09/ihnvssoQG5s3PlvJjC0XB6lBOJ2Zrj+K4o9Ww78ovfWJz7QD9OZrT31a6e Edz9iwFObca1hhMN+KO2JkuuO6bq0hkptXocFFbWcZJEy5kKG1qUS9bE8Sy1oWpHGThK+NuJsesx y5YAFTMqXWks5kKu3K9PKOGVEfFLGb0mS/YPC4c3HrcoQYUk0Z5ayGLxWEi5kF+D5YPYqjb+tpRB TXPCt45x037QncZVrQbjW8oAMXAB2qrGaK8WDOu3TRPUznLjMWq/D/FTPmsQdldWoaU+boHhnbrb acWcYfti0GYKqoJ570EhPKvU78Rdasg9zq2wP/dRgFY/KJ4IuoprRvarQLQ8ZKXt1GdYnD7tccd3 k4JQSbdpm49zj3LTyNUPw7RCRNdXT6z0WxFBa9+0x9rR1tKp7To/unMvspm8OsdxvCfKz7k4J1kc N6asNfoBV816kqjWIPhblUgfMM8rbwDQ6ib7Su/ymc1w3TNQXZBrpG/UjXk2o41N849NjZF/4R/Q q/Hby4nRi4mQu4hH5ma1ckcJw1lp3fSvhD3mLJUjaczEV6jOCb9bNB1cCUGjns9nLwOF3P7VpRrq AlxrCYSxI/3hVXk8o6HfIGVaqePCXjQD/KpEiO/Jj42kWLdnc7W83mxIoC+B7v1gEiDbyzbivvtK mIPmVzRkcunxr46lp4yUhiDpBomO6OX7UbbbWREZXVIjtRH3UCmYl8+tX361Lhe6+S8BRpId7vkM 1m47Po2lNIjfc4+Bf2t+AMB01A15IabbHgSfZvj/1AZrnSH9ZfvvX05JDnW6NXtg4Y/OxXEVh25F 3pulTmR6vnc6GKRbqbqu+XHwD6zudXJUehrwc9MOVVCY9bufduqrmxaXvvkgdSc5EO6XV8KBz2dl cH9hnQbkmDGliUDv/f3ispQxvOwLwrwdS5uWjDxsk7HR5fGrkaiIuKE6IB8z1KWBAZORLS8QSEJs TfUdQsw9Fnua2Bf14nbMcvE3+cClO3nXPuPtf2lEPdV18Hz9Y0HlDyVRxrxD40mC31r9ocPiZec4 noc0L4hXKUBhqqV8DCizQZg+FA8FYOjpA7cHgjC8dqFc2PCSwNgzXw6gZ47ee18ijZZFhkZcZl2X 42XqQTO7OyJv4goYdUvvW55BfzvLTxYBhc7X1UDZPnQ69mRh6AWr5eN7DsKAm1/BS0vMvefbd9vA SWPkDKsAA/ys9tpI9rNVvU5cM1cON4FwETa0JScqYIFJGKv7QfNOqrAWuqNk0951TfvBgIReoOHk BGq3GBdzYeYqRmG0qlQS9nD94KjedDYD7OFq8kIvTN79qFO6ZwirwYiDU6pD4aOfn7ZlItCEvhAf mhilr4T2HdxooZ9VVgQxFmthyJcCuIHQiC6HNPEQ/KZhaQkcwn2D0kBm0DWXivQwAs0mXorkSguw VAUZoiMTGIDjFpzpwgtITudOOyUVY3CrFUbjbyKBM8x7HzSd9C3EOekAz0ROI8tpEsC0kc9jU88v xY7tgHKmUkZG5zHFtPqHsDMXUPYYH+rsJFRRMtjcSxJ0+PJlr7IEV4iZtmsg7F19TvVVYBLrxuZD un3S1qZba2aOXz8O7edKZyWrBd63H6y6iZqFltf9Pz2QS2EaPfNeqI5i3leZkbu4Zdnm5B3bQxtK 2Iy1Fywgbhq8htfRNFQIE3PT2WDfO6hf1j38ehrbiIhLGcOXfEL634HQ4Jq5DtdQt5aoOVB/tpkG Msz1h/VR73g3cmfDkSOoPANPEim2cK1VWjKow2pB1CYR7/KpqzUND9/r5JX2/64qtck5oCVhemWK 4t2S+GzNpcDpoKUkSE4MOlspivv+SPK4vY4fmgLDI9e+FhJ2AZzFdZH6VMm8pf+KjxhXEuBPwfFy b9YSITsor2a18tpTOTyF13y88OA4Nlv1vaYTCcYX11CjP6rai8rE6NQjqQLUPQbAgqlREZthyyUV PlQD+aKZpJvZsgVxafBlX6SscA947mFvyXW7SM+ajTgb/JfMyPXXEiW3FIYMmiiEaoOJEi55xdGM RxVmXgO8Ib+JZTXz0MUvcZhu4u/Kp4bZCGMMOZtRTm/Qvb2fBA8hsVBWBAH826tmPMtLp26011lS jSVXuFMBN6QNVCMt87w72JqLMz0XSo167KPZMR5VMD+NgkveT5JNFoi/9HIdxSzbtG9fl+Da7P9Z JC2FKLx18TmV2OS4/QR/D+UJa3H08DDoTbx03cBY4T6W9Sx2f6QD25wxsS7mNZWcEhpY1ASXSMSs OygsoXwWLCOa2+NRbzmXhmJj6HI8OKq6qeIjDfBVe6yWarq0rgXUES36m4YxW5N6i85kVU7sDO79 uKfd8ixageEMBcShmifDuvjh9r7l7pAdAv9WmpHAlcvLv8lw2uhGkPmDyCBomDWC2nMJ1dj8W2Aw AvE9GSZ4z7dgNkkm7a8bPO6BgqXKsR4obMfGv41vBY6zcc7+G+R5avq+YoFVYRbz672kMTlrdSdS pOl/ClIGHIyCP2qrO3DDJ3Cmdir3KCCC6Xa7HozWg6hdLRP9hP7O9hnOCls07eRA/XNEXCFP0kqK sSP9l/R/FPb/ZGrF0O/ni7Z+xK4J1n2rAgNNmrJKCL5Pr1Vu7Vd500PlktmyihmM77P6Q9Vv6oQT xDmgPLZ+7kQOZ9XEgOQ/Rd84qQjXbmmlS1WhbfChEvdJ+Z7bL+C4jrCk4Cf7ZCadbde3CLD2IEkW 1YHUWBtYhSdmJvOXlgKAT/fuR3si87ss6OP34/MXB8tbiV3q5GUGt5B7M764W/g2wwn96zPcNfNc n9MmFSeo1OU/OnG/9XYNqo6/qfBLCZ/pHVkrHt+eethvwBHo4vksl8KKnAcWWc7nBbIO77/BDgzz zQqt2av3vzlZRdxrvKOJh5pLfBGoD1eRQXSik6/YRJJQg/FhJtQoUlBaGZyqLB+6BxNNrUpNZj3u TDVnPAFc/Th4rQz7mDZ3gnwMzCdklKOYmbMr9K/8OdouNtkx8CMp3QTSQsVOjmANcBWdh+BmBOSx fMGiIbaDRD/8NLO6+3bPjiL3ueHjOUILODBt7GvOpcvwGPB2TGq427Y3obdD/KQCvLJxm4AGQS+O e3mnOdk/P7gLVXaj8kCgh3gTgnAg6KC7MZ0TXAd3dxCgFxH5Lpwqilr+0ZW20WuczREubkdOz4Tb zl3hW4Sar0AZnK4YnntPRl7dRjsEfAWrwoeDjPTqA67ZFpKaML5t3nfcRnJM4HoO8a0xeZ4X3sxL 9sGEUZuzfqnqbyaRLJGRtNxKJWxrNyT+v/Ftl5FUrxKxofwjuMs1+woPYoGliZROncJZi/LC0cVa KnXhZn44rRoB5EFNQTcFBy9gdKT32ShRYXyh+k5weHEa1VfqmKkGSruE2Qp9wSm6bM+Y9JMpK6hF mxTWX0mp23qgECTZQsch2COwYOE0o7sdax2OH7bwnfKr2PIcJ+EhGwdcWalgdtSjUd3wgsntxYid 5RaT6rVtB9crIJ+InM1jDk+AUTqMtC6FGzNFZNOXgo64kmPi7YLozIhPHXmvqUtb/wVwm+o5GLt1 uVUeZZawoRDg/+TgXZbDtu9Mnd96/UwiHgHcja860UhiBFeltR8iEBSJ/+yoOU943zbplOqxARNB SVUUXF02ewSWSSDcoRmWW8cCPIq+O+kHJygRKSdvrLOzE3EQoiiNeqwfSvFaKnQCEqlNX6QqqEFb iYnHluUwtZwON53ROOkrdRCzLZkdQix0DPdUx4h8K22wvOCxFwmdtMBIA99lwm63m++HNIaStHMm abmr5lHzeD95ehGlGFAMhCcyEJ3NYdESEap+IWDiFQmwzi5Gpzx3ysWksTDARcnTkqN1G8odQfsd czCp5kBCMpFFT+Y10tunOoOQWmgPo5wTR/qWYyhRJ6jFCPKS5SOM71U685up23SwXot+9Diy3tJ/ ZgiNRr3Qh026CnKZC77B132sSEjsp8tjqbVVNiHlzhN75S6Sipi6rmklqY79v5gXiUeB9kHTnLpk 1WB+z24225BY6mIorj7KiXIkdKZYYJVKvKOHXsc0jqXasL8QposBvPhrvxRGGNsneI1Poc3yhAyU SJ+08MGySGR4MKsI7D6KxaK1gGK8V/5ZZGE8P493npYybMPyeiRXBlcmFibiLswHxo3Yelh98FOC v1qDs85YyDght0T5szIhvKUfjGYuU2dTfd0/BGAdlSt7aLPJb40FJJ54LDFL1feKW/OZPnjFn8bm Ro7YsKy0A33ZZcrSkw4F2DYhcQ36ngSHVKV3wPkTsaKema2C2ANNiI9j+lLHrdzEF+9Isei6RSZI uH1ry+Qn9gJUiMhSkrCW3B8R7A2LL0i0jC3ZZOT6HESC9pmZLF/4u786SgW+DpLpjaPTEbmxbbKn wVds7ztkdbk2YqO4pyS/WrwZRVdFdhHK8INiJxiq+4oIkNBTwYpRTewYAiZeE/QqKb0u3rJFnKjb pHN+eg5oFuzMuwQ+EvywLKZGwKyGdUZx6m2mOB9g4YaX+6ndzV/swBDewsLViLYLYtUhMT9bidmK jjCjK2PIpndtsaxEduwWPAc6Cj59vFPulUZxilO68Pk/q05cF47aDg+J4ehIlpgIGqgAkbloaRG/ +WTp3OHqS7w9mr/w45EHHSHGokDMu4mvmgQhQ57szKIZVIcfvHpAqyINPtKB/OjFaf5vR4JvGyTO /hyT8fF5Mj4yMrdeV3xhr2T8dQY3Ngn5XE8lKGZAN4yRhLOt/I4plhFrnfhAm6mahP453Tw6tJtE C7Lf2MgKcVymDH6PbQZMs5j1nKbe9BBs6nHsESGc9XoGQOys76Lv/nRvsD7CpepTc8UVfc113EcW vNJnQgLlIvae6ryXoplN6ZthmmkDKUVTCgDJdLAl+04lTys0kAejJ7HR/cYNa/GiyLkcLdx2vFKF VYwYLGYSMbvP8mzyDIhV6Jdaw+YE9sg4n8W60+WqS2bL+KtZdVNpLJEY2mbz/0wE6Hndb8JQeSWX 57DNhETWy3HAbn78mbakBUKJ1X2kL99kSHJDOstiTYyymtjd6G4GvFkIvq7m7QpGDe+VtJ0hMMuQ v1N5toNbt9orLeeKbRglSUekbg18mrIEz5oJYsFdyPHCKEKkP55uJ1l5LQg7Q0sOnfQTgYsMeEIz vIc2QuGFXr9t208l+0BgvZaEhgzK7OxJ8cD5/kv09gCmXlt3z27KYTPCg+YSW9DLpmhu3N0SQmoh W0TKk7ueptvBukg+ra6/IhJeUntrHgYHQZLZMDLr1Cs4DSjeoGcx6L0u7/hreQdKTwE7+rSR4hZn lGe8WnKO7GrVv/Ce+erwhuLKntcNW57MW2zegAe5pAcF45DkME571EhllNaO6YRi/NhWprlMXWcO l8V3IR0g94EbVvbLO/If4BtS5byG07juaZi/cA1EIisoWLI7HsO22Xs2z7GeSOCO3I1Di51TouqJ JvMUEPIR4WXIJlLBbuNSp94k9foQ/a7Eap7pRRk3anF2tS67OjcNHh3Vb7FBK0j3kal/SvULLwCY 9lwj7BRZbYbfcbB9xki1GyUTPbLJ8NZKzggry99Iotb/hR7GVGHYAlmAdYwJFqxCyMdIgUiS9pM1 wlgnCw9L+lJONZ74RNPNnVFdMSZ4i37wtCJ7xelPTcM7LoD3fkFqagVI7iSzTbUBjDXkvOPVtlu7 AGYWcbOIcmz/Ug8cnxGIJGxqwtxyzAOKzJAg44RxXI0JxNfP4chTWNUfYjPnu+CxIGDaVQxxnm0E o06FzPuhwOiBu5iAFWvK9SDS6fLX8Z/d/yiTceZ8GfHsA0ZIN3Ns+6A/GGTCu/NCzwPhfRYxNVUR TOb5iAAGjPVCkfd45TkL0rDPOz4O8B1/JpUtjjXfRTxWR4bV01PMhUHb1O1xCTfNmuXMtdLRHwzx mNW8T4LRHm165p+QPNHGrDqq6uRg92t6N7UpNczCeuHwBEw5i7XaEwO40kMzO37jWFo1jnixDaxZ 9Nq/xzoJ+4DIzPEKaRb/E+j4Q65B3i0OEpQcGg/tAF37Ng13JVrH/ABssP6Nt48iSEv8Jn55YFBr IbXH06GYAcPsDduBV0f47JhHSC596nGLNJfnE1ji6Bl8YkAafmSwTNK8CrfUEHb0HOpPdJnQZu18 pglpfzMjtjqMPi6DvSMiQwID6MYbTDyfx7kIXr1deEwJSFj7s29tRWEwlWY2Yg902NfrVPx9au1S 9kcholkB1JDF+nbAflAxPYbQmV8TWZkrclFLQxngXeW/cmKR1RXTi6t5EmJzIMo7VSj4ihwLurZJ 78ilPHA8gu2BMaLvRvQMZk7oNkLt2r31yno96jRywnpW19o3QGnaLxE1XNVMAVhHgraFftm2bvO1 yL4AXSJuICmMq33TffkAUHyOLpYc0023mZtuwkl2MiqUKFTNKwUPgniH5xOXCY98I+PQU5xOMoNj Vpnve7cxd80wJx9nSEcMoZk9KWkYe9PdhrXZ6lPNBirAh4h/QAa61wS+BDctViyTxUZ0UkUDjt3G 7PDgHKoFlADbrk0l3OeJscRsjUTLifWuPMp/MYpU3TOU5IhxBuMr1ypBuqFx/p0TZHxi5OeHOvUB rP0zasTR6LXYosGSsYMZuEtzea8eKiERVuPQBWW4V0vxBDrT47RMIMxo5CaIMtRgfUaxBwa07Smo 9gf1RMyCHGqNsTsZdHpZZJV7ssjyXcLLuAgIhy2Ur3yd+AGxMwsrSuPGLr+Edu80uBUYtYQFz6YU xAjPL5vHu1ou9ZwRcaTgAABT/TdaK8APwIigp9DfK1miBjTMwrB4w+U8ZIvC5GeV6Lz1QyoEOsig meQBLsJbTixpcz6A2bQarTw8j8cdRI0xGV53VxA56DCvxsRAOD8czCVAFQf7QR6d5OOHMhL5GFKD YGAOEtjb1BMeaTsbrBiLFviHovAwBvO58gbuOyOZOrG/wkdSHjN0fprSHGK41+ubRkQ2d1fNGK8G ky5BT4MwYelGp4Ef8hGQnpJeXE+d/PHE5Cl3TZXGF+HrXoVRu8NoJFsR/2dC+83T4mLgfL3RRGKa ZEiWULfHvVBlxTfT4PIZh8lrS12V4Uyq7T+JdwmReo2sIlhS7QEd8+6D6ciToMuB3GZpUkuSvlUK dFj7u52Fyp/0uo1aiKKUk5+tVWLvaa8cEZdnsn7DPoZbVHGsZgg2s+ZBm8Bogydkmd3h0jBIBHsi GZ3FnkdXfp6m3BlE0POKeWxhKnC1+sjJIzmUzLaxnUD6jAFaVPis6EVQNSzXYmfPFZ/Xq6W9tY/8 1D5fxu2TYZLA8GLZmfkGTJPkzO7hhRcVBHv1kZF0sQC2btFueo51Ml1kALapiQ6BET2k+2TVG6Hz BDggOcJLc5pcc9lPc/GnX9+Rbl1VN3MZ4+542ynNc3el4VRJgrM8g/HzQseAi2Uwqg0ZhnYtgI74 09wXM/FFYPlJNsgeAGW7vFYRU2ZPNgTRk+qYK7eh4zQ/bgCR4k/JnOis4fLUJN2+GaFaSPFlBN7/ J4tAsLm/RY1gicgDpWz7OIf5MNL00zLqHyoK3Px1rc0xQClog0HPc+2BRbdAkWLjAmxoLL4IACjF uDl8cm2uYwSXVvJAihGjvoo8/aaYgoKz8gPSDgcytuIkwfTuJF0a/9U9+ow2dsQ8rEUkUuTF/mLU AkDXzvZ8dz5YE9DNrFlaSzZM8xpKCiN266tAUlVAr7njaVC4mNtl/nvA8NL1fZGF+pipe8SLS2xj dIo1i1wXe4huUuWWjRBakx3ee0ZPxXkfofbFkgYa2OaoCtWfigTFqa90mmHcV/aaZ0vb3lhXs7rI QxpzP2IZKWl7wm58Qif9ADwbc+z13GotAf5X1TORHByE/XOOo0MsXK2O5hBCnzuS0ttrvfToGZUd mCnNJ86HK8x5Q4pIWqQtxdO3IV9Ba1cHsNloEGVF9Kl9qf2UEQIx1L+AMLKiMJh4rklPSAF0+s7D UuOleOWZTPmQchC1P48zzwP7p4IAauo/y3BprY/WduXlF3GiArKLUgfOMmLrzhR1FgBo1NDS2tJr X/TzdtyS2PV+E5I5iBWG5Q+muAYcPwjvEqTSLf/FbWCW624uwOceHJie9ZTAG6FvEqUww7jl+6x7 TFblXzME3SkfNjaHciZaqHEsuV28yuztKBeyLi4sR2jXLANf+IxES6q7mMH+IUA+GSANI9Xf+EiV vPOU8u4WfAjViXpN+WgcAlNTlk5OAb6F2jKgPUhj7bR5lVYsTXoGdIOrOERTK0pHVFOgTiUNHhgN aD4DiwVJRtkzH4w+EezFrE2v4KejML7Aj7TWPDp4ZqlaQIU2YKCeANbsGfODW601DapYcAv89EUB PaiYLlGLeyIew2wlAdYblZ7xAyhvDK7iKEeoRYZRYjRAYC6hQQlT1fUDZtw4x3KLpFKKTgnW6vWu URBAeUDq6BYtDzI8ECgNtfWQeuQiWGLxKCC9j17jL8khXBzrp4Y0lBRN2BKfBDCHKva3jl5PJAqx D0e3Ayb6MU2m8GlQnq2WLs4uB85heFerZ6AIApwfpdmxIC+WJKBEAGzm+9uuH67fyez+5xTTaXNr 6qBgFyxbKWnkWOSZw+TAKruJSvzZaB5maX2cIspgiIvn5WYsXaO0Ou+ojfnhKvmNOeUFcZCaAVIp az55Qxohj83vWebyWEoNA2YsK/92zrSo0h+c8Dvo5uwP3vmMEsHIWS1HK8MJV3NRCB8/AIWaf2Qf Uzc/wesvPu+qtQgc0GNkk3h2owNG/YFS+nCNaKGbi309pvSgfy1N9TEQWUFqW9TdbeVA9eBE+QvP q5kHnvbrU8B0Pz1+Oyqh0YLFqBmd0gzwxj3QCJJ+b4JH9+E1EVqIN5rR0gf7Y0Acyh9fXtUJSNBB wGPXBPftcdaD+hzt2PQqOop8e/0u0gb5gd74XcW4QCwY2ZlvAtdkojKoFkbquhuUzl3ggANFK1kZ Atb22F1QDFHBuG+5kLgjQRX0deLhW4quPYhdkVHZgq+brBDoYvrV6WdhiT4FLfwzmrrRmfrw8bDD lW+5hAIEiXTX82+xqfCdZPGWti24rmLjhF3j1mzQ9uOym5FHLu0jtw+X6tcmvdSj+77FScPOIofg uvRNwgRGm2I+eqMkDH+SJ30BGdzPjcG9CdXNzXR329QvQWCPkWBQUZ2TOO7/HEqOq7g1pgNgrllf m3Ah+61QVbQeQjDEDvp7yJpY9+rRlgVePJhU4lnnhnyB4aY2mEyJtR9f+7MjPd4SyXJ/+ZhZx0oW Wf17nn6SkjQNfKh83M4sh9xWzCo9vpT30mit3pD7lp5QWGkeyJqnD7mZVZIcgPq04G4/7xCgBhFh 6ibgn71ARZGBdbvKXyy2K4UpeyIVGHHzkHPUzvPCzfG7sYnjXt6G7jf5rk6/eZHEvXa+WuWaRzfH zdNokuFOSh+Uz1GyXZinnSISXx+UulKay8lpvNgO5WCJR+9nacUV4Aq6ddC8fATAXkIGjZJzmftB cJEhArI3C8keVk1y9hGSnMG6BEVUFBnCiym+fBQE5XL030fr2KDc4oPzdYI997WlANbk+6oTnx1w 2sQSTyzfVzdRSD8L5VvQjUP510lqACVVAK8f/vG+//qeUEL0Qe5ETPyf3Jfr4E2NXY2FfvbDQWrV ihHH5DnrgdlzxOP7dngaXQzFanfVtBA+ad1Mfuoou4lbDJwX/9XHajbg1AClBzIdFQnnlTpXNrFe HMGzugJ6KorVac9U63paMUk0RyJEHgtURcptnqz0KSNPtUHm9NtNnD5/FHHoS0nutapzzOR+QSWp Cb4HbcMqYyBPZyjfeyRL7sNXsnaU718OFOfK2fVr8oVx867mmRkoNqmUaOqydAyZ4gKbHsRkxkkp ZHYsX9TsGX+0VPoxzIKhsULEk+7hMtlMrRoAXWB8ofSfZ0+YyqEss2Ev6cxM+9QbBgJ460SjUgd8 sLKuhBwWhhsw1U9jSY24iXl3QmyJTnh0I8D9bOSnGGDipFFAEcFgjJazTN3NswMBkbaWKDhXFU4D 0L5g9RQjHwxCtI+nr/hFYpztuc37Lg7RzAOnJ/aWRAAl5MmMhSo2rKl2C/X3sfEwomtm5HFyGnad oLSzcC3XMNlHSMqoFxjNbEcaJszdRbfS6ctOY5r84IRrDZPne0ImfVkTJ3d4XoruovH2SM68hw7e C5yxBctNvwlAoarZo9YHQw3qUQk/+gE0OmRTkuOI+wjQfF43hVBeYbpLHsX1cJfQEgrtzwdwgzBn 5q1Xbds96KO0p4t+wpvQ8nL4v5N2ayL4A2K/mkNOfn3rx9XrTWVURQdiq6hIwXhpmJit9b38ytfc xmrw4v9RZp8w+uJHXBs9MdauAQ4EQCccixIPqOEoR3exM4wLZ4Uq8yRhaK1vhNjsNIuSR2xic4Tu ZTBtBy7YpdwlegsGcHMbwycYEeACQRNqO9W1TDES6mVzx/nadg+Nzy9KGp77aAVBjha3R3W2bP/s WrWGIiEWtulAwYH9FTrTNu38YOcSjg+Z6ifMJrUqbyGntx5zclIzRleQHlJXzNx2Sh/u+s1KMxAw 1SiJDD+rTZocyGO6gM1NWMCR51ZkOPQxidW3cVitWHsk95zj4oRh/XBq/dDM5sJtUuYgdpRhk0cF ku7HN0MOlUIt8est5wccRWbxDePlrT0T8NJiQJeCZNeSDrMigfOJg1ccZvJUuN3CYelPAeMfjcsw HFqXrhTylwDcvgDCqGMGSrMkPzyfmc7xDG/c+vwHHWcQb107JBAw7rDYCkXerozGsHbJyfQQT0oK 4lrFwLf0BD/NbwjATjPb/hZuEQHWB1v3LXmv3jSDjmzqSLNwZUHuK2HFE4qmJoIZumK2VQWKlN8D TQnKQQ3TvyHY4k0k3N5m8AW+AODe5GMk8USO5f70MLJ23lu2SDYOdKq/2vY2h+SDz6LJuQaYT++N utSDL1UX5ON3tb1LmWKPDG4mU7sLUeXf43NhMY9Z0SamlpIDr6DpISh5DMnaPj/8pKF3T4toPpg3 BzADQ3QTaCzZ9c6fF/5WKAe+OdwyEqXtk345PD1ejCANsrM6q5gQNEYfDFCOrRhMIvVESQJNSLy+ V6DmI8YuQIxv0jyprS8BN0U45q8VERuyBybrQ84X9aQbH9jW+V+bZp9YwS67/0GmjrgSzwGLh/+H fuNdPJZtnoT+1/Mv2AxVndDhQvfnxpgQTTTzOsWWx8ZZSGCGKikdWoJEkT16wgk0v0/QhSkcgfcm JXx4Co+hHGlJWr1XF1Sfxbw57vdXDyx//tm+mAaaffasY0NXxrDYVN6GE26edxZ8yWODXP0C/DUZ DMANBOVt+qDjjRhN1n9ET2dOaBkwZ1xv/8f++/r+er3wa8Pd9HXk56Ju0+gKspf1zaj6RMONflLQ 7EAjfYeYnJKCPycTtCisVExDvezEFq33VUqmEG1lURe3wiA6brk3bP0bPUFXVFo6+xtWwGwjxo4M YrCZ8H6aRjrch1ACiJS4UP5Bqg2ILRw5lWyMGz0YDQ3ZyxiTYUkvjRecldSXov0AfwzuqXISl/xC u4BcjxSxo1XA4UpBrr1RqkGpaub9w/QVZNLH4raR4IMFU7A7PxVjn3/ip7vS8tFZyBOCK4n8XitW OAP+nP2mD34edJKQH/oi4HnidURJcdyNwDOUwOEd4yHK5FcV/pzQ9taLgIhpu0ncz8z4ApewdiU/ DR9BH5azt9f4bSQGJaWeotZjHkHnq3x66B41kjl4zgphsZ7XBmKfU8T656BLs/JDIXNJabLWLKR3 pjJXv4dzoY4GTGrxPsQPmdQBWS3l7p1fK/Cv6Z7PQdxb7DK7zxVBGeS6KACbS6Pn51KfFDemDEVD 5r0jkjkuTE50eEVYryXNVPEPwYKdxR76ZeffbglUGkb2CsuwilC8ixWRDRztTMScyBvyDjvYXkJf grJCKh7zJ/XvbvlMUs9Gw9pbFc11T71+GW5cVouvTg1sn5HKcZ7sEtmnwhvBAqh1LyBS2wc6TSw2 44YIMYfd6YYSC6W97qsWSW+NWWXpFi1bfkW2C5DaF7LeodPHXjqNn3DxD7mnfjh3e7CgYi4UKMZQ 4WjfvbsQDZKEzXnyTg6c1ZGUtVr9w6Rmq6xw2IasgylCBxe1+lQSsJc4I3ElX5Z1g3I80i2N7Af4 pnh2zUQTVZF5YPcNDcEIcQOXxuag1OMEorcx0Y+mCNFkYLu414zowMzU3VDxSlLJgNKZgRcsRT+E M+J+oLQQ8QFuW/VBuG8hpWY/uhSrQ/DReMsk/15YVzs1IXkAASxu6osehCSffM1chQkVsV8kxCSo mAUFB/XpBOSNN5rSKUt8oq500h+pLbhltLu3vGXen7JuP2FFO4zMv3nt++ik6hjD8/tLcrjYTgXL xKDS7f18Kw0HCqRaaq5jn+c0IhRpFlah0exqFop9j9sesW91KXimVzu9Q1xbH23miywciTJ+8HFL yUBO+crqXVgQQy8tw8nFZ4H+BVxp6iXSh5SEQ0bWP5nDuFSndLXigYuTVpITuTTKZWyh6H30/LH4 S4TOyg7ePQRocYE+7FNjRYwYu1CuzduNGFpQHUAmVo2Usfbx1LPB0GXpty8S17QFUt4urjazhgXH QeH0FzhUvKBrS5fOPUS6dvvG6xSWoAONlFXhoCeib2EdJ9VzBzzos3u25BnBXturzYG3AlST95ze zY2Ui8Ff586AArXwBNjbIy/cgGGJ9abQOFPhjsQhwMs2pcBJdvFWa+8BRYlnXeZQHxWJlDPUBvfk 1BUzNa9NEt6QX5Z/lVp9d4NEGZU0dAbTt2ntPlbajaOEy9UuqA/NmPjNHgqpPCbpn0pppHJl9QOm kEeeXC4zVas8PRvZKz7nmUEjIEnKGEFeqMsengjZK4QxOiRruKxOZm86ExUumpMZmwFU6iIzHrBb M6ng1S5xGRerbiYy9BVkjoWuC/nCfNrFGiqLKRS5K60MErXMx6Zaxfm5bi2xExD+0BWycKoXSrtt ulDwNEmTl40m+JrIZW4/Uihny5aJC6v5Do244HRVAivkfTpXavL/S3dWOFLuW0tp9e6JozvUOV+h AtbPcJ9EvzHTnvexdzKGCatOgDMDIIVCYugA29qxOs5Hx/olcTmOL4UmPHBhCxEzi4VxQeHhKO5/ csCghPQ8wpn+/BAssZSuCaLQB6gIDovNHeHsXItds9ohuf+jgJcnzNpohFxHI9u1fJuazVI05E/l PrUo7u9Xm72pECP+DNfJYO3E39KTytPJBc66wLPBWJloK4fSmp2YOuO9/pfbf84i0exBv9vJyyb4 tkMN9hGRmXDKneA+QXsRVK6A0xF37PoLn0ZWcA23UtSy8q2UAxM2vz/Gkb8KGhuKjCKxxhOar7u6 ycSrldDSudJbzriho2jrUc/lSglbKTjo3HoytOz1EknGAgty9UPqJWkWIBDE9iKJRIdGou2lULPv wrO6N1jveS1ZbvRL/UKZPsgkhcfhtkKs6RLLr+L1pQ/Ju6YFpnADqKQ0OlD88ZXtn6BU7ijC764x RY5/EtPghBem23TIKsO/bvI/yCD3BP2VmmE1ftgG+emRuyb+h5rjv/RQF9bc33eXVzZVgwM49P+Y FndpLZB2XsjMgW30a/bPc2K41sIxQ7warod6vDAxIlbHUZM8uXmbX+T2agHQBmUhyBUhJuWdgoIU dw9BSW8YkjN+ZIrynsNutCc7abyaG6T/HpT3+O7poP9wTv68wMs0lh3hhZy0+4BLUMXLZyppVepQ A2f9YglVRjd5RKuUP2jDy5aEBJ9kF17UQR/16zyk7vW+zS6QsnbVRi0rEqdDjXXktXKWqO81o89m ueVnm189LAmiSrKuqlYv9ZUMCIWNgO9GGJ9hOFDYjyuUUxJXIMXczwBo3BCK9y5wUbB5yz6DTyYV 5GCHYrT7aep7oL5y/MbPwWNq3Z9NNo/SE9/XQcUtexzMT1dS57B3JNf4eHCpJb70rvxoZjJ/Xv0N VBhgQJpCpI9kg5jFKGkpqvkBUTjipmE1jwSn1n27IwMbTuBSAWUHZF3nyeJ2y2TspdHuj0EOzg00 D5Am4bV5B3cKVUwH/GePyScqTDeE8Wds9O2fyXtCK7HeHVICN/Fy/tHaN4zctdolPNruj8+o3/Mq s+VOUBVD3K211jaWoXwOxcUUHAnwW8wYESGP2t4xu4EQg+0G3ekGhOB015yOoKtn6+3l7bmJXBYW 2s0Xu60HlS0ojqBGPxQWkDJe8z5qpN+hAOY6E9B/935M8kHvbvucg5qC8O+1qIdE9i2zBrRZyrK4 5rQbTt9ddRgMTGRZd7EfX5AMqRyh47Xc2mBwctFZbt2lUn9f64wButlNaA8fkrZY7mxTHGxxN8C0 /cAxODyY6E3ZI+T+V/WhnLMdVy/IKVl+uAcd3ssdj8VgoAEaDBn4+cz1rNz1C91XxxnpiifdBjdG Jpp2a5ByqqS7a+up/C9LY/o0YGFJLT+aqmYmxQw0T0IMufG981Cnzrz73Y4vYos7BAUylguHtH14 YFMFdaYd3BDgs/XFhck2pqkckCX4a/P/26tGqBG9oHsXaW+wbLDsd20EGRXkqS47CEarvZZWtewu 8ebGw1cXwItrowIzhBf5ebE8dMULKJnnKtExh6OEv0/0RHy5Pb0IyD5v0sAYEBBcw4189L/bhjfR 6zHCsGhxWOvdusVV5ZHtX74rqLgVjluITGxkmpgduo5e0k3dxVktludQFupNsWwXaZD353nbMvI2 tvav2+C8OUOfzZNO7oHMienRH+gzbhlOeFeaS6+hX3ckwJ7FNcbdD0wfxKXocgNfDUHKScm1xP2v DCGPnjy6cpha7sRP8DUM/18afbLbU33l2NJdZkWadkp7ttl+l5lBQFSEl7T6C9Foph4kgzOhnata OYYQDysBSwT7ygKpiSlwxi1QEBMDsuUuAoXkj0TR1+JXVe0RuwdUn1Zsx+VAMoRTvA/tbhWqp3fL kbY9ZHE7DLPUFqWDsSiiz6dP5Mf0P7HdpXKZaOa25N/lbH6INjFLB7CL+WhZMsTmoBZbxKMRqnQa HOUhFmYXQ66SicGDZ021yjAOMySlk26z6rVZrZJN3LSmbPuJvT71cmdXHPSp+JFr1o4of5Px2CU4 D5Cynx+qfui4ffELDPqHKXvuQ9UrNLZN8vguOcS0VT5FOBV7fM0lxWBw3nyi+9cpa5qOH8bb31Oy peRLLIAC1+9JE0lyJuxsdU3limX8I1hrC2cFUSYzTZVZH2fU35vxar+uzphL7vCP0nMcnQfuPV6K 3xM6/MI33kwLDu12cEUxeVmlxNxiz7mltjTeA+tU6X3GBkeL54gHkEWXGX/7lYL3qNvmVM1u5TB/ TmTWhrCL4YuvfhlA0QjvVnu1P9mFXqPTSsE6hAtGu/EcGRRKZanbeCnuZeCKUnsHgJzLXfRFoUTy r/BXsVXqAZTaN1cSP4xff9QSAxs9wzgFQkWWdirVdj/K0v4IDmhLkjWfliV1Fmtgn7zheTMF9jXs zX+As+4wX9EVHu5UyMIG8fvFPxA0on8Zui/VNSbZ2sQ0KflNY+f+4FnIKJL/QqlUSHQabGxTs62U hKl0giJLkcmmLFutFNFY47yC4H5ViEG0GpLcJq6alV1j4s5FcGC2J2buPMyabHc4qo+lHneOqVmG UNc1sPshtpcsaJA2ESMy1B+/NF94R03qQMm62QqxpL5iZV/VjuAcvTpANp+w5cHbUfFsvk2vAqdl J4VNswd87UQ5uqF3DLuJyJX+ijN7yfqS4tjLXUAigVWq0hF5jJc+5xX/CDOOyj/4kLCcmlJX/v3i 6HIBHNb8ZNo2X4NdOS9mFRh2JBELEkl2l4Cl7JNzOr6Bx/pcRgmBcUQQTDk6iq9kBVxCIwLsjCpX fQzZESf3xVKt+bUk8zCVFLJcW76RftMveYjTa0EQaUouYNHNKIpBBtrg+jwuZ4V+R9rgQ4x3gIlP QufiJnyImqB5sPIF//LMgfmIono/9RKTuoH+dfY1DNRVoq1UBGCmYDIL8DIWpAgeeZnfD1dBSTs6 Lztm13+6tpMN0l31XtPZUZEmKINp1xVZt51X23Kdepeq6/tdh0Fjq5lbfptc6WkpZEUG0FDw2XvQ fyU8XEbG4pTwEb+//E+hO2EHVGZPMHzz130ZQlGiJUja5acktnpH9tzJKFm71qYK1jFgtqrep3gM W3wJv/Hw3JEjpd7XpKJYbRDBI2bIY7hjeP5vMVWiU6zE0iUmv+QLWDAjDaltIat+ZzTHZ5Q/ZRAM LHvWT7o1MVq11aqN5CmNInTONobXbcjEAlcjsF6M20J5E2C5UA/Lu/sDzS6tcFzI5exy9R3YibRQ V34nGIXObWFjYQARMBbxJoi0vivWa9dcqD17BuX+wJX8BPD0IiYB3hJJcFFv2aLYAx6PiWH28UEV aUGcX+WcKkc7j2a6sy8wW9yQRIrpkKx/YNvUJ8FQ/7FjIFSRqz1fEpU4DFAOb+pSkjJ2o3ttVQ2R +tts7L1MoRiP4IZZhzn0SQxRRKWGAGJYflJQVaI/zOkexH6bYj6kZ+1drTN7STSOihDSprWv235Z Fv9TIsEblO8KCauolYiMHuMkqBkiu/MvMTVwae9I8881jjQKc5hhqSV1SJNPuySre31xY+p2spV+ kQmeEkFxqmcCzBEQ+Pc+hn60XxfvHWVF9Z3aaEKl/mnm7ByYtK4mLicZhhdc2dvEulfzsnZzOd8R rrMz8jG0BHjYWRKgobg+7w1fwiKiAZr0bIiHHgBQ48x6pNXNcMlpkryZqeIoJ30zIdY9+biLwLfj 0YzRFttMm5ql6OVDiAY0Toqhk19XvYLIwecEDAh+LpOWegIyWn8c3sXL4TvHaKEqrjzJ1qyUzers Or/SFbnK/2oLARySg7bD87O5GutT/3NtOsgvYBoRqujhnuSfo/tB0z/oEu/HDR8eF92vtzESSEKF eayEXZfPz39m4RTbCvLO3HmH0imf3BhrN0nDnC7urRgPa3t81d3yKkrrSl3TaqVOCX9mB66AsYlf QJmJoLVeIb5AGFRj4szIH2nNacZp/SvI0PEVtkJ01j7hCWKLYybVqX537zJOlkGOXB/fRuDRLA5d D/gte1yTrZ0a+XDXpG1dorkLX+UK8a7Sm5IyrIXKvHYn8hW0VYdG7u4BlKs75Brr3MKFCIhzvinO v1OIPinQtaTQgfELkBHpgYj7yQ2CzukgNW+sM8FSQlXReG2lLFoPgznnXkTcWAXHznmX/D3B2sh6 yePMjf5jqBE8BFqu73CDJOupPdFv6l465rqj//5p6Zj21SDwCAIhFmrHVqzgCxbplch4LId4GKw+ gs/bz6tlhZG3TWihahZotRXOdy105fPSsVqveV/gvS+gejl5iRSavIr/XYqpOaGE77duJL5NDvLB LX7xhGn/8z6i+WQhfbtRr2nNa6kxRKjpOVL+5Ne+ksplS+Ym5cYi9gcpxYJMVYFx9Uf5HWtDx6ru j9z1RN2tkhVR/PF8tImOdDA5EPshRrlVqnJDIlZ5Lbxz2cExAxIJv7siQA4Y0SQgtgZcqLhtNW2g HbLHYy+MfuG1xqV1vDmhPsJ46GgMU68Ttlty+sNpGL/YNYAt+XJ8rSgtbdhkrSQesPP5+6OHV3MC SqTfALxmyvn1mig2ZK6m4qAZABBiEyYCTx7zOVC+v0PgA4jC6IAkGFqjGzvQolkiyujoGyHW+jmy vMIO/S61wqHci1cOXftx0k7k2Gyv4JkrRKpIxXMoBOf4v1Xs7Pxz+mU5I8xKsn2cmcCe5DbcD5JP LlTdDJH2qTvO+s1O5TvJT6jWdVs/vGzKjRlg1COwaykKYnFyoi0p8vCNFSnC7+Vw11+Ui2CgsHK/ GEqsLbRYJRQb9ETnohiFYjTFicA6HIgvfT8K9COD7hkk23rx0Yn3H44+/JNf5KWGSfclfE06EIpW z/TuKAPDoP1qk6E2JD7MGJxePrndKKOPW600lnobXErN6x8WeuCFj+Toc6dHd1DSyISy5k6PK+e8 lKvghoJAs1RD0hHAvg3vAfRdbQ6GC7bpZYarZeXxv6c6DLGddjbNn+NApIjdJpW6dHNIgo0FiSTw OpOOcZHlyprzEu6yEWWWXToyScRQV+klKmOiennowuObzkf10z+dvSFf3OrdNeHV2Hfr1X0gHO/8 gjwPq1WzuFSBiFWDyT6ZrlbcIrFM+kHmBPtFDiCmUIo+hI8+UH5PvbyTHG6DFX1oajwRiw4n3odi wp+Z7KFaUmyxQaEZLWrJYoYtIHn2RuMxFGviQ4Rsq96693o9ycO+O/KiIRrjJ4CO/mGU0LMUZJT3 +oJk5SQ+gBzq30gIsqHP+avRcZmZtY1vNi5hW/nsPtGrIqIjARWviPy5m8VPH0AHVzQ0fQMjb8nB UVyt991Yw/PbRFMNUEf0ayjgm+4rpGQ4YUj7fW1CQhPEmOdBCsKy4OQHedeMWXsN39HPjyTQwQJO 6JlQwWMOwV8iNG+wZDVBsg2xZedah6pIOfLKShM6UE9jxRL4q8Mh6TvUXWzgaiCCllYseYOXty6b ZZ3q1bTTlJREMIAMa9j+Y8azPZ1zGi4DK5Xpj+FVy7V85J6AGgsUxyij52Db/5NKxqpAyj56DQok mQtltsjm7Cvax/++z1L21uk2l3dSwRHHlzdkfihz8rx92629oSPGI4sFKwymAVVhMSVmlGOZAD7z nDTvB3yfsDDD/aiK9Y4MRyV4WfTIYcKNu1fs/web8+C0OTWINy/3HQNMMJurqU4/p3NphbxMT8t0 6XoRSa3vhVgCf9frnuVSDJE1KSQzAYeq1vwY7QguBnrQU0ikjgZMmYtofUT3PycuHw4Br2CB0WZi GaakMUQdNARMS73uVjGU7GuUB0BOXdt3zIna8U74fN2b1KvdlnwIjbXbWo/+G4ZqLI/KjPJL6fDY OiFiJRCdAkpi/zuV2lL5pKoF3zuZBjV9AP+dV4pkXJyc3We2g5I6pUvVsCC7ZE5rdtMCK4P1ybdq BHtcG7lxSvnxG/snRFKaW5MzAJzYMPqQOMRQd5LeBdgiJYyEZRixEq1j/aaIagBtf9XuE28Tqqpm JtoSMjt2gpTHhEbMSDfWhMZAnG9Xpr9bUaNqdsrAkLku8SO/9UHdhARDVjzzIWGDec2JYwN5Nkoc ZTOd2BxUWcTAARmC/Rl4L+SRAquz+mkdlId6ya2F+VhEbTbvIBRk5PgRpbjJr+t2qWHu7l/wi7Iq eqi4d99llfGadZ54rHPoedIOdtKPGmiuYmd5G6FqVfFdDWghnpthu1NloMQRe9TUhj6olXRjuw30 62mEfNvVOruSwF9a62agaO9ltHUyoVDxinigedkTq9DkM9/oVb2nDq50ikkNktQePJUeFbnoWZ/k HnOhP5+v/oHfQMh1b2MDH0BqyLYp2LsoYLKiurfyn6LPWer7/fSYafjdG8EyHT3/HDt4+tgyBcKm BAXPg2gNqIG9bdCZZGlDBZt2KxvQPhcJFKpxrjDnyCy6OTY2kzmO+qmdW7tH1lv08zjyIYc+QIv3 V0iBzKVfe6JdGttc23SdNu7aMfP8Iz1WEGHkbwpg5SyG+1cCp9NOMzCfTd0YTYgA+scK+izrp278 50R3KSiKePz5jhyp2VyRWPyiq143vTQEhQYn9tAvH6mULX3yTXh6tbkcNL1e6T8LxKOnATbiZALN UDlKRSciObvxm5CsJ+3GSTQzT6EO0jgdXcx/LhmWMihHi9gF7YcF8Us5ml3vLZxivWtg/kOD2tOb QFwvtSLkXRRqhNPcZbHXz9nxoumJLArJRA6N63p13OtESvNzf15AwFeRwTw6rDtA/8MnCREJxpCJ v2T3L5HjYg8qZ1LcCf+edVh222O3Pk7HYrWNaK8T5VLZsujHzY7hZRzmadKSnK++X+0SErnjOO3K XN33tZH2PKXpjmTmdWz4xUKkKkE748Wr1iUu7lX3uYdWLj6W2zjeiUfFP01kltApVFDhUCci5qIE z7q+FwtBDqIle5k93bZ1AZv+4SzrFVh89Wg/o9MeARI3tkZHSmFq7NRmAlwzLVcQvCtLFz794snT m8CskdQ0s5uw7ZPPXCTiaKswbpX8ePnEymIRW1zMA8l8AbrDJ3G90wsVEbt58N5a5A4nka0PahJn Go/SEUVRQ6rRntaldrKIE4ny6N1mbiWqCNrIY2Kgroyu+SjqlDQIWP4v0YvVa/Nfgv6nh5SPYg37 BUKU28v0rOKCvk50jmol5xAnquJgTQtWlQcw8bg0EH3NjXMlHOXNfoEqQmZ5CfTqYg5sJ6Z74pyP I4EMpS+SenzUoQhIM3BwMBztiwCAVHhnhXzxSDOIUVob3ixu08ugTNZDKOT85Qc8GefvM8IS47V9 qmLLa3rzVlflDcsuMlzfJw9IUZb3RBXpD5we6T7QVbyudoNvm7nAcgVil8Bbw2QLWZDkR3lfBC2P 06v+MPF2BwuJv0ZStsmmSfYU8WV96sExcwTvqvuyHXBQyQNhdKP8t+EsQMKYKSb6d9oiI0yPl060 SS62b7Q/qTsSgRUfCgQN16G0T9kADbPwb2mDNTVaO/exB+oJOJLIdzastd1r2CccJOZptItBk15l QJhLht3mr43nW68GazgY89xWukQcLMuW5Y5QBma8bLtx/36gaXpw/O7MB2l6xC2NrJ+H8woLLdX4 vdnKzguqJ5Xgu497gLUHxF96q0BhaKXBBO1BsBoECd0OZGqV1E9bTPXq46369VCkbkvj4X5bDRrW DF6rT235CZaFjFB5cFU36tI8kEmvcrzH9cqpDK8DlYBsiq12do/+SiRqCJda9Wk5sDfIzLoDfEwt A6pUCsOEubfctf00dqmdfuD3CS6d+8jhsvlpPlD00fsOrmWh/9J3DbJfA3XTLnZlQn5kqpMyhJ5u pMqFg0Bemya+IymeIKIW09BVMrMQmL1Kh8lVbjDaGPsc8BB/pCsVwigyBXSSBytfxo/aeDq/4fq4 2yR1kFlsJin/qeeRK7yHMLZ6x2GtiWozG8++QUpKGqcw6x+t+0TrOwtSHde4QAtaccKWiqzDlosf AISs0yAuBaoVwv5egGZ/Iwn7ekmwRZzrTl/aCVFCCqhZqAoQU2BPmzzr/8TcuwHzNFPaffytlzwU UvezJW6TEmsqcpyQN2PjO+cRouf7x0qmNXWBNN8dNiPF7dQ+uH2icbfJlaq8H8irfwmP1l1GP35Q 3UWqrM17d0GIKklEaJxalbtesQpWwmhsYWd0JVHqdchDmp8VNVUtycaxcLUrjJsbu86FVV8UsZwQ 5cvBwz6L2/JaNG23xRFMtFAri0AUwaOvfkfJhp0wxg/nz9KoTLhAhpMMZa3dEK73oUmukqXG/Hn7 GcilA4kb7+t1jNA3wMkMdEdUU1v4dnJS87JvNk9jWF4w+NvdMzfJ+j0w2XD5W+01JILu3aYA4rvZ QL+shLGKN1B8/XxbACV5SlDWbamuBqCR4g459oEVaZLMCCVFc1che6gtYLstsELWmIyBXNLRJH6N 4B2d2IrRIgvK37O3MRqYjqH54/XHsaCkDS8Oe0Fgl+uSK2AoxlVvFyXi0yetkS64PQqyZDiMEKNb yrNtwXsGkxMMQLUR029/cFUeIpeWbB4X/h/jurtL2GjmVWt3ZPUeZQ0AUo+4QvK+nfONUMRL+f4N 95Olartg+Rd91mjPqWgEqSemnTHoNtdyXZdjGZhMdgxO220K00fbiwFvp6bVnvGZfjDIXq36I+p+ Bx557OoCbWQ6fld9H8IyO9YQLWgRR0qauRE2dPtxF86j1ZbzwKve8CrGONW49hdpfWg7cq0Mwww4 zHHCYpTEgyfx66Y9YciV0rv0qUfcLkxd9GOVtFHyrot2IgmCDY34X4zdv+jlxT76u6iNIeT1yNFs svJiBiP46o78XBkoV+FuacKGaK3fSzfQH5UkuyyF3IYoah69sADYowgVXG/BEkFD5PvDYz2jfY24 yqRcrGMG6BjRCE9j285Oya8mQvun8pnk7IikWJ7QP+wCT8Oy5gBXBvjWwRF2MBVXRWxNxKKHAu2T 0YGfB+KoEch/6JypIWg5l1a4EoxoCaxdEwojw2hwEK/gS5aF1K3mRisgBsiI+yDN239X+41NaH3j TXCurD/nnpjuPUtJoI/7WrChdFISSg6yPW6F6eUPB17eR8WHifEqbHeD3iZuiwsvURE5FQQsOsug iYjfoKxZf2xvcNL/k/o1TLr4cQRh4ePLn6M0VoTqB0Sx7cSOQ2EebthH2wj/Pnflr7jwhtxfFkW5 BYbPHWxaaKKGG/ui/Wyx0nz+9bJlLN76s3cp0JtBs7VG5WsSyiCWNl/ZceQjIpHV4M1/v7S6F0El UQWfvFgJ4dAktkXbOiJd8O1YxqmLrYrFt+dwcFOXiUMeuMGa5m0j7PKWQhi0tFiBBSurFOPLGCoK BC3CBvzZu1b7biv65SHNEhkSJxDp9isxRo6boTm6IySNtnfbP6XY533cMbDFpifhDgoVb818ihGX cCKe84z++CRuGyM9Xl4IzJ7LYR+SR5bjyjm6WPL13SKyfAt7qaAsNKZMBH7FR9WPXewem1W7h+bp QAu8GRxhFRuv1RA8qvQ0g1vB3PQJQmok6yW5W6p1CMQtFHunBQJAAr2E4uZect8BTqusIcUv+4fM qUlbyY1y0Voi7rrXLZ9Q0FN+ZSPhX3Uz6a9xI0s4JMzYYEpFyVyVf0qdopGFtwf7KFgGM91nlbgc ymoNZpLsPqN6Dgxd6yOfuizjjD2MdAd4my+uV3VSndNdb6viiJl9XxUz6UPzHUBw0tOWPaKLW22E tMhk/U/r0yTdpxyiSCI9SjpMxRIyhnOgzjpHL5NMDXs2lrBZzdsRB2UlbtOy5CuAV6JQRbN+mVx+ dlTk5s735WXxQJzUDxu42aD8ppGnpdmdTO/YHSiF2fO1qGof34LnoD1CByJQgHfDyglt3eoBt6w+ Is/8xTqOjp9z8SWRoo96rTi6bmJ/IhjaO9m22eT4JOzUNdmO8nh9e1bbKQDGpgE8WFkN8eUc5RAf reQRDmVlF/tKNaoER35n/Flrr4+WRxdTv2BQMxa65KysEuHLHIwokisTe7lISxr9MtCQB37cppk5 ckZj1PPmxF3tUB4mmT3K8pl/fQYlWdkVSBkDqBozmIl1sRWiLTK0YTXk9xZsNOxR7htczdBViF8m 3SK9ejk1Ax3fiH9vxlPwWVfNBO2mXF5Loh+B7Gf+aiPxC7sezy8HI8inzs50xYY5xug1vEjY7HKS +gOhfU11IuZkaL02mGusSAWNXX3CvKI3IlvZSmLfNI0z2HbHjLO2c7ApiUBCBNklyplH79W8k8xe +E98UoOs70SA8wkaE/x3LHHjOZSzF6ed7rY9kuD38rqQm0qL9s2ZOJBYtaQjSi4lNfslau4R0hTq /JFsTjBiaAyVDqySX/mQU0WSUkfxo7XuU741BoWSL5pBuVtSw8Vw5LDN+SFdhVZqfIPg6O0NTjmE Jy5i8gVb83XQeEmLr7aeU/MZc/enhUOXtM/kasYEIYgjzCgZcZYSaP5QX05Kkc/pyaYJq44ZYQT3 T1fIBy7HbpMO/5e/LS+NEYy5I0TXOKy+b8aZWejYgklL/klcalud6Q8h0mgW7knLMp1TDUHbXiYr uhqtr3TbqYvMhHXqneXMAGhtS83zUNAWDYzkTc6NY1w0jq4wOp9Pugzb3x9oqpfCn8256fKcDFTo EBmgPpeM9GX+CMLiJ8N/aW7tDVdqTRnIJLy12pScoird6LaTgPyZf6V57wU32DvT3iQ1Ecjg8x1M Wyn7x1gwS++3J1wnI5QLPDfvDQ1PbLnk0fu+XDtkXfwjM+i+iRqEk0NALWF+oyGriEnY4BG/R5We tQC4TRvJDlqaTPGwhkZOOP9HIhOiQXMzEYjVKuk/U0N10/uDxKhFbHy95HS1vcoB1/JbiiqB6Oew OcADxblB0f/ccJCII36m9egx/RRI15lWRR0DTxurFxQbYim7bGwHfSifrlvkMzvj9ZI9F6zP3wK8 mErs8WEMTLsRJYHjYy89r2CZ58kVvDfAo3M5Cbz4/m/9L6xsrdLRzICRwFp2TObeeg4+kEJdIXid BC5wvoihWQ1CfDfkCepFA7j6+yjbIwU3BGkmiB2biYplGf2v1yRNPTcvDjOxNZwbHAMz4pWorFq9 iNqGDhUCw7tNciZ8qH5Pbila6S7KnfWzSHlaY3aDY3jviMIcdD4LlS21LsQ3B5vrbAMoU3CU41SC qxn457WB9gcC//mTa/GAi7SSa+XStvG797y5RvqYc713juh+bEj0hf79OzQYZIMGX/DzOb86g+CD NTQekfE8IBJimtRiqyn4D8JxFSwW3s7t4hGE2EEyak0bnxg3C85T5PrRJ2qmmT9tBQkIlT1Gcu6S EaZVpzhHFvcogc/i9ZL75QN+aEmKk26Psc92nmHMSx9bRdbZfRcXeY/ljexEzFhwYCeRVeOhUFw5 f4LJpc3iMEopdGcRp+So6bSlOaOAh2IhAfToDsz2CaqFeKDqo+Vr2WFCpBu879BxidvjVCUA8Dt9 XAku9QYwzkT86AF7lRr+F5lO0rOoUK2a1MSw9ohQe+oANMjD69XkbbJd229YAgojrzAZBcUdq3zK EK/Y/c8kcVZJ5RsvMrL8+GdUoc2nN/+eati1cVrp/pQIobDwMjAWK4pqPzQoHeX/fmLtosXEaBzT 47Mo4MEjREA89MqdGEs92kjj3dsf9yk6yrptMgOr1X3Z+JrHIdsSJ5D+Ge3uOH0sZC9CP+zze0XZ MU0/XR+pSNoEyA2IwV3ifC4HK8UT6EbAwvzozaP9qSo0yKCTLKBjb5UAwesKJpyAVX+1pOAyAASf U/jsRLFtYnzZKJkY/SNjF0UggMk2WXrnRX/y4C4cmI4F4hyR+7zL36NSgotET4PgluZPE7EOXLvb XNerrY0n/i/Sna3lXeMwduTRhL7ihZyp0aUb2Q4rQG0EY0/CGprymqCnqrI//trxP1DLaVE2ZVv/ W/fuYIhXTYD8lTm69pnb9lR5zY7u6S9qDXWWtC+EfLMYsjT8xMRp0gfkpTMkOg2FbOnpCx0miRlA SqwP7HhZLvdFXdYI8I56/qtr1LZm7rPQ1hlAK1QTGkZ/aIqV7ilIrnzOTn2hjoMT28shmPwFpApE 6KTYklm0JBcX/ZtOniZHuDgDS2lMlDtzbWFqa93jxR040zZ0bXFevoRyKr0Wkt++PJir/H6axCMt +/ztk8XMnHCB2O2Hoyxcfj+KfFbCFa/5kEjKYrXgvqCbsayHFhiTNwFvQ+p8L4FCFjFVggi3qnyl bU+AAd3jRU+RG/l9E2EdI8ThcWjGN+9mJp8bBH+W66bpv5rFnW61MjoVtouabeKjivi+cc/heIqb k+DTAGnGV657j3JcOv3KM5McBOqao7l7nc4EhRA7GYjWggnQo+bDU+f+9vFeUvi+rIfggQ4Bm7UX DfuQ3SO6bJzvOjTTaCfcI2ZTZHB/WaSB85GU3Zmk1hrqK2d+jBlQs65B5RJu1O2j+Fco4HurQNn1 +GFEUWMiMRuNAJZrrMxVXGTD/TYVAMYPu5HW5m4GAmpBQXbdp02wHhNcUwIuEUGsf4Vlt+6AQ59Q +CWfeFngywiC7l/mcYvmZamiAgp/LqQLszRw1zD51sb/G60lDWXANLWo3iDVOhlC5VfPYqzi+fv7 k1qPAlQyO1LQUrWqMYdfueVNHQeaigOHoqQfKGZE7DoewpNPC3nrVAmp6iVyMwZKVQkWYMDkEeVo UVG/8EuzCIV4wtSMsWAgaRhWiN14XMGOfQU+YakYgOH8/qB3hxFKRNsjPy2OnwxJZ2uz3pAKhDSF Sc0g9KWggrZsIa+QtHA4wDJsuttFLquyuOWc1DTh+dEnvNnF2OlrvIAzxzcWNd+rkm7lMG86hpiG 0MXAPRdAXalZiUiHTCDcPk7G099o/2Fryc07XCrgO9oiC/7o/a97m6tRVPKeKfKy7V4SiNNgWN4a SsJaprFVhXTfaxOpe1D9VRJ0NV2Paq/ZqX/bL6Jsemm2iHKppKVqvxwgurHHbNeggrp4ne/pulsm b6EfVPzyCvT/f6A5ZdKcajHyULqvmiSpRhpwyhVNdljUG5G+0CMISunQMg1tTqX2NRYxaUhZ28XQ DaAjeoQZLE1AF1SN6jSTpM6fl0qs8CKvkJaU9xqzuwk1qsFfK2xAboodZtEeNbsURq6BmI3AvQ1D oRLQAOc3XDJ5/Kgn5qRqqCyqCNFKf32Q0dO1k6y9LgKEEK+NhBc1SBxmzj3oSOy6nlGmqVeMuX/b ehJq7NnJrOKegmnapdk/VNSXn2nI0zVxDTe4BPx4gzsgOpwW1apIU3e5ONPW8yEbo6ru9XFmOVof liRj7vE4qr4frvIBKTSejTEcjWPGNHEoFjfFy6tCOa/AyVDoMpIxz4RNrFFq0FyOljsu+YNdcAfK TXHkJnKAm1PljuSpfAticYHF28lwnnp1U/q8JKE8jlfdxV1JbeEu3j8/JuKubsi2TyZ7WdWx3fk6 +HZhoW56BSrssquvyXObKdmqXo5HxYjOViEssOhA+wZOEgFDbycLSRqwTV/EggR/4nhocblxwjgL RxkqWn7ZxqBiC0i5ysngQSGca/SeQsVCHxwP2DV/VEqSRlVbyf2vGjN/9rfmww7hFPnsOdOoCbd+ hthwYGIhQYzZTSbwIuvhDLohdgrQR9atXkutLZeQKb/x8NFXehVWuc5UYiqJYOkYTrzV7gCUahnj 6E9xu/SbggtMXBSz6CuGOtyCga7/NpMXSD/DU1v5/KXipbVXWxWMcenmEBMThZxnK+/D1rJ6kC9N 0DxeDHc1yzvMenF49wxDSI3kpJkULisceggq+vaP16a3MFGGUOz1DvjtmWjsje7KAdzkM7hUN9Pk iNrpCYJxAb/+K5HkG+i402VUWb7rxD+TJrWHuBKcB72HhpAK0e48FKMoPbchPFiQd2OBjptFxBtM 3CZts41A94ohnuyVP1h+XzlSStX6KLe32sKhZh3z8lGZvmDAasfksiFgfolhnH8zkaoWlGHq9ol5 FKm8Ys/gQ/VZZC9vXOSfeFkxgCD1TeJHSje7BZBuHt6cMrFHr9OasAuK3nVpo7jSNAe77SNx8nY+ 0p1DY9Lun8MQHVnO5lBRsvFoo3Xbt6RKBZ1sDwtCoCr+g0Zt6vOiSMEjvTdRdRMBiIdCGuLvi4lu 84XaaH9OhPIzIwH7wQny2A0J7KxLxst+MrYXaO6dCX63nEppy1ohmK84yJ0qsSuIk0RFsVN4bGtI 9vmKpH/ZszfFPtolX9d+KMgW1vZtonP4NoU9Ki3eCZPwbkFn90cS73gOcB07PRMPAf3bjIB0wpul NG2GMgJ1GEcXP5t8v7TyTnSU5RRl3exwMEQhq7ixxDZZtckaZL7QYC6xD0ve2nafQrrGFbAtCRwV j685n03Wce1okXxi8s6RN8A1G8WenWjdmcB0JtO3BieY417Kvv0iHJuB88Ns3yfCxx26xPrnL/nO EUxyq+3QECw0YJkpjSZeiieqkSKyxfmplLmYgdvFFMmN5wxF0rOy6B2s3XEPC/obueDB3hVsse3C /QI6M9ofXMgIsKhC1bukjI9JgGbj+Y753wEmQ1NfOxhF7QrXoEJKs5vlQjGBzHKgeMoAHxA74+to qQnf6Viw+NQAWhc9iSzvIC2CaCdQ7wBD3SiVct0m2SbjvtDiWhHjhOXagC70AA4oIhq+Kn//flCY AzLReBIoXMa0CqqofkmhkBL6GlYAAsgx58F/LRNYthRC1P/DaGLlI2ck0mMFTqpB0NKywdVXB1X+ x6K87NfLylXLo4ehIIYkFwghACl1ySNx+ijVjkBPyLdNqHc0LOEw2jzLRiONJJbcxOetbnkYP2fN JLRnblBYxt9F4W/Rmvkb1Z9A+vIkeMeEkQMXjBqhwWB2NkiWH/05SK5bymAKniSQhn/wgBXniYj9 nsbZjyeharBcOhEwYkBf5Ae2XyuwabN3b0jF7F1eFEAUm1zp//g1zYc2pbhDgEzk34JjukQFIYhQ 4J0b4EOeqjKmPeesDCKxPjH6dOW5UkzfkZuD3R+htwfoZFkeTS1t6V242Gg0Nz5h6V+8Jg3D1guA P4PrRBmHe6TFY6Q7/3qk4HEOrdS24MErD2wz+VPV2oXWfqlpL0UVwAfFCg5qtCeWZLPpQeer0YyP EL96JiDjmPfUJQCRymm53yD1mHB0bHXBY9JGf7uuAogiZ8EZvFOTjfCqC3VlQzm1s9vK0G2ZOqtJ fkvJOsUL+kQL7EDf7BeHFo4RVMVG6GimubFlM3MTzizsnXnH0BFyXz3smg6kAC3N2ufm49l+7X/H 8qr7/i9XviwIgse+xBEWRsFrGKwu5z4pFvsId4ksNRjMot9eAlvVrd49G4Dwvxn6YmT3hZEd0mVr fRyHW2w0bLg0AxzQyzcz9qaumEW6VfpHVn+FAnAFolj4qo3D5ZMpOv/ltBqNFOggH4twibg3y2/C nCzoTEjp6acWW5uPy4WBwDoykVBoLdUQpp/mEtCZXksf7pezqW7Fn83R50/PGGchRoLoHU3Pxeek MFrzIqOUKuqBh2C7lRH9m/9n36tZPakx64r5FxFidBMFXSIG86w3/AYjFmtYzsIHARDHeHZ6WegP Utt4ruscsiTaeiDmToF3zMCT/mi2k+zKYFO9PCHyJHLaNcyIHwtQsdO+phWs0R10M3ddz1lfrgxh Io2S4xKQtWNo50TP6kxjNR0aPhsmXlL3Fj2WPOwY/5aHQq/126+V10VQM83p5fdow9Ny0GQmWnaH iQ4nv77ODHWU/b+E/XKH8maURgDG16P2XDwyBcQrrC58ZQwoz2TfAXd2xA7ivce4uW1YOE9eGtFk PzWk8z5xptrpE1H3R14nruh52oAljcGHXc3GbzjISDEqCpnPPYWOzSq+pQymAqdfAo6GVrlnCjhr V5GaEBxi/Cep14Y/RuxZ+x4zXdy0Lfqc+ttZb7gDsAGelq9kUJYnFm1trwQcW+TPibqonZfId4ET GrYRXLiiZBYFUJ1jql4i6CTNntjhbO33+sjdKNj7z9IX7V9zDd4NnK0885aK/6/UuUkaC+hDKitq mVpKWiycsHfNiiQP/Wx3HrDiWlghsGxRYJ1/b/hARL2ZuVA6c41Aa2OmvKASewXPH4Wps3qb/u0j 8/8/SnMhr3FaTMNc1Eq1MOYTFuURzdfo1Vn5EcBUVkBrbfaFMI63z6inUr/z9lkyisECxZMR4XU9 1XMGZX4UDDhTq6wXrdDlOWKlzidkJnKvMIRyOUG+5twXBww4FaXAjkW4sng08G8YNZL0IlxRS42n EqLNHPZuLK1J08JB2w0OMAifqatBfX9pIRFq4jcPIlsLRnUSLsEgCaokIjPYU/PINjOju/FnnvUq pNqHHqRXPpqJVj80yiGNCUaAEbuqeMpi+UDuauKBOTWQwFpJJRa2etFa/hn8+6+C9BY7sX0wQhDT kLIWsq6PpF/vkgJYCRjE8v8H11RBdOjqzjnw+YrkZ0lEuyRfOz8r0BoRVaYXWG/OhM/sAr8pgb0X zCx8xokYatZonSAGHJbkxqliSvjh3jJyJljyFyDYTczYjZvrF5qI6xOOdhnN54PZ7f7MS7gBcSK2 ln3NbHGk3hDZ7JbHXD72rkGL55LGL5i5ox8fBtF/QmVD/YyYqqp1S5LZJ8SXD972VbBrjLrtjV9e BAOFcWaP98Kam14jhZEyPEHTquygVr2fkO+F4Zcb9Ya2fd2d4JqvcQ8BsDSzQOzaSt+uZVf41vFe sY1ZIv/DoET+HGEB9+Fa80GUh5VMGhYCEfFp1O8pOibs+FWb/ta300asBDq/Mm0U/egw8/3zNoFW 6WWoJFif37zT9xm10C/EmKBE16zvjBA+olSO0CG9zefJAdCvWA2xEcyCBkNX3633ZjPQ9vPwrprX art5LBPFekPuPnJJN/3OhtAFHLZCoqy6QL/vkahS0O7dCTJq1YFzM665+s6mKNfD27TWsniZhgo8 I4uvS8eKxd3t/v1lIKGvDysB8ZnCjWi3ipSM7GSUYBEdCemSEOQ0yNBM4JznqCYbmoso+ojDO7QN 76gnFAaBKwT846S7xP0tp+Tc7eXoMnWhzWezFbeyap1tAgbUbFfaQX21x7m5n8vPBuG01mzU5N62 7STD1h/EFORZ0dfBD6P3BiVDDFV1zvyrJ9ev0zvE40X2EHOV177nEbueqfDXtb6k8HPi+PQOc4LA lWvap9bXCASM6FBq6LazSAOd73qJc7ccorLZd/oSYn519JIjw1jwBV8FGa5C4SNUDPjxuCFE288G 801GqGV5+bQ/cx15oNOdF9EH1rNjAz0Nz/x9fLf7L6eH1ZqgwsjWjLO2rYLsQ0e68937kRJXIcwk X5tMfUMSYEeyFZl3fa0NnZx7tkR9GnraESXWLY08/vJWOztWj+s4uU4ATeLFfSla4w8nzNWOru0Q 4ZA3hd5wQKdOG8DZm6DL66eA/p2jtmbJUZyxeDs6yB4/mBF24NZc6KQmZUhIBsJ5XuPfSkyNrwTx 42j2gRHt42gozQzY1aK35bAAl/lrcFoErIq0os78WOIA2jWsB4JzxFAjhrwAYrmBU/ddB50hyfZT m+wLXQvi24wpqOwYmjO2ImXs3bRPceDmVGF5OMO1wD9NVnjZ8V2UfHNSp9f2TMe84ytJSun+GSpU 1ByYoSyQRfHbThKNB8J5HQooF4Dv9axlNWn8QYiJXBrS4nctLSSdHp6gYpgNPBcLR+mzDl18pEkX gyZzuLpypIXyqCpX0v3xxlIcmYVt5x7VgHGeyfmedSWu2B8PQcGlhRZ+aeS1g4Vlao5g2HaQohMb q7vUzsVCx95lu+ueWVAt7i4qaI6MP/nEJ/CwudutR+6xG5PXOu83ICvT++r/j4IlQfDUyBbwslQU aXcwHS3Yjxof3FX7i+7BdgI8l2i27ODbRevfCihBqFtNfIXATE5vEie+AkN1F4k/NSVS0w1CHE61 iZPRFox9aBTeLutcoSXefECc0x9AcJ0TdhEjnHLRke9MZJYcL1ZzmoSzbP02SW5P/Ci09DECkUP8 Y+bzPBIYzjW6X+1vyJuUqWTM77IqO7ROSaCFrLaZIHi4YakUjGIEJFvwgsbMDC8ZjE2JiBHp0/D1 bJdPZ2GwuIGFcVBv+03kSV8YsQ/YYtk2r6VnpmJopl1hFk/IYG0q8vorUSEQ/YpNTS/mR2804cYl d6YG3KZJTNBmH/19PZWw8eVj9NvIAbbQF39kqjrQIwkFtJF/4Bxrl5AuZ4zF/RMT4uWHcEPH0Pn1 UrldlgQawNtPBv20b+bW6xVUdxO4IBYiKtM8F9adFqVRKsGLywgKdFrcD9sYge8EIuf294fHeUKf tyKW58SsPWdZhaHzl7GPV5B2VDgzPNPoqFOS/Xi3z3jOSw21ncSV49p9ZV/sIoXTP2S2MuoNJ8K5 pXSZ9/HOhhL2dRiGx0xEVLf7tm+gChoijDEuLjpLScEYSmhjWsHIoDweDI8I1U3M42gly+MI/DQz PxMER2DjdKOP+JNsz3ggrn7WNaQPFthlvNpairtMiLzE+gS4825qXZ9o2HV2rh0XR0JhWSfk1nyg adD2qlaIjrwQI17KJxT47ohYJ4Qmr6ckJcbbYHvHupBHHY2QQ8MKXjLaPmHi6sQz8urCtlbmVOKD Xc64agtewWPZLrbFe8NcbULf8V7NWjELh9PT2vDDKC5YQCnBD/4+ivyPflMYHN7m7x84SDEZtZQ1 AR4qwPfOyVNfjMEKpAQf9BEcmx1V5MA1tt9HOjYh2KQa2FrEWjtAd5RL7aL0X2aBfAFSfhHCzPM9 DyTmlEauVRLe2LXNcBTUXP/+GwKWY6ymCtmZqFabLvYvPls1vhOApahNm1b7qMO4c19ZfK6kEGFq nCCPmStBI15IMC77MntHC17vtlAzKnC+59j3WH9NUeuTye9XfBsgOmkYtpg0joMlzZCaEunJHlZD WUnJfaMfhOQr6V3oQBWuYekRC/gqnsx0Lxn5qBSlaUVB4bx6sJx7Md4ii8tT7Z+zR2hbqZDNU4UI VA205lrVixAHLN19Lg5s+Lc3uYhi+AvZ2mWYJzuKyrPAVrhGxnnfjc9M6Clz4I8ivjicI/OjU80N GQvVUgKjpSFHd1iwcHIpo0fNU+iu0aTr48bk7rhDDfXcOfezjBf/ModK0LrRHcTfdpj7sMvqs7jX ydFJcR6DL61a5O5wOBlySg73DBp14Dua9MRUuTXeM/pObQtxq9kl5+o9wx4cTGIBFk5DG8CpnCth NkG/a5j678fcVLdIS2wdjCwj39fb/EYaxx0pcDyjCZ7/IiJtvbAZFt6mcgPEDOuRi7pwvGrjYbOi 0F5z1Rz9WOEvgnRzBZqwMoqRFAH59NISbqHUZ49r7XfFg1pfjqlldmYPf48Px1DgvuS0kVHH9DuN GXHcc8aeZ64mAeyEvmEYYTESvjUba2KXG77+J5Laxsrd5pQ3BKbpc9RPND8I2Zt3obp974kTA2bM yAjnznjdpQkLKTr1LbSB8er+pxZD28Zsaze7zIm2zFahfGg33b37vO8mMV4YOZmbAra6VTv2UPcb MsoMtbJ8nCwHngtMnrNFajDSXTlo1XpbhaPCCOWL8Q2WOrUQ3w4k9+NH7CWfXtCvSy6taDWmzhZA bBfb7nmRVC66pWojLSpK3zEBHKhMQA== `protect end_protected
apache-2.0
10cd6c9bf3a2fec51eff6b51563f9cfa
0.95421
1.808476
false
false
false
false
sils1297/HWPrak14
task_1/task_1.srcs/sources_1/new/Flasher.vhd
1
719
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Toggles the LED state every half second entity Flasher is generic ( WIDTH : integer := 25 ); port ( LED : out std_ulogic_vector(3 downto 0); CLK_66MHZ : in std_ulogic ); end; architecture FlasherArchitecture of Flasher is signal counter : unsigned(WIDTH downto 0) := (others => '0'); -- that makes 67108864 bit combinations begin LED(0) <= counter(WIDTH); LED(1) <= counter(WIDTH); LED(2) <= counter(WIDTH); LED(3) <= counter(WIDTH); -- toggle LED together with the upper most bit counterProcess : process(CLK_66MHZ) begin if(rising_edge(CLK_66MHZ)) then counter <= counter + 1; end if; end process; end FlasherArchitecture;
agpl-3.0
7121f37eb57ec8a5605e9b59155cfdb4
0.703755
3.112554
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/3-ARF/metaheurísticas/arf_spea2.vhd
1
2,586
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:35:18) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY arf_spea2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END arf_spea2_entity; ARCHITECTURE arf_spea2_description OF arf_spea2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; WHEN "00000010" => register3 := input3 * 3; register4 := input4 * 4; register1 := register2 + register1; WHEN "00000011" => register2 := register3 + register4; register1 := register1 + 6; register3 := input5 * 7; register4 := input6 * 8; WHEN "00000100" => register3 := register3 + register4; register4 := input7 * 9; register5 := input8 * 10; register2 := register2 + 12; WHEN "00000101" => register6 := register1 * 14; register4 := register5 + register4; register5 := register2 * 16; WHEN "00000110" => register2 := register2 * 18; register1 := register1 * 20; register5 := register5 + register6; WHEN "00000111" => register1 := register2 + register1; register2 := register5 * 22; register5 := register5 * 24; WHEN "00001000" => register6 := register1 * 26; register1 := register1 * 28; WHEN "00001001" => register1 := register1 + register2; register2 := register6 + register5; WHEN "00001010" => output1 <= register4 + register2; output2 <= register3 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END arf_spea2_description;
gpl-3.0
d58da88b8557345ddd6af67bc4804e21
0.678654
3.298469
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/1-HAL/asap-alap-random/hal_random.vhd
1
1,688
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.08:58:13) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END hal_random_entity; ARCHITECTURE hal_random_description OF hal_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 + 2; WHEN "00000010" => register3 := input3 * 3; WHEN "00000011" => register4 := input4 * 4; output1 <= register1 + 5; WHEN "00000100" => register1 := input5 * 6; WHEN "00000101" => register1 := register4 * register1; WHEN "00000110" => register1 := register1 - 8; register3 := register3 * 10; IF (register2 < 11) THEN output2 <= register2; ELSE output2 <= "01011"; END IF; WHEN "00000111" => output3 <= register1 - register3; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_random_description;
gpl-3.0
ebe9487e79a863f4847f7adcd2cbf92a
0.658175
3.046931
false
false
false
false
freecores/twofish
vhdl/twofish_ecb_encryption_monte_carlo_testbench_192bits.vhd
1
11,509
-- Twofish_ecb_encryption_monte_carlo_testbench_192bits.vhd -- Copyright (C) 2006 Spyros Ninos -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this library; see the file COPYING. If not, write to: -- -- Free Software Foundation -- 59 Temple Place - Suite 330 -- Boston, MA 02111-1307, USA. -- -- description : this file is the testbench for the Encryption Monte Carlo KAT of the twofish cipher with 192 bit key -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use ieee.std_logic_arith.all; use std.textio.all; entity ecb_encryption_monte_carlo_testbench192 is end ecb_encryption_monte_carlo_testbench192; architecture ecb_encryption192_monte_carlo_testbench_arch of ecb_encryption_monte_carlo_testbench192 is component reg128 port ( in_reg128 : in std_logic_vector(127 downto 0); out_reg128 : out std_logic_vector(127 downto 0); enable_reg128, reset_reg128, clk_reg128 : in std_logic ); end component; component twofish_keysched192 port ( odd_in_tk192, even_in_tk192 : in std_logic_vector(7 downto 0); in_key_tk192 : in std_logic_vector(191 downto 0); out_key_up_tk192, out_key_down_tk192 : out std_logic_vector(31 downto 0) ); end component; component twofish_whit_keysched192 port ( in_key_twk192 : in std_logic_vector(191 downto 0); out_K0_twk192, out_K1_twk192, out_K2_twk192, out_K3_twk192, out_K4_twk192, out_K5_twk192, out_K6_twk192, out_K7_twk192 : out std_logic_vector(31 downto 0) ); end component; component twofish_encryption_round192 port ( in1_ter192, in2_ter192, in3_ter192, in4_ter192, in_Sfirst_ter192, in_Ssecond_ter192, in_Sthird_ter192, in_key_up_ter192, in_key_down_ter192 : in std_logic_vector(31 downto 0); out1_ter192, out2_ter192, out3_ter192, out4_ter192 : out std_logic_vector(31 downto 0) ); end component; component twofish_data_input port ( in_tdi : in std_logic_vector(127 downto 0); out_tdi : out std_logic_vector(127 downto 0) ); end component; component twofish_data_output port ( in_tdo : in std_logic_vector(127 downto 0); out_tdo : out std_logic_vector(127 downto 0) ); end component; component demux128 port ( in_demux128 : in std_logic_vector(127 downto 0); out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0); selection_demux128 : in std_logic ); end component; component mux128 port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0); selection_mux128 : in std_logic; out_mux128 : out std_logic_vector(127 downto 0) ); end component; component twofish_S192 port ( in_key_ts192 : in std_logic_vector(191 downto 0); out_Sfirst_ts192, out_Ssecond_ts192, out_Sthird_ts192 : out std_logic_vector(31 downto 0) ); end component; FILE input_file : text is in "twofish_ecb_encryption_monte_carlo_testvalues_192bits.txt"; FILE output_file : text is out "twofish_ecb_encryption_monte_carlo_192bits_results.txt"; -- we create the functions that transform a number to text -- transforming a signle digit to a character function digit_to_char(number : integer range 0 to 9) return character is begin case number is when 0 => return '0'; when 1 => return '1'; when 2 => return '2'; when 3 => return '3'; when 4 => return '4'; when 5 => return '5'; when 6 => return '6'; when 7 => return '7'; when 8 => return '8'; when 9 => return '9'; end case; end; -- transforming multi-digit number to text function to_text(int_number : integer range 0 to 9999) return string is variable our_text : string (1 to 4) := (others => ' '); variable thousands, hundreds, tens, ones : integer range 0 to 9; begin ones := int_number mod 10; tens := ((int_number mod 100) - ones) / 10; hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100; thousands := (int_number - (int_number mod 1000)) / 1000; our_text(1) := digit_to_char(thousands); our_text(2) := digit_to_char(hundreds); our_text(3) := digit_to_char(tens); our_text(4) := digit_to_char(ones); return our_text; end; signal odd_number, even_number : std_logic_vector(7 downto 0); signal input_data, output_data, to_encr_reg128, from_tdi_to_xors, to_output_whit_xors, from_xors_to_tdo, to_mux, to_demux, from_input_whit_xors, to_round, to_input_mux : std_logic_vector(127 downto 0) ; signal twofish_key : std_logic_vector(191 downto 0); signal key_up, key_down, Sfirst, Ssecond, Sthird, from_xor0, from_xor1, from_xor2, from_xor3, K0,K1,K2,K3, K4,K5,K6,K7 : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal mux_selection : std_logic := '0'; signal demux_selection: std_logic := '0'; signal enable_encr_reg : std_logic := '0'; signal reset : std_logic := '0'; signal enable_round_reg : std_logic := '0'; -- begin the testbench arch description begin -- getting data to encrypt data_input: twofish_data_input port map ( in_tdi => input_data, out_tdi => from_tdi_to_xors ); -- producing whitening keys K0..7 the_whitening_step: twofish_whit_keysched192 port map ( in_key_twk192 => twofish_key, out_K0_twk192 => K0, out_K1_twk192 => K1, out_K2_twk192 => K2, out_K3_twk192 => K3, out_K4_twk192 => K4, out_K5_twk192 => K5, out_K6_twk192 => K6, out_K7_twk192 => K7 ); -- performing the input whitening XORs from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96); from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64); from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32); from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0); from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3; round_reg: reg128 port map ( in_reg128 => from_input_whit_xors, out_reg128 => to_input_mux, enable_reg128 => enable_round_reg, reset_reg128 => reset, clk_reg128 => clk ); input_mux: mux128 port map ( in1_mux128 => to_input_mux, in2_mux128 => to_mux, out_mux128 => to_round, selection_mux128 => mux_selection ); -- creating a round the_keysched_of_the_round: twofish_keysched192 port map ( odd_in_tk192 => odd_number, even_in_tk192 => even_number, in_key_tk192 => twofish_key, out_key_up_tk192 => key_up, out_key_down_tk192 => key_down ); producing_the_Skeys: twofish_S192 port map ( in_key_ts192 => twofish_key, out_Sfirst_ts192 => Sfirst, out_Ssecond_ts192 => Ssecond, out_Sthird_ts192 => Sthird ); the_encryption_circuit: twofish_encryption_round192 port map ( in1_ter192 => to_round(127 downto 96), in2_ter192 => to_round(95 downto 64), in3_ter192 => to_round(63 downto 32), in4_ter192 => to_round(31 downto 0), in_Sfirst_ter192 => Sfirst, in_Ssecond_ter192 => Ssecond, in_Sthird_ter192 => Sthird, in_key_up_ter192 => key_up, in_key_down_ter192 => key_down, out1_ter192 => to_encr_reg128(127 downto 96), out2_ter192 => to_encr_reg128(95 downto 64), out3_ter192 => to_encr_reg128(63 downto 32), out4_ter192 => to_encr_reg128(31 downto 0) ); encr_reg: reg128 port map ( in_reg128 => to_encr_reg128, out_reg128 => to_demux, enable_reg128 => enable_encr_reg, reset_reg128 => reset, clk_reg128 => clk ); output_demux: demux128 port map ( in_demux128 => to_demux, out1_demux128 => to_output_whit_xors, out2_demux128 => to_mux, selection_demux128 => demux_selection ); -- don't forget the last swap !!! from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32); from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0); from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96); from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64); taking_the_output: twofish_data_output port map ( in_tdo => from_xors_to_tdo, out_tdo => output_data ); -- we create the clock clk <= not clk after 50 ns; -- period 100 ns ecb_emc_proc: process variable key_f, -- key input from file pt_f, -- plaintext from file ct_f : line; -- ciphertext from file variable key_v : std_logic_vector(191 downto 0); -- key vector input variable pt_v , -- plaintext vector ct_v : std_logic_vector(127 downto 0); -- ciphertext vector variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats variable round : integer range 0 to 16 := 0; -- holds the rounds variable intermediate_encryption_result : std_logic_vector(127 downto 0); -- holds the intermediate encryption result begin while not endfile(input_file) loop readline(input_file, key_f); readline(input_file, pt_f); readline(input_file,ct_f); hread(key_f,key_v); hread(pt_f,pt_v); hread(ct_f,ct_v); twofish_key <= key_v; intermediate_encryption_result := pt_v; for counter_10000 in 0 to 9999 loop input_data <= intermediate_encryption_result; wait for 25 ns; reset <= '1'; wait for 50 ns; reset <= '0'; mux_selection <= '0'; demux_selection <= '1'; enable_encr_reg <= '0'; enable_round_reg <= '0'; wait for 50 ns; enable_round_reg <= '1'; wait for 50 ns; enable_round_reg <= '0'; -- the first round even_number <= "00001000"; -- 8 odd_number <= "00001001"; -- 9 wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; demux_selection <= '1'; mux_selection <= '1'; -- the rest 15 rounds for round in 1 to 15 loop even_number <= conv_std_logic_vector(((round*2)+8), 8); odd_number <= conv_std_logic_vector(((round*2)+9), 8); wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; end loop; -- taking final results demux_selection <= '0'; wait for 25 ns; intermediate_encryption_result := output_data; assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note; end loop; -- counter_10000 hwrite(key_f, key_v); hwrite(pt_f, pt_v); hwrite(ct_f,output_data); writeline(output_file,key_f); writeline(output_file,pt_f); writeline(output_file,ct_f); assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure; assert (ct_v /= output_data) report "Encryption I=" & to_text(counter_400) &" OK" severity note; counter_400 := counter_400 + 1; end loop; assert false report "***** ECB Encryption Monte Carlo Test with 192 bits key size ended succesfully! :) *****" severity failure; end process ecb_emc_proc; end ecb_encryption192_monte_carlo_testbench_arch;
gpl-2.0
24d3b95238f3c59bd3b99d743cfe03c8
0.653315
2.734379
false
false
false
false
rcls/sdr
vhdl/quaddemph.vhd
1
1,463
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.defs.all; entity quaddemph is generic(in_width : integer := 32; acc_width : integer := 38; out_width : integer := 32; out_drop : integer := 1); port (d : in signed(in_width - 1 downto 0); d_strobe : in std_logic; d_last : in std_logic; q : out signed(out_width - 1 downto 0); q_last : out std_logic; clk : in std_logic); end quaddemph; architecture quaddemph of quaddemph is subtype acc_t is signed(acc_width - 1 downto 0); signal acc_a, acc_b, acc_c, acc_d : acc_t; signal strobe_d : std_logic; constant out_top : integer := acc_width - out_drop; begin process variable drop_extend : signed(out_drop - 1 downto 0); begin wait until rising_edge(clk); if d_strobe = '1' then acc_a <= acc_d - acc_d(acc_width - 1 downto 3); acc_b <= acc_a + acc_a(acc_width - 1 downto 7); acc_c <= acc_b - acc_b(acc_width - 1 downto 9); acc_d <= acc_c + d; strobe_d <= d_last; drop_extend := (others => acc_d(acc_width - 1)); if acc_d(acc_width - 1 downto out_top - 1) = drop_extend then q <= acc_d(out_top - 1 downto out_top - out_width); else q <= (out_width - 1 => acc_d(acc_width - 1), others => not acc_d(acc_width - 1)); end if; q_last <= strobe_d; end if; end process; end quaddemph;
gpl-3.0
eb8e274da279aa0ed4c23781d61cd33b
0.573479
3.004107
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/asap-alap-random/mpegmv_alap.vhd
1
3,028
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:37:13) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_alap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_alap_entity; ARCHITECTURE mpegmv_alap_description OF mpegmv_alap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register8: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register9: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; WHEN "00000010" => register1 := register1 + 5; register4 := input4 * 6; register5 := input5 * 7; register2 := register2 + 9; register6 := input6 * 10; register3 := register3 + 12; register7 := input7 * 13; WHEN "00000011" => register1 := register4 + register1; register4 := input8 * 14; register5 := register5 + 16; register8 := input9 * 17; register2 := register6 + register2; register6 := input10 * 18; register9 := input11 * 19; register3 := register7 + register3; WHEN "00000100" => register7 := input12 * 20; register1 := register4 + register1; register4 := register8 + register5; register5 := input13 * 21; register2 := register6 + register2; register6 := register9 + 23; register8 := input14 * 24; WHEN "00000101" => register3 := register7 + register3; register1 := ((NOT register1) + 1) XOR register1; register4 := register5 + register4; register2 := ((NOT register2) + 1) XOR register2; output1 <= register8 + register6; WHEN "00000110" => output2 <= register1(0 TO 15) & register3(0 TO 15); output3 <= register2(0 TO 15) & register4(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_alap_description;
gpl-3.0
0df7421802d7d0f827d4771f9278ddc4
0.690225
3.36071
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/compare.vhd
5
11,879
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block i0Fk3zlG2MLpFA6wmhrHKvpEh+UGFi0qpKrewi0I6VnM8fliwhvc+jFFiPDtgDZrX1WYIC//KOOh LGuAyzGOnA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gR1SN7ZmUiYRGxhxiCD4ajjfhcHvE01tgwv0wEO4nMeu4hL848O5WRWVQAMdMozlrQHaIddX9F1v byOa1vNDGlXfWPiwr/s0QM49gIwEIIpHZlVqlXJDYFDAQFVGsIMV1O6D4TJ3h2c8kRjA9UfSJ8M3 AuDf4P4RkUXcUnh6c+E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eutnMwyDKvwIwEaRRIw4vS04xAz2EMQVqtQleIIGWWWAUENynKx1yxg4i+fwH/mReKAuubaIaIU5 y7tDr0T+u3qexJvw7pywADxxJ1oS4yr8kF5RH/e2hg7cp8JyxbYh+vcBLlRTyRFn9RO81PhEZgoA J9NCmcHiUUrs4Md5wvcTBmJDHCubp7zX9V+XII3Mi9XOB+K6xJMPzjK3SugzjIxI4OaOmslN5CtV /g+TB56Om8I11LzPOhQF5XK0Lys0fcvX3/L0/xaZLqVzUjEa6FnLVr1QQuCAxrcaDLrpixfXTMBH 2h37BNpm69Mq1TER04faARkg5BCdQv50mEh9+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block esMv6rifp9c2aOjbm9f+WqIZgGfuFCl3XipiqPu+KbPiE2PmSJihqGwtZyHLy0ToXQM1nQCI1sDJ vxhLEooWpUnVzDu6MR3/l1nGeCSwfTiCvgTJulzAqJa+b4pwPhF6rJEcT0GscvLnFgud7x3kuiQL NNUve1c1FOfvX66HWXM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WzZfrW6AWNlUypD4nDmkdSlW0vKsnJHRe72r5ehivRzTism4wWlP8CeubzlQwm3BYT7y1tv6NmQ2 /2zQv/IcIDAot9KTzuum6+ri156+MxY++e33YYwgSy1H9wZIgd9BG88qcABSYDxfX4Qaz7GrHqJX RyqgCRcKVL9qn32cv1JUkMLax2AlO5I5es1hE0yzF0ciE/Lh93D09JYfpMKffpezurExgNkevmCV R5BSOJhH+DXAS0d8V3XoT5LTsCbkgaQ+vTCnbJ/4x8Yy0r8IZArZzwey3Oj+1d7a009O7u5J1jrx hdK/aC1MR1MCxmC3VWTkp7YLpNrE//pH65P/kA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7056) `protect data_block BtJf7s4rhC7I3ERsD+YKEViX8yR58uJPGiNj0Y2ykx56ikrwIFwx9HIjoCGbz6hXFjXZkG0hB/3L tdvZApJfKnmww4hozQiNuSSf37Qjj+TJmEstp3DMJ5fm4pveJnfOugKFK3+vAlPC9R23MYYYEzCS 6u2DwM/tS4ASonRd+20eiq49v0T4surxs47UCiLitv1B1rn5fvem2N5WXsUuaNphLINLAsum09fe 7ZOuMHGywosYi9OnTqmjWYxmNuth1dajNRCRm962S2/sr7CXz4M2cJ+HQxqdhSXod+/SzVT8cyO3 gj4JeI6fHlAd6fXrw8SzS1njtRLYKQYNtl9aqUkaP6aHBoP4ltPiyfufRzYsSCb2UjcNuaArO2ig 7rwPj3ePPW4C/Rsc7UjI3im7m1OecGWTNDyd7sPcssVSHcLipgzRSz2ZCk4MK+xzvdRJdtKpZ6t1 qDOvwCzmGV3JyeZpsLePVVeVyCLlXLlUOWA+3iQ7KN28YXGg8SQwqrw/ojPCF4k6WO/BWMXfwo/s xB+TKDJEBe7XQzeCTum8X3fRpNalei8hiaA274JQxTiK9HfRtBwq7344ODs+v21bBoRmWUD2IIIy 0EuKUxMEkEAwkT0uhDEr1Ol8RpvLZ5Wbek1gN85UGHEvxxrFYlUF9KRFYmUxEHWjFM8PiGTZWamM vpqdVZ96vRz+rzuU4UuQ0/PGG8FEV/KvlCrSrybZNMEcPNnKIjuUS/gCVRiSpVCL8ukdPs21BgHN nkArACJorCpL3O4PrvIM5U/JeBFaHN6K6aFG5L5NG2hXn06A1Kzbg8RnpiIdSbP8bfBKz5DwhUUe F0BG501vMYieTh6LHT8tE0r8Y5diHzWJSw05ILPKuYpPvkSSLMMFa1zvIhXapShhXKm6nthZ6MGD m3M8aFLkxIBgdPPkaVZxxSMcrWMGG4gyYQxCNFzwbi12fINYp22n6FdqJEQKFSPk706RpfYHwZz3 vFzjYNLDU0Ry2yf16uVR++LkpP2kvFRvZVoClRh8HJx2h03eRK3muPzFIoAG72KlnCgRuBjJNLrb bh20xebp8qg6CUOAtmq291OD5ahSZlcVzx9Pf4ZBBOsxguE3Ksiwu7FzfxzyI5Kbjrzrbr9AHlSv Cpufz4Lun2nW6n3Ryz3Pjz2/Gq30ULDLQE2MopW0r7dqa2Wjs7ISmc/AcMJNZtBBhPSqvw0b0Q5l QauJ5T5bP35YHVO2NBfkO5IjB2+TubR8RNB/lUsqrLs2e09/qZ639yCLmB+Az1A63IUM86VMx8CN Jn/bc1343ZldoIf9A2oKbmboeEHMs48HBEfADE4Wp8Jj0YE+GfE58ZYRY0wyNIPf52q8Y9dOlqYD BoOV6aTh7DTbA0mJvT+G/HwoGDT9UWViZU7bERoMhbB6uX/r99dE4PUR6bOMqhGUIRplQHMqFPBs BKsuWXt2doDaXQGRxsSeyrLzShIWCIgORz32K+JPkdnFwl3d5IKGflj4b3R9MG6ptpULWEygRbZo aR0dqcxJoHPEaRxCArF/RypbeXaKi0SAG9PPJs0Mg0dj9BxDLDitbqe3ZK9iYDS6IIYFbjm1Q0+v +UfaVc4h+VPEyZf5uLHgOKUYHhoUDox8eombSLs2+NhWQZcdOjYp5Vy5vLwrrLQCz0Z3vNrGiRks W9+sgkUVcUo1kl62w97C9ob25bhz4mstf1lms4ubq8cr3N/6vjhomp35OhLeaJaCZnDXxz8CyQVU bvHfpF3rFbU7oGTrO3E1hbbslDRZmbN1S3wgmyMj9Do4eBuj7YVySC88uIvtw84Dj11N1GoElBAy C9JW5muDVNvszhYY0w4c7txpn+PciwDDfAo1LYW8Bm6mAsuZMvvTtGpE73QueGaR67DJ5gKvjozW DB6RRGIV4lDtsbVanlP19Gpwl3MvIH1veC32hebqWCFHYzHBdVQwkz21SKgDwmPEYMCJL8OA56Pm JVvbrtZUhkiPAj9dPqDPM7tCa75HNtUk7/lZrQ6PTKs4URp02RIr6+AxTPbmxiwX6xoQcgzqTm2p HkMNNiBlqbqSCu0/tYdfTC4192YsRUFkAyxeufrnNVX1T82Na3QarD/U+wiZjHN23djcqUAGb2w4 tj/ezF6Xevhr+Si+8QN9zpr697GKpzR9O8MNgUkVlZoYwBcLAGNPPU/QKYH5brCThcA+vOVMpTCm bMkQ9i3wiKyj1onOSQEVCDnY+JaDRzjxTHVeDx56xT8IAY1L2JcT/0KpOIbR1MbXBlhZVpMM7MNA Yl9KIzkVu4KpG/w4Z98AuPeyE47SsRlPF+nuO4UeIz86L5Kdovjc0iVTxnyr4liEg/Goxi9yPXep 5ywMXgcu9yk64ssE8IQKm4WxNCdH1rvlZMOANU/9VRZyVWDshJJmkRPi6PQ1jDYUvPdcNlwwgzyy P4iZcqy1oAvXRGp8rRgWwpDZIs/wfFem+Y2ho5lZVGQ/6NcO/S5aEI4Wu0+SYVKOuOSHMKUb1SNr BvN+F3aeCNf2XxF4uZz+Soc5U7uVcQxModxj4swuE7mfP4dByIHtcaxXvIz95cWYoUgpiwowASd0 ZEIkJMDKUwmXUjCDcLFroIhmxs5ky22nQOxJd/6g4wRMLtoQfYKCpvaOP6gTkRu5B/QLON9MIuRj byjeUk+Nt1t9Uk4sTdN00T6kSTdLxHcGoXkG+QheE+/3554dp1gegXBLLK7vK9Q8ftLdtpTK5+i+ mMlml6LSDyTmue4PmBN4DCRYTvxy4P+RoEigym+8F8KSBXjxkTNtxM1eRFjUoQ8H88NsKQmpdQNM 81j/ccmT5jK+ZImndx7jnpBVFLS16qrLbzVb/BPcUgxJpD9b65wHubJBrqR1Ozgj+oE4x2tbChOC G9zL48OiIAmfpOxMoUMVzu/z/7x1RVG2HFqgrd9trAI4CqH5BK+NIbKcgOp/Zq/VAsNv5cuwwCQu oFH5/4QpHwSFLM8V1psYn5+IiDunia2OHn4tJFSE5UlJgmJjkcj/l2yaVL9vlpPs4Zpt+6RdlAP9 0NLIoXuEkGwyZbMlvWOYdMMKEmD4WXh/PLiu1jtxVr269R+8VJyKoWHKmvGxTtAPqCcxmg6CkYS+ 07DMkQNXe42/HbARdVMPJlzWPI2gMJJrWbZ24sF5UG1Cx7Z9cxjk9pWatdFfLiHv6sR7dt2Q83sP wjuFuGWSWZEmFujk0IaB+4KGRUxnFzmGddJBgYxOFBhRfFO2fZ0CjwmUfZjQkfPtFS59NEQHre9Q fjhbZJFTx2DaKuVeJ/A5eN2PlWhlLZqbUzjiAsqRdYqiU5cIKuA03YfQdc4SPh6bsCByjP1/8wxO ggoczDoH/L2Xruq5zKW8yGX7Lp7epcSFYiCARAtskdPUyUzFLZYxXNvfJYnnNNrQ5/c3ucU2d4MP 98Azyx8XyB5WQ40EZ+VhlqA3m9Zxr9JG7wZWJtCkmxgq8ajA+rxwsKlNshIdKmrjdrIh8BidYwX0 eETQEEK0WqT+FgegzZ14nBFwGgY/d4F3XPhjnN9smU9ESa+Izk4NfX9rJC7jVLPe6GUZjZDeJZZ0 YP3bpjLEaWzzwnyJfV8ECwE09VXpxiEBKVJxezr9m3ohVitBdqw9SaA/mG53i9Oa4TklgGCx0Jhs Kk+fUZC0kIZ3P+mMiDMxi/3fWw+WtzDp2QfaHlQzjmmL/teyqtAqg6BC93skhw2QJnOHrMkW9iTr qodjJDApYo/MSjumLMnqWiO+7bQlHSH5in9tbtMMgbkEUWM6gpUHa6ywqAMU7tgzVf12d7IdOHGq TkVN0Hf1klqRkoeQfY6QDjM/9YKiyzGP/4K8PH1DFCTRXGZVlfnX99wDxv3C0ys5RRbj56isgD1s ju7WQO9DvzGjcJFmoPDZxommZmok+83Z3A+UXJy6N+OEjRFbWKls9DsNl74LzK+X43VKTtFw380x jzVBhriozKz6dhkhu495TNI5yALKaP72QcjN5WdEhjzvtzk/iiGpXRPSzU/mwHXfCRoS8skvcprB IGWRSB/jEDm4MEMI3ziPOrG5Lxb4IRO+3iSP+Sc6Tq43ug9muUy41TkhxIqRReIa3O0GaIizVri6 7dKXzUjjlAfZDVytAt+r9KFUoGVKUzoBXoltGukfALkrI+rjpELllhDjMv3tvLfG9QMM+D71bo9Z wK0uuOmEE1k3AUMfHHsxXXF16iZeUW7GhuGQULcHDIlW08fFkmVrjHElnPFsef3LbxL13u8zUidv xI10p+x14i9fGKWf2AggSxDM+t+3hrCNLr4h9gvD/ovJyBZzsWb2MSk14igQu8Y9UMHu+2X00KIK 3hLM0oAn6XQwE8iqITfSkqONT3OHHYUmOoGonGJHz0DCBCqDlHQpOZoZ0P+APKeb/T3tSnLKANkO hXl9NGogj8gzgzdBK41rCt33izTj3/XIM+fk7F/HyxnZK19hb4l2wvL9AP1y3p+5cPh6G9cB94Lt Jl9Z9KtNudTQc1A2L7HRCwwg1NNlmAQaDJ4wZuw8NdITHu12Wy4FgYC8FZTjDcEixtzCSr0/4xNP r/nTtQPsqK91PxSBLYnKOzsIv8SIxyw4iKOiNAdzGpE14MrQaV/lqziTHBMP/InX3CGtskvH8Ifa 97jKFRHE13yn9uqKKeks+6UW9EkepN2tmpsLdIlvnfM1S9IkhcieVmN18vo1i87qOmHL5udU9ATr Mv9buZ9xNHsjjFrf09js+4H32E4dmpR+eWdzDpvYRftIfCfJoU6wwM7YjYZZFNuxJt8aChF/TQqq 1/LvIfBS1cZikDTCKlBQ+kANjui4Qn5pC8lCLmMn3jZ0ZbI+o7gtmebBPU8wEVJWpEYAxwIl5OBB tFAMt/mPxhKqTga1qLL4ipcaihyvNSnmHF3AA6W9ahZbvJSnlv1hu/ma3mJa5KL8oWswFJcC8afQ DO51A//yb2dGnEVIutrYxDincz92ANfHEetAjZtdy7Av2IBfYlPR5BvbG3OsdcvzBYS9CwsnEtyT vWF8FIRPYvcphTtWuNcVPnjee31X9cplhzc+mY2jXHxhgmdx615yKG0lHdGly7wO0zTQ/GGKwd+s xI4RXTu+chK3M69CPXA9ZtScL+P6ANPbeZN/C4rXAr0Oh8LDT0lUCiFk8HDIkUSIPKUbFKjcEFPH oeKZVdQasFCYoZrlgLXNKhhRl9Bty2/Pc3aXsYZwa1w3O0qnQOxbBZrrmuCOW4J7SOTWrM2OPm4z FzF729bkJcrhWAvfV4zcxlTB2X/V8XHbx0zzqtASnCPLFpVJ50DvcItBZBFcaiKHOxwX9dJbJ8Kj TXfl3ZdwFsRfx/gokIq1uvAz9HKqXlvSFdhXb84wYnspviCHZ8K66Ax1jYNkZwUeBJzZ+gO9dKD8 a03uUeT3vduFhCrIkVWt0/jE+wp56jEVbqmSEtLSL5lPPkmgPedDDa5xmIP9yLNwO26zi9Nvg4Xk EIKbc7tWF2Gg7/+CgaHxY/ONugH2dzxgbkwhoIkh4biCOtyD9UQKAwRivPfxdgdciGSzhVheQ4ZR nahUZhRLwz47yh5giREdALZOt7DZSyCtFlOZuRhfXO9a12KW7UVAIbooeCxlEmIZjaWofiWLG/mo QH/1A4Eww/6TlEfwHOIeTjqDnr2ASQ/tB3VlVhDoNr7dIGPeB+pC8jgVA1Rur+TiUvtqb+jNcdi+ 5PooBBzSmMkY3k+3fGvPPAnGqYv5LOr2RMb1Cx/q+zDPDybHgnziNXOMApkfNxGH371rdDZ3IuKP qE7+VY/+ECiFGoS2Q7htbZNKOK3i+kdjwHc/+StuKlXZJmoQaFnYTzkM7MtikegGfzieYVz018Uc BAkzLCHpLOH4cQIQqWE/ncd7PtcRyMyi0M/8n4XblO6464hAJF7jEfR4yENNM9eOAuk6gvBweL3V 5FLyrQ6XJcbFg1qX7dOj6AJDfoWrBM89cZ5zWamaJwIpGN7vvqXYYZf+RTSNNjSN3u/rjTtakk4x Sm8kwjpAajVnWxas4Aa/DUI3aPVKZrgfetCwX9cGDljIA6t1aLeMz/x2IJ63tONEKqignkKU+NYh hJuu62eOJCcQxeH3Lu/JXNH9uFIrrK4AgP1YYnU7PgIIGTF8Yn5mgiscMTRKxWBX7zZdw7q7+xq0 cgl8PI4qXJT6PWrmp1g60RywzKPm75vyuvRULoDb2gS8mrODLWU2+jaSxNHVRUZ25vXWUlZJBnSa 1wifRaCtj/XYHAvDHX0M9BzumgMV0lwtO0akSZwtpMALZKRyvdmKp7SxCnvr74OscgAPn25tdu9C z2MRrDyslZKEcee5tLqcYJDYvYchfHLWMjrMnsQBGAJIwKsapQi4SENEf59+rXALOo2Bx2oZmeP0 +jS2WGxy7JTA+a3GTiO5trv0l2gB4o7Hhc/uYlXMXtT2YIrnmnSYCWs2CQam5QAGL1jfqtDFo0hf uDmbJLxUIZ3Jx0cKc3CeWyX51nBRfANM2x/CepoCWKL34iUdcmDZVzrM5q6RFMocDbjE0Vs7hTNW vYp/H8jrYaxEEqCaSIkl7IOaMiOupXnDR2r3HAISYiBvD5CooTwgPEAS/cD95ojHbNQJQu0jud0+ SoGWDa9zpsGI8A18FgKj5l4d9M8A3Wv2DMwFtMoSBBvxeAokLxrea/vspnJldN79tCtYyFwic7ay zdbzBOjH+rPfa+Jp4Ske4kqb3ubMUW5BNrWsSMq+qypIasoGngyXiZFkgEMRm11YOjflS219+mJf b6swlO6qw3sCBeO8VCYetKCgqwqUx4xTmMlfqmcFsGuhu696sDnzK+o84koDNpehlNuoMPTtofeN RV45jS8zRLN99GwtiPANeQzyvxOhE5uPh/gh0Vd35McqpvQvBhV19Shrn6ZGMWPlfPgfgPudEe64 PFINngj70vj8dlzSJ2sCTagKs3tZJyJA+qkyY9qDpx30rKhaLTJCD5D1Ua/7CRyHv1Xa6OG9GxPy Jisv/VOyxvTHuiA9xuzW6MxXltxP/lD1kbcI13dTqH4bNusV0uuJILGZqASSH3i7eR0bjfDnE4+K zjaLSMLnwy2epDwV2+7cU067RN4U0x2MocAuusgKgkO30SszI9ljI06C+PtTx4aa7vB1n0lzNnJL fwZr0Irsb6InFskooYzOeWAukhFu2piOD3Gc7JZoCHL6KhgRUDf100w+kyjZoTKE8LaLs7DH0AIj fjk2qDWrWZGcVkIKFksR4r6gua3LWSDBzzzA+QuBUIMqoWoc+nTYMdAM8vSm1rq75gGFRCNXjRYX nDzYmZFJ0d0sGHpfx8b28ZI1MsnJ6nGBeKz2S8sqATGN7EGdOOIQihBk/rEw2XGUJtHKc+1cQEVT FrCBomamMdnlxC8tnsYn6x7ATrXMeQ8grjiCnDN8Z5FDUdaxHxGEoIXyWhcZF+pjyG1r2e8FRj57 EDZDa4x/MyVvta+aqUKfUwKYtoXjmUscRGf99YIi34K2gxFlP6Gg/JYmVlK1+46ry1iXoMADyhqZ UK/Z3wNmiZtA9FcWKSrF8h36JkBYaffje0qm4E38gfTPfUKn0mDYGU5b41Wdzeo8fh2wbZ5nZY52 mVxif16i7NOa8vXj/6zi8ZCdBCEj+/YPMQC8dIqTPOLTTp/YGE4SgA0gQHlMmw+nRZKra1UyroDQ f3hY4Wdbpcn9AGSNIKKJ/crUY67X6lon+Fi6Rg+tl33pJlcBLUg5RICY2Hgj+VjO3TYY4KsN9259 HrfocNI9sBF3o77B6ETlBsXvZz5jhZLpmAer4gMqEYbtgw2fIv5wVct/QDdM0X1holUe9NL4BAnI duPo75hmu7Okp0HYuvYw4za/SDtrAamS3nGV676gHdGJZ49sDVGonjPbC7EwKPem6uMjNiRNaAWg 1Vi/sYy35hqhLYamF3SQIqB5aTXfiM+X/dtiLCKs9jprwhhQEsUACH7mZXB4GKXSNVxXHbfZFP7A axoBfGV04C4T5oDkehkLE7N1eKRUg9fszkStja026bg3Tijr1eqIEQ1zsAyZieF5fyk4YiWwpqNr HrgQW1tXGlmQ1g3mpQ4szb9un8s0CH2mZ6ojB43i3IxFP7G7ePAQvbWEHwbPIHI4zBnPMyawFQ7Q fOOSGXWwbpvAFUYOrNXo0+fEXqx35VZqv80CIxSkHO5dJ2FGk6ohCyk5gsQjm6aMfHsfOLl7LjjJ pxFqc2zEVlPz9oAAx+rCl4oeKHkhQMNcIGt5JU238+7A+56G6ZHApJUU3uwWioteN9dRL5sP/A1B ZgaFDT6poWMT/6Mk9inPro6I70R3TqwoA85LazLs8ITsNCcSD/4jkd87W4LI4A0Ii7oncytqYocV h7PoTcN38Ae771hkQfNJAy575/ZrYsPdoW4OnHkuQeTkIa7v1/xULGrtKEZpzW+6CtA0oxBJ9sfd 8tP4QmPu1jzmKJo8DI/KwzNcKXGGpOZC+C2lTFuOoV3fN/+RtD2H0DpdpkFgO/IMHk9p9F/GIUvM SMiQPd+zYCpnQtQUkyGXOIMskajkMa/2WC5lY1sdZZ2B82KCBL8Ttz7FkBaYL1+3tOykO5YJHO3A nK9/2nug1wHj7bG9rMlHfdLXEWzUjT3qrYN1d1SxXo0kwOQ2ftHZS9Sc2sM17CQn+ETg40XBXglq Wu1OwNOXERYe3BphsaM4t6eKByvE4+iCR2Bo7Ao6fIkyPNZWgBfBINl9hsvdc6BKA+EtvIZ19+M0 kHpurnFDwjAUzG0Dh/RFwl/PfZiaX8z/rlwaJPchGY4we5Ue+t8zdgxe64LWavekb3j3F1K/RrMV AyK1jwDpL8SwSzU/OiiwYGMYBGovP61iQWXLSlHE/wQXyWYFV/gS6oHhz9noAG0bJspwd3XbEUpO /FGUB7wWd9REko5AiGem0tr55lBH2w3+FAMuK5Y4c5WhzzbQ0MjZH8VjO7BHWDx80XbiDsM7QCTY czuhBpWwNJq7ocLWqzq7jLiN7Sa+UqXb2hB4SQ8e6BFlkcJcxLOPi1royKv1dFcxoOhnRMISn1iB tsyRpVU6XgTwVrt8ylohBVAmrsloErE8pKu2iUoA6KSz/vOTuBvm9nxsx/r1bxaW36jnbq29Z2Dy pu+WU054h48or5YXL8u2KeyCqmQkR5xa7IsYLZD8GPoBLLHRlrs7VdNxTGcO5Yc827X0e9tMhJKe g4QYnN6uaQKwoaotrRyVPRMSz27XRGbPlx9EbiSeDuev/QjPej9DSP8vridCLz1oswHwkZZJdY6y nfYchqSoXqYlTdbDacux9ENlN2ueyTpBkLS2n/2iIbj9tWy23158RNiuSKBGOdV0xB3+jxRlrpqX O0gUa2YOJQYLjE36pj3PsSoXmcu5BVXmgtGetiRdndbBW0b/f5RKr+lPFY2Y `protect end_protected
apache-2.0
af888c99cd281a3f35d8c8476a61e974
0.930213
1.892163
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/2-MESA-HB/metaheurísticas/mesahb_hype.vhd
1
1,966
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:16:56) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_hype_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2: OUT unsigned(0 TO 4)); END mesahb_hype_entity; ARCHITECTURE mesahb_hype_description OF mesahb_hype_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => output1 <= input1 + 1; register1 := input2 * 2; WHEN "00000010" => register2 := input3 * 3; register1 := register1 + 5; WHEN "00000011" => register1 := ((NOT register1) + 1) XOR register1; register2 := register2 + 9; WHEN "00000100" => register2 := register2 * 11; WHEN "00000101" => register3 := input4 * 12; register2 := register2 + 14; WHEN "00000110" => register2 := ((NOT register2) + 1) XOR register2; register1 := register3 * register1; WHEN "00000111" => register2 := register2 * 18; WHEN "00001000" => register1 := register2 + register1; register2 := input5 * 19; WHEN "00001001" => register2 := register2 + 21; WHEN "00001010" => register2 := register2 * 23; WHEN "00001011" => register2 := register2 + 25; WHEN "00001100" => output2 <= register1(0 TO 1) & register2(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_hype_description;
gpl-3.0
62469f1b980a88e6ac90c76244844973
0.651068
3.029276
false
false
false
false
rhexsel/xinu-cMIPS
vhdl/fpu.vhd
2
30,314
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2015 Joao Manoel Pampanini Filho & Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; entity special_values is port (in_a,in_b : in std_logic_vector(30 downto 0); type_A,type_b : out FP_type; denormA,denormB : out std_logic); end special_values; architecture estrutural of special_values is -- type_A= 11 A=0.0 -- type_A= 01 infinito -- type_A= 10 NaN -- type_A= 00 A é numero bom -- type FP_type is fp_is_good, fp_is_inf, fp_is_NaN, fp_is_zero; constant mant_all_zeroes : reg23 := (others => '0'); constant exp_all_zeroes : reg8 := (others => '0'); constant exp_all_ones : reg8 := (others => '1'); begin U_check_A: process(in_a) variable mant_is_zero, exp_is_zero, exp_is_255 : boolean; begin mant_is_zero := (in_a(22 downto 0) = mant_all_zeroes); exp_is_zero := (in_a(30 downto 23) = exp_all_zeroes); exp_is_255 := (in_a(30 downto 23) = exp_all_ones); if exp_is_zero and mant_is_zero then type_A <= fp_is_zero; elsif exp_is_255 and mant_is_zero then type_A <= fp_is_inf; elsif exp_is_255 and not(mant_is_zero) then type_A <= fp_is_nan; else type_A <= fp_is_good; end if; if exp_is_zero then denormA <= '0'; else denormA <= '1'; end if; end process U_check_A; U_check_B: process(in_b) variable mant_is_zero, exp_is_zero, exp_is_255 : boolean; begin mant_is_zero := (in_b(22 downto 0) = mant_all_zeroes); exp_is_zero := (in_b(30 downto 23) = exp_all_zeroes); exp_is_255 := (in_b(30 downto 23) = exp_all_ones); if exp_is_zero and mant_is_zero then type_B <= fp_is_zero; elsif exp_is_255 and mant_is_zero then type_B <= fp_is_inf; elsif exp_is_255 and not(mant_is_zero) then type_B <= fp_is_nan; else type_B <= fp_is_good; end if; if exp_is_zero then denormB <= '0'; else denormB <= '1'; end if; end process U_check_B; -- type_A <= b"11" when to_integer(unsigned(in_a(30 downto 23))) = 0 AND to_integer(unsigned(in_a(22 downto 0))) = 0 -- else b"01" when to_integer(unsigned(in_a(30 downto 23))) = 255 AND to_integer(unsigned(in_a(22 downto 0))) = 0 -- else b"10" when to_integer(unsigned(in_a(30 downto 23))) = 255 AND to_integer(unsigned(in_a(22 downto 0))) /= 0 -- else b"00"; -- type_b <= b"11" when to_integer(unsigned(in_b(30 downto 23))) = 0 AND to_integer(unsigned(in_b(22 downto 0))) = 0 -- else b"01" when to_integer(unsigned(in_b(30 downto 23))) = 255 AND to_integer(unsigned(in_b(22 downto 0))) = 0 -- else b"10" when to_integer(unsigned(in_b(30 downto 23))) = 255 AND to_integer(unsigned(in_b(22 downto 0))) /= 0 -- else b"00"; -- denormA <= '0' when to_integer(unsigned(in_a(30 downto 23))) = 0 else '1'; -- denormB <= '0' when to_integer(unsigned(in_b(30 downto 23))) = 0 else '1'; end estrutural; --+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; entity data_check_mult is port(type_A,type_B : in FP_type; sig_A,sig_B : in std_logic; sig_out : out std_logic; exp_in : in std_logic_vector ( 7 downto 0); fra_in : in std_logic_vector (22 downto 0); exp_out : out std_logic_vector ( 7 downto 0); fra_out : out std_logic_vector (22 downto 0)); end data_check_mult; architecture estrutural of data_check_mult is begin check : process(type_A,type_B, exp_in,fra_in) variable exp_p : std_logic_vector ( 7 downto 0); variable fra_p : std_logic_vector (22 downto 0); begin -- if (type_A = "10" OR type_B = "10" ) then -- exp_p := (OTHERS => '1'); -- fra_p := (OTHERS => '1'); -- elsif ( (type_A = "01" AND type_B = "11") OR (type_A = "11" AND type_B = "01") ) then -- exp_p := (OTHERS => '1'); -- fra_p := (OTHERS => '1'); -- elsif (type_A = "01" OR type_B = "01") then -- exp_p := (OTHERS => '1'); -- fra_p := (OTHERS => '0'); -- elsif (type_A = "11" OR type_B = "11") then -- exp_p := (OTHERS => '0'); -- fra_p := (OTHERS => '0'); -- else -- exp_p := exp_in; -- fra_p := fra_in; -- end if; case type_A is when fp_is_NaN => -- 10 exp_p := (OTHERS => '1'); fra_p := (OTHERS => '1'); when fp_is_inf => -- 01 exp_p := (OTHERS => '1'); if type_B = fp_is_zero then -- 11 fra_p := (OTHERS => '1'); else fra_p := (OTHERS => '0'); end if; when fp_is_zero => -- 11 if type_B = fp_is_inf then -- 01 exp_p := (OTHERS => '1'); fra_p := (OTHERS => '1'); else exp_p := (OTHERS => '0'); fra_p := (OTHERS => '0'); end if; when others => case type_B is when fp_is_NaN => -- 10 exp_p := (OTHERS => '1'); fra_p := (OTHERS => '1'); when fp_is_zero => -- 10 exp_p := (OTHERS => '0'); fra_p := (OTHERS => '0'); when others => exp_p := exp_in; fra_p := fra_in; end case; end case; exp_out <= exp_p ; fra_out <= fra_p ; end process; check_sig : process(type_A,type_B, sig_A,sig_B) variable sig_p : std_logic; begin if (type_A = fp_is_NaN OR type_B = fp_is_NaN ) then sig_p := '0'; elsif ( (type_A = fp_is_inf AND type_B = fp_is_zero) OR (type_A = fp_is_zero AND type_B = fp_is_inf ) ) then sig_p := '0'; else sig_p := sig_A XOR sig_B; end if; sig_out <= sig_p; end process check_sig; end estrutural; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- MULT_FLOAT -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; entity mult32float is port(AB_in : in std_logic_vector(31 downto 0); rel,rst,wt_in : in std_logic; sela,selb,selc : in std_logic; prod : out std_logic_vector(31 downto 0); pronto,wt_out : out std_logic); end mult32float; architecture estrutural of mult32float is component special_values is port (in_a,in_b : in std_logic_vector; type_A,type_b : out FP_type; denormA,denormB : out std_logic); end component special_values; component data_check_mult is port (type_A,type_B : in FP_type; sig_A,sig_B : in std_logic; sig_out : out std_logic; exp_in : in std_logic_vector; fra_in : in std_logic_vector; exp_out : out std_logic_vector; fra_out : out std_logic_vector); end component data_check_mult; signal vlid_stg0,wt_stg0,flag,denormA,denormB: std_logic; signal type_A,type_B : FP_type; signal expA,expB,exp_ab,exp_p,desloc : std_logic_vector(8 downto 0); signal in_A,in_B : std_logic_vector(31 downto 0); signal vlid_stg1,wt_stg1,sign_A,sign_B : std_logic; signal type_A2,type_B2 : FP_type; signal deloc2 : std_logic_vector(4 downto 0); signal exp_stg1 : std_logic_vector(7 downto 0); signal frac_A,frac_B : std_logic_vector(23 downto 0); signal frac_p : std_logic_vector(47 downto 0); signal vlid_stg2,wt_stg2,sign_A2,sign_B2,sign_f : std_logic; signal type_A3,type_B3 : FP_type; signal deloc3,deloc4 : std_logic_vector(4 downto 0); signal exp_stg2,exp_stg3,exp_f : std_logic_vector(7 downto 0); signal frac_f,mant : std_logic_vector(22 downto 0); signal frac_normed : std_logic_vector(23 downto 0); signal frac_rounded : std_logic_vector(25 downto 0); signal signR : std_logic_vector(47 downto 0); signal w_intra,w_pronto: std_logic; begin -- ENTRADA stg0_start: process(rel) begin if rising_edge(rel) then if (rst = '0' or (selA = selB and flag = '0')) then vlid_stg0 <= '0'; wt_stg0 <= '0'; flag <= '0'; in_A <= x"00000000"; in_B <= x"00000000" ; elsif (selA = '1' and selB = '0') then vlid_stg0 <= '0'; wt_stg0 <= '1'; flag <= '1'; in_A <= AB_in; in_B <= x"00000000"; elsif (selA = '0' and selB = '1') then vlid_stg0 <= '1'; wt_stg0 <= '1'; flag <= '0'; in_A <= in_A; in_B <= AB_in; end if; end if; end process; s_cases : special_values port map (in_A(30 downto 0),in_B(30 downto 0), type_A,type_B, denormA,denormB); -- separa expoentes da entrada expA <= '0' & in_A(30 downto 23) when denormA = '1' else b"000000001"; -- e agenta para a soma expB <= '0' & in_B(30 downto 23) when denormB = '1' else b"000000001"; -- soma dos expoentes exp_ab <= std_logic_vector(signed(expA) + signed(expB)); -- soma com a bias exp_p <= '0' & x"ff" when exp_ab >= b"011111111" else '0' & x"00" when exp_ab <= b"001111111" else std_logic_vector(signed(exp_ab) + signed'("110000001")); desloc <= b"000000000" when exp_ab > b"001111111" else std_logic_vector(signed'("010000000") - signed(exp_ab)); -- MULT stg1_Mult: process(rel) begin if rising_edge(rel) then if (rst = '0' or vlid_stg0 = '0') then vlid_stg1 <= '0' ; wt_stg1 <= '0' ; type_A2 <= fp_is_good; type_B2 <= fp_is_good; sign_A <= '0' ; sign_B <= '0' ; deloc2 <= (OTHERS => '0'); exp_stg1 <= (OTHERS => '0'); frac_A <= (OTHERS => '0'); frac_B <= (OTHERS => '0'); else vlid_stg1 <= '1'; wt_stg1 <= wt_stg0; type_A2 <= type_A ; type_B2 <= type_B ; sign_A <= in_A(31) ; sign_B <= in_B(31) ; if (desloc < b"000011000") then deloc2 <= desloc(4 downto 0) ; else deloc2 <= "11000"; end if; exp_stg1 <= exp_p(7 downto 0); frac_A <= denormA & in_A(22 downto 0); frac_B <= denormB & in_B(22 downto 0); end if; end if; end process; frac_p <= std_logic_vector(unsigned(frac_A) * unsigned(frac_B)) ; -- NORM e ROUND stg2_round: process(rel) begin if rising_edge(rel) then if (rst = '0' or vlid_stg1 = '0') then vlid_stg2 <= '0' ; wt_stg2 <= '0' ; type_A3 <= fp_is_good; type_B3 <= fp_is_good; sign_A2 <= '0' ; sign_B2 <= '0' ; deloc3 <= (OTHERS => '0'); exp_stg2 <= (OTHERS => '0'); signR <= (OTHERS => '0'); else vlid_stg2 <= '1' ; wt_stg2 <= wt_stg1 ; type_A3 <= type_A2 ; type_B3 <= type_B2 ; sign_A2 <= sign_A ; sign_B2 <= sign_B ; deloc3 <= deloc2 ; exp_stg2 <= exp_stg1 ; signR <= frac_p ; end if; end if; end process; frac_rounded <= std_logic_vector(unsigned('0' & signR(46 downto 22)) + 1) WHEN signR(47) = '0' ELSE std_logic_vector(unsigned('0' & signR(47 downto 23)) + 1); deloc4 <= std_logic_vector(unsigned(deloc3) - 1) when (signR(47) = '1' or frac_rounded(25) = '1') and deloc3 > b"00000" else deloc3; exp_stg3 <= x"00" when signR(47) = '0' and signR(46) = '0' else std_logic_vector(unsigned(exp_stg2) + 1) when (signR(47) = '1' or frac_rounded(25) = '1') and deloc3 = b"00000" else exp_stg2; frac_normed <= b"0000" & x"00000" when exp_stg3 = x"ff" else std_logic_vector(unsigned(frac_rounded(25 downto 2)) srl to_integer(unsigned(deloc4))) when frac_rounded(25) = '1' else std_logic_vector(unsigned(frac_rounded(24 downto 1)) srl to_integer(unsigned(deloc4))); mant <= frac_normed(22 downto 0); finish : data_check_mult port map (type_A3,type_B3,sign_A2,sign_B2,sign_f,exp_stg3,mant,exp_f,frac_f); -- Fim do processo stg3_final: process(rel) begin if rising_edge(rel) then if ( rst = '0' or (selc = '1' and w_intra = '0' and vlid_stg2 = '0') ) then w_pronto <= '0'; prod <= x"00000000"; elsif (selc = '0' and w_pronto = '1') then w_pronto <= '1'; elsif (vlid_stg2 = '1') then w_pronto <= '1'; prod <= sign_f & exp_f & frac_f; end if; end if; end process; pronto <= w_pronto; w_intra <= (wt_in or wt_stg0 or wt_stg1 or wt_stg2) and (not w_pronto) ; wt_out <= w_intra; end estrutural; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity data_check_sum is port(type_A,type_B : in std_logic_vector ( 1 downto 0); sig_A,sig_B : in std_logic; sig_out : out std_logic; exp_in : in std_logic_vector ( 7 downto 0); fra_in : in std_logic_vector (22 downto 0); exp_out : out std_logic_vector ( 7 downto 0); fra_out : out std_logic_vector (22 downto 0)); end data_check_sum; architecture estrutural of data_check_sum is signal sig_p : std_logic; signal exp_p : std_logic_vector ( 7 downto 0); signal fra_p : std_logic_vector (22 downto 0); begin check : process(type_A,type_B,sig_A,sig_B,exp_in,fra_in) begin if (type_A = "10" OR type_B = "10" ) then sig_p <= '0'; exp_p <= (OTHERS => '1'); fra_p <= (OTHERS => '1'); elsif (type_A = "01" AND sig_A /= sig_B AND type_B = "01") then sig_p <= '0'; exp_p <= (OTHERS => '1'); fra_p <= (OTHERS => '1'); elsif (type_A = "01") then sig_p <= sig_A; exp_p <= (OTHERS => '1'); fra_p <= (OTHERS => '0'); elsif (type_B = "01") then sig_p <= sig_B; exp_p <= (OTHERS => '1'); fra_p <= (OTHERS => '0'); elsif (type_A = "11" OR type_B = "11") then sig_p <= sig_A AND sig_B; exp_p <= (OTHERS => '0'); fra_p <= (OTHERS => '0'); elsif (exp_in = x"00") AND (fra_in = b"0000000000000") then sig_p <= '0'; exp_p <= (OTHERS => '0'); fra_p <= (OTHERS => '0'); else sig_p <= sig_A; exp_p <= exp_in; fra_p <= fra_in; end if; end process; sig_out <= sig_p ; exp_out <= exp_p ; fra_out <= fra_p ; end estrutural; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- SUM_FLOAT -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity sum32float is port (AB_in : in std_logic_vector (31 downto 0); rel,rst,wt_in : in std_logic; sela,selb,selc : in std_logic; prod : out std_logic_vector (31 downto 0); pronto,wt_out : out std_logic); end sum32float; architecture estrutural of sum32float is component special_values is port (in_a,in_b : in std_logic_vector ; type_A,type_B : out std_logic_vector ; denormA,denormB : out std_logic); end component special_values; component data_check_sum is port (type_A,type_B : in std_logic_vector; sig_A,sig_B : in std_logic; sig_out : out std_logic; exp_in : in std_logic_vector ; fra_in : in std_logic_vector ; exp_out : out std_logic_vector ; fra_out : out std_logic_vector); end component data_check_sum; signal vlid_stg0,wt_stg0,flag,denorma,denormb,sub_sum : std_logic ; signal type_A,type_B : std_logic_vector( 1 downto 0); signal expA,expB,exp_p,deloc : std_logic_vector( 7 downto 0); signal st0_sign_A,st0_sign_B_un,st0_sign_B,st0_sign_B2 : std_logic_vector(25 downto 0); signal in_A,in_B : std_logic_vector(31 downto 0); signal vlid_stg1,wt_stg1,s_A,s_b,sin : std_logic ; signal type_A2,type_B2,aux : std_logic_vector( 1 downto 0); signal desloc : std_logic_vector( 4 downto 0); signal exp_stg1,delocn : std_logic_vector( 7 downto 0); signal signA,signB,sum_AB : std_logic_vector(25 downto 0); signal sum_AB2 : std_logic_vector(26 downto 0); signal vlid_stg2,wt_stg2,s_A2,s_b2,sign_f : std_logic ; signal type_A3,type_B3 : std_logic_vector( 1 downto 0); signal exp_stg2,exp_f,exp_stg21,exp_aux : std_logic_vector( 7 downto 0); signal sum_f,frac_f : std_logic_vector(22 downto 0); signal sum_C : std_logic_vector(25 downto 0); signal rounded_sum : std_logic_vector(27 downto 0); signal w_pronto,w_intra : std_logic; begin -- ENTRADA stg0_start: process(rel) begin if rising_edge(rel) then if (rst = '0' or (selA = selB and flag = '0')) then vlid_stg0 <= '0'; wt_stg0 <= '0'; flag <= '0'; in_A <= x"00000000"; in_B <= x"00000000" ; elsif (selA = '1' and selB = '0') then vlid_stg0 <= '0'; wt_stg0 <= '1'; flag <= '1'; in_A <= AB_in; in_B <= x"00000000"; elsif (selA = '0' and selB = '1') then vlid_stg0 <= '1'; wt_stg0 <= '1'; flag <= '0'; if ( unsigned(in_A(30 downto 0)) >= unsigned(AB_in(30 downto 0)) ) then in_A <= in_A; in_B <= AB_in; else in_A <= AB_in; in_B <= in_A; end if; end if; end if; end process; -- s_cases : special_values -- port map (in_A(30 downto 0),in_B(30 downto 0),type_a,type_b,denormA,denormB); expA <= in_A(30 downto 23) when denormA = '1' else x"01"; expB <= in_B(30 downto 23) when denormB = '1' else x"01"; deloc <= std_logic_vector(unsigned(expA) - unsigned(expB)); exp_p <= expA; sub_sum <= in_A(31) xor in_B(31); st0_sign_A <= b"00" & denormA & in_A(22 downto 0); st0_sign_B_un <= b"00" & denormB & in_B(22 downto 0); st0_sign_B2 <= std_logic_vector(unsigned(st0_sign_B_un) srl to_integer(unsigned(deloc))); st0_sign_B <= std_logic_vector(-signed(st0_sign_B2)) when sub_sum = '1' else st0_sign_B2; -- MULT stg1_Mult: process(rel) begin if rising_edge(rel) then if (rst = '0' or vlid_stg0 = '0') then vlid_stg1 <= '0'; wt_stg1 <= '0'; type_A2 <= (OTHERS => '0'); type_B2 <= (OTHERS => '0'); s_A <= '0'; s_b <= '0'; exp_stg1 <= (OTHERS => '0'); signA <= (OTHERS => '0'); signB <= (OTHERS => '0'); else vlid_stg1 <= '1'; wt_stg1 <= wt_stg0; type_A2 <= type_A; type_B2 <= type_B; s_A <= in_A(31); s_b <= in_B(31); exp_stg1 <= exp_p; signA <= st0_sign_A; signB <= st0_sign_B; end if; end if; end process; sum_AB <= std_logic_vector(signed(signA) + signed(signB)); sum_ab2 <= sum_AB & '0'; deloc_sum: process(sum_AB) begin if (sum_AB(24) = '1') then desloc <= b"00001"; sin <= '0'; elsif (sum_AB(23) = '1') then desloc <= b"00000"; sin <= '0'; elsif (sum_AB(22) = '1') then desloc <= b"00001"; sin <= '1'; elsif (sum_AB(21) = '1') then desloc <= b"00010"; sin <= '1'; elsif (sum_AB(20) = '1') then desloc <= b"00011"; sin <= '1'; elsif (sum_AB(19) = '1') then desloc <= b"00100"; sin <= '1'; elsif (sum_AB(18) = '1') then desloc <= b"00101"; sin <= '1'; elsif (sum_AB(17) = '1') then desloc <= b"00110"; sin <= '1'; elsif (sum_AB(16) = '1') then desloc <= b"00111"; sin <= '1'; elsif (sum_AB(15) = '1') then desloc <= b"01000"; sin <= '1'; elsif (sum_AB(14) = '1') then desloc <= b"01001"; sin <= '1'; elsif (sum_AB(13) = '1') then desloc <= b"01010"; sin <= '1'; elsif (sum_AB(12) = '1') then desloc <= b"01011"; sin <= '1'; elsif (sum_AB(11) = '1') then desloc <= b"01100"; sin <= '1'; elsif (sum_AB(10) = '1') then desloc <= b"01101"; sin <= '1'; elsif (sum_AB(9) = '1') then desloc <= b"01110"; sin <= '1'; elsif (sum_AB(8) = '1') then desloc <= b"01111"; sin <= '1'; elsif (sum_AB(7) = '1') then desloc <= b"10000"; sin <= '1'; elsif (sum_AB(6) = '1') then desloc <= b"10001"; sin <= '1'; elsif (sum_AB(5) = '1') then desloc <= b"10010"; sin <= '1'; elsif (sum_AB(4) = '1') then desloc <= b"10011"; sin <= '1'; elsif (sum_AB(3) = '1') then desloc <= b"10100"; sin <= '1'; elsif (sum_AB(2) = '1') then desloc <= b"10101"; sin <= '1'; elsif (sum_AB(1) = '1') then desloc <= b"10110"; sin <= '1'; elsif (sum_AB(0) = '1') then desloc <= b"10111"; sin <= '1'; else desloc <= b"11000"; sin <= '1'; end if; end process; aux <= b"01" when exp_stg1 = b"11111110" and desloc = b"00001" and sin = '0' else b"10" when desloc = b"11000" and sin = '1' else "00"; delocn <= "000" & desloc when (sin = '0') OR ( unsigned(desloc) <= (unsigned(exp_stg1) - unsigned'(b"00000001"))) else std_logic_vector(unsigned(exp_stg1) - unsigned'(b"00000001")); -- NORM e ROUND stg2_round: process(rel) begin if rising_edge(rel) then if (rst = '0' or vlid_stg1 = '0') then vlid_stg2 <= '0'; wt_stg2 <= '0'; type_A3 <= (OTHERS => '0'); type_B3 <= (OTHERS => '0'); s_A2 <= '0'; s_b2 <= '0'; exp_stg2 <= (OTHERS => '0'); sum_C <= (OTHERS => '0'); else vlid_stg2 <= '1'; wt_stg2 <= wt_stg1; type_A3 <= type_A2; type_B3 <= type_B2; s_A2 <= s_A; s_b2 <= s_b; if (aux > b"00") then exp_stg2 <= (OTHERS => aux(0)); elsif (unsigned(desloc) > (unsigned(exp_stg1) - unsigned'(b"00000001"))) then exp_stg2 <= (OTHERS => '0'); elsif (sin = '0') then exp_stg2 <= std_logic_vector(unsigned(exp_stg1) + unsigned(delocn)); else exp_stg2 <= std_logic_vector(unsigned(exp_stg1) - unsigned(delocn)); end if; if (aux > b"00") then sum_C <= (OTHERS => '0'); elsif (sin = '0') then sum_C <= std_logic_vector(unsigned(sum_AB2(25 downto 0)) srl to_integer(unsigned(delocn))); else sum_C <= std_logic_vector(unsigned(sum_AB2(25 downto 0)) sll to_integer(unsigned(delocn))); end if; end if; end if; end process; rounded_sum <= std_logic_vector(unsigned( b"000" & sum_C(24 downto 0)) + unsigned'(x"0000001")); exp_aux <= std_logic_vector(unsigned(exp_stg2) + unsigned'(b"00000001")) when rounded_sum(25) = '1' else exp_stg2; sum_f <= b"00000000000000000000000" when exp_stg2 = x"fe" and rounded_sum(25) = '1' else rounded_sum(24 downto 2) when rounded_sum(25) = '1' else rounded_sum(23 downto 1); exp_stg21 <= x"01" when exp_stg2 = x"00" and rounded_sum(24) = '1' else x"ff" when exp_stg2 = x"fe" and rounded_sum(25) = '1' else exp_aux; finish : data_check_sum port map (type_A3,type_B3,s_A2,s_b2,sign_f,exp_stg21,sum_f,exp_f,frac_f); -- Fim do processo stg3_final: process(rel) begin if rising_edge(rel) then if ( rst = '0' or (selc = '1' and w_intra = '0' and vlid_stg2 = '0')) then w_pronto <= '0'; prod <= x"00000000"; elsif (selc = '0' and w_pronto = '1') then w_pronto <= '1'; elsif (vlid_stg2 = '1') then w_pronto <= '1'; prod <= sign_f & exp_f & frac_f; end if; end if; end process; pronto <= w_pronto; w_intra <= (wt_in or wt_stg0 or wt_stg1 or wt_stg2) and (not w_pronto) ; wt_out <= w_intra; end architecture estrutural; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- FPU -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use work.p_wires.all; entity FPU is port(rst : in std_logic; clk : in std_logic; sel : in std_logic; rdy : out std_logic; wr : in std_logic; addr : in reg4; data_inp : in reg32; data_out : out reg32); end FPU; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- fake FPU -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ architecture fake of FPU is begin rdy <= '1'; data_out <= (others => '0'); end architecture fake; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- FPU -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ architecture rtl of FPU is component wait_states is generic (NUM_WAIT_STATES :integer); port(rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' waiting : out std_logic); -- active in '1' end component wait_states; component mult32float is port( AB_in : in std_logic_vector; rel,rst,wt_in : in std_logic ; sela,selb,selc : in std_logic ; prod : out std_logic_vector; pronto,wt_out : out std_logic); end component mult32float; component sum32float is port( AB_in : in std_logic_vector; rel,rst,wt_in : in std_logic ; sela,selb,selc : in std_logic ; prod : out std_logic_vector; pronto,wt_out : out std_logic); end component sum32float; --component div32float is port( --AB_in : in std_logic_vector; --rel,rst,wt_in : in std_logic ; --sela,selb,selc : in std_logic ; --prod : out std_logic_vector; --pronto,wt_out : out std_logic); --end component div32float; signal wt,wt0,pt0,selA_mul,selB_mul,selC_mul, wt_mul, wt_st0 : std_logic; signal wt1,pt1,selA_sum,selB_sum,selC_sum : std_logic; signal wt2,pt2,selA_div,selB_div,selC_div : std_logic; signal RES_MUL,RES_SUM,RES_DIV : std_logic_vector(31 DOWNTO 0); begin U_Mult_float: mult32float port map (data_inp,clk,rst,'0',selA_mul,selB_mul,selC_mul,RES_MUL,pt0,wt0); RES_SUM <= (others => 'X'); -- U_Sum_float : sum32float -- port map (data_inp,clk,rst,wt,selA_sum,selB_sum,selC_sum,RES_SUM,pt1,wt1); -- U_Div_float : div32float -- port map (data_inp,clk,rst,wt,selA_div,selB_div,selC_div,RES_DIV,pt2,wt2); -- sel wr addr -- 0 0 0000 ativa selA (SW A) MUL -- 0 0 0001 ativa selB (SW B) MUL -- 0 1 0001 ativa selC (LW C) MUL -- 0 0 0100 ativa selA (SW A) SUM -- 0 0 0101 ativa selB (SW B) SUM -- 0 1 0100 ativa selC (LW C) SUM -- 0 0 1100 ativa selA (SW A) DIV -- 0 0 1101 ativa selB (SW B) DIV -- 0 1 110x ativa selC (LW C) DIV -- 1 x xxx *#NOP#* selA_mul <= '1' when sel = '0' and addr = "0000" and wr = '0' else '0'; selB_mul <= '1' when sel = '0' and addr = "0001" and wr = '0' else '0'; selC_mul <= '1' when sel = '0' and addr = "0000" and wr = '1' else '0'; selA_sum <= '1' when sel = '0' and addr = "0010" and wr = '0' else '0'; selB_sum <= '1' when sel = '0' and addr = "0011" and wr = '0' else '0'; selC_sum <= '1' when sel = '0' and addr = "0010" and wr = '1' else '0'; --selA_div <= '1' when sel = '0' and addr = "0100" and wr = '0' else '0'; --selB_div <= '1' when sel = '0' and addr = "0101" and wr = '0' else '0'; --selC_div <= '1' when sel = '0' and addr = "0100" and wr = '1' else '0'; wt_mul <= not(selC_mul); U_WAIT_ON_READS: component wait_states generic map (1) port map (rst, clk, wt_mul, wt_st0); rdy <= not(wt_st0 or (wt0 and selC_mul)); -- or (wt1 and selC_sum)); --or (wt2 and selC_div)); data_out <= RES_MUL when selC_mul = '1' else RES_SUM when selC_sum = '1' else (others => 'X'); --RES_DIV when selC_div = '1' else end architecture rtl; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
6eaad123d50931fa34eb71fb2c4ba353
0.493749
3.027364
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/4-MPEG-MV/asap-alap-random/mpegmv_alap.vhd
1
2,770
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:37:13) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_alap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END mpegmv_alap_entity; ARCHITECTURE mpegmv_alap_description OF mpegmv_alap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; WHEN "00000010" => register1 := register1 + 5; register4 := input4 * 6; register5 := input5 * 7; register2 := register2 + 9; register6 := input6 * 10; register3 := register3 + 12; register7 := input7 * 13; WHEN "00000011" => register1 := register4 + register1; register4 := input8 * 14; register5 := register5 + 16; register8 := input9 * 17; register2 := register6 + register2; register6 := input10 * 18; register9 := input11 * 19; register3 := register7 + register3; WHEN "00000100" => register7 := input12 * 20; register1 := register4 + register1; register4 := register8 + register5; register5 := input13 * 21; register2 := register6 + register2; register6 := register9 + 23; register8 := input14 * 24; WHEN "00000101" => register3 := register7 + register3; register1 := ((NOT register1) + 1) XOR register1; register4 := register5 + register4; register2 := ((NOT register2) + 1) XOR register2; output1 <= register8 + register6; WHEN "00000110" => output2 <= register1(0 TO 1) & register3(0 TO 2); output3 <= register2(0 TO 1) & register4(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_alap_description;
gpl-3.0
2abf516d1d28ea49014250b8e0e4fe80
0.661372
3.074362
false
false
false
false
rcls/sdr
vhdl/irfir.vhd
1
6,296
-- Intermediate rate FIR. -- Take the 4 x 3.125MHz output from the phase detector, and filter -- downto 4 x 156.25kHz. -- The passband is 62.5kHz (80% of 78.125kHz Nyquist). library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.all; use work.defs.all; entity irfir is generic(acc_width : integer := 40; out_width : integer := 18); port(d : in unsigned18; d_last : in std_logic; q : out signed(out_width - 1 downto 0); q_strobe : out std_logic; -- Asserted on the first cycle with new data. q_last : out std_logic; clk : in std_logic); end irfir; architecture irfir of irfir is -- The command word consists of 18 bits multiplier and 5 strobes. constant index_sample_strobe : integer := 18; constant index_out_strobe : integer := 19; constant index_pc_reset : integer := 20; constant index_read_reset : integer := 21; constant index_mac_accum : integer := 22; constant program_size : integer := 400; -- Min coeff is -26869 -- Max coeff is 131071 -- Sum of coeffs is 2752049 -- Number of coeffs is 400 constant program : program_t(0 to program_size - 1) := ( x"440000", x"000043", x"48001f", x"400030", x"400036", x"400043", x"40004d", x"40005a", x"400066", x"400072", x"40007e", x"400089", x"400093", x"40009c", x"4000a3", x"4000a7", x"4000aa", x"4000a7", x"4000a5", x"400095", x"440093", x"40001d", x"40003f", x"400008", x"43ffe3", x"43ffaa", x"43ff74", x"43ff33", x"43fef1", x"43fea9", x"43fe60", x"43fe15", x"43fdc9", x"43fd7e", x"43fd34", x"43fcf0", x"43fcae", x"43fc79", x"43fc42", x"43fc30", x"47fbf8", x"43fc8e", x"43fc33", x"43fc72", x"43fc97", x"43fce9", x"43fd3c", x"43fdab", x"43fe24", x"43feb1", x"43ff48", x"43ffec", x"40009a", x"40014d", x"400206", x"4002ba", x"400372", x"400416", x"4004c3", x"40052b", x"4405e4", x"40055c", x"40062e", x"400617", x"400620", x"4005dd", x"40058e", x"400509", x"40046b", x"4003a3", x"4002bd", x"4001b7", x"400096", x"43ff62", x"43fe18", x"43fccc", x"43fb6e", x"43fa2a", x"43f8cc", x"43f7e0", x"47f65f", x"43f6a8", x"43f526", x"43f4e7", x"43f484", x"43f497", x"43f4c6", x"43f550", x"43f60d", x"43f719", x"43f860", x"43f9e7", x"43fba8", x"43fd97", x"43ffb9", x"4001ed", x"40044d", x"400693", x"40090f", x"400ae5", x"440dad", x"400e17", x"4010b8", x"4011ba", x"4012dd", x"401357", x"401399", x"40134c", x"4012a2", x"401176", x"400fdc", x"400dce", x"400b52", x"400878", x"40053b", x"4001c6", x"43fdf8", x"43fa33", x"43f60b", x"43f2ba", x"47edff", x"43ec31", x"43e7cb", x"43e55a", x"43e2d3", x"43e12e", x"43dfee", x"43df7e", x"43dfad", x"43e0ab", x"43e265", x"43e4e7", x"43e82c", x"43ec20", x"43f0cf", x"43f5fd", x"43fbd6", x"4001d6", x"400889", x"400e4b", x"441611", x"401a79", x"4021cb", x"4026e9", x"402c0e", x"403012", x"403374", x"4035ac", x"4036e3", x"4036d6", x"40358d", x"4032f2", x"402efe", x"4029c3", x"40232c", x"401b81", x"401287", x"4008e4", x"43fdef", x"43f3b5", x"47e698", x"43dced", x"43cff6", x"43c52f", x"43ba5b", x"43b0d4", x"43a834", x"43a130", x"439bc1", x"439856", x"43970b", x"439820", x"439bc3", x"43a201", x"43ab1a", x"43b6dc", x"43c5aa", x"43d6e2", x"43eb62", x"4000db", x"441b9a", x"4034a2", x"4052f0", x"4070ff", x"409100", x"40b180", x"40d2cc", x"40f40d", x"411521", x"41356f", x"4154a6", x"417254", x"418e0b", x"41a784", x"41be3b", x"41d225", x"41e290", x"41efea", x"41f8f8", x"41ffff", x"45fff5", x"41ffff", x"41f8f8", x"41efea", x"41e290", x"41d225", x"41be3b", x"41a784", x"418e0b", x"417254", x"4154a6", x"41356f", x"411521", x"40f40d", x"40d2cc", x"40b180", x"409100", x"4070ff", x"4052f0", x"4034a2", x"441b9a", x"4000db", x"43eb62", x"43d6e2", x"43c5aa", x"43b6dc", x"43ab1a", x"43a201", x"439bc3", x"439820", x"43970b", x"439856", x"439bc1", x"43a130", x"43a834", x"43b0d4", x"43ba5b", x"43c52f", x"43cff6", x"43dced", x"47e698", x"43f3b5", x"43fdef", x"4008e4", x"401287", x"401b81", x"40232c", x"4029c3", x"402efe", x"4032f2", x"40358d", x"4036d6", x"4036e3", x"4035ac", x"403374", x"403012", x"402c0e", x"4026e9", x"4021cb", x"401a79", x"441611", x"400e4b", x"400889", x"4001d6", x"43fbd6", x"43f5fd", x"43f0cf", x"43ec20", x"43e82c", x"43e4e7", x"43e265", x"43e0ab", x"43dfad", x"43df7e", x"43dfee", x"43e12e", x"43e2d3", x"43e55a", x"43e7cb", x"43ec31", x"47edff", x"43f2ba", x"43f60b", x"43fa33", x"43fdf8", x"4001c6", x"40053b", x"400878", x"400b52", x"400dce", x"400fdc", x"401176", x"4012a2", x"40134c", x"401399", x"401357", x"4012dd", x"4011ba", x"4010b8", x"400e17", x"440dad", x"400ae5", x"40090f", x"400693", x"40044d", x"4001ed", x"43ffb9", x"43fd97", x"43fba8", x"43f9e7", x"43f860", x"43f719", x"43f60d", x"43f550", x"43f4c6", x"43f497", x"43f484", x"43f4e7", x"43f526", x"43f6a8", x"47f65f", x"43f7e0", x"43f8cc", x"43fa2a", x"43fb6e", x"43fccc", x"43fe18", x"43ff62", x"400096", x"4001b7", x"4002bd", x"4003a3", x"40046b", x"400509", x"40058e", x"4005dd", x"400620", x"400617", x"40062e", x"40055c", x"4405e4", x"40052b", x"4004c3", x"400416", x"400372", x"4002ba", x"400206", x"40014d", x"40009a", x"43ffec", x"43ff48", x"43feb1", x"43fe24", x"43fdab", x"43fd3c", x"43fce9", x"43fc97", x"43fc72", x"43fc33", x"43fc8e", x"47fbf8", x"43fc30", x"43fc42", x"43fc79", x"43fcae", x"43fcf0", x"43fd34", x"43fd7e", x"43fdc9", x"43fe15", x"43fe60", x"43fea9", x"43fef1", x"43ff33", x"43ff74", x"43ffaa", x"43ffe3", x"400008", x"40003f", x"40001d", x"440093", x"400095", x"4000a5", x"4000a7", x"4000aa", x"4000a7", x"4000a3", x"40009c", x"400093", x"400089", x"40007e", x"400072", x"400066", x"40005a", x"40004d", x"400043", x"400036", x"700030", x"40001f", x"400043", others => x"000000"); begin fir : entity quadfir generic map (acc_width, out_width, true, index_sample_strobe, index_out_strobe, index_pc_reset, index_read_reset, index_mac_accum, program_size, program) port map (signed(d), d_last, q, q_strobe, q_last, clk); end irfir;
gpl-3.0
d4e6322900692722b737bf30d0d2fe41
0.599905
2.157642
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/fifo_generator_v12_0_synth.vhd
5
240,450
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UqQ92sq8O2PLALfIchCzTd6pHPDxVs7seWV6ITsyVFBQkI6zQzhhuRIlQSC4EHKO3hrtX4/o7tcs 8DDz+334Ag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RoH55dYloOZl6VE3Y2nDIHNy4u5JHTyiYK70GCJNZstc+DC9a8BEmzpBUoB5+tTyr/05laUf89mE nE4lRyeQcZ5EhNrwrXwJTsIRGNJz7vEACNqSS7avZ0QecPLBvJCIkz57aArIA9KcmaFZXJfR7vis CWVWJM6VVnDt1OHXCkM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block piEMpctTyEx02UiAycYfJGhYavnnsd4pZ3ocHKiZcuUhRc4LHifDtzUXassxXTpApuE0ZGfEGdY7 QSYgGCMeqge7b4ox2pjeYLcwRElo7qo6VldegQ41b6VnKDRry0HvBp7wCwmrRtkNUdBwlG9o7z2I N5YreBs98H/45gGlYk6tHdxezA/BjvxLuXqNF5R1WY84GlX/qU6PIg2KYwNWbTeGmMIg/tZOK/QM AUmO/xECw7LlIvNT3v3h9MYxFFcdJPsGO2Wq0/FuvfisPSHCCQnj+gL3dq36UTFXt2YoShhou13M RLM0MCd7ND65ZXTNBc3mkDW1+meuy9pMG9aa7Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aSlX2m6QyIrEOjvZWkr6dta4tIZxWbcqaeLQLv7goHlC9LPk4Wxc2zvmCBJszk8nhmoTaVCC0/B0 UEEcGAZ+gVOlseZG+QHhypFSEs/0zIuY4Aw2mhpT5eGI3bbiVeiFNaGgNk7PSkDoS46B2irLCbU7 QCTDpq0mnfWihVTfnM8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iuO9eQSHI8OkvExftT6Tj9X+QURRea7ZPQpfNhgQ6myjm8HE2RSdLbcjiVfeSEcK+nAne1OHs59h tT1ycWmryfQDTS6TNJNK4axCqHfPFZ6ZPgGeFA46QjQ2P3GkGPQQJEUqybAD5hW+vTACr3kIqop1 gtkBXtDqnqv2esU9CVq64jJplO5TMBMWlUv/HskBH5CRPlr0iNXue2y5iqTY0LWexi3o0kvdTMs3 W4V2f+TZc5qz89AqskRUbfSgq2HAucVLtYLe7ak0fuMG3pn+e7hx6pqCVsaw3ovu7tFgzo73rKii rXgA8qNfVgyiZ2g1mK4/SSRSRqQqyTxBJ6ToKA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 176256) `protect data_block Tw/88eOt6LT32UiCSD1BQxxhKDonQ1JF9NXP7Z/qrfuEDqmIms5dEj5ccAoii5oXUbXgKAb7BbT3 oPh5s8K/x/Rp3tiNWu2lqvPYZGapfgcN68dkZinn6vfyu8jQ/jZ2xBwMczztESxzfnIv7dWhjkvo TzO39FyahlXX6IeVq6nRCrO2zhQZ/nPfPmhFZdNsWYtZft4C331BEGD3P01QstoM2eWJA5vE+to+ Oj/2CB0u0zV/pE3QgRFAXgZEKDPMiOmD7cxCqXv8Qb9fr4oWDjOQ5doTgKmBQk1D7vnAuHFU6Slz glta3adABxu/1MkzgkbbHKZMzx4PsFO+kiJR1878/wk/FKym6kMKez/C7/c+YT7x2o91mi8qQqrc 0Acf7JD7pcuU3HZf+U6ECXf3+gH5ZAThNkws5jdHYk0/nN1W2xk8PuQX51Y6//teJoC+uD4nK67s YBwwJ9KSCc+EoSwCk3v9CaBR4zBwJvbLYUsczWGW2yCwPK3Pu1VXXcrpdY14C3JX8Y0VqGXtGwzJ SmhjDX/bmgD5lyRoClHKpmrGFTCKXh3Ed2O6Ju7yHHZ+bpqiaySzBN3ywz8XVcBVr4k0DW4xA/6N a4cXMvffsMMyN1cUHuhrDntXU1yjK50G9VGP8cSZ/+QYVbNLe1m6HbU5TORPFzpXSyjguC9HG3ND J/+/17TQIU1VEgDGpweRAnWb7bzg1X87caeaRo+5Wqw/YOLuvwXwxmnZSK8MxIsG1hqgQQJAviSY Vg/2g/OyiPcx2i7L52OJzh3dv8NmmTlid2nU2r/k1irIDE0Yx3EgPRMr/bjCbcRpSUYQYDOebXeH 5Vk+dWvKdVbSPxTYOpM1b/1N8aaLYnijEfVINWopOkLFajJcvg6hwLHxJNToVNGFltOYpAytu1pa Cu+IbLIzHuSXcz0jju+u94N5Ex2jl6X4a/69os7OWtzbTE6tSXggWBTENAGD56Mq4ZePXAkxwv/J wVb+NcRFJc9nDBt51FfLEEeZDX0YVHqeew6Zak7hg6Jk+5gGTh9TbGZkOrYbqH1pdWtXIs1yDbsW ZhyQ+iXcWct52BkA6FVxhTcbSfjrBtOZPXVM6FWqV2Xy4GjKy/bTCa7x7HIB9q2eu1oyfFe9g1n2 zZH+8/r+5lwuhYQ3qzStkhy+iakGvO7OUlyfLb+Kl6wd0xd5eCU9Bx6jLzntA5j0pyZNnpefHXrN nUAzN8U6ifdzmbiqbAXMGmSn3f/zKwHP4WqAAMDHAj/sugzGXHOFXrvHmwt2v+yPAWp9mPAUETCT kUxKvIrv3MJ9XYxxHUtS2ZBqtNiJtOuJgkDxKVsYXuoL/qWNOCX75c6ghzUqoFJM/FhyjS22jB1N 2cj+ZOFXall04tFX8Tja5VTzAhANV8IoXjdA8vLuTWospvYe6VYOM4qhPecBulWa9SHt3Msklwjv CPv8HtTkPWF1huchXW/ODVlkIYTkXSZfgSrnSi0IsfIn9OmDteCBSPZ8e5rC9JQbjJLNUIwOB702 pHI+3Oj2K+PIU3Mb2d5lg0/dvWa2929GEz9hvzBCroMDe5EibIunVsJ/FeUQ4yDkZuFWEQrUCZ9X miKbH4W37CmnnZq77pCcknmQ2dYODLgCnt/jJZlOfrvM6imJmoGvG+cmMGS5HibuTEB2uwNmXWoy i4FYbmZzkEFwc4nW/Ua4R0JiPsndUHI8TL6FxgK4BFrV2AoQxJ10CXSlzmhnTLrqXteYelPZLrQo wzqD4Ez+2PRozaG291/HNT/fkE0WKswMkO00S5Ty9Cj0UUpkPRtcDFAvk3aZvOfLLXO/s2yoycRT oABU0UYjPJpzlfTtw1ruDCNjR6s31dUEalrEoO/1suN1/JL6sHgFF2TV6301qmlfzx46/d7vVtcK Ru9iDpnpnfgRyMuAADa8cpGxP5yqIuCefbYVIN0kK2/Zr4GqyLOUUKFNY0Rkn9ZHIOwnCXsV20js jbdGyYKm81E+Sx6i1L3rJSfLJzoJO0YyciLPtsTZLt2KSa/TbDSGboYdKiwg33D7wN7QAEBKWgZa zoh0MCuPqA9m/fZbjWx7tvCxI1DwvX1gfoTMAB6QQrWGoWjcBpscDcXqYqT76HmKQIzKle8OLJLy aYED2pLuNY1NGK4K4NP6XrwiN8HuRZ0h0bubAIih60Rd0XBSWdi67v1gFo8DSS+J/b/LOjzPg+KH RA3M9YC7B4GAgKu8tjZQn94zyR34sESDzKrnAP/i6qigFlm313KcfjZWj+ULbQL+eI4+TznFfb8f I2SaQFwtTkjBkUjWMJlCrr5qhhxz0BSYP+B9CFUeU9iyq06UprxRS5CKPo0srWIzdo4oPF1wDvmO 5CuiyhbLrZZPtnuGTtEAHtEp9pNU6dFSYht7IFXdEeznUZmoS3s0OEHuXBYRQnioMKPpIggizs+m tPqM3koqnBqtPe0ApN6kMs56Qw+5IGL20V/vv5BgwNR/c3Lnr1Kb4Ct65XAhzhVN25j4S/2MVcI7 fXJTzg1UR8mfW8p5Tj+Q1mZnsh5E6O8ZX3lTbjp+vGjc0O/vaYbUYtnk3g7AggPamIEQXKxhyu0I tHHwS3VAh9kty87hK+qZMCzlBZ4t7N1Iyd/+0G8zimZrr0XEUXRj3SwqZYnx6mEySd6plzO9t/n4 MwNvES6R55GAy8KjjjzT2j55DokDmve05vNyyJ8KQaHeIaZ2XgyXzU6nyl2/o3BQwvO7PonbkTK2 HKq9j6Tv4AAFbu0PCRaqExnm2ofuMnHDsS2tfWAN5aitBupS/NsRScPQ+cHfKyNRCv//3hBBDrJJ OzsCc+Kx4uvqbfM7f6LCMAsAjsBcTfjur5dp6jLFsYwbivZI9NUw+QTzhlA6kjMaOpH+Gij/Hnuz SFDiwXK/yL1XOpgeMoAZJIYe7ycCSrhHByBotWHZP/ldwKQ5yhFKrhqTnMc8Ca2KKjUNaBFJepVQ b2d7FexC4SKIppt86Hl177O6I6JEXJ5qi6m+iYdEQe62nmqVKYoe4NJHS7aSCHbxi5GEravOrm9q D1sZOvEmq/ByPizKiUEx8Cyk4BXmPHPsfSQfCPcGCNb5Dh4tnpsuo+B0QthMVfZACKki+RMeaPOc CCKmL7sMGB4NB22WgY2eX3uIpw0JOrRW0WmYZjhVfs1CSzy1m/bhGSRn/qlyxTGN5Dl8PZwoNkrn U7Bk3Mg5/69l8yM5O64Jj1TiLhA6Rgbe5asSAmnvOP/IiLW11GCD3np46qDMJDhYNFB7sQ8BrmFZ g/g52sFjjFou9hYqQe+tQMK/vzej7azUnafLEPGgZx3cig5KkSYrHhy12IKx6lgM622x90yhnhwu p50jU9a0CncP9uXMB8ZjZky6R51mPUqTYsROwKVrR6H+YhkQstZUJB9FguOtMb+fFZpA0FNeBGJz wX9+++jixwig92F9AW6c25XugNSVk2ajq03cx86UGyokJNEo9DbQ8CESMiruPC3xc3RzgPkJLIEN Kw9Dwkhave3mJl18J5lkHaiGlYj/F8HC1dJJ+TJLjXOemBlDc50Pu3L7kgarebPpYG56meoe12MC 7XdsrbMEbLudZdnEmGaAaPlCdKPNwSN10rEPAPwMYCvusNHqNqMIPEIqowEuM4NjLzXJUzE/uZ4g utn73cLAWvzIUFYFWJubnWrqH1pgpM1oVCr0Rhio8H5uJ00wYKHDK56BVHRkdsvpv1P1QHHCUm2j w/h6t5L5l+zBn/i0rMI1WPEjUu9rtyBjDUsoW/d14gHQressw4sGi4G2SYKy1u/X88WAx8lfAU5Z X2aHNMvrc/G513eq45Pqaeu4Afvm8lqZ+KqQAbf04G9SAHYI9KFhgkgrGCn4PGYqqNClTf2XckBT gnnauXRdbFGmqUOhxnTQC+vGr1b7UIvv3eocxR4Kz9C+8YZ2EcEHfkVBUnIat7O6FsOc//BG+SBT tanZOZRh3WZb8vB5L/H40xnyGxD8D8L2RKIpL4i9Xrm/h0T+aTXC8oAom/W+Sjq7SY9PcLZ5h4KT uv2TlRrmOQU9XBCUlMFHlnTQNMQCguLt5k5x21piEwkcN/GfnxttHJ6V+QF4Bj0yOo24FBzFSn09 HehDNlif8mldYwLEUhNqJe1nZkR8Bdz7cFSBdlZhqNxiPC7FzcaYsDBkGK4iNXlp48M1H7qlwlTy MBk+/AfxSStrtBMSoBHWVcc4hzkosiqhFFQbw+TinokY/KnUYRRBzsZp/zxc2ag/nCTRgGXy89yM H6Z0Ly+Tku60G2eb9w6iXgXaQwdJHuQ0iZ+h2cwR6h5MKqzaauxv4pFWypJChQmrO7Y52lLWttVz ZXTLLJVSKZ7vZKTF9ZeA0mmzwtZ+S6g8xInXEfdYLLE8TDHaj2EAXIbKjDtcYYcgbmbhAOyl00sw ZTMavEA+Ij4AKrAc0jtvjuy2zN+2uEyBrFS+Fvx7iTQNF1wIpnwUdMRGrJZFpm2uKUzS26Ti6mnH OkM8fapNqktTZfpZCLM6TNfjyo3po+RdbrFTSf7tfpTPacaabvIDIM6sBm+tFroFJ9BznjNxLt6c LGpuOAtik6OAH1jWwSOzBVCinocKdVQ2Z+RKnwmBJD2MIXwUfVNVkgVrwqWC7oOJHzesvpeQeZ01 kgkrOW5081hHntAW1HYK62mSMvDpwAAu/VYAabFyBDo85wCwVXnBqLYNni1fGfc3Ij+nDOHbcq0r DJFFw2r0WWYIg4MQwmSVN1ealWrfetRxX4TtOA2jauk+uaoJYP8kxl5Py2f82Sc0khvEHnbxPfK5 hS6H3ULNoEds/r9vt9XMdQ/18wK3l1bxWtOMFyzoVegZ3HSxMW/sWUX01O0U5AJLV4IxQSUiPY+J tPRLaG2PTaazQSm6iU5O+D0GvxrgBMW+CuxcaExWdV55PBtPKP3t/Iv3yzbqegENoahxhHVA/YVr SKWeRBvuGhE4aH4h77zXUmvN/bDT8nH/c49STdGcQPbjBgLW5mPNkqSvHQTO75oWs/Ed2vjpZvbx HKDSW8tUVvJEz79EeTB1sw2k+KJJKz/+P41hOfnuQcwvfgyQ1zC55eAWSEpmldKDERucIpJhmj4D uFHWTL6ES/Ib6+JDCLcUeaPH0etmKJ9jV65OKqPraNuSZLX52v8sYSQ1LOHgb7hgCjf8OdAbQq9B n5Z/3xHhFNNUrwq7qq3ORDFEBdA8Qk1Iex5w8TTSRdZR+yCmp4366IMH/VJ985+LK+dS9qXN7BR/ 1oBePe3E0qWG2FKUiMzb9PWerThS67EfXvu0fd9eqY+WWWEZxrHZ0ZXrCoqxINEHeHLwf5fF2jnR YmZjON5hexqPwkz0ROvSBTrQUL3l/KTuEeDKLzb1Xg98s8jMv13cF6vtEkpizGdJWCd1tZ8EHJ05 3g/rtipUIEeXMJo52T9yADOEm3R6sHgoyzEL9GZiH54zO4wQ9ay1ehfDjP+mxrakP8KW24ecrhXi itNXjXyN//697DDqkzU+QMcZQ6L/GFyO/pEBnzITZb36WMznZmdzLPd6HoMuXy3npoSiWteNmsn9 8BM7BjYOetsEhqJeh/y8NpMTn63GPjRX639SHQB1uTyBgzQ0DWITaAKhbhY7RjixmPy3+qAmEkVb vq6nUNCp+MjivlIrTKl8wP5+6m4K9ZrS5w9tywLyLxE+H+u4/UBWD360rr05940JCT7+EhTPv2ha yBkXcudGTszsf9CrXEgm3g3ThTXFHs8xW06fNtDxnuJoOkngSgWy3EQEFWk4p9q02qvgpXIrZYk4 3EjLSLgk7j68Ymh9R3H2WHIMS1yXBaKY5Km0mHcmFRGNok24U5+NgRlRryDqFqkYNJdxeuSvHBOu wcilG2bf8seQtFp+FA90cupt+uVagaoMA4oo15rbYZgx5v29Ve1qcpdDbnB0fSvIVjccHtnCmUpI G640AVuOSiE5lf3h3DYEl2rsNBbDhrDnkOrfuLOTCB/G/nC//Xk70YGhwRaggaHhS9EUFysBQ4Un PAWtv0Rel7/n8L3vkpDQCaieOfTuCexuTYm5gkiG+AqhdUew9d6ufNGRsAJzg2EJMiHnqjqak29Z 0ho/DPCVV+DzulqOtfqEAKHcyz5EeyXwSRktlx07/jFAv6/KadXj5Jim3tUwt5z9MuvMNEchV6dx qmOsgEXYaW+33fdpq+6Ovbm9Id7/8nrsXQBIGOsSYHMJk3cJwxfx0G6DVcwnhJEgJa37IKJct8t/ D2/0ViYOIe2brFtyROnHnkwA2piM860vg/wcnwu9sxUCX30G6NY2GV/cv642s0UP5hkFAQjD0Arx Ec7Xqr3hUOcnn0XjtnpBhQjzZ/VwrVBWPVldhSN0mRl/ONZlLeXJCK7fvUiBhIa9QUaZxjyUT88b EJildwM0PMsYAyrPawBfPxc2b4Iwg9J0BgJRowu7DlHFq9oBm1gihIgqe0ltR/rWSjGpC24Qx85X YPJ0A3Xj8JQz2N81jheDzRXmW5VLAUa4ifTGRML4zSIHBLahEFGtsj5r4R2TNiK+yvJdIOmu9R4t CjIaDNAN2VKvMaMjPvZNeEvW/bbd10qwIxEY5ZPqA9HFeL1A75nxVKd6Sz9aOxYtsHlQ+zY1FQJq yUPPWx/vmzkzjH+8BQYilT5Y0kIHzhYNjHjYqY6GPJ1SKpB8FhkFL2qDxi6475YaRZrZqJupqGBO d/0W82seCkxz1FfDzVJ19HC7k2i9p0K7xRhF53jhuisJho+CYejnWRtD7Sq0WzaWteqVdKDNeJB2 ELqqDPO3A0QZD2yeRuCVNFxPi7jSZxbsAetgI8cxN3wGl23/CFlPBc/ra++N9LABHK7NAkG2KsFE I+OaUBMwoF0A4e6KYYm1qSCQhQzGhFI7zTyF/aKC5b22PbDLmB4vUq7ZIDvDCiZGhmDNsP7py+Lc 2eUqKDVwBZ5cR/QyJqL6qymPghGtyloCx7YFDOfI3L+6ewtZNKJ5FuuYYVhaOzlYRMpyNjZfcMRQ Q5P1elHA7ICobSbJ610wDu3mEUXXJyV9xkAV3IK3N4U9R2viGpb8JEvc1f+ZyRuZjRH4bv5yloPv ruJDlwrNBGEIibBz9b78rNAC/kNqwn3QxSM7qCFDxCz96NxDQJ7j2+1FF92cID23CYSY7e6Bl1K3 QgM6I2KIZTKJpS/oZLZXlhyTEV92hwPL/12R9qDQZaFIqy1cxaec1cUAu5f1MWIFydJC5ZWHnplk x1PhbBNN/kVoZcoV2ZrHNYjO1FB/rkr+RgKKwqTKedFEQv9TIZJls+MtKhVyj5dtKqyc07o77tu3 QqxrYm+LbWUciXU/n9wC7u1oycPyQ5NEKfuExP316qER2kQW7vPieN0v1SDz874UFOoxysg5+ryb RyZSpLb5b6ONdK3zHePOYa83x/7g1R2v2MIRNaCTabsylaGHMk7uG6/LAYwoV7rHSaOMK0HolLFb F55QAavAraTOHWjShj8CoVtvCwdoXhaVlG7jDZNdJ2Ssy2YO3Ne/dEZmuXvrhDMYrcfARqJ2XxWN ri0JmeLb8QMVmoJ2SDKssws7vU1bKbUKuUiOhGPpHJ2dj9A+uaQwWMo9l9w/4Od2rabWotE6NeXF TUZKQ2uhKBr7IsGP8TK/SEZ16PhHgecQw/pWwFsS7ZKQt0kv+0PpxTBEkfQB6mr+LAonjhQWSr0+ jnV5/F4w30ymSYPhHhMY86oxP4veKCWKGmyebzIjjzXb5pyR4DuHKEkyLRKdxT/a1R/qc4d/344C M00F9BymfjZquya5IoDO/4JVT1lu3JPAmLHlNd5vV5g3zmDcNMndK4h5P+qP2Pja6gYi5kpRBdu0 IGYQ3WMvaq//xa/ren3jVmXhw7E2xzf0KxxPNggtVNElZgLts8/eT/2ooV+3uV6n0xabIw2Ko+4q jVDormm/xAgE0NSE4tFkYWbF7se7/N9aA42Zp/vsjL91ToH8qYnR2Z1L2B0gpKBzG72DTx92Jl81 TEG62QTTBgGm8Yl4xUU+HXqmrqdU813eGcgZfwpI02Xf6mufZhKC2++mpXH/ihikuGRRgsKfiwxD 2HwnawAXXHxJO5DYx9V4MXgbbuQe11iqwyr+hdtzzesE9BPcvUCnM/9VolA1OTrw1JGgdElXeeFF r3XMDyJWE0NfVTBNTZewh7HmNE70tATzK6JsJVbDOllRitMqpp/jtLVFJA750bjNEJgUiLTvWBbV zkXnsZ3qx0tOoQBr3noGyzUAGrc7ZcCsKl/STzOiX7Q5Yr9hPql+/9iiEpEZDxLnyBfl3bhDCwuv FkqXPk+M6uyM3+GXQwb0d3OXNIAaRuPb9ssoxiGEb0+6npskUAvWjpf7/jeHkhmY3MhQuYmpikP5 l3ip1/sR7RBVGgzVG+Z6XUs/fu/BFD8DXjahBncINQ/0lxPz2SZiVxePqZ67ZhK9JMnL2mRUIJtV vQr5vGiYZJMgwxOCcZFEO5ivaIVfK8ItsEeFjnO0w1RevY2a2cbj/y6gFR+ahHWlOKct20DwMr1t 32x16mK/93ipR073rC7mnVI605u/ic8FpkjJPg4pQbqOcno4aIp01/4zaUPMz/mGz6aJa3ENlXTz 9qkbPj3cAqBD66k7gOixrEttKycUojUcmHGDWuBpr54hvUcjcu2rypNLRxZDm5/3vhDWCaK6vk5p BV051/kRsLCbyvFGtgwhxwOuRTYgkDs/8f6CJLAZnK1PeFPnAUOhsYDn4L7CuaqcvOhsXU6m+RVv CQLhj0aqFq3lqee5CWPhtuZ3NU70wzQK137nCDCAErTuaJuTLzuttVPgrWiuRN46rm1M2pAUBUVB m7cH2fYQmCz2FcUJGqaZvk0Ki8koXD7UuNkFL4jTt5xMQhIoiIZ5p1wYGp5rZv66Ugs8ejsDN5QQ Em0VGJKds3l94nUdmxIP8Xdaw3mCtvmTSmSsjCIN07S0FtRlxuTALlJt+u0yLJTAdgW1aniakaqJ w5mbNWJoH8/WK15Jby1pLag/UwOWLCD4OG9j8G18Wbuy/WPdgyCEbIdix4cCW4CGd1h3+wxS254c g/IpMr/hzmeLwpW68jfozzuSHSKlaR8CX8J/p4338KYQUlFc7MIjRAEwmLlm5E04XEHM0nhpawie KYWgYCzb1GeYdWNxaeJM8I8nH93r2YnC6Tm/EM+frkIDpLpfo1coTRfpPfj95DH6VCeqcPCn8WVm U/zpzDZVJyQr7qUyONyY/Bn4x6mhqyAPAz3vSEj/bC2m2ZXiXwBZU8uOXeWJS7E2ahKHpI3zrAyS K0s7Sxu+USz7yKHk3qVy+P+UiZMysSHglBef+BEouQ2+h6ztz0rJfApU5CIraDlLBr+F4JKznYbh mFHzf5w91OP0yDOpThM6fjgjWX6YSye61lv9mjeV8QMcneRUq1L8aYtwMnGRIkfwnm5MAJkT7ZHC 9TExDoh5R2H3jW9JiPsKoBSeltQWp9CALODTw4mtsynF7QtngjX3IhHWy8+SlwBtplh+jnZaJ65Q iHynPn8qGwADpJ4dwoGIk3ItqVjfNn2Kgh0+ZEvSI8K5f0AJj5o/usZ1+l9zL/PFj+QxPc2fewXk EV0svH2G6DI4gbLl1moYBvhcyHT4JHhi1URVygJNgLcefFMQrcdvzJn0U0f/6L9jUQFLwiHe3KyE RFA/oKnCprVMv88KX5aCThZXqX7aE5mlEURDlx8SK7/mS0yFzfrEcIlREwlhoPnGCx+FDms8qNfX 7NYGpmnHpcJq9TKA75kd58yGoQ8Vo89O1fW6oGpANjslNhhPaw0b0K34JjfmLovIKyR9CtHAWvgY MQrQwK5mGESwCScwzSlRZg43vjsUCXgCy+o8pcuARtcPs+Z4wV4WKcKTb7Be7NRZabiq4poYPhMf vwFFlb0tgwYCoYspexyke8sEA9gUmZ6bntp5sOCS5paSyQ+bnQlNGQ9MPObxjVNC2vwPAxHt2yT+ Kul9qJc5ERc8UuroqAE4rOxMn/AcDLcnRPvxuOTibF8lY1iZBGWHKyEsNbBNWTU0L07fBNQ/ZY63 C7a4lX6Yb5mNPKQ+vh3+O15lFl+kfiEKA4smt/rQXN1+Rasfmi35+DqH3xBDv9TaDYxINnpfd26r NwSvhQB155545Vw0vqdMsEhoCI100BxUyQHW63KlSwG0xtwRCJ55y9Hghz5F0uB0OtvKy4msQ3zc P0y5j7btNXLWF7sxktWaQMQGqmpmc7ePztFz/n7PKDOOJgBNQtLO/fa9T9sqrqaqluUBWp7NMYoJ QyvJwEGXA+dOpIsoUqeL90YJnFg15lHACO04GmoBovelmmvaBR6j4v5nllL1njQbOt1+9FB//mxu kVJoKE7fO4YC82SHDr+1WD0SN8YDwPK5TUNVdglfPJnHZWpTt9oRpEX0t8SlWQ4DTQSWnrfWPb9P FkyykiQgIUciOAbVnSR34IfJWuNOqbF6ufVprgML+RM5eCefLmkFuo5vFw3pqHdz9jOxM4rELbip ckOfHrwMhBQdqOY7CpAUKO5VZTlKkTZzVgVcFdNPvEjD3GU6gsel567Nax5/7TRpobez6lGVWX/h /6ukawsaouyUvW7uePBqTUwSF3MK/rjtNDueTp38y/xPrFTszSv8B++96iaOi9dMh1EQRsNMANgB FiTuFrzlMkw+li2v/WZ9GvKhq2rGbansi3STmRAagmIN2iihGfRHF/cgxvw8eWY6gBcB+WpqvgXL 5RUSExPdrnZwrzQulpF23MigeBv4au4fboySxxhD2s79aHgoF/wTIdcLuSkIufNnNVVo/7zNx4j+ yEAVOp9sPSljR98PbPSBi07CvT5re24Br8Scg+b9C5xPrE51yU7NPEWJeqlaDbtRDgLR8VjRZa9w r9j6P3a0tK4H7S9KsOkSTZK8dSjLAkFwbceL1hzbMyYOdqeacYKLvGQ+jGU9sCg9By0BiMEQdVZD dqaCbxZA2fUptOmdBxu1w8E4OFhrUE8S0uxGw0Z+FnAFSyZijBaXfvLJlBhBP0nF7xddE3ZLhrCu hAJ4FujjrXLu2+XL1TjvFuDr2JKUuTVr44Ve/pf4RuvQjfVax8VdQ6RPUOq7nIFTCqkAdzI8YYmy +jL9WZ6I8BtnB7Kksv305PDpjXv5ymgS8QKw3tHtk31TPYovwbLryQbdvDasTPcUL5vvGwU08HGO ZR7h6+23r7Rh0dbM89Rdj5ntWZTnnQH1UI0QoiLy2OC7waCA4+Ufmk7C3dIkixVnICz9krBLC8v5 mSbO2SUTAEZUaWIxeMBjISS+Cucw0ZqPYioTrPWNHQOW2JQEVIqKPkVpNLPAczVClMF3rIWHW53E c0R3fwBzb2RuHnFlC1EPFHYMlNkHFw+g5RnqzcKNiAFMCoZt2Ej2pqXnPk5cVaM6j6H4W7evsd+1 PCRGijou9tIo4KwtGhEMVsU0yTFXgh8rgGPlVnM9VjqdfPSrOZ2cWvy21mekAHeTLeSAiIJw7jDc XoScPUa8UcwFJ5ukyiaiv1TPF9mCytOWQG/EJyzbIhogpHtXZmr1rwfHDgBOkycQbhVZwpDep/9K TvXyRVaxILvZKakzDqr5vAImBbrUZQnKODxh/iVO5Aq3+8WJVEIovBnupjPZsy/vNnebwYQBYRgF ywHUDVrLIzDwfZl1IGJyq7gUUbf5xsHF6uVHVi0eAdUbBAoxkUxRvBV7Q0Ih6DuBmK69HtH985M6 TeL4JvRgxRHg741uTTyhUQ7Ja5bjw0mJWryl1bHpxJTjSOGuJSA9fXJnWq3GIDX1CJmnKDKU+eCm ewYvqeRxgwgzQvox6BK4dVaYBg5AJ66OGS0RjAuKRwGd4tS+JxH6nWnlwy/97iLkWh7NVciC9Sg/ /gnJ/NQjsgxMpaO4RzFmQ3NaSNHLWJLpXbLFV7vWRdKYOJOhCtL1KrUlIpNVKPf2A2OKrEs+VeEK gNclC6m90PWqmCy9puuNYRf6jPD/3VZQU5pgwFUjCniFbloyNUSDR51L4+1yS+AWu7PH34Ea2Yfo 56sHW497zIlIkR0yQA6Z9Ry+k2sdR2IebiQZ3c/BQgD7ywyHndjZPdA+unw7xXwnUfnhMXc0PEof 9FGWVg30b+F8iOr9tenb29tvnNmmCyU9yT1RzQ1vzLrN26VbVG7gOA0GvmtCFU71Y1pC7WilBTcC vz/AIiV/GIY/b59Vb8CllCaw6FbfeB/q2tKSiSMTaJGcgXCrEhgqlwpPmYsJOEsMJ14VGBjEvHsX dcGGMtzQsFuI8mMRlSz7+rWLq6hfYfPPyhNHt/2lqgqWVMJczSzwv22CzRrgvsc+s6oofUlHpLBs HjDD36v1rM+d7IgkWjVVhdLU+zxJUxj5tj0dKm6cCs+Rwe0crYH4wUJO31TKixfl1TrUqQE4M/dP 5XLyp49ne0Uisj6Z9V99xVfu2yEloRgLVPEgku0QHqqQGgVe9rEEeUSsZXyeB0eIYKi4vUuR+KlQ k3hmI1anDsWYPGZeUYN9AWri0DgWL8/RQ4DpbOYeSs34bi3VwVbC4dNtYeeBqgs4BOBeqUou8ifu Is5LEmsGvnoKh7U8i4lESahkG2FicW6gyVSthkA15ATsXArdL7nNipCe5WWq+fi8eugvkmyRe3yu cCqrujeAQj/eUm5/YYSmt+TNAgkIDyYvaij+NgHDsyiV3ougNfhS0koUtNvROF8b5Yub3K9VzYqj yUuTkG96YIdTf8AYxZ3sn+wUYoEmi7WDjVETyW3UfwhvlhgpBJ4Fza3OXZ0cxR/YlURnzPXsDtEy kLTmneXIFUO7mp8Vv5R14KJDMOSuGiiXWi4vzSLvk02nbEZlh257+6jp+CFNBGGzICOhl6qq1yda O+da7FX1z+XIvs8nglunws5u+hJAh33H/Q16R8YWqSCKTs+KQDaE/E+d632JVvD+0TXMUI0ZQzDF K0uIdCwaTJlgEZ4WvqiSi55S8EvO6HYOnN1ETGuKL0yM7uXbVYIF4YyKQSJPIuNL1VGBYABYX1Z/ 8hknignTNiDOtZK8VtUwUwhONxsmkYEy8yWPsZI1b96ztMn0PSQAHWDrzvwA0UDXyi36D/F1IebM LRtG3F0iJhlVG5ITeKO6ggNmNqS8djatFGp4+P3rvP7sWo1KymCXfLnWCMH3Z3DLXXFMhQc8DDu6 ozi2aAoE8KhXmMMjeI/tQXE/eAB9T6CU23zYOe2Glk+g2X2YWI0akaWGojjpv8Ec0N1BTP+Nmzjv crdsIgYyzTAkIJUIUoy2Xjc/MHkERyqLaNsRd9bxN7rKHkwhAS57/hgw0mSzi4PvlIt9pB018UoV 4ycjOgvA37ejDXHTaoPLz1+RQmILID/KoKwPjArKwO/0+IREDCOhd1LVtjfDFEu2rHpQ8pGkIlFq TZI50TuQR/mw+LY6S5Kb+UbOOv7E34iCTsI5XL1g+NMnL2X/dJyD3s1ViWALfuLAyVKFRdlKg6sp g2yhQCPALUTSmvQsueArqRIB5mZEYYxg88KU824dVHGX0GLB+vf1HbVRrzF9/4NCIoVYvOkjmoCk YBEU+VCQ14vZyjDhPY4OVPeZfBJRWP16xaJAUXzsmvXcFkH6BkqKSkcw4qMUkDGjJf3H51p0HjB9 vRhvlIqYM6Fcst4DwfTr+pTjz2x+/ooG+0TKIXtRHqIEeR9XH2b+8jTqdx7Tyzwo7NKhjtGcxHIg K7q97/oZmZ22fH39Iw39DTtS2Hx0xBpn4attz/CjrGXv7Kh1J8jGYQs0VbTBlrQC8+y4k8JA2SgX uDF4uAyY4hb9n57InN4CdxRCr5v+pU7LHWK78PUmGtW4OsmDyBAp+ovywBpkFX9/pYTrHFJAYsfm QELWb5feSJ7DT713owPQWdyvlicA7klqrkUvMnt9Nw/jcAhRilxuM7aK102KuRo6NxJHDGEBSxyE uSuTG9Y48HA3mUIvpyRSX8K6aX3trFEtr3cSfmjWNfrS7X1b/9EIMzPaDVBmao9jAXiQeczUlguB cwmBGtMv209eH/bapgndX3rySYt9KPnMfYi8DI6JgIE2zOfRdcIBviRu21/tAIsXZ9vHc3TP+YQ6 1SzFvZ8Re5jx2tH/miwZfJb2RjoDYydY5HnzryC8eIEPle5UXCGqGBJAxrY+aQ7tlHqFE2QYhjfu ndUrrtdlKgqaOJSiRsAH1mKRF736OKv/e76O3rYzQS4pbeuqRXfTF2BL9sDw98hx3g3ibTm98lRz 3WmBAm7A4Wug5clSfaOKDSws2Fw/1aAO/nnvLcKlNSPGKeELzFLKEKzm1ztK6VayZtdMnl7rtF8p 8sKhQ8NFG/AE7BCLv7MBFb9OmBGXJQuScFpmzRMYBB7IHUCbS7HbSJ9PDxQ1qP82gfSqHfL1vWnE 6k3hBpTXpBzuz09ECbyfz3xdxPauydD1ceLe99H0Ee5ZKkDxQTpaREjTXWFmmi5xEjAWzaH1woo7 OdT38BWm9NBQSl2qOXvbi336sfAhYT5eaK572IdLqPl45EyVckxB5kFuUFBjaJP4+em02anDYPtP /+BEjw8T8/o/yTnoceGO6DrG4I01QV8EzzCA1wmwulxhew00fhJ9lpjII56jFJy6dP3kTCCWJHDL TjcY0AYcPgIIm4TEUcprjAPXPxbMIMerzuESnXrSDdAPKDwWa289K19Zz5PN60+KoJqdSrw7zVGb RZLET+oRS8Zi3opx3f4Zb+blFH0teKZbkg0Z4V3jSKAAbtieHgrx4DVn6yKHdX6gez+cALUEyPYc txtpksZkcH0rVGFrAJ1MxPpIy5QF3XbMbqmAiLJkcZp9NKpGyKKKTtnj1D+7pVihgdlHFrBMS9Zp edX771f+C81uewPth3KJgGQEE6TJlAGGoYl/cZ/5gz4TDRM2hFK4L3+wweE/HDrDrfQ2r66hpyeh /Up336S7w0eoKdQnlZQSpnryaUubIuJe+UyRebjRHx6MuT0qAbokrPGSQ9a5oIPFLB4QxnCRcfpN vu5WubDnbOWaZLQOax88Arj783Bcy/ukVPCmsPXZQsGLZuHTLVAO0as7r+z/SVFKQuw+eeHBvTEg h4beulX6LJq0vv5Rr9mCShpwrhIM+f7gyLd3yqNGhDAc2gXHU5zWlUFtmpoLAJqAqz9mSI6QDTTd YKO8pdm0/9IdtlSS9fjSq7eNgaGc/oWG+whzYIEogEtw5RVXl8uqfL8eV+vihp4lH6ZTzoaAZwck 6o6+zszb0qfxeXDSGHjLaxbjfZT0bYDZmfGO6C2T4uoXTm/knMheChYk9Ds4RSvDnTuL8hyGwhIK OMfmk9Fougr1QncRFsAriTA1fMJIFR7K3nP1FH+mQkI55OyILFvLq7Jc1E4+lBCZrinKu349i/0U eOtZHNUuI4qfd+DJDcen7ltv95Fcr7X8h8PwRCj/ahR9BL0jhrLZiJ2lmZ+DMKiyibCgSYotaWnf /lunVt0uP5BZ9iB9+5IeP9TH3SJPu5xWAMcgSy7i92kHyh3f3KhFw8lC8rl7K3LQxeUPSo0lY265 iM5CFbsTkWnLJXwsAa+VXrV5ygNqMpy6k45BL2e650JDThZtjK05O94+RazEYdNFCXtKznlDM552 D7ESZavfvDSB0AkzvGNIQJzh3rGhqysDX+ytywSJpmF3F0P+inMqd9K0iHLlVR2dGqzqtGypdFcd l/3XwJ6veCRL8jgzNvrmXJz+iiwefJCem2d215fmyfLvRNy3Qs/P/UFIbLMxxqVUOVKk9Nak8+Er tfBE2La9JTRoPihht9mElXDzuXvw+t3t9h4iZsQowSeBao5+Mz3u9iZM8dnZCRe+RZPB1CHMfl7W QOdv4MCJmEjpBkCqdbeXx0TKh0ulw64POXBkyaTkxqlfPi9sP6xXHzg020vtRzSSRc9qKBEe5nGZ rwG58pn1PEsuyk3ymAJ8zSdJlGpxp32sAt6ua7yTS2mVVnrkEC7pEaf8oqvVWqsJaug8b7a0ECkq oqnVyRfGH8paxA8biCaUUgXqUes4FgsEdYCgR20nV1eQWIEK4d0W81tqmTc0jeiuUlbV6zpKgVjN aYPS/2s9OLZ/shYDeGML+CwMvK515P3k1OqamlfVzXqSNLP1PSqiIS8h06CPubaRWvr7+dq5r4Io xPk9xUd9gfUJ5UyTOIvmUmZ9hpNuJqI8x1aE3Jfx6gsR0onsN6Mjz5B1uiNdGyH6S/zFbmD7HjYH kadjEJ9fdK7klg6+qHVijUN83PaLehFQUyxayvOYLnBVAThigmyxSctXy2WEZus+PhgW/Vvq+x1T aQYka4LWrHZrLXNJEV3buJiQng+9jVqe00bzUHUi27msW7GZ2wqaHxPW89SBg5nWDlSYpgSQOrGc M1zTUXXRqd77I5ebSgbD4KJpQvVnSvxAMLoKC9B/B0fFnq0Gv/hGm6uShCH1mPrqh9IBR9dIPFuo TVsnsrsJxrflRDLIqUUxzAdYyIm1G47Mv2p1g1vRNtBOb+W1grcvGKzDwNdpgrT/QmWY2kY447pX KxgZ+ZeK5+92NJt5zlr7vL/7CUJT2FuNllH0isGhFeQ3yL3/mL8b8cIjy03ZYmvU/62W/W+89Mk6 B6z40Iqm725wK73ujY/vdbtyOcs2Eaxd7sJCpR7XxFOrfs2WwxC4vLTIEXlkPbW2y0N7iSMq7gtX JV55Cc1fGugeTgsxgkFmdhYl8Vwlx8jHHm76+ypV63S1CeaGRgT15s1xQ/mzbYQt+jie55Lqo+Kr qe6fnkq9w9d9HToDGqc3bvbmMl12PZlTBAAbhvzNgh9THF16uBR/4eFnZ56m6uyn8dfkqqUCE272 OeiRY0j0XnK+6G9+lKJCKo5WEDyKHZ2zzumObokBrb8NGfCty41HhJtg8M7P63aVndizfZz84dc+ m/V11X5fW2ytGWE/v6K0Q6VONj1gylYvau+V2xwKAJMpxADD29CSt35M+hkPBIOo65Y1+eAgpeBT vAzEnSDAobgHa0Gl0i6XxvquCOtX3xgyrl3BkXirMePNbjdEmjVlrJydg5J+9k6dTeC/t0IWELD6 SuhzOsDNKLVh9hSmKIZF0fDKcYr8jhk3t4lOngegt+48SAZtGP2o3qGaFLS6PpRXpv+uegZE4YME T3jY3czUs4bUj+xr8WRZPcxvPRJ4oHKHeM3n+t6usrywWMYwjztj/FTGQt/sYx3D6LsM/8TERFxA A63umYaWI0BGiFp+f02u4Mtdp7o/IXpcVTGiQ5LBs0nQc8tJNb/6lkj2QGN4hP937rM/QPY3nfYH u7lqSXZHZr6MRTTaCwMxa+eY15QGP/iRJq7FyWizaz4SDCODAAI1P8+jJpXs1TkcVyqjEoAi2Y4L V5+FaF7UvedHrqQLouSfaHjq8jjAlXhXNgflWUxBMx7Q+6xbQFxwatsnN/FFRu6gp06Hl/UMdJ0y xLy6gB7xlAEDz6igbh5BuvlUoRAT9qDNy9m5ZnaF7Bkv0kozfSz5x//5sRGR/mgjDRxrz9GAbIUe GzZAkg9U+aQuMgVSLhIQi0Law6Y7bX5L7D0VI3RsdLI4lYTMXcezrkXOGXys9NFyVQQGayjQYQ+j ihwXFf7S5iwAvHRNt9btUCVeypxY6Y8W7sP6jxaMYnu7bIe40AKKiIA0LVPyxrVTKeYppcLesfwk /HlbYIrjUwwrIql7ICWU+bil9gj6yNEr3Vkt6Wo2BtVHcWwK94AJc+GgdpAISX50MyW9tyFS36qb yeIrdOL3XDmeYPN28sXhZaR3ik0yfK7qx9Xann45XQqOFA/h0S6Hb+2BEt5AciTO8F5xM+orYA2+ Ey5uxGtlQkxgMCoIEeeuwYgRe10wpqqMOFyXv/A8zj0wo1bbtuq1etazry9Em6OlMGailtbTwEiH 7AO2oYfXYuV7eTODkwCLTGa3vJAZrxvPxaXb5I3q8cK4fzz+0mBssOenNUc3iydqxWZX7q1h4n6s +lNHlITBkiccB99lV+ZuGh5yYxTt1wt3kdljxI9nqUSyQxTdBDFm6KTaYkOqrJ0ZFLw0bLGu+hey oqza7N/8HICaHHDeYNflznHOzGYpHJIy1E2r6B0cPhydnJ9Mzw/KyQz5hrNgnlfOtJicLxV1P8BI BSORzj0VB5QlG7LZJZRjyWqZUrL5BpIp31TFmvAROIPLBGCwglvuXFQk4lfH5iBk2FeX0/vnNtAb YpWgQNKd6E9+Ev41IdYtNrqnYAMy+UXEzxImB24oxjjMhCWdD6YTR8TN/LOvlEBz5xQ8DiViZq2v itwKjR3PeamoLFx8DEKPMWGZZMGAFOsVWoW6Ti+cOXkdRM9Daw5j4oIRgRYOVLjG1ifktwRq/Z+y N8mO8ZwhZdaPNOfmzxHRwvYi61aZBv0O5erpYn35My7rY5Wau5gjRuSDT7WYFkM9gQ4avjL9H0Nl Ap0KAsmKMyzC7+oFHrwAHhJfJwikeay9vEB5K5nYV5xjCitXrSWZnOUkpLzpuDVX6/O0xd+4ZKlY 5PGSMMHVH/pAfkCFq0rMnDHH+Y8jaczQYY/RpXHYzYxnTuHVXUzM4O0kviIQXAbTYyy1Kj2YFVAT TU7sRt1aMWRdf4WbfDwVAplBcLCj1VJBk9P2+tIBP+tL7OHeZ6MM+vkcqt7CO0eDwAuqcpnlc97B Pk0HxdpiMNtibreRo75LHTdsSNF0mLDZS9i8Cwdl7t3avh5CrbXJnFboEIx6j8Uh7nbFaI4qWFuy 9e8gry4DtH+RsKLwj15n/QgUCVkr1JVia/bis6MTPxRL/KMwaohG3AhCqnObxDoFwGuFD4ZB3Kof LxjChhGrzJMdxTpfJYCpNTRG20HUL5/t3JeXd1D07YVSpP4X3vBr1bPJxCQb8QXf4l3tqdHG3491 j7UxoUmi7PZ5RLAJrH5J/2TCv86m52YPdv2LHC5B4PU6mrcjwOw6lYsWlBw9RuoVVPLFLLgqUnj8 LeK/CHMnp42kjtZhdaSxlI1CzGgRABKmL6zJ9QT5/agQoizhoqi8N46XywhCkxN/TBKTTOzZxMHk Ouji5jTP5WA59Mv2g0orttBpkH75d3osqaUjQTK65slakGjcknuO35xxk3oRSGRFCMtWyweVen+g 2PuogDhvxhtL48RQeV26idcY6v9iK08GfIgFjC7FHnfBdV8OUIxxIa5+YfQoN9cjf29/93Ovjebs 2H0sd1fIJ8FDOSIi5OtAV4G/m33Y6/6/q/O5xHJ47qNx5d6OwPBIuDX4jhopwkQXm/bU7rqS7AbB apiszlVYTgzilMk3dt9PFWvwd/G1fbGY9iOeTCYqgcJ9yvwUZrSygL+sDdzCAxpW/4B97cFgHWe2 oodipcjuWoIpvdODEE4J58qBxj0wfbAv1hPXRL7FckyF4SuggGqTtXzmOetClp6pyVSrDMDhzEuE XTnktxoNpRGQCkrYsJ8TOEOE5DSX+bWPXeV3T+znxOF7KKQ9byeIgvMMrVRFs9QrWubjtzVMNWmy mgSKeItshdr+9Mssd/tYB/qKwj836wdv+UnZEtzWp7Yk2d0Z2Cr4GoytmlGqElDGfNY4nsaknVqw Y702CK4ZqA11TUDeoc05zNZVTpWScbkTT4lYNRrBUdL37HS5me2dRkkvAEn/7lciRaw+pVbH57Ib dfuebYKwprCVzzAba7y5e4GM0Ox+eMsW1LrtE0/LZbsjGHPSNIu+6mkSFi4vXjib3Sm694QigJAM UoigyCAzcOpf0YWb9r51bzcQNeVskO83hc+D1+VAiC87NW35/6OwE1Fb3N+MhRTi+BwxJsHYhi+i U49FMDu1ERm4m91r8yYA/oLn0nC7Om6vKlIAvZMk9BknxnIx/cY+qDDgbxcF6xbkPVwfPAi48mOx vIJkx6QkBVceCY40kVtDtLtFpVrhwjL3qtgR61LGl3AvEQvi4w18Q8HoX2jai4/2eIyfkbZYUPO9 I6pSmF4VChgfPXRDijbU3mpdKhUHSDo6C8yKokvqLrRimBdTJKBePL+d0tYHZObqYZCitgE1vbdN IOkKv0865rqQWMsWpdCRzvRCYBK3wOvpP4Glh2eCGERWbDQzp6PbTCuUAb1pngxgCIU0FrWliBir AlAYPzUXYSIGJ8eqDpMIbzyh2X0SOlNSrSohSvXjQny92T3gvZT3x9wt+gvZKPCEXvGC2P01UrO4 mRSYWuwP129Tz+cy5jlo74UIGuWXSRBQZSUopGkxrJ5NPwmK3oYUbU8vjK/Wqq5ctHAjZ0MQ7d0Q b12wDP1Er2g5lys1D2vUKIWPJBs0hLv/XVlOuL31qCPl5ZyrQuW0tAGAyz0E+8+pu1Si2rTX7poh WPf10UPaEkZeVbR0l8oJfWq0fmT5Z+vVSp4W3HJacDWCpilIBvC1JqvFNsL/LzBSohgbx7KfZ1a9 5VF4Dm0O3m+KruKhzTpZmu9TC93ScxFMdXh+wPv73MjDD9+YIGFNQnKudeUi97FG4y7oTnQFikOs 6OzbgWUkr3G7MaLs4GVJNu16zeeoCxeTopUUZrOEzFj6ZatcgOZu6a+wSYQX1QZCEircr9piwku+ Lw0LKCaUePsmWtmIyILpsRegXLPUWI1s867z5QD3kGzHURx2jjZZYDgNMEDMGs3AekuAPrb8Y7Hs Az2wlt/bbpPxRKCz5D9EB8T6PVcrZG0jaZcc46UvEQqT3bKkqzfV0I8vB1HhS11nexhTVND6nKVP /PlRPPiGEA6RRFDD4fpk1lacG4bXdikJtjQ7bJXycEgW8+vaivt7jS6/7xSjQzx7srIvmQLl1m4Q 5d9u6Pzhp3v90hYjAI9tcptdJl/sgp4D6gBqVczC6h3jc5a23rbOhaHwP+uIMJnoKCvIha9FOadI p0IO1597LVN20NOXxfZOHJiWUDmt3o8X42VgfchNHrkEihoQDs861ByTnbdpv9ZCTSYhpyhVZ+sD TSgC0cbE1EJA+FZYZMIEocmCKGNNdV4By/LuocuaL2UGsw8/nv4QDTj4+vRIDFxDZ3f70DedJZpk xSM9qWpBJlAoQ+DHVKE9VeIxcnQiN7jhEiRvznTqTO/NLVVyPguNPg34XrDMNw0oYP9mBbcmqE52 Z10jrmiFksqoqblRb76YWKjiUL/PFsRaLyOQlIzRB7n2VgEuaMFYDHMXkp0q/PpjqQ7HVBdvuoni /4j4NyMKqT7VR2urtDOxg5r6kuA4fL+CwfqwasLX3vedZApKAuKO1I54MuaYtqHjcJHNYNi7RzDZ oEeYPjXlWZC+DjZCvicBYiT256mAlrPmJ5f3zpUGBzn+jk4egTIG7HzNjFuOne7Lvnf+62XJUEav WrmBSOwv3pPKPGs3HO5F1m2bszy09ngWyjr1dFUzesUQzEOqKRbnt3rcE/pO+PuJMm8OJXNjwOCd 3SLLbxdi8GC5wqE12vAYG139k7PudluL4BuGoY1JByFK456OfjSoBMmDQ5UjyjTNN9Af615gCNNu o604xDtuSt3MsxARRABqJy/qlBnwy8wm2ZVECYhbemnykH5YPrRDgQlFTOrT+DLE4BSKjKxbsNmm X1xMIrwfMBBk95dN41kwk6yGSXV9+6s+jUHdSxqHHSzWzdFmOjhThJ8ael8Kt/aWF0CC4OWJGS8U WNWe0xuDpse6seX4T9D6QQN/Y1zGC3KJNP3/xpa0KCkmMjF+/5gp+TBXJCzXYZXv444eBs6RjDrO 2Bs/33HqkZl5fbKiu12A2fAulrcl6Hz3HMPixa0sS9Vdq0f21jOxwQ/YvvHOcwp1+BXvaOE8phRG 3plX/zeRW1a3HciRB2Mk3a571T5A29nQeKlsIEbUwuzlK7VCXwFzR81yj49tLH775Dzpufp41xpD 0WQlo2Z6Eg0k1Fa3u7+iEo/CCEVTVPzyHz+nu7ujJmYPna9iaH/L624X8ofo2ZtH7HCg2JBBic8S BO8NXroHMhDvdK9nNLuGzO9uxR78Y82+WHDPbeq0HXq8HQrYFm3AldhP3y2tAOvXSDkUzs2OUUIR 9wbUdfzcm3GWMOCjAdYMQselYrw4sHyB2gB0oWtBC3DH0SIP/BUjMODyNxjMD6w1yucF7TzUqPN1 jH4DucSoq2H3nLe+qk66lvd45vyqCRtqLr+OHH1WiffIdd/FNU64T5J9bVaIZ2btNneFOzjWot3G MP+7VdOelgu8zRkE20ctSOMY75avnSOKbV0fbYNx7IbAseQW4Z/+KaujJChad3BA9oh83vS+qifG GQw2etwAJ5g3GLD3CIEE7BgkLHwI8y6vNlnRQ3+Qqyh8yzvvatxzqXnSD/uPTyChWt/FFSySkkHA 23kvrwKq6Z7+DSydf63EGhMMGkXv1CJTp+p2gq6/hl6ymgom5EOZ0/PBitVtKHoITIXzoj7i/LSp iXc/RNphLInFMQyQD/x4h6ODHE5G7qdLeHJAoq1KopTOpFdfx1ZhIYYtIjhPtTcXDdodlBvoPLmq lZC5ts19QT428n9w3T6GNhDyVdae6cWyxjFgA4w2Zwt9422tk8AKbGeBqiHZv4ma7uIDFvR8v2jn tKm6mEg5rBhy2j0QJMZiVbG2ZpbL5tm9l95+kB1cPBOMCZP7YL8uwpsnOIYXFrQH61gQ4xBPbjd9 xTmpiT+XqWiytVLtnvjci9KY8nAdQBcONtHNJCRfQ8owpmGVHITOIaFi32YZYCEuwJjkNO+25cO3 MCJI4qDj6++y1tghKCmq752ir1Ze09WtmY9BNNFOuQYyO/4nLCW6+K23zbpkWdow3QG3RzV4O3ib SMW6ah9ByGm9lmr04xmpnYMIg69Z57Gk30gZmnnfoekLjZ3IO6FfraSQ39e4Umzv+mg6FUY/9cGu +O7X7tg45Vsv8sfJiM9PkP/LLFEI6Lw2k4omhxni8vi30TeV+AEuXT43sx+073okwMAiIgvcRwHa sP812wi3JFEkL+n3dTxunDqnqbuIXZCxmB4Mu36CSIx2p1MVcNMz9TwWh4Kan/F9pv2LEjYgcZUh 5Fahia7QOr/TWLhaWTddp9JaBDI5ZuTM0URmtSgsoDn6n/MARQDHBjKMYLmR8SJz2tH3qJse1ldp lr2GY6cwQ3LwP2g+vHVWLY8uoBaDtO95TENYg432Cme+AhsG+exJbInFcBXkfsv3WiKbMuTTuok0 GjTdckIg5xk5subfX+NP5LMpIuji2pn26+Oe4ngFbjHpW++N+oT/WJrpqqS3a0Uvw8oZVUgmRkiO O46aNOWas2YC7VMJWmvhkuQ6dEfPfB+mLDEwOu3a5iU//mKImEdm5daH5faPir+IjH5RShNeI61s VfGyDKgvmEX8g0CpGLfb/yhwyq0Gy2GTJiaUXe8tfgamd1uRuRe1J9/el28nyF6QDn8G6sPSM2AV RURkMk9xb6mB0hXRfRguzcN9fi4orZt6RDrCrI/CxizyxJIWZie9qFxLpNucgNYdj7IBjre+I2v+ skidoT91/EkXie6Bx4X/SiuRXQfNuH91DCocoPUymgMwhG2Q3hkKLph0ZEzYYqD71PV1f1j9TW5t 7m/iaMiracvwRxW9IMqG6Z5TW9tJNkAce+iWLTZ0pKDVKgQkRBDfgaDgcNBi9JWH3HPITqYi8adO CE75iansX8RcRiZmPxHeK/7WOtAK9XZtzEUT8tHMg+FYji6HMx3HlHzS3qNjGDW5jo7G9mmdBzBh FkzGOJ7fSV4fCZW3lS49bvrfXaVZvMkp3ge2S5+xGCeEmScwfauFHzWL+LYpOmgueMWlShmbmj0S V4cLrq+cBcmdSwS+XGSflc8WZNdUSSJHuUVqFt7K2CS0g54slo7F2I+TuXyLpNIUSNgYsHY85CRI 7U8swgBL33FJXfVfoLSZoQH7OO6QskgNtYGfFapW9vLTHQO/RccW3QxhU9VfRmO+mjDPH1NXbHKp AKeb2GhbK041+CceyXtTAiEpxkurfnHf4zgtKocf/D/D0XJlic96SS9MpEucHMt5SR3ytUbJrlpS Ye+LiXqPzQO7iIlFdJQGP9EfBc3jttU14Ov7gPPMOmTFepbxslJuvDUc6xunrfd/1G/5qYZfiPUI /qlRtR92ToFK/fdV+FnjUsnSu0gsNUua32aYaNpUf3er8pwR/740OCUDKSeGcyRElGfWudYZmNKQ BPP9Jz/o669c5xZVSxjRC4lob/qCDQuX9wWob/i3oe672K13GTWjj52bXvWu8HXb/niWzG0b1vVs R2DO9OcCC9xWl6CDxkMiXPuC8tKmpsZf1RxCZ0obSAHHJ0b70H0CYPg9FKh2t+zI6VHaqP+BYjmz UPb6j3zEZRfY9v6qkEZ5EZdEuJ6gIsSpLBNjlehYmB+4JJEkZ3KdARlQ5qApToYUDPweXM0v9Eat 1Lz8BrJcO9V0rZtlUZJl5qL69l9cXG5z/ViqEYSO+KF/XTbxUj7P7l+/7EPuO8DDDgv7o9Gy1R57 ERDAYI5WZTBGdLgU9yqutNi1sHdDE3E1TtS5oFjcmcCZSw80QJ6sItBIVHuJBHevy5QKuQ2kWms4 cTeNUs3ygyH58XvbA9SQIGxetT2BlVu0xWiE1thZHC2RgFZOJbcKHqe+WWXi9QE6A3zleRqdGGrU QvDR6E4Zm0h8hDxo+/ME5I18Aqwm/8JIUtbUa9d0vzFh+wKDTmR+GKyHj/T3NicVY51X6BxfaLtP fGWSsaSFRbj4p8MLVrU1A1IqAKRtVPFhJ9ChBugGOTV9nj9ZLbIEpIVCfaK0MydGQAanYAHwDfKV aPghqPdS4ys6Hdn8IQO70pJTosMoUvHWjv7nt8Omegycy2N0Y3jLi+G+EJozCFkJ+TxgSMSvF0pi UvxILvPluqpboFjENIOpNuUR4ZaRBUHXzSeQ5ongQ2GdoCYUqRr5KansFn582K6Lk6eKxGOy5SN6 yfnM642es5GNOGJDhkYxkmrwWfcXotWc308YtH+QRYNT+OQrb7fXXYOCoHlXJzjrvWJrwTJocFnN o279Lvhu/ywppnslVid6NOjph/cQJHE/p97wGJuQIgRe0bTqWUZVwxc0ekh4YXEKnlVorFp+f5Hg usAMeNdV+vpg78nNQktf7K0aazGY72DRq1+28SnlVeMqQkO1HdoUQgVLXcvykqG9uQnm4vladqmY 3BlHHpg5Tq5CWynnXoGe7omwJ116/MYWdY5OxKNRjn+62tXf8AgFuFHfPs1/KnSibz/nf5BhF+HL DaBHG5fUaL3NdjrbCg9Eafm/NJ0/+g98l/ejAABcXJmP+DjNO6GtVzVvyoFOBQ4uocx/ZjzfDezE 1nmJSf5UQXlkcbOUspXKi6yR70Z6eyo2OcGUvf+m/jGrMBBh5seoGMR1ZJQGA9n1I6N7qfQDU/xV u0Y7AwYeotX2xltHRsdzx3Y4S6I9ccaFhCpiAO7KcKY1z7fL2H5EBD3DLC4s07aV1Sdel7yGdEZT wheZqUbDL11mxag6lZaEmv0bwDKvHyqFo4BpgFFNK0/NO8nxYPK1IG8aPJDvDVMk0XlIOFjywYym NPUy0WZJskWr45R0EI1oBwIfPHgmSfh6ILoA55smQ0h4Vi105wJi+hIZNfGwublNfU+qN+wxC2GU GyAGvap7wQeCFqx4dAmD3APl/J8PCwLCZDhDcxu9djzKbkZu6A3MPTg2elJil237CUWJu63b4QfZ W7l4qWxBSDEKCtIcAHohw0D6Adhsxguowq66rF/sKUKBOz4pUHp9IWDaXb5Kqtikl/FYRk2GqQeQ PlNnRqSne9u6ExeKWbRLXbysZc87CSIsuCZBT0mfvDGf1uwHTPSfqmC1ZxN78M3KupAAPiCtSdFU Kx8u1rypjox4xqYIhd5F2kJQE+OjY//3Z9RJ8RFJAEtolWWBj5P0YuquqSBxuclsEAfdZJJWxE0e u1kHuf22hJoXaDb8NihKEsa3+Yuyn6+zktstC8ruan37Wfm3IKFbkjHD6TxQnUvJ5NLiIx6BA+G1 6CAqk/Ngs0/aGSljVXqQJjO+iwwMVfd+W3RcPIQoVMYa7olLLLAy1dt6BG6MZ+To7NnBdafytovD 6ue5MgxGlStekONmajVbNlErzjpEAlW6tfwZ++/07S0j7u6UZP4Wg0mnx36gqHX3p2peIIO/50Hk ecuzOewtoInjK3iwI6PlInz9+Nx1L2B5kWTRpTemT9ZlZsaxrGaiVmTDVGEeqx0aR5MqpjTbzqNf L55Xff3qSPZujSjuMbHx7+R4XLcSeauBXPuNJST13bVv3LTwkdW49QgILzsR5m6SwpkNghKFo3no CiWrLHn/wZe1Z3AOTR/yeggIpIW+NeMImqG1u/KFXF8TmzXYxCuT+HG/i/8k3LKXWbXG31TTrGnA Kjmms4q6aCZGa13GMTlWedI7MhcT87znj0ClrqVlV3lQiwFAgVuQvW42R3C0eoVN1cGyyNGbo3KL x3Pv7XY5cXzNt6WJp2rEWwfCexAw4MdWLSQZJ45BBjUoSw1reufAaRneSOHe27fGsq0tM/zPki9O sJB5Oep0Su6nYfaifkXRCELVNGPX3y+Q4Q2yxkwGxYRinF4Kx/x/HLAwlp9OY0zeDerH3EFacD9t 65/goihCcecccY4qKdWYKTWF8Ng3Nz2ZSaRPbtGjff3AQKq7a2VMfd5RqDbzLGD99l2FlKWeFLCR iBsx28otkZNTYaBhNpuOKX7J4f1TPWnnJHch1uJtllRCfZNB3Nh+hcEYSeytuVHmqSQJNdVrLa6C hnKNcRt7oVw9IkheUAgSWoQWUQN77Kv1Sj6z3qZaeVZWrlWEMTuv7Qshj83GT4yLOXJpk0FXtW0Y j35PJDCu4uC1VYcdTQ/hTNl0YatC0tPSZVbGivgrVgJb8GqVGcOXf308gMlVGqW+kz2X21DEH5oC LNyOZ9lfb+FeRsufYUb3F9d2uo2OaN7dnGGs0N6EWbXusevi4/FaEqGuH7Jus3GInwn7MoMDw5Dm H0ilCYv+5zI8WDzjFS6SiFoRIvzsBY3bqJleH7BtwJVQ+ySL7J8+CnOC6sSQe58tj/AzmOvl2u0E IEyLnYT+7CzTnttihB+Tu2BQ9DDxnulAJRJHj7kptpZytUk0vqzsZRQy/iFJRvS1OWlU7IhutyW0 ixEg84eLzXYWtpDXKF5lotNKBQtc93v4yZQgfI+c2c6x5mthMsm2MgeKeKeWCW9KW8nHlrvPmdcn 0Ocg2XbqXWfoxCpYjtt8cBkx3EUeXUdlYN3OoeswKy7zEuqSKXiXenCLs7VA8VxlYp9fCgMjbHMU c4BZi9wCGkoUwHN42x25NG2/lyg637sarpvG4o/NxaqbqlmmluXBhyGqH0lV/JpEgMwJjhU6XU0G ZBz40Fso93pYdQKCEUF1nc9Owopll2LGH/gOKc4hXhR6d2zXl3tRP/BOW+nqJ1slqoznmMsSsLgC DOkuntsRQH5ULRPDzL/TkCSPUiWL1dcj/W1Az6AfSqP9niRFS9RSWeynrxdgH98oJqyDPQAGEjDt N4l3hO2uGvfKtrfLdSbDmiGmMrHIyqvTx+YMRDUV00D4WlN+A8PBjMFDE+gVXYqEexuLVoLNIqOg OT9Dpm9WwsLwWUK+vOTm4oEmel2bpAcHrUONCNOqVNPQhOm3Dq8LaRCX6CY09phQ0eNMDc7rZqMG f3Lf78a68BcKo2IeU4/azoxSWskOiykVCo/GVhuW6tsIogUiI5tCBqIVY9RWD21nhVAZgIJY07SF KF1hhnGSQeQUlXxxtDcrhsGwULqQ+PVTlH0I25pb++SDC6oqG5L6H0M0YiFmbApZp5ymLufTfmon YL3k27oZdLXVGMoIOQ4KOdM79EWUGs3tkiLdIvX1YFHeVOGXWb9SwWjfU83rpR6G+lkXtYDy8LN0 4GHKIw7p8qo9YRYCJXn2rm42bBZdQ+jZ+csqyJbQkYV8N9daBfxQYoVUkFnmrDKP1GDssewbJWFt /0J3dgZUBSFAPLltk2E0E/GYZ37DpFRfON5wohyr9qlDsZXBVTFva8W8jMv0FmztUptfcMZptEgW vtYlRUPS8M6O1tMCDmR2ffQw2FIKNjUGEJJUSM3jr9ldHsj4m80C5TNykMaXYcgo6eHLgyq8WVUF ta4kv9hmYNCVH7/k1eH4hZhOZKoJ+j+tL53VelZNP3jNawRgOlmxAzriun4ya9L0+pTvMeD2L6Ax sf7gSdJabDk8BvEKGKXehnke61QjtbtrsdTOgcOT6kRStXCfMjmFQceLEJNszaaDJytde6aNzMnL +nAhhpSkHi+sy1/A3f6+1k8fN/fXOp1nmV85FbvscdG8Ns1WtjqYO2kCw1eNKu+r988ckgM07Y4V PWLVGsJTV1BwZ7bgNJtS3PsrZR3kULuoR3fLFvZC3RBnxDjAm549bEpZnjWX9K/09Q0tPgFNHB4m jhBHgDf8GBexOsReLwaJQeVMLd+qG3MdpLKt0esuz+hKQel4fXoVxsaeRj763kn/jyUJlX9VkDH/ ek2SMZgN4KlIiml3ttutQXVAXdBLqInlq9aXu9k4Ctk88b/suO+INMxUATUee7z7qbvlk0Jk/u73 hHcZP6adYAhQ95NTJ2KqbVVIY7Fj4JYBxDANrxKxSpUDRF+IalOZpx+rebAZ/5SPmkvviqzHvSIl hj+7c4bkQlcnBWGPWRKYU5EmKVu2nI5MqS2Nq8GRgJplNgN9a7U/Q6FXWQrWF7KNZkPoKU4tyoL8 /4W4uYaIW+hyy724x2/fokawlSUfZazW8txOLSkcSr/6sSmHYpK/FEZQRiC5oqhcCwwAEJ5Ki0hE KjobYCnnRgTWExC+N7pn/oIcwaR4ZH0kIETYhMSWcQ34tgKDussjXHT4z/DihyOsaXXQKTJkQDbI 1XQiRlvA3Tyz604XePfkxxM9xThVK74iTvv1mEsj6kgGR+Iu0a8tIsulL+wEzNnj5EuV0UyOHyrj uKFxaffLIdR+64yKiKPfdrdMzMgwF6O2imT8UDeTKcZNlTy7PKzRw8EDUgTsR8zg/Ojy8CjGlij5 OtBQSGdsZjOkwglX+WpoLTpHitqre8+eo6xcPrDUaj7o3QcCr9uGzg5XeKzNTBc8aFQIrHp3yWlT ywWW5WXJEneQDSF/hZVNGBA8vBdJ+oOo4Hkp6vgaCOmutF3bCD50GJrYm9tIf0TXwHgBiADgMo0x 1s00vax2laNWzKpoEAfeTXVUSks81HCNfxNrG3+ElXZVFgA+qAf3f1QJ5BZ3itZgcSSMVQyaAHLu +zbskr0nct6Dk4EUTceb5XQ66UC58wXWE2XU7uNwBGm1IwMKs3xb4czoIvtA1eIYtP7yZnAw+FAU qCQ3SeZLZuQS6QoR8uH1CroPqUGdxd3EVR5LXrllneN0onDRclvmlIq7H99Cn3CaatKC9oByc5hD iCaa9TNMK/R1vd0oQhAhvhd2tvf7r3aAHwavVfYTS3IN10R760Le60/ZOri4y7pglF9sYD2/kH8T FIQ0Y19VHwVCT3Yv2rW9g/XUYDCgbJoDzo3ARuFfWkeHFW8CGqNlp8MPxWXjmoGw2Sujw4fukTcW Wckmzh6Hmq6bLvX48RsBC7RiJV/LjivGYJBV9kVYoMrZEkXLjR6dLKX8CEbXYVKFWr2L1eulk2OG +xE4rSbqeIw+GMNNwXqIqNKZPh9omBbuqVj5cqnlaRFfjoOGoqEfa1CDbeac6ZkzqlCAG8aIiQ4Z KLCRL467DXwMMO48GquBmG5KE93SDmnGELFf+nzvBFLUvtuL1gigK40rXs3CIbotlnQcIXjm7b+/ M0ZEcutNaYktMqo1BxpiZhD0pSCa4/0AqZ3uoRx071hIveTnRHxfH92HJcJ2OAhElgGotPpPD1mm PEISyES7OcZ8Oa/0207kYQ6CswFK8Rao4CGaXtEtWjNETY3GC5MCpJ0PKUBlo6QWVjInygYCuzkR 1zy/t0E4LBFO+av/hC1FGQ0zNRoXT4qv6EQutCz/O2Ols27feyQvTqTX429lqMlSKuLAk3ZdmWJ9 +aeIwVe4o1h9p+v+6NWxG4Ct6lRBTPL5d04N7E+VhydoBdqj6VuRYon9FDxz22kr80E6ZfDBbsdl GM9PqO9V6jMvaN/uyTViqBdL7HntwnHa46ZXLDu3Afb03WbkPmNB2PvT1tQMU/4Hj/Lg11nSnzVd OlY+UQyvm1wDV81XfKUfcQ5Dt1RmqYhGtj9zcAs2DlhPUqly8tIebThFSurbol3/OUa3ftU0hVyO Amcs81TcrtmY5pg8iJkqsOBMPu14svMkSWwPBX8Tk6rpgJDm1mQL+X8JyXELzVV08J+m6usj87pY D5kkvHMHpwDOztsmm9C0D1gnJvGf2rHyhO8+dWr9fAjXUFQ3Ff0/feYPRyXEVEVbjdL96m4PKJLa u8dNSk0pHqciw8kP+kGpWiJBZ1eEYnfSCizOYnRpYMm/NiyeLP+kU8QpPlS2LsuNWxA8pS7cia0X P2Ef3tlUNaRLGerp5nKTOf9IMSLnX+v8LnPsylt4gEQQRGLdLYOTEPuho8XAEwUYo04ObJ63e10C f3vdGfPPN6hR3W9aoWy7dtO2qfqM6ULjA1gjufvlleOodZ43uHvDZLRe1F9LbJ3uGb0ud+cL/tz5 2H2dq/IegZzmu3XsJ3fqMY5LdhvEUskvOTWZbi1asYD/7pzqL/bhh54cVrsBRx/LhZDp7IUxVske 8ECEnSuWR5juODJbs8zihvp1r0dd/+YnveLnxHOGDZiqOFLare8/E+FDMLJb2txO6nNj3aynJesV x2F+CXh8x3+fMgLNthfH/CbJdcRGjVKj8xtCBKQwPs+IlmbW/vMb9+lLTUBLmL0ig4POK6qK3TIB Xzh9fkmK/7jmu69qoMfXoFa1lBpqygbpCE1ZTNl1EnZEgIyiWCTCHZNUBh8qxDU7uGRrRReqvh0/ TbWULLgI8Cm3leA40qANRXiJJ2ChN6+akgwZ4MudMcbSfMUM6p4JQzucujzYcu7aTYOtrfSqcef4 NVmxYYyuMROjDL6hWLb3qFJQg3RtaGA/8c8J2IwhiWD+CtNevEUDEpUvmFJnN0Mh0AQWmu8y6Na0 3O6SSZN2LQTVBRJl1RhxkADbaX+AZnq/vNuMtqRZFtUmDE53HSWjqCUNDD4/HoNYi8XQYPbQF3zG x1xdOoMAaNi5dENG9UC56RXpKi533ftDjptzprHPsE6BWG1n+EvkXjA9fFyDp5g9g8qk9vPv3Dol CPMJU4LAtrt7yMCTrPxJnbVT8MH8/YwuwIK/arZTfd+wnkFfvV8Q5+k+XYJ+Z1F7PYLHrZ+Lhnd8 fnrZnFccupb+BjrKZGyDpC0QjkwfTCiO82/47P95eLsvg4GG19kJ/dhzgJ1BpUAL5hiLWqDPelmw EfAFdUcO7HWWXuN9+2+T/RNbUAu2rrKszEUIII4X96AG11acK1JuqCHIls3Ew3DZEydU6+YbWCtE oa/yhlrvslCqeF4SIpz0Km/Oav2VrUPfT2i9YcQZqJIbVJhr3NiWID+BOM5usDpCImJ4rsr9iMV8 5hoD3nI2yxLwazhA0GcEonhew7ZcN/bU1bZpmXQZPLdJrFRLf6MJrWzvlZI/4LIYjovdScRlIS4B Dy+xkdjBNF2htPFCzYSTeg3KNsSQ2aefN4nbmZ0/P89VMw3xnDAAtnWPrnVTaEfxZD9H8gfy3zfZ Tb1xQAshdIId9w/knnI7MTrkeXjj5ck0IEwQzfvbZsUFX1U9sycLQtkxHSGCq5O1n8hn05ux+w4i CxoY35bPe+wxRJX2AXrpONQFwoJ+W0UNe+2tEvI++qWvimYP45mXqOfoF/5WZF8s8u/wgN/x4P9Z A9uN5K2xG4bvjlgUD5kKu+8ipdmMLk5MSsDTh/f0D4EWBMU//uWxw0U7VlhHfLJufte1KGCC+cYf 5mbyHdUq6TZlaRdwdzuUv4GnHNr04Najd6HDHqp4Kyfbc6aQtG/lyqKKah+asxd7qjjDdKfVkQVZ G7gAQBb1csXMCQ9wAmVBBYw5eHq3o1DvfD51aqQSLTWI4vxbzjvutjvSNxl3zxbmuUqduljqBkcb Y1oTxVZT+z+r164vmdwMFgxQC+/q/uLGTtsv8ccRfNwFHQr0phc7QwcJrxEgEHyF//KuBnr185sK p4tI3ns8n6WtshiiJWOa0pWFjK+jAfhXv0Tp90YEg7useF2XYUDyQs5GBhGpHTZmuOYSRtwZBGeV EEHRnrf9e1Jc8YyyGXLgHZsDnFP1xYh5rCYR7UBEhUgV8Xk1JSWJPG6IkT6NftV6fFXe4qanVWDL JqngHvOstSRiNzxMkA0SIwxi6bNJhR6KCFCDOYN9COnJRUc1R+RszAMFL+UDzgkO64uMu+0glM7g Egmqi5qGfJX+DWCLR1mobBS6xsL45hEyoajJDjMiWlS0/040gwR3eVmGrY+AlP/OWW6m3BNrKcyY sZSgbR3HM3kglc3TVoeVKf65aDXmzZHog1giJKPxS7HMcMm7nKEbMYGwMVTke5f5A2Li2h/QaeZL PbqQoyZbRMcKkqyal062n1eEqkFBL2Z2CddztwCwk/YcT8KD9Al/ibr2U8FmjrcP5BpxteNKot0V pX64BEomJldRzN+zcBAtPr3hzAx511zoGtcmo0AIKliHKPGaOg2TsCe/BjWZpMrNeneH0TnqFAAg jzwgA6gRySZ8zNnDeDRzPAFSpcok9JWDmMRE5jlfuaT8v7hGCX92jVEmKVMGZoQ7SvfIpZRglr8s pMTEUnYib0q/LJSuthGKSUgyhcVVDYgwof7Z+QtPdIpJNpwSHvs1I/LtwVBVryglWqkXcyfzuHRu xnEBotjoPDBsiIwWS5N4nNjKBVFln6Yfuc+EwR9ewQPJHPCepejPifrFNrvDSiBqU5k3ozGSIsfM FD8UAdoeU8iJES4dHxFdK5/QF2rrzwt5MXwoMqVMndoHgi+sUcIRuBsUYEHw1lWc0Rq6T8ZIIetU UIGOo2NbtsIokH3f2xo4lt1F5JrrOlo2xWppnQaOjNu8yzpUIl8JbuuTH+YN+MpB/Z954hfDl051 b+FzpG0w8zOKtPxegE8pcKHt59k/CstO/jWX9x5kxnSFi75qEXSRXGayOaVFLgZISOZrtJcS9hXV QefsIqAq/F7ce+yUWikgHPZuW4ISNaw7bbXS6eZU3qtYwMCkIME/trRLgv47706Rw6bFWEY+xyB8 FdwpRMYjYtCmL2Ny3bWiTobx+MleE+XgD2DuDasba7AJ79DYH7ItASqWIlj+Vt7j2W2apipsiBZY 9zMqq6fyBN9X0OS6chnmFdW3qBzFjsbwDlDD129UzAWdSX1Lzo/Bj+7Zjr8bexvLcw9ju92ODTqp u6Kc/iVqLsN5ghLXQ/JqP0cjzkYRkR2YjTz1uSeqQ7P/ySxS/BMjuoko4NgMDlD1f91mDeR3I2Kn XM0tU4Cv2zeqXcaf6qaVDRvePV5dAJY3tPHJ/1Wt2gXF8xGmz+2H/EPnZLXFLtc9U5KU+lsNeOvD f+pSE7zLGCoQXpISrAz0U/52HzYSeSDcUKozOHEJFS1YzhiviXZ5NZNS54mTj2QadrYTnOUZL+3s eAmBa772A0rfWJGcXbC6kb0oKb+2sMs+3Fij0gctKu/bJ3vVvVt0iLbV35o1DA8f0qhbKbQ1oYDY MGVNY1YXQxWnV6pzABzcS/9f0pHPWILQJwNf40npsoX4CcAI+jjwSa+q4thkFbUKl1ThwSQkkVnX m+EwW91em7iMfznVc9z/ibgmz2XehiWNsu7PsWeJ8/rqytI6SikPkdBcsSKcN+38U1mrXRalTjsW QEGsQZ5XfDQbyEOTIWZAKNK7baFeDwyjxdQm++I7MGsOvuqpxeD1iuQvKD854405MRCDhtt7cnFd Yv5jWrAK2tMywj51cYuKrpOkvo9szZ3/UIZ+n+juHM5Fp5cLKi/sSw9Cm2d3IdDjSUybEqG6xZ+p gcFcVyIpjAmJ7e3luSVwHp8v3l03RZu+nra/RUpZUPXCCdOalHVy8QmqwDEm6qdyBqeUAqdV5jcr VbL4scQ7DTptz4SONky6egMO6NNzzpkCtiJoN4ChBuJ3G2yIaB5zHBQ7uzuYSjUlYy7aOCcM5Ggt 1tD/quNsUlp6z0tIF0CGFTPp+ADTzhlnSPrDhdmPVp7p2rY7Tyvujn8TnAL/bG9iZUHIDan9ys+Y 452AlpkE1GQSBcsODuB0sBLWsw5bGz3o8fsVH3Zy8PXbVvWZOGaBZOmy9QSIE+UVbgJDFreRSG/y g3K7nyuLbQnKjwn8aNSMPtHmT5gWYYocAq0D5TUZUZgqbVUpsbxJ/XqcHv4iitkoY/7vZaqdFgqf Wq84IHFlSUADADTGB7tymfsfwpCvrRn7jh6H7m7RuuKzaYDME1ADnA5EN/nVDb4e+lPwAggy7Nv8 tpu0/uBeMpUA6huh1ETEn4zZEIcfh6qQOoxCL3EdLWMtfdsFUR+GofWDvECuc7KB0zSvxUBtV4O/ 0vqdWJ7UYEGPnANyJVd5qYBsD76Wepx/lBDfBlCPVDiDKngj2zvYnW8/Cz5mfQxY6nDojb95nkvT 1bgF7bXF12eKFPQCgD77Ujb92cAMq5gQIilsT9TYaJqZwwY1D1q3BeCMHlgCle914RFc2SZEr1YD z1c9fXvNorWPC/Ca6dOb50yJ3E/U3kN073Ikxj9LM+7r6g92HHxQGlFfIvbwaZPOVWM3HuWM4Fd2 nMMjKPKQyIBFYhXLcheJ08LJ4izIe29OeSwz7G4xKD2J06Z59NfFC7WXm6IY5FrgPl0YvIBGryYw hdxyq5oeBniKNoBwE9MVcqNR0CClygNZP3yqb2iv1oHM8sMokarnJezM/hWeEHhFtYfbCEmsztID pb6M1mDt++tCPbV7K7wtlWcjS6GpeSDzYJzRqEBzTijnreyRv72sz93keHxwIG5qSvmvdXfdohfR B+Z4RvG3glDl+FTOj+8droNaLCgwgDA22mljR/qiWis8TzVYVubEM6Z0qaqppOfssJicDspXj+Lj o3mK9c5hu9k5E0NK/eDSM5CBfXCvnGf0imB/8GGXIFHt21C9DJO4pDscivcF0CTut8AQnFlUwjsA v2ZG4CQPCAarrWbhsc7/i90xykxiuXmUGTRMKWEj+jdpWDP77N8PQyJplMYnulhAnt9N2q5X/B4o MhYpWU908Cujn561vVEM35kFISy7xtc5BOAa19NqUbeycH1U79GedsAeiVOyHvpvwmiJFgdfDnWg ykXDHV0wD9RVw8GbDmEI7ZRPV3PNBTWLhYBD3dOL/N+4YlmYztijg2gUMivA5DpzH/OUa5PiDHyo pEsG+QsbcLqexOPhx9Y+AJtI9TVVQqumHB8hq7ykOg/+Z90r4kgUtKWtfKf4Kih3qpoQLASbOiOc V1wb203OpAVP4wZW5YIL3qNx7qsqgptuvoEnchqHG6XRupTBxnkm01X3Ehl3fN4L8xeP633X18sB O5xsNOTg0noq8ZkOb/P5TPr06s4lULWFlrN1OM1ADGAAXiVLACuPHe9cBCfZUf5kb7L3JnwvZPM5 2lspNK9cS6BZfdQKfwHa6KkQGCTr2odm79LGRXl2rdxOy1n8FILZ6Xg1cxF29oVBJRNkf17lpGUe xJgLJyrkgDhOYmNJRJs6LJQmgfXlgWLTEIeoZSZhRy1V7HP8DqsZfJ29G5gpCGqcrkkYgT3IoCcK RJZXlzHFPttamefbHTkzISzNnLf8jZNb1pWN6f9MMWr1I6QKyBzUvSZsohatgdoub6wz8ORDf9kp s3IsSvkHR9o7qcN9I14v5iEIOr3QQxiPcFJgOTJRBgFJMCKBswqySvF9heTaGWQKiHHM8fZie5dL HSmxq5jUDXcuMsNcnR6qDbiAi7n/21fr5PWakml+onfrjj6q+xOLoCk/e9TV2D/fVuW0lX33vknp MMHhB+sYXkH9IB+OcY62tgkru7W2hSROBe2aOAdp1LtzgQ4eAvCXsouLrrBd4K3dYRY5jyozblHO AlvSAYA67HpS17OyfSVZgF0A9JymFBIkjL0GbOUdjuKUzh+LgCjZ2ds74afDlUbDAztangV8DHcJ /PSPCfe8Pc+YhkYkolHn8hMCBQZ8Q7XTzDi7TuIRjmtcJXQoeSk8pgE3tOaFuFol38FJirNmtkt2 ipgvmbPftGHAPKFaTyuL4W4uu+he7RHGceG5AGQ06ysN5NBBg3FZJHyAtIpzh3gjvg9gOiOgpUIL aL9RU2MkWyLx35k45ktbT5/ufDbgOI2lYqRV8FK6fGBZ32sTNWJNSKKnod6h3cRKQNg1Y7CZRuoB GFPF1v15rmPfB+CYLLFnO7c7dq3vcVrhmGXlVUyM6vDFkMbpaSlO5bomTDjvvODBXjIstk/vALLX Lrdw49XW/m7EYSOZ8pRwprRmjL9eEyrvOdNVe5NpE2VLmJIetCrcdVAEl+IRMmMalOT52d0I2sYH l2n0OST/dngZxeNHrgSaWdGk1jcohWcwnYAYET1Lc8Zi7Ux8RCcZckPnRLSPxzK6m5VCqJrh8Es3 BlDwez+wpSaYwyoKjW35tcM7CrM8rHb+g/+NozaOpvKrqdVpoK4Wc3abkX2a9rO3WS5+d8GenzaS r1f++gTS1/xWPnKfU9a1Gxy0QYgvtbUZNFBy/iuaHsywPPNaCsvEriYtBnq5r2Gi9iLOpgYaf6FQ 2zUEKRmzOmKLaFODX6PS9UpPufqtJYToA6MH1H16LEhVq/aK+2SPcObaBlWWOIYHAdiWo855NreS D8IndEyZx1WYme1Qkgt3CUT92aVYTiVzOYt8lEn0QBu+gj2o5qRUVImzCzvGNbJlv+8lEr6DLx5E ddO6AH0/UB/4oEy4inxTamCb4HsWYvXHlVux/2Omk4gZSF9z6q/ZbCh9WWI/6WvGDXm+kC938/1D 8I/+CUYQb/xj5m7c6dOzbXABKUSc0qO9KrRG894OWsusri/FD17NKEG3eVBafqIb9lBPkVokNx1J rgF2J6zery6pFsbYK/+cYgdtnftla++IX7e4IwWc2dZbaQTwyl+NTK1qtTdPwWe3mvZWpUVR5eTs GRg6axYXY6dga1cY7r26HYgmcmAlfV5pQM9brT5XCw3pVM6v/kMVSeVbJStzpgLrxJLhIISKOdgF o0lPjD1q4DifcrmYs3OMCi6aTaD46C26PeyBka0WPFVRHNogtfcf8xyBRJwQCuAL8kN1LK+WNP7p G687mfMvWGqJVj85gYAZCJm3yRBGb0Pmj2v6su/BsIv3+F9DBoUWv3LU3bKOndBjTH91SXBocILt lxsVOEPsYGto5zjLEFSe6BsMNY6R1rrVW8+USTNpsLTx93oieNo6nK1WWwY5gmd63fq8kuBTU8oX foXpkRHMgXnijFcsRCmL8+B32dSlbp3Dx8dy9d5nEcz4YUe1P5j87ZyOuBAI1obGhlDGEJbsNWTh LeeQe11aVoEGPtqxyKuD36eF6gbKP9TVzHre99LmjjG11udaLpZqgbvPZtasLUlMhI7dA8FBRq6/ uynjMj9PMuX5S5mtXwSAjt0HES4G6QRzlpHFRMN2ijK6BZAOPJ3MOAEdSk0sYK3GGrAtnX05qIVB yUBJnM5aj/67sQaVArLx2oVX04u1d/NpjtrAWwfrUfIFR/eUSNbPod98WwqawvonxgmPf05d79jc +RW4pUsKCxQv2ja/4ShUJScCZhf12KpYGJckpfQyJk+mhGThOFQI3y94NDTN7QwWuq/RYRz8Fk2n dAvn65HhRXhERM9tuhHYjxGJN+7I1JzR2nQ/ab+ZOnVkgnEuVMYVXPRYlFAIGpsDnViAXHt8w4Q0 wztblFeR6NqmT2xQH9ay6fdEaVROB9YAuXE9gMVkLgsc4UUQDl2kmoAXE1jHuSCJ5KBInowVuvMF KT1X/uTyjjgBk62N7vrJtDBJYLLR9N84bPUOKWilXwK3INi1G87VWTsPCfMx2AJrvHnUYF4rxHnz KyR8gthz7SJGZV9O2NV+FHTvnUW0Cyo5QGWN40JSHxKCS+3yiOS8QFLQaS3GwqtIF376WBvmUXOe Sj3B0J+4+chloxCLHlecxdvufo0YAZKYLjVXwLVJVwkKjWlibpkO6Fwk/ubdnvQKJwzA07ppM8wg zP7LXFm3Y++Y+iwn7UzMSz7JdtK28t8aGTcIiKwZ0+HniR6D2kHCxBa551pAceHMMomYsXCpb/OS oSoQS7P9CUlMFUeHS+y9zyd5ic0gHVV9Y0qI7RSU9KWw/ELTgl/T45Jb4Th9Sh+1a9mJdLvAJ+yK 271R8rU009ofK26tO9N7fdPDZFQ7jpnmhEp+3vJ/R80FVTOuB34u9A1bZ6tKTBshcQjto4ydP1ZT Hkitehyq4aO1AS+Ttkf2wjXMMkZuZjSLLsfNuUA2v3+YPi+1rVAWGLAdDN8QtTwoyxzDd8h6Pv2S NRcWPI3372kLsnSejyMg9J/9nI2FkHCc1+u4H9E9CrdLHIkxLVa4El9lGA6XnnpEqErQcoO0+EMf giDH6O+tBGfSEq5+vW9E2ooqYwHBII/OxGB0pM34TemRLLI3UUx3/tXAsmATm3e6BUgX7THKHmB0 JtPmSe/Rtyna0yTKwnGECeT3Ro7AQZyg5HO5Eo0G+JtBf2akEUpSzEwxCPyiVdOfnT5vHv5OpWDE 6UI554GdZvz4aO4jwANBBdm3RPH5eaIHyYRTl1/61YR5qVFN91VprrkWpKHad4Q9nfTaTs93C+Jc IlHvsSJPhh9kcofLFs0d42sgm4aVjaVfpqIjQDklvMilYrNojfrfWlqxtmODacxNefJEK/NO1wz2 sACy+IAds6ZVyNU8rQCK36L34L0rCh4zTeliaiVfFJPCcxYPNmdOxB93R1xHjiqX+VN2Ludp6Uw7 14GFuBUptBrNFZUTVEQ+8nltl54TxYZIYP5IqnCpiIhwCmVuqTrNaAbggCgewCNQ5zUxcax1Toha 3I5zyZGndhdEZNGFz71+JIzhSpSK3Kg3QYwhp9uZ6s7LrkbvzDQkaWgKryNe2dFHP1Xi8uAK/7Mv N+U2uMnZJBHpmvVFcaVmL/ZFiFnVYxX4Gjd83wwRW238ZymLle/8YA8cHiAmyk/jXxcSjjIJV/Rd lycmQe+1fNN0U7WSBEJ/Sujp4Pp6LOF4FrFOV7GzODaB1oPc53dVmS+mJa1oroKA0aRQjFquLTL9 UYEvBL3HpFoYEScXB08nOs4zTJxyBeELyGchiBRY4DPl7Zv3+Z57cc5Jfz+l5EZxcxG8tmi71NZn BxyCSUvDkZBeiWFN4T0VxUc6c2YCvDnO2+AeG9cxS495mvzJ94k6v0f2lX9uTgc4X9cRQPPfaDCq Kn9GmaHIEGaivHa1xfwA+QdjWKdk2hcCw2zOoSKAwvecljFxyuUXMmmHlH6edMCQRSL8x4zPRkSh 6b/p0Ja9Cciwco3FXKNRbGNf08rQ3gPzAL8DC2nEktJmIRqEqq2Tl1DwbH03YEc42BYqdu6qOebx mJ5d2uGQAGWiMYOC163D/R819JLFVSAu4HALFiRfHrUMQm9BU9cSA2ChVffX7oBrhH+0iIG4hrmT 3D2fx0ovYL1XRLmVswOTGJV2Eov1yp4KOdgqGpy5dJ0UhxmBbjhxxzsysb4mzSD5++XYlfz5u9kW 9iU3bW5VXu6RigePmjAbG5KjjHesAsq+gSrO68s8YXHZ2CNMICduZjNko0Bm4PxfJdXhZx7V/KHe BS6YezDUaqAbF+64x11V83Y3OAyofTEaf7YhV4P+gJMmL1blsjnyN0fP1ZVNZJlpQeQmMBZ13/r5 sO8dWNuhwaxNunRnzUgR/2ZbPVoo48zMBviD7oVOF3xkZh1rr7ohWIV3IKsMERHHZKYWOeVvZDJ6 f+aYlij4KOg0qQv5o9Ws2rutRwQZwQ/fU6uU/UpUz1Oui4ck8hG3wTuS9bGWqwMYI/nFZQqRFL5Y FHsEVh/MOfnHglbv1PFrb/lFcvZIxdN7F4kCD5ktkkaqFXQH+hJvczuIeBlu98mTHJlpR3qIIQfn GndJwtvNlAc4V7oIKJ7Sg3ukSw67v+ipFeM4jIciB3Q3hcf2DqGe87hZCq7F+GwFoGnWmz+3+0sR ox4QXqAjrvwyuUmVPkbRONizmFACQ5z9J1MmW/FxyvKSuGpgsubftoLH5ig99Sd8rVbDUWEi3YZw 3Pm6sfqDT+1grTMEBW4iyXwJeEESjEkk7uGSg2+KcTYuE9LK8TZy8c3wXSVUN42dL2JKHGG9r5t/ X4KqtHzT/Qu04dredeAkOZVe2rAf5ngF0ycKwDyaXKTyDuWmqwS9mvV/EvWR62PsrYtvbkdKHan/ XAoExVwlNUBsmI8CuFi5gVb0Jp69Npd5WpZjT1qNxd1TSVu2Ku3tEcueijsaCSKGFoIuRlpUAJSB yRcAMobtmVTwh01TxGniiXZUNqFNRK8sXfa3DCrCqSP9f8U39PDJuUO56fbjGxoGW3uhOPYshZtQ wQnxbiZo3PahyqyMM5Mc8Z/v7an/IeRURpy1ODGmdMXm++dymE6o4GTeMCyRZYrBmeFkv8ksNv50 bbILLWUsgUfWEZPQ2Sz/B1O3fRxFamRsvW8p3romN1sGPWI13fI7PN3G/Lop34PNWTxOPsqW4fyj zw5px6mPXe9nkCT45kQN6vt01unz8rBe2re1dnUgSy6lxG5M5CHZtqGKpFkdoy2eqV4CFaA6MF4u NUbHImPJw2owDh+6eAdxM/E2bXPygUZu/PUjLwOBi3ET/fQDXrHQ718GzsGr4JmuIdO4xqSmLAiu CC3Vy8f+aszK6L+3oboELjsODX5tyV/zG2coWiT8Cruq1tinq77MjzdRZIqUi210m0YF/prfCVO8 /oCkJzpHn5xa0JK4HwwdP7oV/ltdudr7+pe7JjXfPhTjw8/oM64A+H5+ZVjbtT70SgtpldTdqgGa Vyo6IDpruOkLGX93i8DdTY6qRSPFgF9fMAD4srwc2Vg8TU6DojJ5IQG9XKDJiC6xdc9R0ppdo2zq J9MUqNiIqhVZzY6ybRLWTlp48l267CkQEyTkeDNGXwTEOj2M+zje50huEbLfrFnyoaRdrm0Q4P6/ /82KJwO33VwkSWuSd3YRih/+uEYupZN+jD31CPm4A8JmXSp7Wv34kkz1ddoaZY/uJqcylfANOE48 FpDFVhBSbeNTLXzUosl7DGK5mwZSMCUYGi6WCvN6gPlbHJTRF+vy8B20UcDmzWWTzBxQQd3wOGUJ I4ufXSAmqTMWbu+7KJATsBvx0/i2f0gxQl7H0pUMoEVRALbVtdtZbfL5+eCJ16J1qpB+0ZrtvU9i vVUxjaXF4oN2Cw3XXWpfFw+WbUcPxAL+9838NkdLWIpNTDUERh9PVDdUHicgWRoNtLFBkfAiuElr /qAJBUuI3Y4hYwjJg3KTQyqyB5qRnyG4TF1/fjcrFQmG4zzJW8TrryHMMSDRiZXDRQ+5IafC6sHt TNktlSvF6n4ucuMq7vgW4fU4t/8G8mLI4gab0IxX94W6bw5h1/hIKjRsmYe/+C6c+2sKRL7rR8cM lmUValOSYf26gfpE1ItVxAxVIImVVNnYoMZbPLph5hH1BlmgtbmTaX/yKjNE+ZAVIAw/8FSv4+Sd JLoREvynlfnl+zvjjQv0lVnyc9AgnUbaz2Mkj2f/VfLeeg786wfzkYJpgiK8tkpG4cdPVDJwdODo 2oiO7BItanBWhSoOFrbd0ncd3zTb4fs9CAEGWykxsc718rLyhMwj+QcGDjXq3o3r+CtDgbGwIxCy VdDFNuf5poUAXWW+hRhdofHzFPUKjzOnl3QIPvVP+IL0tg5beRCVFK8VvGUtfJvJEryp7/vxX6cK fRyQW66w5xx/ZG1XiR1zVybUEgh+FHZDDVtMtd+w0YYhxPdN5wWY8QWN01eU/CTYb4oFah0S8U92 lktN4fBfLsnMzlP68ICdRl1P/+Ri56jriKf28a2MuAJddkAdfZpt6kmmHwbb42VEMU7Lnb6oDKK0 VTmdwuSmNS/rRdJ0iOTR4IKvTKJU7ftP9oaoYR2+Eintv1T5r4cdc8Q24P0qNdkjvYxrIMCeFjqC k/1W40JYJR3GSLTYzCK7vaF9JbzYCCK8RKuIwcicAneaoh/EMhuudv29BDPdMAde40Emalk4QIL+ zU7hGIXaDdWrfSW5sBUkU+DMhBjViN12GiWtLMW5zgX4l7UhJmtn3dKiPDb0pOBQ+iPNIZtp49v9 3KZCyzVRL6yKGh+XWgFycJEAWYudvKmqQ7AGztw/g0i6fIyVrw//nDSlEbBblynHWlkeDrqegLwE OABROB7l2F+IEhVGAw+fCmwNcUes0JcfeexVh5sBRqAsk0/pdW+iVF+EmJZifly48wY7nf5XgcHs KaM5yufBz2wxezsf5sPfvqj10zDL8Z11KH1pc/nR5u79SdQrgVXYX09rYPVsnidaZyMVsH1ippXs Z0pMH77xc/JwNkDIQmPlPsnBHYGtyg0YgZvBtF3eoM/S2sfph87Syz/ynkYFb96nOr5oyaL1l8Yp 551nKeMOA0TSKJzNyC4bie6bl+Fq/EYs6iog9V8fbro24wUunjjZyKOnD4A5AJ82pDaOptcfUgoG hHw5H4RQqsZ4uNhz7OOGwsgUzVKT6ZGLwIMODD7ewcvBIlLpeAnCFbbM94OPA29XbEXibf0J/OaZ 1h0u+SZZHjdqfW+Zyif8L++zZt6KffIVyGx3p1ZNB5qE2LKKnCBmHoE81pkwXo1vVp0/9ZjmG+cZ tkyKPAqDuGYpj4mmEqr22voy1+6PuU7N0UyD620tzwSDKONVUAgkCg3AzDFe3KVNGugCmN0Msg9e 7AvoKy01cFyq0snUQiOYOuIUfoRZNd2lP6VlGBHUiRgmah+Q1Pe1nFE9xzMiY2k8rfiozDoj0Hox UMtZld2Ou246Dib3xUUMpMmfVpGC3B1YHkWRoDsmHrWQl6KLlEZ+2yx/jdjpRUy/QllXOEA2AVjE 8nsvk40nja5VA+9SSDeC2gde1p/5Pn9XXLm5hitwfAIX3xBLiM2fCnhkwgve4QOeTyvRw2q2FixJ 1danmLKWKmdOGbYFgfugjBQRTv4yZdNam0PAz9kEJ1vkjooT5qUZzPW70bOsQ4K5Yd4AB0+JX4dQ PpZ5/ZozoOWOhfrTIbsv0BR48jQLu4VAx8vNVwNiIlbvwCMNv+bDWb2Qow81+8xMesBpUSexvzdM hJR55kPIH5hMC9MsUU/bFmJTGUrmowu/tpMklFAh63au1XNw87uKWpHtMPJkUdGdruUa0QCqAp1Z R/Sj3wIyMysOLP9lw9n3/paSzxgCXiTUGw35Ws604G6vFiZLhIteU1vkDp2akMiezPVp1zaijMba eIKtpot7GbE1ITh6N2izprEnaj0X0J5M606Ml18by+HR+WQPvhu6cNdT53muNrfW6Xjaydde6Lhm G5HzhH8ubKzYRrj0fsN7DtPU4Hfx2V303rwCuiz20+3SlotGwZ2TOIMIg2xnCdSOXwMigaQfJmtK FT6TD0RNXHEPtu6v+Udpn4+b7scC16tkpHOlgPnwpH2MphtOUbL0f6c1ug5O4+NW6ijOKvWf7nLf 8vtz21rJfY8WsegHsquxLMHW3izUYb87OMynPwqcsy3qxWkEidKCT2PURdvluv8aXChFC3X6JXN1 g5TKVy3SDIBr99Jsp/50TmSG+9DoPHHDa9FSUHPHsrMvxVBJNSDrxC93x5U5jBRkWwFBD6GoJ2kf QdmqXzyU0YGtt1Sof0NnSgDQj5FB6/wXXO02vcuQbADfktDXcJeaMSj1R61frztSyUTknbd2DXxG rjQ6CyXML5oYYjzaPQeG0od73cllgjOiGLCpLoTtv5cpLo/rPp/MFCvmIIENWLIc7j/n83epEgG/ 8x8ykl1ZMOXxPzC2XLGyZgKnsqqbrF0zVgMCuFhF2Ydv2z/pSwcfybvVXY4xbpqt1UQY9ua6+VHS 5YGzxcRB67ErN5JRZ5wzAmswUoy6Tt6cHA5z+jc8yqaFJqm2tCEOUiWE0h6yeUL5EsmfHN+mUQlM sKZv3PVdLZ2ypPmUEwO97GPjC0XTJJT6zUd1MPqQLh+RpQB1c7OGAyPTCWjRLIGrqxIi8g50A+Bw 6i13zDnRk75Tf7FzVc6AkToRuRxAR5GTUvlhfQXyLQfLc4ao/gH4ZOAzyLwp3bKuvTNVguOq6HdV Wcd8gcTQ+5WogUzRGTIFzp88wgMO2OX3G7jQPXzW74rGhvpjuYG3sNpUP0JTU56Xb2WTjOI3kWWX ivHjDiHu8n7F2L0OPGGp2eWu70XpT139Dt9ioxOSNwbyF4dhml7BXTEMSjGAnbyYfzjo5CYOy6Sn jHGs1Hz/k+aASRNEuFRh3lGvuONPtq1AzLUvk/xQHSHunFpH830QJR2W/uJ5gr02Dfq251nQEfJZ WdG7jXIZrdJh0LxHL4wGvy8c58jFsbBUyJSIvfIPqGMG1JoWRIBWcxqdt2cNufNrWuj0Zk0IR/Wo B/fuh2/iGhbNyH8OCsKQuoqFbu2s2d+N5k1DHc0apLTr9Zwm/AGE3S/cbl+Nz7itUglBEUZQNXW2 CGeJQxXLUAJ7M5YeZWw2sU+jO0iDYZ6+DluF3zN7eucFJyMqxEkpwDQ9CftRvRoyguK2YAth6G0v VCaVmceFbSwNv49DH+TJ2pkVR8JIWR4rPxf9S9GcpTz1qM03No1brcMI2iVx3mF5FqgRhZpsAvPH joVkDNh5baDtr9IAxHLj51md+xky53bsfUxkUxU4QJdCOjYG5OBFTMgtrQpGSGM6P7jEsFWsHwoO qerd8zff4ZjXqDRklNuC1CtoUTC9UdCIt60CMS8Rgx3b6HxKgCa2DfTK974BbeNoiKpuMlmuzEUm Xdm+fQLeuvIukJGw9eS+dEViyaBZ28DuGa97aFHJCah0iCC3AL9BVyO3SFyCWzwOpasyf6q1QJKF FlnW3sMy9KjLirk54iR3o9qUiEY2c62MoK7GNzfkktzVayf8M8OCHQ/LA8XYXns64wg8KfAcMsl1 bDnNpnoSwD7TjD/bqK1MTrt8oEL03SmzC5MXyKJ/btMD7eKfLogwGUnWA8mJBFp5j2wGV6TQ1fZe bSYw14vsjsj5jQZYAMUceS/OhjMBxSLRTSbSChCz04vqc6VliikpfhKDBAbhNWFZf4kSEL9MgnBY SZzBL6+16S0K/lWJ08MzEg5YR8RdvWFeColx9wPYx/sfzVtJ5rAcnV3kdOco5gsTwZIZa9BqOfDK 0MLs12HNJW//D1qOioOHSMyyQXq+Rpc+/0gxNs/7MGW1k6vP2OTeYvohTILtSgZSuvD0QsKk78vm SKxtESVHVbDWWMocK8AqTOWyEBidQHAxdUMFG//2f1FqmXzNhTdnLPBth3RBIgNGY5HMzSKy89dn SlHA/DItSyVTD9DPBo7Sx0SH1+I1tRooaT/NAL6dUXD9UfE7HCxRrrn2LRSg3541YZklkFECabiV aWpPbgPeReE0Tw8pdLnDlWa+3cRbLuyF7XK6gb+bMevlRNHMl90p0YXR+VInptPzol0RR6s4uxms snjppUCIlaiOb6UgCvE9kZSTbgMIkMxFvdTQtBvbQBqmMJrImFPkz/ilftQZRnj68yXt7c/KjJWm PLkBUQn5pYcApvn3G2iu7pV9vum63/ircYK8Dhtwf5pRUEkgJkk5zO7v4iHDr4hQU7fOUbKTXcH1 KlHfhO4rPOR7k2O6gUms7RZ3vRvDbpm5ApDVazsPAyjlfHdBVUmbALITRFDtFa2RPR+oqT7YeNm5 rQ56NQva56JcvelQL1XMC/YinjFKteNQzSD8H/YaZzenj9/Ps56jwprVZPjqXTJjcvslt/DKoy72 PE5maPm5TEvkByae2+InLJ1JwspgY2S20siRvHvVdXl34d7RVwTYXOxFxVJlWZtqA55fCTgrUT2G FSvrQwH8vO8chRjkxPC45U5oLxjymXblvK+DBRUSs7Yin0AfzHghtGTn4P/kYopwglRTVUbGL8a5 RyTXxEXQnUHlucpVqHV9C2i9MVdFXQlwE2usjtJIUxSuvURVQoN2IMQN+Go2/r5vAGX3G9z0dxaY 0y4CKsGCSxlUB8srm7eGIn31wgXek3WtYm+4Xybn4sgOdgg/p5pLH9Rqu6ik5l2BcQm2qZVlltlo BtTk5TaqrzmCrb1lIxfE6z0trdzTobYp81y8/RR301EVEREyfB4rzwqFtHDHxFSo5Utx5Hr+J7EE 6zzcNqDbJBbHYg4/TTzKOJUAHOKsbAxEVUTtdjnN2Yv6wIy58hYctrr8k5BFymZTyaOpMmCZhmcd FWs6N+BBWG7bPfC3L4xawsr5IZFgmvXglI7W2fE1dgqiE5tTpeHjf46jrjtA+8FJYFv9SpdC0t27 0xbaO1qmq14naHAhT+nAC9UwF6ipFtss9rXSVtxe58Lzb33mA7JVv8CMMrkydBIDVmP6/0nYD2mY njVM9O/p/f/F0Kzyb+fTRjwVU92WBq+Ipg1Y5JuMWxDIKDIzoq+dXOuWPW5MFw8xlnCePTUw2qq7 amWw8yYEwG++5AcrF1xkarUHewyjT0DaJa3U7W73o2N2+Df4Gz06R0Z9dix/Vuu7tvnahEkWEJax vs5AWDhbMjGUgjBT/umo1PXXI3BHWxyglBaQe5AFx5cASWBias9QN+BkJrCwQEoY6JqpQqVieOpA 8Ib0enDxCJHYXYjacbnL8+g7iAHhloDqHF0cvG9tyDa8Qvv9AE/rFm73l9Y0hMmEosvMcAT0Eiwk Ew4zrIIIBkWYsA+tOHwhK07NMsQ3nKfldz+Okjr5uwiQzb8Urzuy16k5cbBxDZYh0j9xMekvqeda Ovz9+Lzr5KCddcthjt5SK6stXGcZxHhtUteTKSKlDzacfCMQG4mJPHyuVqqK6iDqyRtmooPl/kLp OzrJLgyGWGxg5wxCqyl3dBgcJ98cMRHl4nI84IoLfsv0R70k/ttpvTOiytBfeC2cZXaZIcloGzsE zWTGp8lNLJ5s9+KJs8qvpgcUPZQCUpLrfG4KGn0yWWo8t02KDdJIqxzBVXaipGIhXULX4WzWlpuu KOFuGjjQziQS9Vg4lcwQ33pj9CbuMK89vHM+RB6kr+avboKthWyLL81Idnppbfp2BsD1eQbplHMW P7H18pgzeL2/4ojhWL+Fpm8g66A61zqRYRMdcbxAKwuXGrcld316E41kiKU0S+TuQhkha3QF+ORw ssuVp/s59IlX2qlpnu+aERcksLWCjY5d/g+DEoQH7IaAx7jp/UP8FVJB76zO9BOrbM1fbXjYodFZ Fjk/yRII8F4Y5FvuZ9vHvSShsFgQYLFjIP/zquSH+QxXRtfhWVu5aI4Yb5LJ06+cvmC0EHYLxDv7 sZ3D7wJSqX7loksFfQCGMH4uEX8Um+fNaccAtTcck/k3IgM5ep7Xw+3I27+p/eQ9cKANWS8/LaJd a9NKGmtwGjNr3b9ji1M9scdohPcIAhH4fiCSeFp+pilPFvRlly3lONmXFueI7llk1LX+6pyccRvK SAC6E3vTqdnc67Ly6SO+toe0mE9JHbt5mdXzOdvS9CqvZ79a1LhaDjSVnQpHfXBmsOWZabatA65v jueP1kegT6yagrH/FwhzkRHsHcVOL7xBGDSVpzsdqZ4mjvoNqvk7DaLIdJaVa1dM2GWgKnF9nWGc /PcE95NggU0c0P5a/HtJjQCo+MnqH2bpdSz1EjXluSPJ4/Xx+jiEY7j4KYmcAU/9XAxhCmqs24UZ CApXcxIwa5RDjuoU5ttIiC76tQ4gXKGpEZxZuFQD8DyJE0ucA4OopzjjBrgFKQwi8+wKvRWa9nwI RZ3VMSAqAcNCDVxAwvciOlWVMcF2xaikiXy0Os+m813mzZUghxVtYxWbJWGC/bXdvvcpKMxwQwwF oe3Fc2+ostIS13E1tDMuHAqltgNWWrW4IOoCdNiac/EdpgOEO8gkORIRVjp2VcdeMGsZY7kBVclq 6pgRefpJMtbo1Nvjwkx+Cso8ckqrcgwHdqt3BS7DgSCo537VcrVjya51YAZoMgKri9dCO12jjppT m9O+ezjP7SYTVNoP/fXy6QKevMfsJBhPC07EmJhZwOqO3VhzkS6cQsCvZ3wLd12diN1NJyn81RMI kYNnKP1EehMzP2mkoTVfP/DCH34I8iOBQZcP/SahBfkzn2lQI2/F6VW1wD97GNY8EXrWdqeiQcAe DDUFcEBk8SP2OE1sBGYmhmFAjVBsq51rJWNruW9lbADMRKMZLuYmimIZckBB4BpURq+keEZ3i7kU kbazIz13bMUWfBWDxQk9U6j4rKD/QiNtYEEOzRVGPuwRJGPVAJp9phBTnlk4eFHukDMnBbn7epkT PHaboP+rVLzO/SsJAww9KPg+l/NX/QM3RHC6jsIejoctfTyIjavKOYcFbRcqwZC6K++y41GJgeOy qpGsVZzWDPJTJGGktiWpYCqszOh6OpS4/H7QtXESXpo9+fpyI48zdBOk/cXf8Yh64neWcvEoFWRe bLOCOwYYnF0sWEc6pyUoS9vbcTa8KYjnsRDTa/gMHFg97XbRaI1+e7RblcD5lqTr/2Dj82nb9raN P7tmAwTiRFntU28cGfFFYgn9thp1hEvM8a/cEXnZ8FRWw1+cXQcRgbDWqbiUBQOJnAGk6dmsVqVI WvSyJPqSjWUth029kDXZo+6YrNUaMDMCskICMpjYVcVvIyF4rGKj2+SgGZkOUS6qqapkA4O8UpCx ryVb19fBjY1GXb00/Uaipn47xsbs5p1GNjqnuIMImvaT7Kyp70uOEwJu9uqkDEyt+HgzWL8Gwpr1 huXbTG7Ew5zZJU3cBPDBft9/SuWkBB3m7WgOD2pnC5M2fpwPk1txuA1HzAIxPUgtf9MjX4lxaS8Q 1xZ1BdZ3DquhUEyFb5MlKe/a4cNG5vXTCUzHnG9d3pOnM2iNyxCjgPLWkth2+wc8YqcBndexgUeY 7behakqg/+Omq1amIrb/qc2F8pTm2fjC//A70SZuQCjKuNcQk2IxTBQy/1/MUkXjpxWDO2WjjXJS P2u56dGeiuJy30LSBfNxs7m8UrA7BAN1YpF2KrMjL9JKbXsg7M7Kojblf5H027Y1zDjXILxPT9fq qatcATq/mZGgic/3oovGuUiveIlXjTvBMkocJqj+1FYnUdX0aygyYVWKcjCCZdLADAuvHmmjmazm 9Rj+SgiZwzN2n2PuluispMuc9bU75e+MJv1pr+KkLkWRA3cKurdUouqSa1rrJoe2Vi8ifC7LQVtd LxEk1C30XQ5W2YK9bWEhqs2Ab9nC/mAFw6Mo4+tfbyydNhJaTXPrc1fydOe4pC3tgXU8ybJDwooQ mvq08iaENjpmytreq1At9l5o04gZ71HMnY47yq5RrovPc8S/aOc5V/dVSc8sN9O71IHgZYvj0iLl TFI53T73teqmg6Xs7tY3iOMawsLhZ8LPX6kwFMdt2V46CPfFjEvwqL6FE3BHI8RHGVuWMw55UdX1 09VWZmBZlbPCePR2w/vfaWn2oN7E/GkSMpxEGg9bb8bgdZulnqiGgWcCTMcocgoTy/gQVJJrHp01 PL/1lNeaedJxODdO8qX2nwnzq11FblsBmyyNsvq2euyo3sMgh7pl5XCtOnOB8ChufTFvtYeHIPse APOqMMEIMAC2OHLwudktLNekW4eh05wdJloUh/iDbLksJCyAFVH6pboxeeiyTdfRYc+U6lGM2xuk e7jvgburcLb7l0xmrxU/8C+OXf3Ohl8HKe/nSWHdqdy4QwtKRvzFm++J8YcTwmzuUtUwX83Rek68 SUSQxd9TfKvlbaLjEngWMZEfqWs3skwU1ebuTpVpmTm+KeNBDyblyfUo1G+Rc/4uX1gilpKSTPg0 Gk/RA+5vQ1PgnHyQ2MRrGfROqX6wDNxaYDbdkVEaRSf6VOcYr8QT8X1ysMN5UYLGDZlLsFxe5Syy 1EEWGF6wsZSX66/b+EPMdnx3Rd/WqeLhiXb69ipUp4KiViNBqFwuTMo39hish2+kxOUBqVPnBWUY mB93pMNpYG7QPCQj5syAt4jGnnKxvV29lUJmmPZqTJ4OwfmHkp+D7xQzZFGqaAfJSq2FZdTGtNiA TwuSfVTQXNB5TzRZgFjm0usq3KOBVUoppy26TzzRntjJawAW2eu06VjS3VEw6sJkpy6PjUNc/tpk 5EmNBVXa/lRMymtaUhUFmlSZTC/s73lUQREh579Dmb4qPXKsyYNPhLGAXKyMcXqiK6ch93fQljz6 yIsu3udGw3Fee22yZcH8+kfn4j/+VoExKSto2eqVVeLVXyW5yssecDl7Rf5wIIRNtL+ugsntCAXR zG3YcXFU/F5E7sIjUVN1gzRlS/nju2qoLc9WqLTd3Sop7hPHD8sxgVVGw/8A7fR7Dzq//SiqogXD Jw8HniCS3ErGH4TtbfmVQWdWaDjAntRn7tPY05YTaMj7A/bOiw9DM81HzrPo/1Fw5yVuOqZjHTTf iWYAnQkKEONQtLCyYYb9S6VagoTmg0OcH4XqMKCiNvXbjOtEMKNNszfjby8gG7meUh1cVx3J9CB1 9/x2l1pg3/uUeyr0iOBv0Wycp0vx/6NdkWciZbtJqvi0t21mBPG6LOKOxLTvhNtj3ekNDQZ59CQD 4KDJ0OT7p63kTsXOccjYGjfDciOl267tJHARe3ZwRclrfPgHkKEPZoq9im/LIx7OcBAO4RX6Idad 3SG2bwg7N3YpOedPbDZg9KU2H/UIx0XKYbPZWyN02S8PkGoaJNJJI1Cj7e7YFzopuRvkZvkDPp3d Wx29rXoz5ebpBBBenbdFHFYrrZ5bQZpixCSB1yJQYxor5g0uvWQ0eVTpJpzQ4l62cCuWPP8+4esH FKUrIT5R21aiJ+sijnoMaJiZzXJ7G0DyQ4mqIWEbCVWehbod2qcKRm1Dv3Ju9hyG4GvE7JwHzr3f DyR+pPlrGauk33dmqIhjDxzM2GcgynIdyYR7gLwBEtAIx0249uLsY/Rtk4RXrZvv8k9O1mqJCDzK qEnc+K9emfZc9QC9eoT5VmzJf3iVGCyVne330k+IwPnjWyF8wLPE920isOA159EHx4JXV1ohWnKQ 01jhe/W2OUcnK6pGlcLJgigiZAGb5LegOd970KNbAI83UpmLDcMEu4tzlZUPG87q2bVqQrZVLmE0 DH3KvRA9KO4HJzwxB8sMAnHeQfXEZGFpEuF5TC228eI8+iBCHBqhP6U+qqbsyBM88sA5vH/4HCMR L63fD222N2ARZrZkjd2m6/19xdTzrYQYhx5dHVpf7flHcpAYVEcnSb0SXDsZZvvf5qJWoyK5CIYU iWvtHak/B0A+7LxWqLrQa3H/k1OQRfNi7qm0BHr9wLVaTKc88BhMeP+0HDy0KEq1GvpKfNsbCpeV 0zBgK0UlGyI7/A3dULp0OZ8qrVL1WAfx6ELRwNn5ZBgSWASde/WeRuwPYvm+SBixIXIkNO+WXLul r9AorWETUO0+TXtMmId542fR2jiwPLHuAn4VU/XuK21A1apa4xznAd7PstkeyBhP7on8od3ORRju xYhmxb/r4IlL5HRWo/wZ+uu5LVHGRrVJVByhroHM+lCG/6+N4NOo7T593gc9KL95dshrlxIWdykA Zg4ZNN7SufDvEbZ6rWAQbXGAuINSm4FK5ixz2oxRnW1UNfrPJJWqA5dGRnzKTQe3lDjXb8ZKx5dj M7X2M539NyKiZ7vzJVXIS8+SjbKx2GWBm51viupEMGHLCAR+DZAMDP4zWktOaamAxA+laOx/gpWp j3rdGLQ1bGuwC6Y8AGvBuEdNy+lzZi1uUel1au1Fve9GzVH+ekmJ2/1Irt0p4GeNP8cdgZBhByUo vnRyJRE5EjFXBcgzGeY7V7/hFhb0CQwXPgLOpE3bKfNR2DJPpIHjB403BLJip+DuxgaTSwZDxWUX 37H7QsMLKQFqUS0DNxFxhF/Cb8bM2HtsT7emU85+VotwzMJkjePwVmrKX95LplYLQ2wEY8zwbD0J +4MXGadQwMaiQUsPZsxa/4265XRiTKgd2xDj93GJ8LhFGmB5gsVubI9VrypTaeFTMS7IXt7SxMU3 WPAuHWJulmeaKSv43Y2g5kzbMjo4nAHXKd9n3sxgbUeIhbuxag2uYfL30af+8iRPv/4DLsrnmFo1 Jts3ePy2RYRd37GPHdp1kIKCOsnSCcPHhcWmepNYhoBtHl6mp1FBz71RB6xDiOpOaIT4rkVHzeAJ bSZcHXi/br35p8XW+cLJAlwe7gBalgulMMKnvgofMxYzjCJlBVdWZmI5B03MU7EPRWQWYyfkrTCH EACOPF0MkFFLNUrsN7lPwEYziKjl5M/5G49eUdfsZOzu73RPRciTjbYH5foCrKPzTCpURa1lNt6Q A84DMGyO/ht8DF0sHr0UuyX4SuwnYcSA2kaEDBlPf3a/Ip3zW2H3yap/J1VZ5Na/hxNtdSA2gwIX MDF4eTR+Ra+vX2t81p8eWReD4xPmak33yfR3upx/6jElRRTTLXfxWQeQx+cGU5HFZOHwztHuR+nX 9wp05/6zBp8W399aykMybbccGOUNEe1zEv/uhwYs73l6MU3JUYq4Qn41rTSCDexhBOar4QPZbIby znMA1RBzhtTXJY+3l20v6l7yfBEFAkDilonnb524mumisb0itTavv/k96wkjzdx89Qtr1rm+nSv+ DnHphV24h50aTb3Yw2VDGZ7qotM0b8KJxrgOgUR9BwffhcPkEQFvUCOSrn8ylqGr4aHYc+Q03iJo eKqSgurOUphoB7J9UXwOWPYrIYwBs/3QV7UynpxjEi9SYobNLF3qLzEBAEwQc3vHuCN0tVu10C31 rMlDjVHm7LDFDWp9I28o5HG/4ZiUXfwk1GOGmTejFLCFycTz6C+gDjrAQYRS6bbQT+3OXrOcIwgh bLaQW7bwfmwcsf0/WAxtvaENBr6J8RFmRThlRW+rv5CxVtQSTbqV47qk54GZ5BaiAxhG2gF2af1r wGL1kXxC9tzWIxOsjvocU4BbpCAyuWWHMA1kzpEK8O8sHGMxjgyADqiihARx5VO3H5nAAbhEhwwx epqA46EQaN0MieYtJ6yHwcXSmYkKHohYk9zFfQwM1AJmZuUfiCen61H/eDR2E/XeUxnThD4QsCCf IaAoVYXAYA/k4smQpLM+HRlCVpjZiDdx9JGjUCkFiTNj/Fbx89nmUPeLHSPF8WFjm5aONn8TRguk tel8DcmsegMUDklT6rWFcduwwwh9YWWG1OUiUsOD8vRd+P1fOZxALB86k4xwO5QxCRMJ1+SnjHXG rvbYtvd6F6GdXoixcRIkLuoyofgow5nMd9JkiJv664fD1C3eo8TaobI/bWWTB9nrBO365SBbEdpL Hvvcjw8l5EW8YEhvBrh5PFEpOCKkLm4bcn2LJRIb9mfY/XalD4Dyh7FmG7lsdtEdx7CkktFyAfJs 0UPMKh5g6vjdKZy+vrfV+QPXvWZw44UfzKo0eqE0js+yW1w4jCQ9XkjYZdzIpTXUwhEWNA6mR2+M GEXUvXdmdECHexeI4wq/UUOooPHnZhLFGH2hIEpZQpzBiZu2Rgm8YoFi5QYMLwbA+iGU3/MMto3f t7H6LbX7mHLvv3t4ZmxycXT+bETzkKNDz57GNWJKaj9Pph1pB6kRf1Vbk/X9A8fzAncmaTqUre9U 1RIg+sqa1eYt6AbSDzP12cb5FCtsI0HSHXQR90qqvxw+CAiXK6VRtX3Ff3OnY5RrvLQvhsEszQsz AUF20JnYhrc6QDBLFEQAV1TjfM+RDo+CyB6vBRQ0Nd5/d0Y5C7a6Igt1Dq6MgPWhfgW7W8z/ANS2 KAZh+aQPf48uetnQ3Rab7lNbfS4Z92N1jK3pOXEruxIlHSgLSHzKr1PVu/+k70HV9Gly1nX8kXad FPzTe3NC6C4vID8jX5Cw9UbUMjm41aEWDqxlgYzxO8ko44U2g5kmwOTe7xMKS9zoY8fEfgSaXewU 5mw2lqFhXYvRZwaycHf6YIrD6PWq89/nNTnRRpEOeGoR8Eokte42ceyhJUfqvr9NilEOcH5QX04B /xsFycZK3yoYZx2xYdUsSXAKpMEjSgrnXaBOMHbYeQrCA8e753T16W56CGr9IN2dROAkvzVo9P8N HdXU5Gn/7tqzOmr7wVusrDobUMoan3K1pnUIXg0ahIJrdBHoe14iXX2NQ+5qQl68rDOcV/cQO8GN IMw+L6abewrOxuHSWyBW9hk0KI7cbRtYyCT/JZVppfn9MJIrtPUKgW2vc+B7BvUonIQfDS21RrQJ 6n5icTgLDWa3kQUXShNmW2DvmikRRIvLWn2rc++4cbg8nGPJebvuDj5hCmLTVGX5xpZNmpVzbiaG ppdVhxiGjOu/UdLK9VcAAAQjAOOwy30LqKcjWX/H2NsHcCtruWIk2wV8LIRewTEyEV2VdvOx3Z8X 7vb6AcPe0sVA8cAm7ftLB3HRq+YZNk/sYR08V6MYFKjKEXMcZsB3L5InM6St4xO0uJzeUQxM0kao lfpWLGW1UCrD4Jwt1rsXCEWB+h3v2YLWel//Sr4HO/9bx9mZSGcAuI3+qgt/mApvl/S4cc+U/DlL 71r6wWwE6Mvot23eji7sVUczBhjTDAccY4vcY83WLXRzRAPSPrHGqxs0jLqlLI38MGD4+kfa9jof C51vi/q4187/ots86wN2j4XwExeA7/lkk3pIw0D7aL1rSsTxbYF8mTdsVFBMSS0OopQgVnIC4XFD 6at7gKUAQgRed5FHbrjsWL29FQKCK9tIhXo6lA1CGacXXVCiZ1jFzC9308IBs/Ned7no3oKP+bp3 uYgTnpmVhOYTEsPjRTZYqUA7glDMabTPsPVOFday7uxjN3xtdXIBfH5P1Pr10M13ewDgUNhSHzS0 YZVpc+feg6DCMNBNZipMPcna5Y9rhxqL+/rwhXLMd59qEVZpJD3oFPf9Aw8BKzCnki3NpKl1FZlE Li2m05CEuc8OCSWhnwk21aolew+7cPl+yvwzRotOOEHk5qpbY4fMFQljSwLE1HGdTAmW4mE19EEH SyE4TwQh02m+DudMpCV5Xm+QkIxFxl1MwdlnyoZAlG+8yVcOBiCJPnIENVlNoJoYwZntraYQbM9F 6OHbaxz9JjTp7LvOYYTM6tXe/c4vWOLSs+69hQvUNll6FXkx47WnIDEv9nZTwXMycXdKMWwYxahm hBPLJZ0DzdDaoV0KrdNuarPm3gh2QjhyXfBHj+xNAiAqBkvjQLPCRLNP644oCsrDvBoBNuKyt/c6 vygIy5kVyP3tACsOCGuobaUT387TYW/5DeCnLPTEwnE82qaVnrM5uR0rK93uf18Zqv9i0KzdLLc9 n5/SHrCEmYj6Ze7VlprSSkUIBC+4q6pZxSRLKldMWcFA6E9NUnWE47ha+PgzXGWUlFIST08TEHSf PRs6JC+Ua98kXVvUtSVaR8W4AVvJfzZwET7lNK8DPRdYJTlX9a9PCtcGOBq8bUKudpUBKIkBiSZB J7KNGQ6v6kbtKBaR2AMuHBb0o33EQ35q8E7RS6j9lCqC6P2koA0OalEn0M/jW429D8xu+Ihhlg89 XeaXbrAJcCjLM1d4gpVgJrgCOT/+oGkkhfy0hchZAJSSpVOtDndasFwW+HvwvqcUFtES9IQuKUud nZvAp//J6znlhcRMeKnk/86D/DwL7RuChacM1Z4b6EuNYPzqWbxo4myvkkxbvK7RKxfswi+67J1S Sn4mx1jyDzGgYDgXAYKCH2QgDPE/ammCs4Myp6pMZsfHGOxnpyl2IG1ieyu1HxuA4Um9QQdC0K7/ x5mZMz3O3RnqH1Bx4Tjt9v52ByP8mNpk1iQl3oYgxlkE8fbjiGI8q5OzXX00LP4YbHMzt7cA+lMk 6eh1vjyIodKudULeSfTr2HJ3RxzqQ4eCRnRu7tY4eaJ3BmrnfaviXhh+aFntBwrqNB5w4Hh0M5sF xuJ2gkBqr98WiHXMlW/fVpsL5mQ1bve4T/PMArH/FRwJh7Z2/KC4pSAcgqSOmarQ7pBR3x6PfYAv oQhUf863hYrLLDMrWML5E6EsqbjOuPX/3Ixz8AAb/61/omOtHJg55KVfl9sZW0chWayn2pk+gRy2 BnSiT1FXmuj8SiuJatJznbN7Ypqtsa963JNqJgq4ZjEcbkG4ds3ehpo6/A0T9CFzUBtD1ZZtKj9x r/cUm3TNtbKdaZWfszO+P7iv0LN2R5GwLz0SY0clWi7VbHyqhEGU0OxrGcAogkOMTGvNY6njCaA0 HdAiLKFz5/LOSQxL5aRa4JLGJmjlt/6iZvCG4hROwuCEZJedVUxmWEZZXiB2kMaZ+7/wQRPVonlp Ii6wTmlzJXVIEq3/EZqD9HF9pk8JNCZ5ko79T746Q5LsgsyHqH2syEwid2ettGHV5uL7rF/tA9M/ 44zZLkTZnKKrahK9ZIJZoTPbDmLqKKWD6rvTt+K9xsUIITjXPSz2TIIaWiGb1A+vGxFKKFrzj5y3 +tKsMKztHsFDIV9qHI0K8yTXo26LJONZwlCPgsYCZVafHCYYcehmgIHjaKVFQgdpZghfBZ07qyJs ktlv3iP7PFVK2yGJ2CC81+EQnueFn2XWCuhG/HAPX55jGxrL00G+scjlV8sud0GfIH0CRrQzEFwv tPzBsmioqoccNxqZkEvJyECCQqnyB3SJDTwPG+ZwUD6Uj3zAHNUlOtp91qiCgiNqR+TTkUokQ/ya UVmsCav4xpkjMBRz7MWxxt8q0n0F+E1/QSayusibBcN6lGsXplQgPB/nikY3x8XguTCVanbbxKs2 nkNMU9jRNOWhwX/DmAJQp8G09Xf377+jESwYz38ldrqXpeth6eOzeaAXGrL1JD9gkLx8dOIUyeCH 96VJ/Pcwbun50BngYFlYPNG2p+isrTt5beq5vv6EZvVxy1Z7Chj+CNvk4ExT3asG41nE8rQYU8nH lWH2dYL6ns8cXvXNkiB8zTNQlhnQF7DNCsDQF2VWiM53S6jsL/M/hh0iA+0+EAq9ZoMxAWGt7u3Z xq6uo3gRdpyTlu7n9Zey+S06gEQfTPBTOHY8DAmGLu97HRrCMZq9RpIvfc+mR7H+7yyZKM195pt8 JWeW/1lkuzRvWHmRL2fPnC2zrFJdY1Ro3LQjp2Bsx3Zk8j7ehmCTP1EaaKIwN1PsoKIlwonIhklM snzefnaZLARaR6SvZefZmYMP0eqZhgxQepuehCr+OC/I55QrcWBdslDDLFO9Upqxfw9riiUhjI+B K6dpC5PABA+mMm8dQ7tsbkqlPWWSgbONugau2UsnDjUP0uiJo81OdJjjNbijfCjzxHpYimGjv42X vk9QB9STOSD8GGjfE4fwC87biF1CSiEEyC9/lKgGYg4Hwy4KT96c0hK3twOTC5U6EEv3yFOjmihn EM/P8O9xdEEYOo/Wr1YT1LTu8xK21he57Dw1Q0EyhFslmOlIIKsozRLvW2qV5ew61JCtI7migETl wx1vP7ynwtfc3leopTjn36P94F2gbwNAZDqM8w7dC8jK/pU9cp8piVTTui/CnbN8gixnjGTInyah z0xyYd+NvwLNsMoroOS7m2er+VQLwgDVgoinL2okxzEgXR978xcwsp7rjcMR9hnTcV+9Lnrk9V2N jeaH64lHgaBI4aoTbK/x8+9O/KfmZgIHEonux3MdGcZMyXtAtz1XolzCYuGpIjUCAaBskEK8K6ca ILUzb0zJlZ+8XxJ0Yv/adVtAil/o+zj2WqE9qhUzTnrsWidzVOv9tz1Fz1cjDS6iitrJrp0oH+1p /4tMO1UlQ8vpSIhmeVi43Bjk4Ryt14PdOk0rdsqukVvBdBY81TQlc3mHDSUiLdPBlgtoDvgnHdT9 o2Kej7C0DXZiY4Ui6aAviYcj3DhVNvkmOe/MRpTnezByCqxnvEjZDnEvwqThLTumWw4n0mRL4bFQ eqZY9ByxOFTkS1LrYmABrhoW2aGYBKgZKdPej6PBF+B+fO0BeVMmMYIX5BZozAEBUUbjY0sluaza j705m5j031hkHmgZ/5vbNgAiKeu7dv/3yIopsVvNoDSIwvD9X4SGNq6x417nrbF+IhazZRt9+Ef7 H5KapVra779qVREO9SLW852EfA4xQXz9MoHGZ/gmoCN6JJ+cza1mTamYk1k6WBW+zuV/24UnPJ+s 2mgcD8JqjY3AXUIOj9MNeBS5CTJwSRgfFwKBe5EYe4bU+RgaXF+8y2VSoS3xM98602yTVd2b8j5u wF+H1T3BPA0xD5XS+u5PzMKjOZMiJA1EFPv1r4QAyyDRureqQQNOhesIyk2ZPVVMX17cjs9PFc7d 5/3+2lps0SyxrRi5VNKEWMzOUBy0JEEToPGrNbhHXHi5yM8nprT5f/N3ugwfGVxI8rFWhdfaJrd1 EcU7IYDm/WFsKkayt0Cfp1SN03z5Rxg7xvQVwrjxOEPos1/LvcW8lYyFICiEIaZo108QFbJp/TYj vZgHE7a+ynQ5NNpuo54h55nCxwVtkQ7vqkCQ4oUGTdO0TfnwJ87awlrveD9B+9exxWbm5AYUWrrx 7QoSUoWdRLq/g2AvK49GDPL+W4X+FoP2K9yZQGb2zNntsH8mPAv6WjYJHZ/ddZ8/YF2ChmSYi7vo j7SCdEwnPpiT0cdD1t44zz2ONAy16ayWORxhcmK5UZtwjqQHmAzlL1QoSEY0qZQC2GyEHxhH+0mY LLLpDNdY6R+yBhgbvTNmpirqTCHyV04ni+LDcErOushO6PQKmBhYZtNUUKovsdJOuXOHRwOxyoqf kqkrPFd9W7qRxJwUXBOQ0gCCk3wTI5ZlnDHOvTqO6kC1cvpjGt5msu2xPEs+HvXqno3DOL4xRxUh iDJiwm9v8RC90IDkE8xVmAvv182CcYe9ExCNfTAUr+PvaLYEZe8Q6CEH7MOZloSqwsKFauCPsQGO krKOQ0fRyouuONd/ntqPRtl9277yRnLukAfBbzb4VwJcQWaEt1o+07VykejLAtDFdEwQPiijamZY pwvXg3p70gXTSXoKTBekpqEamMjfcufksTEYoElkVFspZIkBqeG0TvY6M6f58ghYufMjoFq6OLoJ cp+Wd7376leyDXsEvf9o6iwkqCYk719Gy2a85Iw71cy834M/JRSHLogZz+TCA24X8DV7YGyzp5GT 1GrqkaAGLUPUvHJYn5sRbxnwX6Hk919NVDpfvDkAh4obsP3eaVrfgG63asxxO0IscaBGcOFSR6DX ZccDZW7mVh5CCOt/LiqjFzlW1KaU2LPsIUVk1skFvMxtiSpQdtghnbmzSpwbJ24UTaFqrM8kZ9c8 5sym0zY+wMFztl6LlTL2gGyfbmW04Nmm3C6czVzx5FqNmnEjsw5sXUIVcyWIQBaj6s3jlJb9XQ7R 6TRonUiQhPuTpctRrvfxC5A08vqMQQU5q3j4jhwqnVpsKil71im+EjAyaPoCxfq3oIz9gNPTVfo6 bytWxbWovmTkQzeqoHxi6aqSsYp4RtlqtPSPRliCrHAVKGIunXy5fqQjWONq7W7gq35I7f/nSZXj isoxtxAsEeUrv9zNxxIlQ1oNFAiJPMeGfB11mlpxGMhhs2FFcdnJDE7Wpan40nt/BWyB5+7yWrzv utDvjn0eKJBpRySSpr37AhjQ1q3ptW6KppD7/wPP0cV+EI9OYWOQDqy3lMGNPlRAPQ/2egcBS3mX 0ArRD0AYy1oB0yt+igwtc5+FHrcZoO4O2uF+QWAtWMH90M08N+XakVdr2ymTYQ7fR8T0DlU0TykV ErDn+CkrDPdzBtH0z6f2SLuzjjUNhYi9cwIDFhDKHHpSoploHVGOMxWRfUmdVVb95tSnWRog8d8W Snr556toRQEElVAnzk0JDsMyPjM+DT4kprMxlae7WSOgvogFpEFD+R3j0nc7EPNwrdeWcqAfeogt OTpTHmHmJnGFrZBupvgPNy6bl4GTAI71S59tsz2//EEVchhs/b1ha4C74yXGpiKGUK8riARo85bF Hk1X7DNnAi/wdPQK34mxH7g1lcZPhH86vXtlLu8YIik19tdX6xqmurQc7CKXqHYfTMcll1kVrLJ6 /VxC2cIWNZrP62XiMRZRw0ND86ejVJD08snzch5KodNqoC5i5qB8OQ8JN0SzOVLqYwhaOcSRoUcG y8O7+WGeGuhXUyAFsSlyvVqBDTaMKJsut5jl8p+o6dp7KCyGFhBwRaKSEXIlL71wPMLYZABOA/oZ ijjOsiEq98Ix6iNjmbgcsREdyhAItGY3olL6fQ9ry4x/n73rECjL9ylIeW3IJBEZ39521EWv4F6e 6+PnT7QRdDa1Ey91fzjO8Jytnewd+ESDIcDM0vyKSbDpXTOVjK0GMkqZ8KzS9mEhMENcKEpz6t/6 XmPDezU1NgpJMDppYVe16EeKkQ9KJS8Lbk7aKf7sZlWK3dky15eVay8RjrXiaByhNuPERnBIexhj Yp18G8q/n1H/FsZ8bQycu9u2ORnbyNkN4q42E2GlIab/ghEFOJo9JPnan/2mIUGm0vUoZsL6Ngzv iL7s+KYQCA6XCDhb7CaFNFu5IzPmpKl6umGl4KnF0VD+PnMGX/+HvJZFruaMbUh1DvEM+R+0I+fU OBuujGJGc515uossj9nwJkGDqt/Hyz7rdLYB0I0F5WVyBCr9uOOYWiDTP5OU+8oyKx/3F2DDBQl1 mXYNnem2nZj0JGDmfvlexoKe/P1zX/tYDPt/hsxns6n7z65y3nuJzSBRAG+joMn4b94urthLg9FH Ia64zdPeuCkDJW23bsbaBBJzHyYSUBf5syC5WVijALSpJgNEFTLvvCWIb0LkFU5yBTxvp/sxbfLR 8ByPoTwnvGJIuhAMcbrs6FMgw2nGOBfQyS+fF3jvPQ8pSzP35JJrHs6Bs3QV7CPH5zGanFQNgCh/ VbKaq7zyzib4lSwOnUI6SzIEPqhqWlnBdzrsDBJsyrm8HcgAQ3PDN+Tnsa+T1MIwv6fhkVqNJPlo CdQPkq1SkavATU+uUl8PY9+XPxNc9oM3z6BJ8v3kuLS3vG/Ly4QrqZlOq+Ij96N2/By0h3SS38Q1 872tRvbpZ91Z2Rv5tgX6BC2lVvpPQcWDP/Pw1lKqrdCm/xOSU/PHYbZC1CiJ3uA9Mcds4OWG0eNO zdcoZF2OhrGzzGa21xC7FbaRbbwEvdLUfJ6YLAJOo/amyuOznUYObjSVDfcohedAp3AdMfgHGnH2 zCC3K2P0ss8Al+x2owdbKLcxJnt3nlG/1nt4zf21onftgJtdlMIwtCdqn+UwXVNSXMceS0INQ8kq k7oYWeJOy9abFVf4h6VpYZ/zLivGdD8DIrJ5lRCFQGLqsU5hyyES0JdEDmAY+EraYrLN0YPXoje3 KpLBQclmc4ado2Ia69ykmJB07FPWPr+189bmKI8mspDNgW9058kgAAIkTDv/bjCIreYIfyeQ10f7 GunKdo/6yOx2iSzqAHsLGR93TaKDk1AL3otT7nlnhbqGydhnamAVBEgpGOxrEW7Wi6ZVp+mZowbr 6F7wply/SujgkPffYSm/6qqthmsp9zlhaDCwtATpkE/NHNLp6VhOYaAxCfVaSwzmC1WGBYnmDyM8 BKscegh25wOD+u2AC19lyY8c5OTlwmRWZxaKh8p9vSkCKs175tjZwR+1WSxOK5xCv/IdKvURAV3Y Qqn+I+aKkjNYFVjwNDBAw1yQOdsPJakEV46HQUnHrvrOtuX5NK+v53m2kC0ow0+/Sg0XExdnRej4 +X6cp8iI69YXdg4tAVORuafeJrjlaUzy08D5C8fZnhfLoBFnep7TPoMLDOyoYPHnErLTkvHV2KzP 9KxrlDTGfvAFsXyvXxRH1QtoGNf149chekAO/CxwuLPtilds066giru/hdSCupNzFnjrvRftb/xG Ys9WdeUiDQiBRvH6d7Vt4MMTtPED7O2S8tbba/K4BT0//cnpK+Z0G0k3BQYAfAx5K8d8gaKCzBlm UJScwG3ZsK1zDo2WDvQMrJvc3t7KLv7yvRiIjhaK+Bj+F4uRaaC226IspcuOM2Eo1N2HGf51mlyQ pKqOwab/j7xxOgIZjv/9ZexKzSQcgiy4fyUhdarti+TcsOwt8mGSBYrrh4+xSiY9s/E0NO+eWced fWRULVS3CjzclcxQUR/AIaTUz2axYIWt49WM0EZBnGSc2vP1+3azLo01k8waRZSjuQfy4xf2ZKg5 eOvKj3ZIYMgnOw+hR3fxQXCWkNkLVCXszB1sVX2M0UPw7H2SO+xFkGoVE/jjDiVBGFj+Yf69PVZp rk5DG6+5KZDAb3kTT5o8FX+/Fn81ep0Tny0J6U1eQTkLpf+jVbKm489oeJBqyEdPyXVKAgH5bRfP w4a3eEHMeyVqxEFvkwtrcVxd7Qoh577Qb0DkzeaOJkyBqc40yWqjM1v4nOYYGPLFQjpexmRBb8RE 4gNN+EOAfZvNVlPSlWBVVVBQmduSV3IWT0HxIhzOrbC/PYncoYHs92dbo3+NzNGphWERmlze+DKo UOt1jXPFEQd01WRw96QiuDimVzY4l/o2y4IukCIkHcihKtDoysynO/oIVXINMGkf17B5pkZ4HQVb HtOnN+XKPvx0dvruue6Xub45f10Lccpr74YIwMNjPpixGwzHMYnaCpqGcvKUNQhvQXNK4baJGltN ZUT45ED/L6iAjkQU0al/bK8Vqap0nCTUVR04jvOupHnmnuaG3/kCwqQmAfbjgIgHyM5P7PywIU7G C09p0/7U9f+/GWne8bJA5R5/qkPAVBX7LpulCbjRRoy/Vl4bDNjFsSQIcBV7O85RphJHg4L8r3se jMeF9vaHvFLYMEMoj/etdE8CE2z8DmiQz+I7u7+FsmJVeFDU4N//NBZcm6AHyux1GDln/hQ7JG1e gt5EtI7WTDPEdQl3rcTNxdZgW5nVepeg4IUTkWWw/2PNR9KgNp+zoIYk6vmhp1WBJsoTcvmQnRtq DF8RGsLDg95C/76Ccu8v2E52Z2X1fkc8XhHEtmQpoAvn/pytjhIQmGDiay5aZwuiQJwl4Dj1iEtH LcghRpo9Ufc/gG3qbBmWPHbeVyD4Jk/2gGa4szPkIq8gepzi3iRRNFims0PVoyehL6G8Zg5VwZF3 9n7O6Mt/UgWRaP+6HsUDObRAuxwaE1FwkCyEkc+xwOnZgYqNAMW47vdBN4UsTZr8oE2SeNoTG7Os 49PZn2hBGxKP6C4/VGPnszI1/rBhfR/HxGnNeobzPNyzwbvBAruWpx3mBSN/oE9EHPPAZMT/4xcj WOvQIBRgkgDs850NODVc9Q+sOhou31nnISUUh8q8QiSFGluWeKtvB4DfRhOyGXgzkpUh5wGMdmCq umMxuwAPfP9dfAnmHM1PzQX4adlVWUffH2ReC3Wx2eWizpw3tcs951Q+yxqXHK78D1cmAb07bqe/ uF74AkGoU8XgXrLhMuApMBnUb0ocnph2/lOuIfIwjIw55cTPT27NRS6Z5AzSw1+QFzNFbaiCZ4Mr +hXc74OMt500ELlUDWMQM3ro7WdNdgvKRE9X4Ox3zPttzLZ5rtR/Ti22kXSPZ8bWOvdWg0KLO2jP XGSjS++0oBZ+fJ1fw4gyf96gKbw1WzRRxzwusdvNdkfDp9Lahiqd5h8IlfBZNsjW3w1Mv32zvbj9 5rfc8J6UnEt7OCTFE02SzUj4r5fIfW7UEh0lFGxFETdoEvathC8a1xMnoqyTxL5RSMlkkHVbv6n7 9ult7xEuEjr4QiLYBi0fNeWbrf8MR7B/iAGxWlVRwtxRTNryw1Zfm75dNPXehi2aQYZdbs8TkAO9 20uSsEcPt6AMasLhwi2Av525A05qVr8cweaNy2wYgR+Y+Jq81wd4p4zWIEMr+yhMgKMyxGaeXlBK 64HHuDlVSYoGgOpg0coN4dD2Vl2E1P+WVYRwntYTJXw0XR3P0szyDkFLjW++WdoWlvgPDIWTW7J0 t7EbGIYOFOUXPnc98vTDR+4gDYiOrta6unO9b/6HMABAiY3chV1sYtKH3c/8zDED+fjrvp9DTjRY 5bTTMzpGHAeJVakARjqd4qDGnlsK9lxlb7yFBRu+ts2o81L3KIRxwsDjCzp5RyEZxDkTAqY1kzM5 FTKlOLFgdHMp+WpaivZCCuvjfuBMvcui9qkiEtLcID37Oto9EEpQBE8dWIsBMQ5cv6OZJToRCf3u fWck/1sEcskqw8nG1eyPw5xRmMmr2H4lfo7y3EJ5eb9cZ3Wcq0Lu/o5J6Ng3zDUw0S/+KNl4+eDr 5NBoColjx+P3B+gh4W7q+t57CJEm6Uo/sNAKNBC+fUDxHDLxEAyZjGA1uCb2ym4ixzgf4sk8e/UI gt051xgI5HAbcbCpLvFBY8nfNSdDozYmkxIpYzLCH07JcnR33zEutsXFcSl1t5MACCWvRp2OxAfF XmC/eUwJ0Wcge7AEdZ6hrELrGjCCmeRvshrs4tr0cHI1kXI/VgbmqlGpOeYaEA5jLYzSc5fJoBe4 NnSLQCF3VkcBHUOi3MnJvA7wXviuDwRBBBl5wOTmdKUTJj4G9fyXqgTroNByF+fzx96XPjWeTGwn xqvxQk2DZgODAd9CPxbEeEnAciuiC/gxwWFCs3dREy8nxRWpn4JiBU7NAzeyIEYk91oWXjcdQY/8 GRrkRL7LAwya+Amcg0w0Cgnc3+YCljdBD61JmcVMqH6OBb/V4LiOq8PP+weNqGLHWmH1hGuQbX7J ZSr0izpSxw8l+trgRyRsdxq2EnUnTLnGNb9SfsG8G2b/mMiTxAXkp850QJ7bCN8IeEVjrEfJ4JYg tDdSDXXy0VUsfNquCsI2YTWGG7fX8mslwjj0dfXkAnoYJel3z1arXkDtq9hlTF50hkq47flUKNcJ 05pFaP/FG9KZWNIXZeoKu34B9N97nKPL99s+Rk6qREprw2PfXQFz4tUenuDrInawMd3suOGWqpuA 87ZVeLspTFOXqZMxUdBd2RkiaqbtUSwauwDqGd/IPtwFAh2J6uFBZBedfu6hnuqI+rb2tyhIRqJV ibMXtVt9SNrX/aXKlYXPAZZ1G8i1TlIJSAOKB6LDMo157rEmukT5Q5Nijw5F7tBrlKL3HUo4SKiM fz3A35XsRUesrOb7p9mshH5QEu+PpeE5/trfqpWD1vUaALTjD7V/20fNaB+pHNtW4lNdTfpCi6h5 O+NczYtT8sYVI6Q6o5tCpHseVp98Pj0Y0PgI6p1/yb8Ejr8FhlYCPw0E6DXH3s21muP5OfhwF7n0 gm7+L3LSNI6IKh+AS+xM7hEbOrhAY6B1UbqOgFE+FhjdpyuOszyg6gKNHUm5nrJllIPVvUWIPcnG 5Xu29+BanfKdkOL+4TFvu1zu7evcp5TI6EXH8oIzwVMtSjyTPEdOGKjIoMwHJiQzuecF4bJrrVW6 KfLFUvyqGH9PTalCkEp0UsXQqsGPDZQ1SN54fdtnfdW4QeMQPgAeI/cFC5tbQ/T4vq/jDED3OmV/ XV2AW1mhF3u60lat7syn8GWAju5GTjBGUL13CfSeD+iMGZgP/PtMzlsNDDKRcMHBU67wxfQ1bbZA iGeAPro1jhPEq+4S73uG7tAxlRcI0dYSP/S6tmfODbZkqOmbrj3keI0hpPtxfbt71Dw1PgrXylwR VpSDyTzFVybpzJ53yIgvnzahRe3HxHIbpXK3ku5B/nX+ucbSy/qNA+4QMtYq7XXJOFlpz02nIkDN SZpUNrtOtRa+CCwTpqlEXj1Y16gHkMoB/2TgWL+T4yodLXL0vZfL3ukLMuDVy/vQ8Q3fJJ0scmDo ut3s9ggdOOHKrHXc5Y+uVEEOjx1Ozd3OHX3HalMrbznQnmbDqOjrxuazgdoQCSf+4ebapB9xcA67 PKQ0mqVw0/yiqs27WJShIi/9EbSTP2QW9DlhjGQQWx4WR9Qm+zyy0MchfjeufPF1lDkrvX4JpRvi HsZjRSRaYnZJFA797Gdovlklus6toobkki6BtLFZ+FHGT6fR2ylXPKiDtdIB9CZ/KdkVHT9nT5gu U9jA8tryM9g1SvrrytXzsn0EYEKZltAfs4gbPBi7ilR1CogClfUrRYho3UJvReBUR72pH5GNgQLt OTRV8d0mCNrPiHXYB+taeZzjSZWQ1MuBYbBVbXfjAUCqpyNth/O41deXED2de2OUR6nPj2QqDHS4 SOiJ9TOGHpUR3Cw0LJmMeOT6sGF9YLlOXczxkIqvlFxTCTIuEifoHEB9h7G8lPCZDLLn2I/vDeGC jjiAmsTvrRBk2aTC9nnRfmtX6uTJTSnsJSoeyALkSmmq/FqEyCZB10Ou389oUnwCDmjEXfTKV88d +rrNhNZYnTVlYrnQZtqBiic7gO9Wd3p07f+adOElUHt62Dwyn439U9o24v0wouJab3bWWCWc1qUY K2nSmq0PQTsKSLYrDrvfMkGPyb4x2qD3SNTJNVtauKE+fZJpDifY27uQrU5sL6CTqs2L8wl76gmD nyp6lnypYLQbVAomUDTPdgkl9OGr4QJ83WlbG6yNB2C2hcIFJ1MHMRqdpqUEdTn2nQb2+TIu1HES nvo4DlcWy0WR41rrK4mOPSZFm3SoDkDwT3JLS1aGlyKy+YiZLNjJx6LirgUjj0Pg4fRcQb5JaFxE Pze+oao5SOb2xivWpmiU+Q4UD4d9K2/S3dBcIgmBB8cY57u2nLb5CX1Ps/ngeS9rbLjN/KaL5Y5C h5sErQccWpny3Nn/LvSUT+/3d+u1kxHEXrqNyG82lsolZBYeFIz6Ng10TRqcLC/Sgi4zVPAeYvRM ZZKDXeP88WOG2G1+SV1vU1NkBh0MwmXPz0dRq6lP4IQmiK8d1umghryc/mI2/MCcnbJYBdeJZM9K JJP23tjONV7Xk+fC+5+XaGs9o/Oo+mCVvbdcaDv9GdEDDgoBxTauUaM328fvB8+NKUUtXhCxwACd kGmcPJi8sgl9lL/9dhM+NNReaQGwuXUY++vb8HSIPBqnxvhwFebhjJ3db5q7DVjFiq+CeBe5/zyM XGcqvfkBC5NLUK3mZ3bm1vvbnzPBmRGxEwxvJLjrSIA68QfFVVF9CFJp2vC+btZMjz0ey8ALk+Pd oms9Xcw15RIJFbS0tJwxQmS4tuQ/MrHyJDRj84k+x1JF0r8mcL1I9t8Fe3Yxz5tNT3c0aButvEWs +0KDCdoDXKOF9VdUnhU59mp2BZ02NaosYzOWOHlY5t1/yXOMgK1bhxduVFWqNSxucP51MKgjRiNa 1ZNol7DieeGiw8DdpGb4AR1AdObUacAh1LenzzB6vyYU/9lJKy7YPLnOUS19vIzKq2F77hl0zmNg zpvfqK85RkJm8Z58kiEkQahN1VWWI0qT1/JZt+YdgoqV3VXjwr8JmR/i2tjOV3VHOZRjegis0TzO E4p13Y3kZeXTHCuMm9vIHpKKfbgv2G509O77W/G1O1qUEDMMvGVHVeaXGQsNV1eAkO11CrOBEBp6 lB5+q1bmTie4QukTYHvHbOeX5hZe9xfYUXpXH7Peb2X8TwUbvSa74vUKKRhs3Q92GRrnsZXMJa+h BPGTkBWd79WUfOy7zi52z9oPHgy1CuSZ+Ue2mow5Q8HQbsqUtrYpXpjrsBJvfT5gZSFhaHr74ozv 2izq9RTez68ddUYn4CnXNFM/dfsn7mhsTsoyK4VsQ5TYXi+4ueAc3h6mg0zScQl1hqhSWYv7ifcY CpyG5OXWBQX+bkNSpuQ43Ur3S37je71hbkNo2SJQwcpA9byY/OmRIEZFxYoiKfwUwTGs+XWIw8KU 9jrg/xBgm7P4ECx+qWbs6HPGj3f6uqLD+E6vM7bE/zRG4f7VEPn/Fnfpq3o1VeOmsn3wRzraS9S8 CXIg+iv0a9d0kRujH/BLGxr3E0s/yoECe5C6k9BwViW+H1NCduHJwGHRyc3RY0nrE8uoIo1QhDvb buU8kkKNCy3XDmYrcnj4TM59r9/tl6P5kbY0GW34qrD4ZrlhJyaT9n4rvvFa/zqXCP4+m+PfSU0m OXpK3RmVWKejhbZaXshukFN1bZqU2oG73KAvZOUCPc23v/7BvR/VlJo7VAnP7NsERJow6+injY4x 4Ie8ZDHibZZyAItyu74O7AE5/Mgvlzu1tZRx9Npvbs5PW0h6zNUKVVRN2zqBy8FD6otTWG5+q9Bb BMEAB/I3QgfB6VOKXUn/Rl6WNx76gL4WDgh9oivIfm71O5MfPcp7RMsxxp7rpN3dLAXeGFn0sq6L 9Oy4qsSIeiACgkH5raUvwz5HJMTVFyYKwgkbj6eNvLnW235pXwKWmoIAbyKjGD4X7yeBeh8/Z8Gv C7ow38fYft38mbf2D2YxfKZIG9IvKB/Dy7B+47wLgas87VYw+rcX0GGCmtYycLPFw9IuS/oHsm6C eB+EEq/44XoQmHKK8rgDk1MXVF1CStkCZCgl6u3CbWLH1HcwrjvXdO4eWQFPIWnEU1Xk3xBaDYAy 44maLPEuB14thS0lNLmKLLk6kfpj7U64FNWrjYdhsgNcKslRobB+mIOSjycnV5zSmC2MSJOGo0qY ixgsw+KkdagkHQGVahLj4OkS6OPNUSwDfnzVz66C5FMBmpoqooUXM8FABFoAcquyaUluUPhDM7pQ xT8ap7vFRy3Jd71adxI3rjEM3ARUGH35Pdi5rGa74P1eWQnW6Y7WhOiUMuIkZTvE0WhesdLnRQLh 9tN55gJhN/aaQkLDGssZVJnvn//FKN32PxZ+8nX0sBErT7eQcFDB0jS2UeoHXnKepE+Q4nR2GUix jzfYXYm9PBTU7pFeoPBqfgnLg9+8nzHuIVUcQMDgJ0TueJgHe9RNWmvUZTHWWJhh6jUBBpePyDkn 0rYDucEmHchyZppU8zqtjknqaoscUIKLsi9g4Xp9FU/SK2pm7gsDcScbmUCWTwj4XobFHX6OTKLl f5+KUpaRxBzPFQgqIvgaHD6HloyNIBl30Ewf7Oftw0eeej1NdzI4qyA5mz2s1EfVrmh1ot7/C9tc TFGIfuFWJf+r5NPPMasAEuUhBjHOUCSbfugT8jJNWOSDk7eSptk2XpMkRd9IKho7IA4TGjigSpz/ 2oHat/avny46DjxdQfgZVq/lsp9Ot2ZV0zUNJgORYiSdWqUr3Vb44+12rlUaoOFzzEgkuwcz9x32 +hnp1LGjOujuqat5SbUaWNNRtFic02h8v6fgaTjJxn9tep32dIYJn14EQ9yCMqYntM3dC8YYK65n zDUMwas6FlbXtXhXCJKQtsdsMZ8EBEnPOXv+JoPGR5BXtRsTt1Jtexg5mAzX9PnfYDDzhTgTfppK /E6wuh4DLBO9z5zMWzaaILL7MDszWsU8QM16VaqRMTR6iwcPAT+LzHlH8Wq4jg8lEpVnNG1VkB4I Xt6HIjQU/O2OaBc8XCCR/MfsFO5Xc9N0L7xDxHwFJFJFBxHV06pMKOz8UwB/ZhxXA4TNLcUCVHCt mcYkBCiViYQ8wiVLj/WXAVY3+6AK/W6fP6g57n94Wiu7Nhz5SjgbQNk4Ai1Hb3FrW0OhMJ4EQPsT Rr9/beHvgtKO9rdnlAkX1qT5MIor4TYnOkIBWMGgd08vRKeiw/Qad4br2QIyOA4e2cZutgpBtByg cxXnd+BWqLoW/izrTm/hqmGcq8gBlhMYqGXS2Bme2+W2WfdwfXXE0TCDHFJvzH/zTChH3rLVvPZq UoCoiZlleCNDf+ldJ8VwqiWPCa8OG1APhUhMkep4lRqs9DEr81KtWRzQVBb4DEG90DMOiaNOy4y4 gwuxaz25XnyTGFAw2fb00UbA+xNmxvPZqL+xvtghRyr4kZjQg8qsuGAhZx4dAj2JWwl23AaqrGLv 8aQo4xITc++zkyqsC6XtwdxjIGhdfTJcMRmYBufBSFo+TMO65WZdWOcW30Qb718jvEllHncCBaim 8woys8FkthDEVoswqNUt1vTHvDRIBNt1fsSI/gBuJJS7Q1LY+tJlPe7N1gwHDwp+lveDzgkEXQlc jQt6BiuEA4Pz0Le/I5chDRNP26eYffPLWaw1U5rQT3vpyUyfNPVoBZMr7x5rf/Nb5arCKd3Zxi7d 9e43UlP5Bbul1cLSCpzYroARg5wYO1Vr81X9f4JQSxeNnIkCpL8v/mSSGc5BDpxpYk0R/hT/Q8Ln WBgsYY9kLanVhZTeWv3RU5P1ZVT4hS/XBK+XWS6jDLz8blIu7p6sdR7wnR4ggT5B/JTzBvE+D5Tw I8Z0ND0rAAZhz57tcpxqq5bo84+FPotcQEmFHY5QgXaQoxHTr2gkOOEmzQ+826MUXSfxPdQVx0mP bC9kA74BDzI6Ex4/n6Vi3zn4YlZ7bpn1mvfBmcXGdAkoPD8h4g1+E31a8hlF3s/f1ZW2Wfj03vWO JdZrvDqY7Bu6vcNCDV98Ngz5B16gkrFFoo7Iu7o19TotPpM1Yy0DxkKyBpLLJbR1nRBOwJm6yoPF X94SdZjwKptLq96NBUHVJ40j06fet6pGFlO92m7LgakeONGWOoQ4wv5noWOgSy+xvsyXriaZtmAs 7IW8/qEmKrJ5U9nCaQeYm6ufXa9CYOEhBbS3wMgbQbUL7hnPmNL2pfWGxwXWlieIZMtsaVQQX2Th 4HRCsGxLb8uPZgYBqNUOhSzUpsQ4hLcM9bxAUG16BGhO/gz1D/bY74jfcVg6GJAwyI+vHBw2TMKP RlPzdsX79uslTfecBWAKknOTHxfu8Sboewj5MelmgZoFlMrWAxGx2b8OyYdfWUdtl55fyRji/J4E a5Ab3A667C4gUwx/XTOCAO3Mxn2GfP//aiqXCf1mNVCFJmu/FACkSbSbdn3IxnJ7WYzucK+R7zH8 Ry8tlGnt25uVD46ha3XiOzUpXC76o59JyKjBxWNgdOA0dJcX1vTraT0diebCSrnV8K9qPxBUXO/l ctmY+cG/4SrF8YMoT/FLwMBNxIgbp3bv1eTpiow2MfoKW0OFfSK+ARKr21hmhj/cgzth3jMlvpZg jo9qGKXcMlaxnIBGZHpsUvTkxKECccVXjZ1HH2H985KfOq/ewZ053GPmFTboZeuoYhy86DxcXmWy O82KqXxCt0mRQH2g+TBAbnu/rfalzb4iOvO36PyOHa+xqlH0Zhm9ruRE6bWMH3C7Vr31G+XUai0H Pfr1yuyDvWSjcur0zpMMYbsvAxnwHl3Qjw5HBlDdSg4V4/Ilt3q7U3WBok3zTgSQUppiPiWE9JEA ScE4HjACy8gAQCMNnRwkMdh4GGE2xT4cQBsGi3Rm9cCMKGiMN7UcLKI0VnQ3oxnuung43OxXn1QV ssmLjphVJ2+8Z4l3ONRuNL5FYlwmStVC7dwzL4jJGRulwHDva4eB5bu0zaxENnEaZrbT2qsehrGN fHvvI8bzeDICHh7tSkkXN/v9SSVqbKQgtzhRMX90hN/KEx9Ua2Jrhe853eEOyxQsqcvK40ZWhas3 UtgzFQVyLAE7BJE6tUEGcLSLidrZvkE+58F9S1woH/jMT3gMoWaI4+wGoe0JjejfZbi8rfneTa9G jOyEdA7/JWShkr9c/A9AW+hQOUVi4dtAalDQiZqWqerQ62HXwkAdMVZk00G5D8xkHYue+2cL5dK4 qQhjVsW20q185NbQCPzmilHZe6D39+1wVk3hnZfIMsSgaTQLT7XqrEWC6qSM0HSHIVMtVWb+qdRD ofcyB75UZuJDw9qdXX6CX91Bx+jnrUwT15+X3ZCabXPG107hb5V6yq8i/WngyQxPlCx1+2iR2pcO kkrgUiyZxM24eU6qAI/8clWns4RouwWkpk5myiA/mWNR9g0+6xl7opwVmryqLwFhXbs/bkEe4W1N yd+DWqN5yNAvPJw8QJa9dU+n8BZUuwDibVPrwEhlNEsRykX3PlZq3OfjnCg4oieMDm/7GLm72b1g ysITt1ah+QZrA630snzn9T0JtvQHFxFyOWtCd3C2R3gCn4ZUZfqRir5cxO+264nHU1iO9UV3vI2n ELTez/5UEfMDh5Z780+mhuzUdLGGNGif+ORKtg/LsEb6bjfYuA7kr8Ly27+CYH5FZ/cqRswY34Kq Dz3gMK6KBv05Bi0lxSRvFX/qzZo75yusW5Avybtt70xr3KDk1YkqexE3m4WyffPnX0wLKhKxaQiR GSgZ/QOZDj53vAHyzeyQUrzHKfWn1HKxXagKYsm1EoQ/oaugPFTZE5YyuqDBoa0r/ZBD7Dai08am /dsU4YoLF9YN9QeS7i9ATRhBM6WuAvb60rkP+soXWx9m255zqf+1E3N8d3o8aTEsUhPTiEID67Z8 1R8LAKPBjlVGVgFAuIk41KFzatdZjiPV5pEwBtYXOoSbarOgyd75svBYvmb7+dR0//WZJuPcB9Qh Pi8KzpLTIb09ZgoWv16BDt4UQ2R6WB0+AlmeNcL9YG/e1Fm2iu6UFqrwR9lWlBSUwiEqH5fQlO+0 pNdUY1KEJjumUQ0QHzLg0a1ISu2CmaueuWJa433ZoM4p3k5LgKOjB9zYEBNEdEcz25RU84Ez/Mx/ TTPkQH57bvQP2ZiTyiJ5Vd3G9cVHLYzDm+aoFM19JiuvUkQqKroqKAbw5yzn3mpIU5UNAIP7zUTP Jv4KjOpgpX4T1ixgvAtaDkmu+1evnvwGn07zszoNoGBW89A7959TZZv5guy6qQOXph64Udz9xMUV iUnw2xU/H/PJV4rr73lhemsait4GFW/7wCfB6E69Slfu2LdQ0eKWSkvDnDYc7KXLxATSOF53kJEm W5/eUnDpA9JmbXP6JBG3b0Z/tBbscwwALMh4/AqxVpvv45FBuBS+JCJJsW7Owc1cTFRL32Wcq/Mg TlLI5FTyEtvfwF29i4ypPnc9uSrjb9LemDZs/13YT0/Klh506lw0aGjjulBvjKE/ASonG6XzOzft iik0Ltr5yKgiBHNB5jI6bMJNGCbyNk3Ze35lRixmhb5QUGc/jXFGjrTMwh+gj+MkippZIwD7R4U4 zzOsZS/uQGuKG1XkkojfxRWjJ/NT2puz57nlWVk2lfnCLkUH0sFrEUL6+E1zSoQLScGZ1oY80pzV 5b7ZV53sLW6JSNIVY27t+OxXy7ibeWWuINHdGH18mx3XkLhuXmWaF09ZjwpLzMQaugigJE5BMoes ycgeonQ7JIWY973P6JTIp/eg91lJIXe12BoMs5KgSkx3NGJ7FPNyv60L4Y+zWWGPXqBZ7fDW6nSr xjRbH2R/9eDFoB4N4s7rIn1vb45A7QAY2poxf5uOtRr0gGohnnIh0TbrEI4PhD6KPpQNJwZjVvBs FoV9IK76ZF6v23xxjKAFjF3Zha/aQuCyGEzJNdn8m4XOVlxKvd1wE2oYF8UKdhOhz5p52cxX4JaG 7kvoI4I56f3I1CnHsfn1x12H71lYLWFZPNZCCk3IiZWpErZS9odx/CMnNV4WIgxwyDFqqoeHL+Ux PjW1Z3Y3u9d8gaYJT5IyyRhaE0ajBluRnwnd8LvZsgdci70ZpmKVawOgw/aNOgD086BtdYtkPPCq EfdnTtjqFT7sjlR6Hja3TCL2UROr7vKEypJfNhbdl8eoEXMo/ab2ywsFUcCGN4qaG567WToCkccQ meFsEP/Om73FmU7wzup0842+OhGSNc80GJgLMuhMwXcdsh+lpOEEaMhOKYar1eKDrx5+dLIL79QJ +FJMUAVA2zQS3qeHgStRZuoLCZQrHr2SmIpJ3jr9BzhialKvDS0AGTox1P6oGzVaXz0tg9azKXKg HPH+4UBSIZt+twxNhvQ6+HaUe18GkLoRSb127ob4gRn5pk3g746MBZ10XuG8+dQ6TQ7FvJ5jDCPn geoEckXZA3Uk4928T6peCvbYUcNeAhuTx/wBmW0/5RWF4Op7TGcsU6IWoP4Nct2kMu334ma6VJip 5Cnb1F+Ca6N/gwM12zAsgFqf4R1y1xwmwdwxVJXH8zIxKlbUn9pFgkGMOrywCSWEl2nqQELLe2sy 7BQQSg92lwQa6oJK5MFmOXhJwiZKGlV+vh5KZAoq6Q6aL4L48CMoKphezhSXvBj3xs9r771vOSJP HTDGJBrR9lkvLbyh0m7kLuTpjp4us0KpxFUnuWQSS4ox7FvjGMxWZ0pfcC2HkxGciUgfEsJn/cjd IbWKEHJLMwOCGYtW2HrNzNraevbWTJYrKCyufPxgDQZo9ZxGdO5Y5fS0FxiKYsl2FhCxtFRle1fU 79bFFr0Ule5PeMO4ifRaoRerBkYbWqqZ/wlnyR8mKCHC4vpFJbngZ7IV3yOoscM85QJGi6wfBpdA +ZeTQeV+VeMLqRfcIXH3mWrTUPcjFTrIbzH1TDs+IE7ILjH4a4oHia7Xrj0WYDmdIiwnfH9lCBvP L/TZhNff4huBulkvjHeLhyQl9BGJqDuDzgL8mqaAX4xTgOWrlYNataZlBEddb8r/i2QJqA4EEkkM 5jr5SzIJyGqr9E+K89duqRD5BwBW4O4rvd6ffRBYX45AgCP8yQxbEwka7+oEivql8ao3QnrRYEcd lVQS8xu+gvyhFCK0mK0edRHYaZTriC2zBMzF9l0jUe/89E+nQ0PGySm07UBRn4OdA8peGKD7E7sm x5Pp0swRO85KX5QDJA3vZmGDA4FnSkIS4v1NfiWAwDlRHQKUsWdff+ECZGFKlEaP/ChZhgzL0Upu RJUNcN8TAiMnMEBfho2gKz6K9TtziqtgXg2FBT0j7mKCBDMYA7FsMyRe5Zs++oOOXuzDzOTJnLhK xUlOCIxKxHS/3sFagTUmi4A3U+mBFHAiD6WbqZLNtOYxmFfbqo7iXLWEe2LWKjWLPbVVbYVyYIHL oyak5rR5/6wYUt5mZHmjkCU+6yBK8Wh2f7z64UlS7fI7EZLz8lLC5yjcR4H349R8smwYckK7lZ26 D1fo5ZX/ecJyqxj7s1w4Wgq/U8ygzdbpPKgIQzf2+bTagzt5YJsYZS082BtOzewCwhyCoOIuRQSD nBa4Ad8N2cNS6XoCzeP9lhy6a6HTsIL7UhYW6kk+mN9SvnqU2cr+RnDj5T8cLTymidR6PziD2Mkj XFUffJIkD6IvAhv5gHbedL6cAwkb2k3urgxQz6WnkR6RmKjiqKimP5OefNNunV/IT6638u7yThfE +vdNYCOiNc0UqaV68LoPZd7MLfvebiKhpZLpHUyShjHmrps5cK0rJ1ymWSQl4W+mEEVwwq8RT4RC g0vw8+Ona1Wz/FXLLFSOEGatmKnOdU+OXxruUokZdRmNo9uYydDpsMPGZu0FQVfspsauhWs+2odN bm16UxUFd1E54m6tkyTSsRsahdWmoI4VSQTgs5ucw7ASrEoClyHBzAbFUB1Ux6lpfCCJn49k1MDD I6Lye0wC6EBbza7T2cjDYSM6PkfwyAMGW6tPu9tJNXZoXHdCxyGxMFhWWt2FyLcj35wSKO5qs4fv S7P2ETmJwGEtHYUeOJo+V7xRXTNFbHALq1goRJtYiiOGlBT4OQmZhiqgRBLl+DyvGGoD1ZFWz9L/ VpOLcCrRN559DyNcT4ODAD7hIqngN9XTzU8CVZyeN2s5ZAEn8dupRHW2vhUA5vtIASyqrHjwJE0+ Upll4y8IJ7hayv3nWfLhgIRTILK3698o3zCqED8dX1LbCY7XA7jymcmtNTUiVsCX08B7NTTEh3Z7 HIR38I9HjrKexD1VCEZRySLKjfxwUBA+Gg+HDy2gSPKIbJCN8qeiqybdFo87hIVRHah9dtGBsLi0 5tHk9h53w99t48bxvUIRriq45NPal7eSDINVm+3PMyi/3yTDea/RhRnDMTcvIvK++6AxwPWGh3Mx 7Rl2bD5CLJlct4CHfAQ3DKCu8xhfE7MJlPV/4dfSCZE74MSBRx5psPCMAPEzzek9/d+3/JrXw3Dy wsk/oidre7dmglY29XXZ0S2JeWZwQKH9abf4q80+ErEDN+OKrP2xx8ZLJmLOOXOpUsNEgIMKsggI +47CH6gXtsMav9YEZBFwofTsH5wl3iaVJh5YAhJOH+buujtuEiDbtMH+BV3xWsOjtsRg4eZnXLoy n/paK+XMRgEdIJpQ9v/X0/ild+//flroejtvc8mOzFdP4WjtrLkeLbV7eKQvrALXsf3t/XFixZP/ HRHsky2gi9SnrcoF5593Xe+8r7MLb7D9tio24f3HkaMkAscckQHjCdsluceLZe8Y/tfQnaUdr3OX AVFnnU/GK8AOn5tRhyGW7fCP71s6XqMjxhRhpPNOmAoc9dGPHabPYz6C7BK5QdqOefoPR4PVhWiW sszNXvKbarB6Va+LkORb+zMFgSbhoeSBSZ3KZG+ERq3zBajHyWnlP3zYIkcWKm1gM/iIR80h1xGY /mkAqs69Xo0IxqPpDE61jGDzEF3fEngGNNgM55+luDjhJuBH4hZNYDNwKuTd20e92TJiI3HYD21G 00r75IDLwYziTtpEH/4su522HQvaj2HZI0BmeoITWjohm9mZ+9o2EySyy8t0MW1dkgS7101tCYVP 6Mkbg2aTfvQA28JWYMY6CPuVDrXeIk8ywr5IG24DCUCSn/JbJrowHNNFfisj9NYbL0TnchPyZyEH I/c5QDsd5xEnsDauE79AhnmQs3mho3ESxBer66CFGST4yrBNXlMWTUG0oUBVogyjgt/dR2P2r7bI h0b9LP3IPV1re8iHOeo9LCuJzL8BLMyrn8HPb3eAU7Sg/3cUYHLxW6TL+9wX0Ae8MyNGikrBcJz2 8FarnraXMu2PABtyXWYZ8aYP2/XC0UirRW+zNvy4MutHX/U1eAMVG6tkyIlBNKHFPEursCdYHmol jDay7UWdo+3W8UA7ECE9IhCNv+Qjk9BV5GTOGtqzlJy8CpUf3iwN4kw+nCc7WHg0RGUPyFzYaCvJ nH8STtcucMKpPzhhM5S3z7WXfsqbBgE4k8ZZ2FYoDKcre2JmHFv7qchC/pbU5OEREgr7U0Qdvt9R ykbIH7Ke6Qmt9QJeB+//2Y7YGzF+v9e0PsDfSRV/iZbtpGsDhkcmH4iVBdtqaUDvst13mRIEgtxS V3DZ61ly29BeR/qtTqzK1I/r5XX4NlxPqvY+EJyyvW/dMyR28MAPMNGH/bnMH9kNg3YT01u2VnhV uvIfFJWvHYU4uUGkqTm1FBnT7i/R2Mr09h/+W/qDluqomq+zAyQ0gFxjFltMyghftrqBQejyZDr0 UURniwH7YM4a1DRZ8b2rgs6TMXs+uHCXia7y7D3B7sHG3zZ9/fEejkOuMXGbiQ37fWaKa/TYGTnv 3M0lWEZdRvU+iee23PZSQquESaPTI9ZvmmyQhfJ7KAZrSV/5Ouw/BZtQsAdKBNvvFhaheCGq8sFi x4LfkqEdsPJDuO5gINP4UR9I39/SGJTyZh6IyVASL+WCQRdKY29ELCiTXUFE89sZ1Q2Ikx5vHP9b 8Mt1Ly+YTRpBKtIxfgoTm6x6Fi+w5+m+fihpML0EeahgMfPDV1Ntx+tlZi1/KNblYyp9kDxnAlXm JAb561bvQtFwJe3I62MfDzKz9rRUE0GZWOh2YtpbCHjKjFMSU7lSxf1qCA0kANfCYuCdqrQNuyWD gtYBczSbkhjwwHdlz6gHN6L72/kVXfpw7ek6Z17pwHFs+04NHnlo8490JJD4Jqk/uoBLgpXTBzuj tBbBSUBBDx/DfqCkFWe2qOmtCZPf8iiXff8wMqXui+xWskAeRlw+N9+L0oiz2lJXk2o8vu35RkJL 7nPyyaVwP4F4kiqnqciXfe4q/1jlTR7jDgfUGo2t0jF6mvxr2Igoao4OnGog3NIa3Gpyg5naLDud F6zoWv2TgaTpYJ4TY4DCR2/ahAPwq5j9BEnSq1W+rVEIt84QGE2vhhEgSHSW5r+794BK7Et2RmzK 3TBxnAWm+PaXUDcPtHQqUhTMfvkLJOFYmKLWrMhKKKjhcqAllAdj6w61HCdSpIIV9Dcqf87+riCT /9JMvoo8VHFGlkyIoLFTtXREb7Udw/oab9c39RbUMAvYDoASC+oEpl+Eo0UCjIp52kFgyq5bNvkf b7TNfpku7PTOjjED+9ag8EddZ5gZ62UBbRII/D+2S7Xej1W1cTxqGyHR7pbqTGCvstK1+kKmoxUL rQVHIfn2Wl6yChHaQ2KcVgruY+2Dl+6x4rVsol5izGpKXLtfALHN1dKQjxwfkeQ9bxuasE5emrcv ZSP6c7x0sRPpyiB3VvBnGN7oehJNfChKH1wTIkRlenZ6idMvzd8mEr2f269z7EXEQ7t6HgI5gqMk DilVDbGNN7eSMkFi0HTiIbuqAw9/XJMMdSopeSPoJYYzVd8EqYlEwavru0VWlpjbPglCvQCkFoaZ iSS4nEV/MWJnvy33wW3asm9DChMeqbyf5KDM7S/61xnwx8Z8n8nu/SsV37L1Mce2nz+oxLQWNORp x6zMYxmH4HUpiT+m+SKVWUaMPrqWoECLGU9qCrZRaq3fnnZCsLjjfM942MFkawFra3fVf/dmpZci J8//sxImA91+7y/odHc+ezf04FBSXCDAY1q+UiNW/EHfjDTQGuFCP2V7BabDr00SITBkydGRAF9U 5/FF0yjgd5jRaHZ3ZWgVVJ740xo8Lf0rKOfRJ/llephtzl+ytNfuARzJOOf+YBmTCtMbOcymac6O LSPng6qOTPlPvV54bKeceh65ymKRCKj1SonQGQKycw7309eZqluETcQKkI2+47nTHeO1E0k2DQ9P nsx4TqqIWGWYf2vh5nfSGos0QSIYvLI2CTgVLsSGnoSl7pDYHhJiOEBnKQXbjI6u592qznJNQ9FY 3YYAYEzYIA4fqgBd54jWEmV7jmK6YxHLS+kyKdIiAAoaQuhvBoizCl9aZrB+OWYMdktrJ4Jgth/u OFHvyGqOZuDY3vMu9VJ/hrwTAS1zWOUcHfJVvhXZQHmsYwBmu4FaYkIDPxD60t0udB7rI4MR927P hYK9rah01mOW3utcDAlH1GzKBmsleNNdvEkX60i5goNBEsrp5mnljt00yL5MXioQUr/p5a2T9Zkm SFVcyB+Q6A9vhobyphNGetwyC3SnNz0hDFL1Nj9o+2UfkFgi0Cv4YY1Oqd48q/qxsZlnGK6e62NZ 3yjzlPTVwXbFzu2JRZjRMt3G2EN72ZpbnJPV87ASc+xpEPjyCsQbSC6GMRHqxn6EVO3f3zyuhyUP GPKRirXblXHetfaauTHG4awA6Qw4MR888NTJNJ2Og+2HRv2H+kiXYe7+l8wAXagR/OHFTnGmaNVU LCLxNXzk1mIVqd/MhWf8VDf6oOmiUOC1oGrJJ4+rNLi11MkE5DywJWwntbJaDEiJicwyphDPSKM9 tTAA4rqvDcPumdIRfvRZT1aQlBs4f7hByIshr7f2XgfoseJwrpp4lScogr29VgxIgC6BPA6ygpMb MQRmW5dT34ozAs/zdlq+PGboG/pEoubBf8FxJ7hAfmmJPauX7Q2SqASQ52F2cljXXaCPpB6QJvP0 CWqq8SzylwBCgLgiCg/gFN9md6XXIwxyRUL37MLqkIE9KdS04Mu2LZHQSj86P9pLP7QGF9Jx5n+w Ukq7MmjLaFqCAD2IKre11BUim3LggGlND0hT8jTwNn8CJ0WaYa6cZlxbNd2nicsfPxT5NBzNL/LW aqcboq2L+WL748jZdyaQPxS5o9RMRYK7D1MTuCBTQNF5j00ELTjPnxX21AwQjy/YkK7E/ZhbCDFu pZYFZZbgv2PbbuoNWMAts4dSgd85FYEyezWZUZOEHuxhuRH+VmYHg4Mm1SLLc7YP0MSbdPZvPGmb z8tBc4l1OGhhK7g97QLc6zdx30GAi3UbgJLoVypVESU+Ep7/niU2KGXotaVGbDTNVz+REObFgAMv HecF4xigRDBwtLF3sh5j7KgY1eYnMSBpD6w9AVQYz3w61BdCOBXMPJ9bR478BFGvlouihi0GXXcs imrHeKPlkomGYnCxVXFNh9PDXwr/MlxInvNnpRgwrUW2QM4yHfESNAAwxVYJzQoq3kMBLWRMnyQy vedP9KhXUJliprGZ7Y9Xh3d/fdHIubTo45bWaIGbJCYL2S9Unn5pn3EX0j8XjZ8e9JC1pJAEcTKz bMVj/a1Yc4x7OfwZAAe6phXTAvKvrfS3aKYSe6Y7kKvnm7s/Vm0NV+IPe86Im3wUeEIQx+/kYEnO XWkdRZDLAat7SskGKeFg8v15hHsDpMOut+y2kUD+dETzJDCEGExg1uSFrsgAKmJYaaTb4+ssX5wy JsAT5qXRAG4FVoSFYy3hzbHhQGOKKK1tCB25ylVjbpEwRkMctvSNN+dYOpH+RtVfwGt1bW6yOr6T Q+0JvU3znnwlSqRBw9udfWokmRLLKfaO9VxK4j67wzuvouzMM7Z7XjqNPkbhTi0wOz6IMmovJHC8 TbWMkLTiJB3/fWPcrK+QI+HLebFgzrxoVoIhV98QjTdaOKzabB/hx6f5/cX5M5eWfzk5cpz53qSG BtrdSILxcBralvwVUTmgPe65kObrIBJKLyG5tjOolNfXUwXTy+pPAJCHnotGZx/Aj84xOx8d9tI/ r28twv3j8CMDyRkc73lYnGZVnzOe8KTMvH95/pUpHfjd1iv9XKDKw/UM5ccu+/GzBeqv5IkHJ3U2 knc7iHhd4/Sdh1rsW4NvSmQZkmri64DzZTC3GN75mjMLIx7qjCQMP4tQcMu6D1h4JzRAMRHGQI3h MZqt0iS5OGVqAXa8hYvgUUjKkoVCtPvKlRO/aNSz7rGMvfXn5Fe/IOKgTXFkJcVEMQkKw6pNzQzW kBMz3d8Bov50w0JPTNRiEFBHauOogBTPKvGI2Ut+uhSdZIfZGabKRb5kOnV7K5JP165ih8pKkqDW vEn0iQ1uqRSmHIFsK+FFujTwu3kZPrE8imfZQggPrO3ia6tcwjoqH/YT2vG1tUAd8Xetjd+Tup2s CeeKX45r9G5M0qa6bPYFljDT4wJl4MnlsZs8x2Uq7pM+CwEHChWZM/fk9c9ExlbaADr6rGSAlXTK u1/sdBPV3knOhTXG3sKDMN4P7zIew4DGvZlCxI8d2JMOFiiodYdA0KIMEFWt64ZCid5Hh7InjqYJ sn3ClMPz6kipH4mc9l1qZ4ZqXWZjxamXfCrWPvQMBAL8tCDzE7GHv2jWEuCVDDxPX+w1lLVrwTTs 500WsFhanIGPc4Xilu+wufLiutt9mktzyvpzGy1Ah6JduK3xWrTnJCn7psdMAmKsrq3Llh6EmdjG X8AaemVdVhexkT/YuSwPgIF02PKqwq9xHTErAaJb4Kab1aywHMd7Wm2xCMgAXo++jdsgXZE6jZ3q XSVpoO4ciBJTJNGnTxSUCVncLhFSFYcW12LJCvfnk43A0xjitiQOwMxrWLdRrSLKx6VwIUrsnl12 AzW8zOkj6eWizupe0pmXQnnFo4AK7wjid7a5zvZPwvrtP1A00K0GgsuAOglwdDdWVFJVKjGiJ+6Z 5JFDXmUIdG/vUqvt1g9qwIUrpFQIZYh9t2DK/7GgRFPO5o5asTkAu3SjlenzwOPzVtYE9e9Iivci K2sUT+bHIfQcPKRoK6NQ5Qqw3MSDBy1vk6wAx+nFRb4njtgD0dDhB0iTH5HPgtnaspcyr1l0HLCZ yJ2iEIqAGk/QQ13JSWnCClW/9XPzvyka1HoCK0oWyuEmqAHwR329fEUDXLGaxTGTIqYry+X/yX2s u8uoXuA+aWAb3p0cXij0GhcJRALx72mdApnvKoDvGleik340WCnAQ8X8BScPFQIkI+0QJjI0gNG4 Ez2sBb988jQzYnvN16uGi+LeMOeEjonnH4++oua1b1R1L4Kd5l6GhKD7HZfuEfV1EEUsXnNW9Nn7 NdSm8hHYSYxiInSFRiDh3/MXkHq232q8+5Hfk+J+ndea+MeI0b/HJF5hjjI0sLBSnhYBTPLnacKm OxUjSRMANIXALoTcM2CgOtoCg+XgNJ1KZ3aKfpWCx5PPQlwKIxjMJrctwxe5n6yUptV45PG4pgQ5 0eDakwy7J6N47LPbf448/eb2zVdcoRRaqpaBU7iZMimOAe67TIjHSgNKU7xi2CZCzNY1paQkgLdr OUAZVPwN4P+Dm7Xq7MOah6aC/EJmqSev3y7+rhB97p9xnm2RVOWk5hIFAj/QZLIdNCLGxR8O/LWQ mR44s64WDuX6i9/kdIF9Ny6Y+/o6hlYTr0fmbBloqLzDvpm7fl1bRxaQ2NLwG0xfvpbkFLDXX3cS LJ3jJypwmb+rtAO3gEfOjENojitamPykG5Sa3BcxWXOBHDOijKinY6cHUVDiZwehcG2/uKbNpH2K ZCqV57L9976yEQgF1DSQ/z4opiei3PZa9Nfb24wis0XH5wWJi3e/M9ue2P8guiPvGTy7BqYlHijN U/CsKZxWAHWbUsgB70yAuYIZBooKl3hEvuqf0ue3J5mfjNJKFsJxaGV1b0dIn8LRBaP+PtIxAAez ZzeKJuTaQT4Voa7PkhgUiZ7V7EYb+gz7CTd4ToQ14XwzzcCLYzdI0TZzzlQeZwEUqNeapUCF4QZp Zh4RGYKrkSTTIpSyX5qVbY4N8bQ/hz72M/gEpCgH86v3bq8fvq15d/83yIOfnk4S1+0YxFR2Hqrk skzUCijUBV0HLilAr1q509IGaqb7imQmw1qok07mCv+DgfceAdBp+pSiZp/mw/dAmkaSPHW1k865 B+O8zqCq//Pe1V09AmKy2WkN9NMO7UE4Wvwcotmd16prvFoews7EEzcLW9NbFkeAYUWMstsRbSJC QdNIRJx9SkyKh1sVwzgkgD/hWGpJvTJzEkD3Jvd/sCA2DeSSbDZy6oHwp/lB4/4hfijHpU6dydYN fGipb4hkDyfdFHL3/OnzZOcMIwigTfNkazWJtqKjBEOT2IIT35vlmajP+mSrOh7WZ6uyYOmmMw8O c+0/bKwXclezandkK9QApYbY68yl/Bw34jLYDO6jNmc0PYb0gvrKhKyvu5pXtnn/L8z07ppNCUP9 NTukg/bchCAFwh0WgNh2MIIDdwlooapN/RzwGXTDlkTGnRNc+af+nB7uBWWdyRYXgofqVfN/VslF pUkBReURBjnGharvQU9huj9CWWwP3fjxXMlkb/19q1hrIMW4Ub7hnKXkhJeHmjREycWEKXP21ONF 6o2vEfN19H7i02EcLKmjnsJ7CTahrtWmwZEs33ysl9vWi+Q3oivoFMRhW3q2vutvWriElJlTNyzp v7UVnfezR9qxxeD2TBox3/3B3CM2ufJdrXF73mGn0ShY6lswT1Abj1SmlyFsMYouRC9Zila4UHlo wD2BGomcnDfbRA1RWV93YqKtpHdQjakbHFlTwdr7CvlA2dCO6cXs+7unxlV2NG9iiMji4mmKnGW8 NxvXbVRyJ/NgQOrt0mf21QjlYR3mB4KOv89eR6E9AGTgmZQRKaFpwJq0wEabkjnZaNUj8oUfZsVy GQp6P70Q9VQoHzGWcJOa4nZLpiy+shzLsL+wO4TwpV0zv/S8kp314U/YzSxOxxVdA5pQoaJHXtsM E1K4xHU21QvN+PKIXrDmKEQnhPsaVw9Hu/EdPjfHLtjobKPxbuRAqegHH9qPWYd9n10ntljVgpMH aWl7qB5D+6jW5tU9H1lkVbAaUX2dszoHpLjOu/7HIW6wa0QtJkpiuX7IXH/AZ6epIze1opUzFPrW i9gq6fm+2E6kksON4tuykFjx/4fY7mn9C1NsfLIksJ6UcwwqW/puB0mHZH2JaL13rD2SXnsSHm4e n/uFEcq6G9zU6mFHwyYeo/Oq5d7o19LzcpB/Zyw2ldCbzFI2XDTtY3WbvVqj0+vgMMsfjOlP9eSz CNIHxccNp56DZWLWoeXsKV9ID2r6PlYLNJWNR3Rd2A5JtfGTYIFYav9AISPFw6uOBpl27lWro7wO +wzzBd+LLLmV/GuIJXyWH7LBeRxM5WoUi03EH7lZW0tUI1qvwv2gWT5bvWVvQ4NUzM0HnB2+bhGi EhTs+jutoo4xWrpVaZH9x8Un0Er15S717cq/EmIo7EWF0elTuTN0Rz6qZWEypCFHk4OMO1p/T+BX y8doXHgYMPR8Ikp5jiOk+kPay7eXtUnzq1r/KiD0tAL9mwfh+EXKOKnPt1VUH0GPUsJ9zcHZqDBE tXxoOOVmXwF8J3W6TD+PdTiNlqADSFoD48qnUewgZIZklxR4PnyxM4hqsAMy6nzPMyngFLxMfON7 vXzUYypl7V+uM85fTt1g3PMWmYoNIT5yZUdXMPJmrmLzHjez5RkOUproxV131DCEk0rIMaLLJMjA XS04vjpd21FRboyDMIHAvWCfe2JPQU0Aya0Hm4ROcsObOwKYYqR3W+ifac3AHn7xoiql8OizNfDy eIAg9kMZBpWvqw9vORVswUh4ddLmoz7dVXc2iXMY/JwqRqDMRgjvN+BPrHcQnHEYtjoWuEbj82fW /vC5Fo3alEz9kBTwYmMs/kiwdz3H0qrvTCA97jwLsAnBYqtarxW0/1ecrGsHySaoE5uyTrr7VK4n qtRdKQLjeiBnCs/Rl0c3zQaJGd+nk2l7jizqgNJfu/dgpXZBXd/N9MIfh3LXb1hknPqNzB3Qi3NG edQHnp6i2vegOPyWpwgJlIW9/EGRdRHxpesRcOgfVgjMmha0lVl7xav0Zb16P4SBMt47AY+yrAgM BLRyBISaClJPupC9YiB4EnHaIQoO2gjeQEBV/tWvPvN86QRJVUBVzZaSAGohkXLMNTDzVju7OC5A L7w7BH+81N9HhwKJfgGdnoObQrWSE348huGSBbqt4L9yaOkG9JZFxfEgqWTqpL0e8byCFDVeirw1 KalUSAoNQzcjNQPQ6ALsqQ35rg4gzuCSrovQYgcmj79HoXnFqLA90KS4SkJd5OsWUWzRWzLEO9cU l+YC1ENHmLoOqmmD8BGeRBRkC37JZUZlWbq97uTDyAg9ZPhApVWPcCXwnYsVyVszzlGIoooDZHld 4S3NAu1LoYWkOBZ8ZXNbcZcv+Gux73EeZ4kCthKt9XJgQf66HVWooGL7zUZezzVCzXLzyrTojkJC dKgJ7HEYZReIktvjC1b9fBJ6HQKeMN2QUWIGrRm926RIZYyQR3HNIclh2yNw1K0Ipj48VIiyyVTq V+pAA4YoAGY6sTXTGUEd7EWCmGg347Codps8zJ9W94KTmM8LisKeRoxIU685KTQi6YONpc78sGMi IvIHQa3x9k4gqVm3ArbYqvpq4bgoUeZd8AGnReAZ/yuS1YTd6AsN6mGA2NCTTQEi5R3F44CYxu9R ACgSYkDpD5w3gRMd3dAn5rCnZhLa+AQVAey50zqtu1EFNmdyH0oCmLPxcHXs6dvQ3HGeUxop9l7q TeBrEEx1ZXqEGXnXGn4Cnpik/zcn7Meb04AxhmsV4lm2Qlef7ik1pFLvt8uhS78OCwL7fdRiRpwY i7km+GVGSUzT2xAhaLF8S3Ks1/ip9Yru/7Y+vjgzeZ7b33k/rihd9kGHc8wltRoy1kA9tCdLSY61 GvgabGAnUd+K/6/aYjN14cq1RHKGDnhyQ67oLKsoMw+hL9s5U0YIN0D6cjamSMV4RMkBmJL1DAmp Ae100GvZt27hmvCzb0EcceRIgnUQdXef7aK2blX6opygZc+ICXfJhT5llTEgS3hBwnb+hpXsHqle WO83csgpdU+eSs8B3cyOYqMKkg8oAtFda+hbgzm+UkVWS5FIMHJY4fyZcXTcgRGzT9CAPQfHIqHO Gmaf4JIBULR8Za7WOjdjoJaVSJJ0l6ufSGCuhJeHJkpA6i/BONfei4nw5UwPqRgdVvnpf4pzcx39 rWZOgzSuyFwM6+1+xfUPEBoivBessMS4A4cJq7nM+tnm7WdC2qLArkYQD+pbq/yHdQN+3lh1BW6a a9aqdXb55mKKa+gVA9WHtp6zM7mVVesIatjRiTyjPurKIK//98XJs4gk/BFgZGAsXRf0P2/w2hON P5zYWKWqmICZ8eWRWnPJjKkJ/ctj4VTrCOsndr7C1po0mRXQl7EBP2hIyUOX9jGrqnPUWgrwH5Su gIb/Qi68nUmxSaZQljAw/khsDqHPZSi7kfrWFGF+WKcLdDBW4pIVnu1oz5I1FtJiIsPu5/AsRrKb oOpCjwuimlDw6+DV329Ei4w2jqyAJg1w8LEcxBsEgBEehJpzVhcebFNqrQ6oLrFGAsB/PNAnL290 /itaWP5RRFbdBiWAmj6MX/9x4mVV6eT+Cz0IK10v6oMP3+LILlgp3QnXoD8msLehcThTOG8Ho/MS QCMVwOp1/tJdsSLM9Z6VmJQBCFn1GG1oPaDT/v1su0P2XMZWyvo6YmvKTGghWkSDPra3FBa/bTI7 ZEI9on/fbgnBriW1AvyAPMsrXz+b8PeLl1TkQ4uO7A/dmyVqN0gG9FknTEWHELw7dmjHoms47U2Y FaSPY5oaAK9XZilzMqE3ywPtFWYsmsPbf5qtCrp70a5kxX7MTorT0SegJ6AAX4sn9PwxzG8nPqRX X1E9qJzcWMKi4ZJhjJ4G4OXAzikDQsmeTFOSoQ/TmSsQnsZrEm+sSJU8zB+BaaV8gjhINTl3mR1r 7eG0D4ULJHjb+6IVRPXCouRdX8g85t2UnXfdh9ZnKvSE4a1u3lFHEkhSOszunOl3uDeimI5ENdHm Ea2TTcq5LQ56lBGHsIvP063JBloNCOV+AZ+k0Q3Ffl1nOAXkLnwmM4FrZ2brGSzAOZiSB6aVxcXl hyREQfRi0mR1dke4GYhb9PYQkBt6Oc6CFBKC7LLgPGcNdntkcAxKP7pknpxulogXv3NtSVrviLlJ 9s540bRCU7gEJ1A2R75n+/0HBV3Y0yLBcnpuyqCNjS/vh+HadTZ5qKwoYQGXYq0IShqz/RcmqAL+ tkv/ncMymD7o9Uf1XmuoOWpaA9e12efD8sNDBH1sxJcniPc8Vzs3Y3/xw1ZJVQz4HZF9bBuuqptH 1LPQ5cZQbm3cpU2AypDAHBD5pZUAEbbE+bmqxNDjMwSg9hGINRQdGT4iWXcOFEcTWrNd3DVoip+e i2UIN1sv3BbpqAMcnbk9w/o3ZbaDTvxgUhqVlm+Lqcw0cEWO5aa3QMxjcGfTezPuHHJ2ykirUp59 AuIjvBcforTzLfBklWdknvwtGz54D8ILu/IY1et9Kk78ZLANuiA1t88m7JIOF/fNDX2UBVzxzOAh 0bDkvLRsFCH/o25tpVckSlR5J5qiz+VmJ9RmoDTWsHWhtcBRpChLM32D89Bak29f/QddjyJJWbeY JX40AxTHoXovly6LlDuamtnhHPLM2cyKuWva7xw9/Xgk50KzTVi/vB7jNqD+4Y6HQ1637lrbwq42 5pX2QzunvXbIgeYKAg+nhGmuND6uoWHGF87ArWWU5Yx2bdARNk8nFmmOv7SPzoTGCcjhCOJDAN+l d2DEjXye0Qw3CDJCT8+6yJS6RAHeL4PrvmCRIQOi1FdR39V/BJZu/yy0Fwtpyzdhsnpr28RVtFug NhEdSgj1ngcU78SPQYZ59YNtyZugJRvI+LFwd0BzBPZ7E2ScUISF8e+eqXEnOxpYxvUa01RzsBgT WlTYVABLyCGH93emmfBFaLk5X7x/00CkygfhUSP2jJ1RwXimCMbbHGXdoOnDOu4JKPd9gBjOkm53 vsgld6c8mPmD/tV1cXxLUjxRjUf8tfzl5rU/3cYHaqGGoojbdl3mtmAC7S2V2gA1+ohxTlEHVtSl WkryNZLriXV8woFEa1gTd1XtwjdfCaaH0W59YflCLa6YaxfujvExyUn3mlKZr0rRymmi+HPYwvtP nZTsoLs49sAgxWIfHJfJQ9H8WOO4v26SknrfE1H+IwXSMvfBZIGH806L+M4H0wwQC9n1WeVqTt3z ghNUorMu+tGJJbKNSr+lR+ekpc1eu7kBOdftQrxZsf2XgwFw17K4v2RuZnMzyd3tRYgSRWYo/T18 IqQaoJ4yhqBelCpy4UnldzSDT4se7uHra3xktZwcTj91a37MqYRDfvyLhvfXGfvn0u0sJ/h5HXGh SW57SSaM5d/2pwYUplh5g2/h9Mvbtl0J4pzPU6peB6ySpAiCGKshks4G22grtMyQrwrFecKrHsNh FmcLRZvgRu2pckuXJEUhR5VfUeRTfKDTiDQYvhuJw8aVDMJIoj0pZzr/0OGiTsBMvMaxScu9ihhq p/3vPHPSwU1QwtrGlqO1LUAlrdcplgihPGsG9GuTgLppeN2QjS5Ep2u7BkUhFQk0mOgWhYkCQwNe p+bFb460uiYyYGtkEC0q39SSLLTcXTyk9ImOJxFn3z5SkNKENWhoHvqnGdBDLtDgOERX+vGsVEnR MaAyHTFtJv45l1k2QHQmGo6xZvaOdIZm8MlBSI5NTRAtFdrRDrnbR76j+B/39q7TGodofv7OivN/ YeqZQxV0R5VjmTvphR/mrJsQN3G51S9MmulzNKFWyhi335e5w1lcuKcjdVI6kCp6cMqzd+yY2vuj hWhvJgyjr9C2lp3VaV2SEnSm9fHhihuTqUt9SMj6nIzvZGpUfa2WnWJQBQ5Dt3jXU5kh9QNsOeh5 EXN676ShY9XsUswO/cuZnaso1KESmqiZmYwfb13DOEUlQ0aAAzl10HFPIPZImBOI1OUH7+rx6Dcn Y8gLoIlvNFs87p1bMskilhiKsaBPdKoOClWqe734PJNPD5Ob3vqQ5e/vc67FNa+GGF4IXFCa68IL AKnS7DYi1EH8MW1gff/IQcGTFetKTXA+pM0M+GFwwdfTmBYDN6TzOYPoKoO+FEKjTq096sxFWhA/ 3oGaDkZfwdRFJxKUHwRd0wc/2VCnlfa+E7dV24d7WOD3K9UF6RA7wUIOQtb/2IIabx2+s9eQbvl1 942NslsV+UI+d4E/pq2V/AplRoivzKtc9Ltwrns0Gti5jNuMB7WBJBHl/MmoFqsSq2jDe+ivJ+27 6aGv8AZ12zi+VzTS9o/f1po5sjSoreqwpTnLYna2u56v2mqGr69cEvxsf6jkfp3kfMMR89j+kuqi 0N8zXpD5/u7F7Kd1rh2iwL77DXypcHG8KPvgsNbxOUZuHi2/n2EmvTm2DHMSfY1uMGEw4jmAT6Xn sqsQfBS03tPGpY2q0IXco18QSEt4aBC+bZ72T8zeRUBWcPfRgN9SQQjAR4y7uYBgzzYqCBR9hMvP MUdnpCNBWP4Dh4AiJUlFlIj8lYx2Zn5nRlngpWmQsI+nUFiwvYfHAY8m7BwcjAFEMObq7WDogjkG WMYisF+rhn3EwDaJRycFY8wxWT6G2eqU+liyzKuImF9qNQfpmQ9XBKSomEpyPi6KleOnqpdt16gg e1d+dtIiRb+JT5lqTrSPmJ+uQxYUe67nTmkS24nqZxAUOIETTYqlmmCZBuemY5D2Pl0oawD6gSBq n4O5K995ivCyyxyB6kL+ZjSq73pUskAlv6TfSmQaPLgScs8TxMSx6BBAspQh0WQlpAEFSrEd7dSM ddYrMUWNfh/qBrvPMbN51v7Lp+CgBkrd72uetHy3SC7/HQVWyJbkqWqW6fJofchOvCylU0DjiraW b6iKYosJcWNbnD5+KbBS03gLxtZhvACBCJskYeuQlftTJooDfcSxp67PF9iZAb0OfXcuPy+kZftq KpyrPyS096T3HJNzBMh20FpROogwmAF+0nCuO2MoiSb9L7ysrM7A/i+0IuvKvvXEVkkR2kBwQB+I LJZpN8/D3M2J43mEQ5xS/8XIYnHZA21cCAk8+w5OYBA+PSsHsqhx9F3hO16jAu0yp3NzFYvlkwCk 0iDACgeSH7xTvKqZKSR7UH6B7gvqp3UiZQdRX3UBN2KEiROQGbKp3tPDUxiRyANJeE5B6lMG8at6 HfeUO/RqDwiIqn/DZNANL1MuDYMClFKasvSFNfpf7pZzEHYGePVIKeIq+bl+VjkemKO26/lQ1pAx 8z3EQf1y4OALK8/4lX6pImq20PZZS4quABxumvUkl0OWju16MaVBU2N3zmuX2Ua7nePgbSQECz5G f0dk+x57Jh/+Or0GMCWva/WaasfYfiuFnu4jctqWTWlOOWk5eAPqy4RFCAzroakhz2/o2MjxSGLu mdgnUjJwqm/uIVXsP4TCOcIm65hy7wBeG6MyRWgAiHcvhVKRP6ZAYgr+aj8fUijP/KgQbAuA5oGf /EA5mSjai6dRrhHrHwmhkBE/lvlPuDzpxpR0ckvNE5Hw9Mf2tFbrAcprOT0A9QThcYiuHgbIHYoa 9LruWDW2MxbSLL7VCoAUXrgX9IXmPGpTpRd3C78EdQ6R2DMeIU/rvVPOOCkeSSg4IWyBUeKBoPui m1sJs8DCdA/q0Nl6yonq7RaKQKYThpsw8fKim6Zl6AdFeFI0btgXepErGQR6ppwfFiUdQ5mnh6Sr uGs5okSX4RH+6SQwY0GXNobQi1RzQ3rEFTM3jzwlUCKp0476ZQC1O8rn090g8VrAIB66auchQqQH /DSf1G+sp7VHu81pJCGDqCcio3UA7eRNfMl5zFFXdl+NDnCGlXm7O7GhzaKqLGlvz+DnjJRjuIxV VKfSbiCYH0s+15IsgGKbv184PIw+O9iqBLukJrrZLgeVGHEN/KftthmI5le3N+fVtGQNM4qEnGOl +Jyy5Q6S8jkIyZZkLjbvfPvesbuEVGhlpMjlEckikN4iqSssw+JXt6GgRp1yeP46UmrYlqhV+V4Y MsmfnUJ6gXc33YSDXm4IgHDagMGubaS13i3pOKfr4jEvQi29P+NQdbJPHdxm91vdVbdixHQ8x/37 /kwK9tlI7VsUoUHjXJKgTeDylV5Dhys2ZA+1ooO5OY3tXesK9YGJOw3FwR/QswjJazPRI+FuKjem eKquUOdGARaw+OXFQdJVPA4PC+VCwz7JBoDWrAYKfb4tfIJniUOQZV2cfTGGxV4RYYBCL58RPPNW 59O+e6XTLEbKAnTpFOLIyMSJnpNaFD2F2r24D1OR5sfy/2QbkLF110p2TQNcL8v7wK1V/xB6t8PZ hvU+HbqB4IFk03ep4fa8btcBWX23AX1v4ZxyR+Pq70UKh+jWnDC12VUaKyHjViL+PE2HrJlwy4Mo PZiqQwPt+v9C/0QzDmmqur0jM6x+kzhd/vXfGIrGiXJTjjGmOjqmO/FZGb2ZLpDuPtBBlVV1KdDl AJ2Si0vCUkQd6ZChAp/C5OdH/Hp6W+wBd738TXOsSuCFnENpoldyVAcL16iMQP+DayDg4hJ9+Lt4 xAqeYRn4zKFSe50k/kjoUF9sOcM7f0jd6/9c3uxFBCn1l0+IpqWrXCTMnQdoNRrMF1H5WjJi/qdt cLrn+2tC96sgA9alDJmoImUjZ1+/67VLBrF4KIo7kG4r62HNr6JGJKmHyz/B5WFCYQLj1AMGLNtJ SX0Ni6Yfd0XgIkb3UHDiDbhnEoU5QyjCrtLGj8lOFdyuN4A/Fs4Ret3suTLrBWXIM77S/jpnSRdp dX5eEO8aRHEs+/ABq+gQqIYIbPQtPA0lgv3MJZF6iaYzSMRurfdL+uZwA/b1PunR/hHXHvDRIU7S BtV46lX5/OX8VwbE/tiH6dc3m12T6i1Ep2YJjZgOwJEmZf4PZ2/E7wr/MvNVSFh+YxKeZ3FUCpbB ELJt47sYXhy8xQLC4d20Y3l3S7QqX+Ghz8dHfCS36sYajJy46+gAAh5lHBlXV6zFfspuyLbSmwMH ykO2b3Q2xqeZIkP2nZUTzVazn/SWOQHClGqUwfmPAue5nKDg0JmyLI7YOLCVWJ//+IncF2Vwq5pB rtGXNw16nfKFT3UgHjURRkojqhFPx0M93KPzlFENbwfDTIaHBC6hc4Cs69cpF8YesaruAOmBq+P8 Dc64+chhTbm3W1vFGqbU2lY/K9HWybYtc9RYIlOwyLVUbfiKu8lnU8EBnoPsIQqC6sgTfFUn9U/p nAM+k8ukjI4azkjWWokea/RcyvftwBSiW8aGM+q0/wOfHILZ1ukg5z8hdK+zv1pdLCXHw8Rrxstl qlE123AAYpzNFlsVBmW5Y4z7qZoOsNmNTMmp/GUOciV1n6ZIaFL6927yEAYuS7bF+fUfHHdTsJTc C+30UqjGhyoInY+syT3MTvqru7HuE35LCInxVGX1JiGIDonPXZTOJ+t+67KLqPDNee0YXzwbn/Qd FmU1bNaqvYMYx1BWY1m1P2i07FzdHLI/8wUIu88YmhIA2DXU4NbjFFvJKE8ldW4sZqI5lZnilYYB sBBR05NZRD+ALLNtqmxguEzb3rBJBW1ngw1ossbHRU0pNzfb3IgdmgYJTnt5ifi7LrbiZSLwrcGH s52uzVXkG+3MT/H2Xgvl1a06uZfAEkOALVv49FVlztb98WdxphsO1wN0xCW5eBgp6X65ucg6Z0D1 RQ87CXRKQ3WcU29EaVX85eeJY2zqY0lfXbz8wdug5DuCLBlO4OIjRbUd8vqVbNvIPBbck5j7zLwa 76S13pjz3gajs4Yp8tMOdcU2nr53dXNzVk9OwcNwJNYWPsJcC+qdJnHSc53+SS4AU8n0NuCFC9YM bUNOmFjdcLqp3V3x5hXDwgHaOa7ttx+pPmjxgY/1VN9nJierBKNZLYhjofLAxlMTuVIx6KaY9xIv NbiGqGVYFjc0SxhKy5hjGhpvb/bEQU1DSGrmfq8NLtk75vsgg5ag18DVbatSMuSdzLFyVLQmv9Qj DvMmQs005dBrHebtNbY0/tW9ZOv7e7z/P3rZtUTnEJNse00ykDtfFWco3NJkxc6aP6jxzRIO25GE AvBXEb2AItPZ7XM8j5Rv7j2QsL0KhYBm/+UY/GWFw3ipQaUoYGoBCnkQeouOBsE0XE+4UExBdJ9R UhZ+fSW1/5X9S30PpcadoVw4KaHviTFjJay6nEuPLjzHrQe54isb60Yrqm53mY37RoL/YNheWTTi pkp1hIm7f4JlkaHFj5mSzPBehRTKNQPcJv2zbc+3sMSE+K3hOI1YZZa0whq4Q8cPcZ2R0utrOxQ8 KiMNk8P11/vHRneOO/umoDZ4isFKt+jT+aENIw6flaGnPGLCfFO566061mCJBVle8WXa+POg/ftp EZZeep2FtB2KrYbngAX90WMG5V6ljT+oqLWlop0j6kBov4IM6NjLXVt4hyjdU+LOi/OIzT4m2yEF z19sG5rsuVSJQ7MOi0yLaxfgHzvQ5ABPYVVLCF7jJaRCjm5v2bI7JmHPSeCqEMFyA2puWhqLrRob BOsI45sGp/g6+pvLFqDSyTKAVJ9jqbQPjux1dz63vOuzeedjFHI21K0Hf2Bh6xrCBjnSzl6IlJ1i nMBWtGUHpIOdF7+JQJrQLkPDNq/nuA1yu+xIYfqnK1qfBETXdP79QqR0wMvEOvnXYvG2DzUqVeUZ q23FBrOQCm4ONgxkA4EkvYhRbMnS7Jtx+bhglZqSEfO9rAX6/mdQxbcvg0k1/mD2c96j5hOA2oDj hy0Aqut4cwpDhIF1Vt70Xb3qpEdiXjzv8nFwn96Qka6DhqJWIb24spXL5Cbhg2HVDyfkzF++70Zd kCj9nfz5/+7j+zQZ007pOupd5Qnm/ErmxM2JfEl1S5BGl+M0qZqPi7FGAK33qDtJUMNFmCV0EkCM Gt0EHulKgWg6UTw+2PsZgj5gDxTyg0COVvCvQOYUiVRNFza0h7QsfmVB+/mhqIV6Msd13OD5SrWf zZo2lLEZ5YfnADCAn2qQh2TzuCHZV5uEpXayItNPE4W23IsFvHHwARX7yqOtw+Ue4sPxWg9mcAhW 8ZRIMEcc+WNrnQpXeohV4tRQXYv5upJe+I/QTZTmdq3dV8HU6sn1UCg63nZ/cRepNrfZ6PH37WLM DAkjKxgkaXL5iBHI56x0yi80t2SXKu5OSjNycF09dkYW2Wsq5WiOwqFGBasxGBmjOF9qPhZDJCvm xyaJBPofC3DTjrut7phxs3hJEsg5ap86uacE5sqo9cFFyaroMucZi4ZY+ZSqVWet2ywfxqb1n4UI M5y4/ZianJOAJviuysSnD0R5eawWiNMbHsNDJwrDvoBdpJZDTDM5ugqJzffM2eIDig1MeJEMRR5m dXnuxp1qXM7blV6+ymUvYgEPcNozUSDs5O62wroFecgwQ6HgoOb9EAp2c2UBq1NZv6/mG0zLUogK w+9TBxndUk6FAPiRJwRGPATrSZqhVPDHZtoO7smNQER8D5GVANGh4ATsWQeDPFWfqur6rvXPNYo2 YWKfKgDIwq1GDgIHw3SFVrTUtnolIHgsJDjxSyQu1YiGSojf18G0SrE6p8OQ5eCNmVCra97GzXzS WfFre/O7NZoYJtwuLTH88xF/UEvxZMZeBahRSE+ZUpXZEU9G5fxk06mxV0ecUw+Rod6m6KMqtYEn gu5HvU7oSOebdTol8Sl7xUwAwkYh8MxdDjYO9MissBdm/yNCR3kkCMA8VB8sCdTd3HgqZWhbgxeJ y6VSOIcbz1kD+S60PPVOZvlosijGSAJMLz2hRCE/dZ+1ICI6YDC37MggTMjHZsNMH1GXZX41Iy7F BsS1s4WiUtE3r94ZeRnkwk+6SDyLFRfxJnPhqvkjTYhjuKRlHtT1zcorehvA08KUTSC45dvvaleM tPi4Ow3eaDPvKXzfVEkPRxuxd3vxm/0f8A3ZCbG/itGxAx6sO2+nZY5GYJnDHtHXjLIORwp5/YBn JNGHRDBOq1Y1KQcMFgKze+Lq/tpvobn7vTG13VO9Cw7iz+VZ2ip+UVAa2divm5VwgqOMi02tuqTW KS43UZmw4C2uBwFZu3hmN/Mr093kOCDC3EmYs/OLaghHIHjWpZd9n3rVy7jSZbAcvzgRPbGe0f0j 5caviz9VlJf+598fIwB4zuLSzRPVKlCX/pTUlk1UUHaIK3Uw2TG26eAMETzPNEO/oqYBoPujEXZ9 Sb5Aa+V0DGdhs4ohJdeOwAxBsuW8eW44jNXMh4oyZC0THobdohkQKywYbpC6pptcIy4662JLw8rV vtjWHzvXEx1Ut0tKFz2I7b+xGv7OM8gwVZoT+6X4sTNQnxzdgQDPZZZ9jbsUgcQp5HUeTCl/UxYD XoshIQpMPQr8yu4UWIYTihGPFuLTmfIYSnm9MyFZP3kgoWVMnjiYjTw6zrw7xCKNCcoiW+HYiUFv m+jGjH2B4kcPxGEQ5l4Ozom0PCVoHXI6flh+x14KrRPZiGwJnPwZ52S0byzWu1z9r56Wflcob3kE NqkWHYvhlDzAaWtHBD9WB49KG/NIGk7GTxZQ3FWB/mM1+seJ9vCJGS60aUYt+HYnZDq29ESLNru8 oNmPz+kcmYuxE/iiGaYRLRTEJSdPArrbntZRrP9nvbvqZKGfstHCvQVbw3pP0y+XSvyIDl5tT0Ef R16ZhhY5kHCus0JLpcQDOQy+wJrqSPV98n2xABejvGdcfD53h1ExnyDSKtFn5bYC1ld7u43TByu6 D7y6FXcmENjSzJmqSqrn/5X35Omsu10SiuFNsv/cG7ZYsQUG/i4Sm4mC4VCG9/sJaJW1dcClHLyx jGjhKKmNj0GZ3BDls7aYb+J6KJeled3TQVYPbiJ03K4oH/bb/kJvsthnFUH0+jiqbDLilOv9qDwk ukUANV6J7Xac6xtPs0UUvvT4kH5q4Cw5WcqlQFpDa+vbXMCnN04RUz/THPJfgnw/JKPMRMhfdpA0 2PO80nVh7sngGj37ucm0GZrqs4oQBzB4GlBdBpW3rUKYbyPV/rzVkRdoNrd08ev/U1VraOnv/DK7 gRwrbIF3GX53R/ySfShEaQQU9KwmrpMpfh68xFub1+Pfjae82P3N2rEEqcI6FRGyGMFpNkNI9wOq e/QV1GsMw0LLpa7tLhp7hLHgaWgVSP3AV3IAHamqqs4+DVR5K/2vrF7pcjXQ+QODlpRS3jftvREw fmk3Gz6MA6h2jKXsLMFm8fZqn+byj8L5b9Rg6++Bzs3pqH8t4mjZRuqVTgjT9BU08qieYjhh+0io 4nyBoB0PCNBiw2/TcpOF9878llxzBfIXvDJG3Jh8OZsGIvl6enWe+AMTELgRtWm5Wwqg3KLPfiFI QLLs3zus+3QffiW/VRwev5hNBvucOxQzSNAm09U0+5CWTemmTeUHSXcfuvbbkUmD5niGjAnN71wM WEXaKAu+vB9m5Zdvm5BqUwzfdqb6tNYTuTck9uNlR7upAPFgAfYHAF9PCxRYjmMF+HLqOn3lztYm mrQlrL6vziQx3VXhYspHtDghEao8+wDnLme1/jtlUg6VwrQMopAEXwKM2rWUojSyLHdNjfcET0bg 6GRKIICfOmZdn39ZtmuyopbOA8BFRGWeOUk+TDT2kxT7QBG2Lspy2XJr8Sk8yJ18SF1H4En2t2Lg bTmJgwE9aaM7wrTk19OqWejrVwKbZW2Jq84A+4H12zaxy/rdvFXFzEtLEbCTah9lJCN01SHPKfqh QVRJZ/6xrYaBY/1zh4e80I7wrS3MTd5B7PZ9BO00jUJqz6zThw8a39xpLQMlcjD4cUzdMI88SNVT NYNFt0mNEChld8Ji5jSWAvpYt4NkqxoB9k+JXqKct3a2KSQ5CrnHqM9+CYTtZYP+aaGYiYDD+Tn7 Ue0SKhBREhLCo1vAIqQ9cfXcgGUN1JfT6pm3bsncCQ6wfCpMUvo/aWdKGrYCtjEaVs3B9MTMgP1f W7L/oFKx+FmKE8eZ2E/8caVEJtcgc+dh85kq0nzKfXRPhC5fqIYY6kjYTDAz4HGyCyhnqfsqWJRo t6uJ4X9IdpsF691Hczj4enr+aWMJjqNjlXuCNXCGfMsckrZd+ItjJTwwiv5dYutJ3crCwdf0YuoK 6wUfiqdrEst1iYzoaQqmR67wXYgsmBXV8EsHr4ncUS42ZYtfSRtWGAFq1RHQ7+87aJfzSycfKNwq dIxQHgg/2C91NDlKyhr/iV4ruYxSczc2g1c2mf4cmTQcSeILyaCJBPOftkHNpDi8Ys0TeOmWFMzy 5Q7hIKwt47tTpIlxQqObGjFhw+K9vO/YIj4OCgpiJ4WQ9K2Om6FvfgIJkb4nLOQtuuSKwX0wYiGS RkbIekg1fG/Qb0ZLKp630a3shmg5vEjOi64BsoixTV4YCqHe5x2VDrj6fzeTyrecrVYQzzs2Ypa4 bGqcaG3ufrooUJGMP0XngBgNsGpMQKMMDNPmBfrK2X1OT4XJK0qkQJU+6o4mKPJt0mC7pI+UaQFG 5GbMyRlqGgb7hdi6l5CnIubHmQ3zBnkRPpE5cOCp0Gn7z64hNQRAcJQrKllB5iCqqHLq7HPD/JjR NybXaZVeyPlU9FIGoLqsrEDTKJTmY2jku5pndWdQ5GBdbgAwM412g0okpJBJIs0PUUZ3l5Ko92H9 kIdeldrNfd3eD/epBIvDXueH+xiYXJHLBs+POhpYX/5fur3DtEQoNoy5fGkRV/mo9qYqpH+Wlo5z ys/j25n+vdKl3QFM5u/5g+72z9fBT20he3zJFtbTEkDm14ACPMqhCUCaWOFF7yMocb7vTaDOmawh QXVCu4bO6sc84wVNCKexRbJ+xH2q4rxp1N1Ed2cQSIQvBI9fSO3rIKFgvYm6WSNGq95JLrmtoqzO w2drRg65hb3aGmlCQO9mFA+JU+JNqnlWg0lIrUGr4prEzFTfkIeZq7p/90DARgT8bEFfnCprDygE oKJZwAkQYDSBA6XQqjIx5sERqrPXoHCz3Gb1wBt0dgEoYe6zZ6RmEsqCl5SmVR/GzbhMEG7GdkC6 ZX/MNp8gMeY2gcZ+JBTtZdlTJM8Dq3SHfOv9PT8Vdv5uXQjFimAXS1jzjBt/VbeOrUppuw1PTJyW veL1078iYnLFi5zaPIqQP76H3fGADFOT+WmSzGFD9vOQc30esUXvlousmcflGBOGeJcgXIsom/jQ 78Dz8BuwyWpngqqDh6bsjll38rU2SGloUKWiGZEPN78bs4z6MteYHuwUZ8s7KGCifJPTE5bLn0Me HB5l/kzEdfWBdn5t4BsNMqcHYb2BJAGkXvXcpBXBPrWcK1ASv7FyDrPWtXyx7/uu3UZhrcAf65X0 6sU3eUlxImTa+wGShCSdLzm+vDTGf+pdtCdUobXv3GblfasgoEwkiRmJz+EDuPjAo4LFZojLDDfe +1hwloIvgv9SC/gYE1hnp3AyrbNx5KkLqHEshblhMgkQg/0k060syRGTcj8yfb+fXjurOob7xFqk Cu8hz/g6uJ+gVWsDWZ53zFvbgYjRJ4xyn7i6Spt6vkZs1qm3newPIGX9mYHcI+6pWqI926GrJmGM 0avkKJeUo379foOKOkIYIBn/AHo5/kGBxcFgEUVDXbFHHzFCyClESaEeLKF3AKiKk15WO0R5us27 6hl2zyaqf3drTPYFLtv3F9Q4/njbcpXwJZ2x1z1L0nYRZ90xT+Ul84n/x5oOWS91ceubS9yXI6Cx cnRaxF75tRhoihb3UvNOYq9E6XxmgH+JCYXKbxMWV3C45ocRpNtd7dFoPTYgjdXi6Mr3ls5llILb H73v690cF+hJbnbGE8P9j7i+77c2JjqjB5jASauF96e9cCRviRfty+OAdv/mGu7FsH59Vrxb7QCO Y5IpzOErSsIIasBZyGBTrl14R/AmZ7BBA7TKZ8NiYuNYdDg6XE3LPIwhRR34HPSLGEgsfDWFT4sY fbh18NHAeByatfLKsLGrRRcR8DTf1QN5zfmsReQCuLXsGXGqdaEhNgT/r0cceBjjvmwLU5jNNvl3 BLcCukUzKmQTnQCnmJVlwVp/jDCv8HVpCP+LesMX0JHqg9JdJh8M/WXHAwtP+5PYgFZe3LXNPWQm iNWJZQoOkslm5cgb3aEHDco0BDxhHEUtOuiWDOJF3KC0jtP4+F6IFo70VP05iA/6nLOZ4qIDMgmT p38XKtP71+hOQmX5zgRzKoonIFN+/nxd9qO0jnainQZwm8PoHNaJtSe5RudKoPUeGp4k+Y2Kb2E+ yelxfr0WvWstE2tzuHVYTXqXwVg3b59Pxepok8gNhp5MVRZI5iXeeoIjP3v7UnBo3DVVymvude3M HrzPid2EPqTBpKy7qdJQHSDhcewZqc8hWmA3zq10qaARBe+FB9nyOmRvhs1eApoEamX+Y9kVA+50 /uIFAsifFbbotx5GpAF/x5BvsO95wrZZhOwhi+IXV+SzdYQy5wmbTTpzkTer2XFzQol0jh/gOHAY 4srWuL+FhK7h0esQ8ivfPpy9dhV62IAsxSGGBVGkc+pmaWycfsSbWp1mG1EHU4QHACsam9SyRy1e 4m3zj3987VeIH3RC0wyc5lzWef+cmzEUl7Q0TPm2Np6YurSgMTMHdS4dRxgBE3ttm+5qe1fGYVem ulpJrlL/4RP4OZPiI6iVxQGYwkNIDIqGdrVyyBtoDKARSa5ujVbbR6qFHcd3tTMWqiFsYVdwIYYq tkqiP5c3ILfr6FHt6N1ERsLXHh2aPMkBaztHllVmanMXMWSVXea/WZgU0C900DlXYcNpjnkK4VPv fR8wFWuEcSEmvRs1coR2MP23uQHVap7l3dHwpc1ZUQDfayoGG3cyTbNrPKx7bqJWKyFu6EiAde9i Wlp7ptD6prn4jJcI38V8S7ITuGxubwlQH66R6DGGcdgzEVlgwMyRyT6uIrXaPDcv782g2n+1RVm4 HnA/a85gHLbq5BsYf54ghtnlkll9e4AKFzLyjbmkrDAF0HR2dR/5UghmBE8REr0bHR183kxUJg/g DW3DK4IOiVtfpeWpASCuKxl1OXrCnlVuohS31QjWuvtKYBRNgMdNQCS+klZG8k8cAb0YFHIuSIL6 u4h/1l8wWNALou29GNH2f89nhrsq9pUBLwaeqIecV12WMPX9BeRKbjS5v5Ah6AfWxvFey97eWuV8 B+BXvQTHp5zz+7+59VswdpmEsIAKRbszDXIlNt3j+gVIldW+BtIaKGbvHQfUOy64rnDrBMg2bRoq RHwlQQJ5+egV47mEqEr2V8lIa6/FN5hDZwOg3REOWOxUuDWrXhfqgTKd5JIPxJwcDFaDSV2qxcb+ ZYkbZUhd2xiTH41CSwbG6vPPtgXGnXk1YURHaJYtGzMoZj+7CyB2WkImP5q9zJhEo7ogim0GwrKX vZ1FtRcIDiDKTFnXiw1wo20cbj/1Pah8xxJqgCwItkEgQxDdqJ38HNgG+1YX0exFuul8e9PQliT+ c/1QZOl6s7ypujrSlErP+HIY4PbhIQJE7SS0ovW22uo0mlKHPnvIh03EUnS9gertPG3vh/vPNLGz WHHqAR42GC8zPeDVDnVlzmdQRUsLv+gLfNB602TvHd65BeIxyg+pJaEhsbcMUztaLCpkf4Yb3Bl9 ZmiquI46yZSGITm1GF0dkxDI8Wul1oqliduc41qjzf/9kf2/TpkLMP6O2F4EwFGgzVxPZZXwJXwr c6wP+yiQX0hjF6CXNZg8zVPVV9hdoqoUanDpYTNfI3YFuDvnCQB+XLnxXLUN3K47FWGJB8chrLh1 +CqBPHZeSMAHACEkLvYHdSifUFWy5q9mmSTP7y21X1uJjYVFvASglrB3XqnZVlyG0CN2fMa/Xdnz dXb8zIzCTo2aPIyDQIGDG3xaq6/s+ya/CQaOcLRzg7ivjwpev8sX9jkTV6j8MV90//4IDvqOD8wz xHc1stzNAMV5pgCGn5SXBsg7Z6rEE8GJSJAy7UN/aND+2kdQtXahXp0Jfki3qyHkRt0AgACOHe2D 7hgpEkQunBDe7nXdsFfdTs9aTiH8nojijl+DZZcIgmITGYvabhJLy1+emEzMTREukq2h8uJIAa3k otf1Lp17Q6m+fsyuzspeY5P1LcjUfOpDdMZ9FvSi57248SCvLlZfZ0Xu6mtfsLkLV4m+9kX6+bAu DoGFjI5pvJIyoPtgPIJS3ioppWuQRLqAw09WSTJqcncmqtdZKMEF3RKjtJY6KALs0TZJpI/sqs7o gtzpgatdoAFAslqQEWDGZZqws6eUWQMSXqchk7G3N+Xa4hNBAXMa5/6zXMrRB7kSlMyI90oBksgC 5f0HcV6UFVagMKGFA55wSeZdWiQX3VRdcBPZjbWBP6p3tl5BvMTl9uaXRdeZlucrbPc/hHIsc0BM Z2Aza/mo5q+eGS1KWZLL+Mbs/H/WV9pA0YBAvX/qrD3xG3vAReHgY4Hi+EcWgxZsyp1Fc6bbm12G Ff/X3aixy6vBurEx+9ip4msRocCUD/PJzb9a/4bCfXZQb642vbnMY/zDlceCYPhTBu7FXFVdu5yA seKHR3a0rpJev3orDvk967byDXUVu15xNbA2DlPcv/nsGZYr6zGob/x1N0PD1rWwWj7VrxE4MGvY v4taS7tYryBzBP80agHkOoTBjlNUYNx8aE6TgDAuRY+hOYazpmLl0KmPTSeHF9Gx4ZrdikCctlak PuUMgTax7CkZm3/SyIiKGr7yG0bJvu9wknDHbUBGJhbqD0aU1mfs7JVsIrun0t6JwFq6gGaptDdR 2Vn379xBb1EkdX2ZK4OVtuzHvDQWaGTh5tTFWYcDN5OvfsZrPV2xpwJukSc+hNtjKSyV8Q1Fb4M/ fHmch6yKPwzcb3LTRIxA4P1JcRfqWDoQYQ8oYpCdiHys+xLP+tngZLX+NFl+ASMyYXoj0nhmDPnP 03BokCbBjF+H1I0s6x1uqzCm8SXHPZ6CUNdOo/BhNC7z7MKtsSpQyDKdySNZpHy+4TFm/70VWR/o htUSF7HOmlZIlewLe1FbKvv1sFGS3Hp4lOS7BD8KGTadJ9mtq7ZalVSLyAWunRj5is0AvZJ/+IPD 7PtfZEnP2ZJjLmKrpDr7iTvUM0vlAHoGlsfrWYYn7L1oSb7opCoDMdqFwY7VqKMz013Oo/oAHP6y 5Yy55kdyIv4GrTWmk5KGVbg5yZUcGxsxR1SPvivO0uti6ioWMF7zTtISuv83/q38iYGQmCfjTca4 YJCt6JQouGYMwyDFN0R8m2ftV4kd8PpmHStO0FhGdu6/eVtTBi9OIfnO2d6COegN4/Xr9No4g5aQ 19es/zAsSv32sRFpxVrNNTj/vqk143JIiXwq9YEnoViq8NDbGN+vRBS2tel9a7hpeygKIB7m/glr JLS1yHAmLXfdW9zWbk93bFh8Vrw4WDsX+rGsX0mM7pdF9MUK6p3oamIm571rXCfEtQZqUiya4Ssr TyQyRv0Fun8AT30qgWyvfeyU+wfnjGpj1RJ0AEwLFuiEljEvRD9Wf6q1DbBwFX63DJPHIsZItgaT dppdU9YHFSBnv5y02wRxbCJ9pDk20PC48hrHsoK1AV5uKkahslFmYqwWNKVl00sENlRE2yZjKgBL a2WO9S1OZPL0gUU/TQOtyzFLwNVsP9JbcRIHZMumGCCRA2hCZj9SlGH0WmOF7t3sqGoL0a5oM6at VoLjqoGu/oGxThpS7U8TAmUcci2/1peuj10KdUl6s+5Dkeznfv3PddifmGijO/aePkfqCMXsOHts Tq5CIXe/S+1CUy3CICl37DwVgCA3elpiIGoXs0/bn6vvy8vNnZYaSABvLBWMQA/D1+qcqPXlV2mR IeBpbS19sQ+0NLoGE1PAg0Tdkv7qwG6x96CO+tgImqjPxjSQgsXHeCVqka8sysrrhjd+apIlJflT 4rw3XNZlw0eRwHoWM6Iv8CdwjIPYkOEQGdZ+Hlv4ENla9utGvN/Wf91WJTLu6yRf49shaLrNBGq+ 8LBQOi9siXXC2sMTHf+4nIJMW3JAISfvXbyreqLL2XyBQ2dGjMspzWcIP9qddAw3LeKsa6RzAsZx kzOLLhuig1v/272S/M3BCzxYkoOBa3x6ctuoSIDKxTzg1Dj8zpKmCXQTnYsAXsVfohDOVjPOxM+q xAamzyCpFNRHxMe2mTO5jtqIqoCYMKPSzhejaR/b+LukBAjMalM80w1S9IgYvWMrWdgI5GcukSqR pgbPUv9IZb3Blm2bPqXHdXj2TJFfv3NvgLEHv89Sk8cQYcCbUyNefy4/9GwbVb2kzn3t9rMNYUes +M2QYKKx7wut44C05cqUkwUt7IS6a0k6edaexIQ8XvUwokqJzWKOXUN8YzsX28WIAm5TRDOw3Y0x /tYyXv4sVLh6W1ds8VBei/SCsWksuy1xgy0SKrh6eCa32BF8eqBqIQ/7heT+IfcpEYKIMz6/7wyy FOxEDwSIql7fKSS9S6FZUqZhIs0HGUutlMjeZR7HwrjJg+ZMRFyMN1qDToCc4hvgXC7EG8ltKVrP s7AXBvBgKTDqUaOylhcri/ru3Hw24zheUEWvzrR8iHi2tCfn6lzJ3qLaXjuamBYtdwrZgJ8VCHF/ WTlqmU304APq1+icVMbvSVAgP0MvAe2ydhbAC+OonJNbopn9UiuW/raSjIK6uB41sVR2nU23ZH7x t3qCKtnHXoq92vjb9R6Vb8/esYaOgYXtH1F2fQXfyu1tANCeooFjMQpxXu7ndsQhuoBxpLhTJRsU V4pVnjkaJEU2yJ0tVSEWcRrd4Xh28cT0b1DeS0jCTaQd9N2fK+Qsn1GBEKuyjdD8QXiCYgy+jdi9 npxEPLmL9CLRN5CF3QTZCykEzty3GLyOMCCWMT89WCYnaV4u4Z20duXk8u65eR3oP1Xdj2Z2hKru mrFSS556bVznBqGc4VREuHSN9u5T8+ZyKRlO5avDWHcI5y286JZBTeyiX38OJWkyxmIwrQMj62OU eXqiYryoyw/0oq0dtDolgba6/zk20ZSLkgeWmVA2z4GAq8truEfOV+5r9FZCzzGRiAYzumNKmfpv To0lMf9EOE2RrErDeDwZN+mOfMJU41UGLTdeh0MnH94xti0RGKVieUxii7bFABWokGrr1oRmsSx1 GMledmlcCDI/YayTg+MHFXG4aNCpcphnNJaDnh4mS5XcRZYFfmMWaUU6CjEDSS6TvNjsRTrsyQh0 sfUBV9fseTEJyEEhTvfVGRb8onQp8j1L4FUwuUCA+mm745vpyDT8j2VhmQJ4b22wb9ibt8W/c7EW qVDO+dD7JDRNcggjY0vmYvS8IhjDDyN2DlStWp7LYm321GV57ywB/ZEpDn+mK+6I2THOflOYb1Hj JaBA3q73bfn2ZBImy9NmsEmVarRArunz8fLYBqd+A/QA0+AkvsAFteRpl0vrnSMIhsf3a36eYRvl ZWBCT3alDlx+z5i/2Ohg5x0RFSuwCjXctg1YSJTJoQNz2GGkf4h0vsiUOl6KIrZ6FwPrx6nLhKpF tw0NRDemx7pzRsoV+mZoSIMi3cRhDdb8dvn70D0cgiGg9VtJKW9oL3WCTgrOvv25EOoOO0dcxm0R JwsIvT6NTdlqpT+7YV9tcRCQ/nOzpqJXPLJHY1a1oLdj+RKx/uK5wuBqMa4GySxdN6PeSQ4Oz+B3 8mkLymsfDMd6K2AggOuvXK7d6SvUKdjJlxUhYazsoeFykdw7yWDJ3NU2U6u8fch74nm+2tkHFlNY py6NAUcKQ3P10YMrKOjN4+Qksib3pNavsDpiu/HVucIf9E/hvD3ANSmxNFR/YUklMUbVbWZjfPRN CAXF5JGDCWcm4XqSZZYtFx5C3/VsN2+EpZXlryB5kU/pBaEUDpjN1QdLkAXBBhEQyXhs/2kbpJsS qEC09//Na8MKA6nqLVAqGsC4ZW8S/nj1wPlWYVGuOmVp+MerkEx+Sk+1A58/8CVsvCRMQ4Tvc1M2 J02CZExHWbev1jLBpJucFUCGhCMqUQgX4rjZTfpUSjAlbK2FUSZFRkhfNhE8a2Fs6Kwnwb0VAp8N b2htXehuw766Atc2no82axxso99aUptcBRiBkjijEMdrDgrcKOvdWmklL1S2Gg0+PN48ZMG+/g8n gFZGbGNdDAkxvYMOSDfFd/exM/f2sxzEtwtBfMTNTz1EGFyzQZa08hzOfAceWvSM3RuGWtlUUuJD LWblg9lGYM7wk0lcGL5D5s5Be3LbG50PgUEYyuO7I8B46Tw4JKVIpeUuhMxEyetyWlPww15NADxI 8pHywH1d73QakVNCv8/Y0WDGsoLhDmAkCtLiDEkyEeyl9SKCGfEk8NvR/hE5kiMPBTWP1TcCNvB5 itqyjyQ2vuM9wohG5CbvZZ3rQbpi/4LackGHjk6mLkb0q9uYKnSW1Q9YD7klOAfMSzNWJr9mtNoJ 0aFvd0QtKyrdPvL3dnEX/SUS4phPHs+U2CaLm7deX5pmcNxEdc0UGbDWLdBPqKJR8IdLixQvSDh9 2JwOBgv228Dq8iKQAf6gwqQ+ktIVRMr5xQZGhWFLH7KRJzj0l/PWq1ViE1VAga8ztdiUsFjfljBB FhC0WOHa4CihwWmV+eG+vJLu0nPDVYrDsIBYb+F/7xBfaAKmZJN4nG6lgSFBVzfp761n6SHQRy6A /axZ/EBypj5WNbEoJ1jQdffRdvhwWf1Rw45J2+gaj9pVXfO29z/I8WlyGOKzhivSTjXJYuDrXoU/ I4t2naf+D6bn06TjQm8aHdBrWmfuqJcElf9ibFtUGbDPnFe6udYn52SxMBGwdi7xZsZqeDtH7xUe VrKwKERfl4D22lN/CjahqIuhlTe31y0VQao4KcryYLSakbD5ILlpyI7QoemmxpGFbS0PQXxf9qa3 s8h5XgT6mxQta58nAtsNRAQkhURU/7ZqEdjoQ5WxGsroNL1kge+a7ZaNZAgNd3d+qfsuTp3fd1FQ H7PbxaM0OhDCcalSQ/c21MN6iXnVJBx3TvLliEXbIXDQFWOd8/zqe9HA1YZKQwHeHr8kJj0z0jph peUQjBPdtH3GaLuygHGVHINFE/ChRJp1Mwc11tR/ERg9p0NByoBr8RMfWIGM3AMq9c0QHxdS4LZ2 ynMw3Ydz/0VLCMTnC9HkezcfytCCnMYBXalyC8it+DK3EDA50zFvRfJEF0yNWmOzF7fHEYLdSDIq q4ZRyYR86BydOKx/IqDX+VCgVAC+Dn6wqA0CVvez+Ayyj9F1Abm6T6sRGbVmApBHA23hJKlsbuQ6 wewCzxXSjugWL6BlCLgClTvhKLi9KjXAOcXmQbaeYhNjjfdfq+uy9rr3kB/X6rrxcCRGIr7RCav+ PXhIzn4fjP93ccg4rVmhUTuvuCpmvELoFD2IxrFbSAe4aKg02tyE6OjuWzixv6WeknpWlcG3o+/T QFk648A/Dy/vYJZ5FAJ53W672xfWDcpJx0QC5mBX/qc4vMuxOchvp60klKYqh2Gvhu41BRpj1xlE vbkrZqSHBRqswi9oJ+BA8SB1aKRaeNlFLDcjxBgB8ksdzssTCmoULIoKXDjKbRPvr/o7hjuyypgl e/MciBkV+ru0+yVmKGXZYhEeCz1p9xQ0D6YQq3E8mmpqduQmJ6480ryygopc/zMnzSgP4cyUwAbw M3TmYysHbKLSp0RBhpH30QhKvVkolASe0QDsLSKpzirzjzmYkjC0AJURZEe+zMF/dcmvsBdha3Nm KqmmH6v5jMMfyREfESX0wBrpZyKHZRUtu3b6PzbOX6S9M/HGL2ob82EurVlCQ2h/YE/3cdp8S5YT prXf9roD9orIa3Adlsel4+uI1NrEoY9PIq4CWKUFk4IH1JJ6ims+tFYQroPIpyIKCqFm7fJ7uILs VEPbnlio//Cp6Bl5/7Jw+RexNwRFtdDjTVcMgRAzlw7AoI3w37i4oI8nBjLQipmjWXNCn0K1H3Od ze+P6Jwl6cJDKZDrNYWynFvWtQXCX4KjuEuSJFcadijNLnmJzbwZbZWqsTUMCEDTMkH2vjougVx3 VKKXSQk8yaUQppPsJpTK3WYJvlqsj54gs4wdCP+SHmpUVJ2oGq1S2eqO5IcHfenllyw8v0Y1Inya M3ZChVTO03KEmsEr9t+GONInfpuSuZBjDFelO7KIpCNtdNBJajcJ5yJ7PMwm+GJ0Pik42oLOGRWF lHMOsYF/FLsL3OyOyUbGJVt7jUU14Kyzgj5FhrvLNyWGK4umwUlANpLooRi+ZcgfiRun3EdetBZF JTj/OpCwOhQKrzyIEx+NndWgN9DGXP52Kkr+9bxUPcuXbErLhOJYzv15ohywqROG3IkXFjSi5/Ok IDTd+l68wqN+iDfXanUcoz3qSGz/kUqu3FG3Jt5zbfrjaXC2pnnYQBJ6fJrIzkhiRdcUfTU1NOZk hycXK0p1UgeGsYypeNQc2W9IKBUhNzRHcGe6jLs6DCkzHriCvJlzXcU9ID63rKIA/hpBMkCpDYdE akbCcfc1xS6FzI+FNv4ezbQYzEVL4zNRkslh0K3WwR0c6bV4emqzi/telcFACIahJLvP4dsCrpuS lipvwATJzsO642CujGyF1FSckYaqLzfZFmbDuFoHAvlfnV2VVzI9t+SXbClCUWKNHxDJKOoKt7oh 2ZRflXiPFLkJDnrD7CYUWY8npc/13k9ZV1EhA9jW41ehtqeOnFK56eMOCYA380PV/AQWuwA/+QgA C6600LFx9J5Ocp/8vEUZzkH/LA7ZpWWXA6CC2+K6Pqca6Vn3Gdp5b3RkEHf1D7CdjYEIcvjl26v7 RC8UfNQJw0ge044SMyGG54wqtYe0FDewCLkoUeY+vO15myjdB+1lDvdcYQT5Sg26zQBVgqFlBxwo GxzV5tX4xhxNtYesf+L3R0ldcNPPkPmamASx7aD86OGKKFhqLTx5gn0KRoPc7b0tibwcZ1KBU+8d v0AWKDzSsrP75h9p4dfH4O1mfq2LcmSgsd2HdLcfbGAQLQwMqt3fNEKrIKG20k+z8Yu201stR/iT TCg+NguAGt/UOknAPz/Lj1yNqUDOKUjI0FqOa5EpKqTpw7+Dxv51pi9UCiUaMsz3oTvrg0tqLfqU 8lMmeQkogQk3ICr9KdY9cKK3goGGdvOUVjkWNG2a4azKbWjDX6CL7kRg7tCsqpGeaN4jWVn65KrH BcSLacTcGzpz5oiY9lrtiFJ6Ram5MU0GBXQ/WUyv2tsZYkgDn6y9EJ3Am+dkcgQfoaR7OINTmOYo P0ph3yorxHqcC4K3uM4ANwT3fdmZMIAviMKJehTFvcRFtxLQbgOxtpjf9u9i3JWa8GHcj1mUKz4t V7Hjb29MzeMSS9t4IQ6FfOqNB+yuPoTO7vFC+o9sB04DI8L0IWIZVmHpMuYILypEObLbEtafyoek V8Cq8toLp9oULItWz7qQu5IbLAApkwLJLS2/5az8QuQtngd+U1WNEvUA067W28xImOZEgSB5+mDB fx/UpYtng/vmF4sd5sRmvrqk5yE2EojVlCtp2J1QFykQOXmS2830tG46byDnbVQXacD27gp6xmTZ Avo6YvjUQ1MPD0pBZRx31KXq4ioCuExmE1gn1z9lwbB8fMuoWsJ3iFo5FYU8UkXXb0G5eZwG6r5G fvnW3BV9cwplT+2vKsxaJEQWzhjTMJVJ4Peh7TU8JzpgVBM/OGOp7fNP9Rcr+Um/UpxI/bHLFQ7F mNLbYfBCUPgQsSx8iUK2Vc5OgZEFHENIsDJmkVQq0CYhpdiOKRYBv9Fw2xpfyoF+PlmmBEXjQU4H CDEQfsK64BHyWb+Ew5AmQGEPfHFAJm6Y0ezsUAJKxZJy9CgqxQTUSxiyhhG2x8bno1f9O0+UJIWz tNbBjMH+AwHRSJ4hMTgtCEOJci93S7ZnVGY3Rs1+PEDbzszvbPDCWauoFh9xlUZQw7W5DuzE/jP+ yLs6C2ochnu5NMTj9UZZa5FCDFHJGZfkCuWMMCWPgvjtPtrTbNoG7kcrWR9sKLAGJRHeMHo6VNj3 GxjtrfYQ14HxaaWhlSoJQj9sArOW39Lr+ogGHQ48AQRP4lohcQxo8uXceNB0LSobixUS59eYV8WA uCEGzIQprnvN0F7MnJz8xmCvpiF2Po+j73PD1UFpOeqOGdgENlxBdLSiuVfmL3YmUqQ/M1Eos7Ny ByPCGDxLq1y/zEXVdG/fQMeXGJRAI6STuawa0r5u1e7wc7eEAZ7L/O4eCqKGdpdACFrFQTEbxij9 XFPU5hfmEbVa0NQEOEMJMaD/CEFsoGUKV/VY4u7O9LDUmNIXa4ljlf068I11gZNTj23nEWxb6YSZ 7I26WR8xFUxHZXiX+1mcCMg0gNeAg5+Az1MNo9pzemR9JeYDKRpHbUNVfDxi8jSRd/yZmh6UsKgV Ln2VHCfUJfayeArj8tBNoZ8qTDyUBSbkcJfpVSoEnfkDPKgIWGagVJSR3X62VQUMBJTFNJdp2Mvs yKqeRiNlmTaa9rR0/k8g9cp3FpLRFK9SaxM4RVLNJfGVLnFi50iSMFlOrLKlMaK7LQFCS8fy0rju BfVVkLket4SUC8k4zsX0H1pik1oapbgWnHOPlgYP7kdGveMzfe2f/MA15vQjBDrymhQSyPGDRviQ WAeM1HCkdCSkInJEgVQpXT9G5GPBF34+vOw77Jni1GwXxrurgs4Hd03y1E8nCrJh7zchUJCWHjne j6SmBv5hF1Nfd8cmNO20HLWYxt7WjrqmXtSlyU0vYVbatZMlsllqflRllZiAnV2+lbLHsKLV5Wur 2zUhHT3jHpLddqtIWiX7bPEO+VwJVEi9dttV+CxHDG+G65+l2YjNJoNXIybOYZHSl2UurJr+NX99 PAJDwcui/PkJF06VZBu/yoKjwcpps0Wu/uprMKGS50ccfVsAnTkvmKU8iKgHIBED2EH3zYAR5B+s HRe9oO4kvw8n8wTl27ehBxGc3CcMtstCAhLqoFjG56uYMyEZ343NyfVp0RSYMCkbu1cB4VgOxjLb RwjfzDOHz6ofuR75yLMtQkqHg4mbYOIrY2tOkYO+3PQsWtmJ7tzhEwdqJ8vCyJvXyzi5zaZvPRcm U3IaIHUkjkhNGl4R2AtDCkDi3VdqjZ2UaNvQRHrxCbNVqKisKMFk5GC6TMqOvLIOo+oveRmaeuRc 8tZk3VBQzcHmEVaJbCe6oBgQZsfpQvUpRP+GPeGk5w3+1vP+n1xYNdcKPCZdFG/RS4EllzfS52es Ms8UZAOyI+MrKQNVFfGvzkGU41CCAn3+Ouz7m4cXR9EZzFjnjsODMTDh4Cj5EbZoJg0pejzCsQfW Ptd6l3EJpFr7DsiIo0xJNAfBKXCPBh3bJZoLTPiWcFbBZeRlB7oZ5voCwTC8bN3kW1cui8/Pj4Qz zlqkd2AMkKoro7tijMMLpqLeUkZkgHgNAgN1eBqJXEh/hK7631KitpvtcgT6BFW+hqvc/LR7AK0n eDsm1oLQ/A3L04o1VE3671aMZneNPet3fPvDwvidd6dLc1xc8GaDyjvLnEnUjTbBzLxT7dNsbcUT N+rBqWQ61kRO5wW0sb2sjo+yVKSkWYa7HNXNpnB4RZAPzAdBL5/IhlV4ZrAKrUQNvjTxluQ+wkLK 91CeJBW99okNpYuo5ktnC3KANkTq6YJG0hw6C8Op50nHn38+XSoFOzz+LNDi7v8+lidY/FLqQQxK X68J4FDRoICwTWlZTUjt9tPvJt1zza/0+cedI8DTAfz6BTzYVGMRIhQMCcuZnKWGoR/W7nr7SnLU k8BIKrVuXrxy0py0s5P+udYPXAEO7I+4eyaKI8bA8L6CibkIeY9U48nv0RPM3G/Tir2K2RrszBTX E1MuVJw0rxLq0/VGHlS8f61+HhA9t1pIj3rVrPWBq6GhG+NceJNo48HUKndCztXVYkatIJ7zHXUV /0Yw2/Im5KWuEw8yP7sIDA0FG8QodXpL0c5KCM+7We80ab+7ebHnnJTSpoWfdq2RPlD21MCbsH1v tFB9THiAo/jH0GBkVt0EV/TRXcDOQmsMl87sxIyScwXMPVicArqKoJ3c5fg2/HZzXy3LAtpR17rz ifbvQpNY19C+JDEJQBtBy40BDR1BI2vPKKVtIpvmbLqzU3xVOOpck+YDEthMg5pjvnfYM23sH+6s tAA4No4CEpKFZMKKf+ZAT053Orm3U+zVqka7FpSKMv/qys1t+uZ1GkqRRBf2tZWQyRZ6ZaeIasFy nCL4W6sJgRgeQ5N8+nTpxX6ltGADfFs4deddL7J3j9BHrCLCK4eRrjcUFGXAmWm3tMZroy6NtQQP LKELh3oNpeaSRU62BXYzrD7DJ93q2vyFNrgd0E3ACCgb1e+W3mKICCSlJT9XzPVdXsMGFrbWpnwY 3VmD0Eq2ptBp5CfjFXdXZZ2+e+cQ4QhyJz7cOtgdLnEsnC85YM5pL0icd0PPkm54NWQSTy6+OTHp 5ObaBy8HUbfHINVo/K4r6GquZWN+L/q1/PctdE9fUnG5FDZaj+xbFvaVRf7TIPbxAc5pHkdJ2/yX axBujrncIieqgOnQw1JUhg9+WdFgBtl4jrNmX7IIhVzbqkoky2nOeNtRF659XC2esqSUSqN5N46a dZkeh+sElS7sOsNFFNq+gVCADu46U6lXPaWmaAbgWTCahzaCX0orAYlVmwI8W9Cn5iOOaQrH+OOH yzjkAjLzBldfBqKSPfGXVYr+WrnfE9kWl7UZf+paNrKcd14DgWDHoX11i7xNSjVcLhvkkqpCOvZ9 oHQ4y+sEI8wIII1tpD77CsaamfZ0R2leyJdyrFVGVRpJtaMth1fo3UQfCPypNXt/UdWl4RltKvAJ EIR6Ks/rNG11jpurwZT4yalsyPzEu8SSGNqMZbV+Fenvsm9+gQGmH4W4BOh8zfo4gv+2Fc8GW7zQ 8MCQtvO41rlnQdFWt8JzU7XsDWIMIZ9kYI2tKey0tfdAow2mkBYYK/3Pz/wLHvx7Ym+fG/eePHIq HM6cRiE+XFGcYcS+S02Nphcw17tmEDnbsaMVwAJ7EtTq4fgh2j6V/NL80pECJyzvzP0JvTwZbIo8 HJDNSaU/0+TyfuL7L3TiMbY1ChdvYlPb7oX6gcLAA06oNtdrAF2u1e8RnBLiMz4lFakrSQYh4YVq 0wrYCt4pN/1GReaNXu/nspXbYhqCC+oBWMbgpUCuJ/8IlyVkoG2L+i6Bz51zOjs0ziXYaAkc4q97 Gi4xi0QWSWY63ZHER4mkO3dag/jZ0ScHss7SG7/BvLdVHiELboaE8BFDz9aa+uftohf6X4vRhoXX wdG+psM9FQYrbQT2vf1A8aofmA4g0woSFbTUt4efZOX2W9ly5do6qWRqXG60eT+GoPfHNYO3i9rk EEEOyN4v9N6MLicJBbuZZK/1Qm8s+Z4mirnCHy75Rvd/JxXlaEMWUSz/oPLOav7BK1Kk+9si5ywr eDWbj9QXNDQrlm1j+fWvixigQOciyaQg0xtvG9ARsGTSEGmKtV9PG1PUSEhUpGSrsyt925QBILDO 0tJgWt9HabXgz0BxW0LKhqm5W14QES4kLAeu2Z4gOANOD1UoWzlnjsQUIwH9QIXziGA/thDaxfTX B/gNPabUVMq2FAwo6srQitYBVR4J95akz+QOLL4zDaPCSkcRvfl1FDwFcep/+VgLlJy71vnTdMW7 Wqg8rOwyfEcYCD9t5T/mh73YhUH29mvlzfYbfPejf9+Fj16ZWNBsvIiW4pwQu5ZjoWnfDXcZyzDV HxAWm6JwmAVnRNjqpe4oQWPmFC7S73rro/FMB5YNgOC77JyaFHffTWBmEecQaaKjPQWHs4EWjMjJ G4hLz0f9OrH3tWJkLOGIZBfa0qdVVQMFPbPtO4W0iI3AnN6ckbpHe/lu4B7PJIGZ85hpCYgrkBPQ QYdyj5oMwENmp/PsBU/YQpOdhqjE56fFGo3PVYLDpEJgTUo8xhhL2PbkEdRt7E+ZzPIyqgwv29Pl 4FXSlAj9Q10nSsZtPebQ/PH/irrXcKiKMIle/OhyGzkGLoYJyH78bGkOGNqSGT3GF+GZh7X5f7rY 4EMQBTZQk++xTbKu+i5MN2k7Vu4yo6o8VBGkKHzf9LS2u4nKSWQd4z9laPrqGiAJ596zRF6XBEZs qNQfMxOoem55LMTHKWfLCFsvfEctgmlgK6JvvdHYiAFkNGfJj+pCUZ4VqQSQAajAMI0JM35UaFjs cIXLy4v8z+fVDFRPpL9aaIW+UKPLBLBohLd4UPDkpEw3KDFgoVS/BU1idYZGz600uByMnfGFDKgp WXZnXzuc6S5/cRoIZC/FEZ3xdAulpRGbUtEwhVAkCijq7NKmPMHWx3Q9JMFI/K2mSYAZ8zZwO9QI o7mRRHV2SXAZ4kcU4BnPKyBQ8I/C2L2RQSLbE2qwj45DRcW8KvfwUNy/anHlDKbTz3WH1xaP+y3q 4Bq1dUUHDpvxj5mue7BsDzDjMXQ1IX2Vyxa2WnUJf2ihYmC1gDAqCHigcL73Tl9KSpX1n5jJEnRp skqtv8H1ZpnpE/0Nwtt0mAUfXl6Cgyq+4/+xyghk3peOzBfFDrUdiXyMTC0uF7MbLmP9L/ylf+tP +MGqNxcyL6G/36Q8aH68GC6szMgcAQKIH+wLoKdSc5jOqqTU4qDdjs5fCsP25t1gQQkxVSSbNM6U FEZNvViZDXIFnotdwiHBArLj7JahmlsyWmhT4hBnU3aHzimmwpMWh0pZbCMJPI6dbHV0HSI3C79F Y4ILfURu34qGuTV840lnGs5mEzGp7GrNV1rvItqB5laNTSnjTZBS2wwKkn3j3Z6pfuN6mxOCdabB xOKOlvJsdjMNOU8mUMv/9h7s8f9XfyDHp0T4W8v4NWMv8emKZTNwZvza38idBbiW9SQZDKbUzDej lfAftuC6czASzMaLSMGLB6IE+J+d1ugOF+Kzfy0epjgJsjEk/uaXTH9sjEbYZdJp28R9DspzT2DA sTCCCn9VlzpTATdHc6SjuR6lA7t+4Dczk452G+EOKeUfgpeUUsEuhU0rvxjMMEn+DbsXQrvIbAmD tYeUtYeJ8Y0DWIxQ4dpQbOUtX/VV+XdmR/hxy628/7XIWkTcU9CwwWZ2XIMyjbjBk4sPJYh6OYZy 9JtT65Icttgv7sNKxQJO8lNGS2vXFCpHGV8yPSTWK15mkKWHxPFh8Zkf0laGiZix8HZQvjc//JDh yq0LVjaC3+in5/vNkJTEyQyZBNBz9XpmpFCzVumvfSoC5M0WEsMZ+Hl0kH3ehDeccp9w+BmD67AD L+u5RrR3Gzh+R4e2t3fhB9UeOrBJXoPPqGL0WLKbvuWahnnboMXzdWvEEiVHzjqsrxjorbXnD+Sy bTUz6GmO4hkZo7WZ6TmteAcCDyIDo79J8ikME6BrDNOGL2imP1FC68n0tgYggHp143rHVi3udr7D WYk3uVJ0KXjkdRrUQ2ako9HHzZVFPQqJXZDGrkbFJm16kM8QRQl3DGTNEVKdTtSFQd6A1jBD6VYh qLV5Il6TadV0VL6obSW9lkC0heK7QJ6531G0SDS7OlNWbze+tM1fqsLx1opI/M1BBLAsJsLmDRZO xJoQJrlzISb9OnaVJkI1HktUAUk6LAdCkSKzFbjMB6xciL1uT3IwW8jEUcK58VuYJoaJn6j/L409 D5MDfEhaQiW2v3v4gg8IV5n1rBfhZjdLw3cjYvVnBh7hHtMxxIdC7bprO3eCFgVsjPxx6LNiCE+K umXSoBPvB+v0V8mOKs8ck4y63K+gC8BoQ/kxLehCGHm77RgKxiY+1FCf5fgtlORPIhKdMlMu9ncq 3r33G47UtUHbateEiI/7QdLksbI/J/MJLT/wlBsmVw7x0hdcJPIyOW3jgqKngLP3bjdzKmoZCxOW ICzRSzMREG59PGzBvyFGbLA8SXcFyhZ71g/wwjnM9jWHngToA3EZAfXTiOLHUSZD9pLeuZwFfhdt Bn35snXIZxnzVMWHKihbkSG9CoXP+7PUTNO1+XqV09vdeVvoDJhSKxfUB28a53tUhG7cvJG2E2Br z46nORgp+ChlcAx/w4kNrtSI5qIDPMh24OnYuKkaogcJRloMuYPC0aJS0NNmSo9jAEgL5PP2SNCv +/vb6qxOoQG6aKbtTjxtWaKl1hVYi6rF3Lo7PTPhQ1ZZMI0NiFga/ddOMwLvOS/JNTxfKoj/dsxz Z+gvp8kZVEhM14uJGja6q/1iuOGS2LDRtLytlfqs5pkHy44Rpyo/ZEW+sXFjAsdn/cpUiy6hW8+9 1VON7gnic6PLFmYLQZTWOD8oeVV355YRWGjHFiV03gWFo4g7DGd2VjbejyjlhXE6ErfhTIAB94fE AzxM3x1f7AOTRmBn6IPrEnBs0gc/39/4kE2riZNVQlH6LQHnRPFfA/iqeoqp9FFNmtYKu6QAp17h w3LVYz8tqsmnzq0GEFSdkAxrxMhgD7KJQIPF/Mylz3iI69dYritOtfY7WDiY1TWya2SoH/SECfjN Q/ZZClAxjv5PayoLyDtifQTyQSM7kHNA1KoEiDnrOYViL12e8RCiSEVf8fVSQYhZ3Xq2vx4heGc+ zjG5hiRQWEhW/RUtcgsAi+dMDvYH+nhJYqAi31qsei5kk52RyWcPQYYY9XfdILMyLmzxJ6ZWJn62 L8mIH4Fz5jw/qHGMqb6402XumlQlx1L8w+s0LuXAtQj2X4I97t72cO35qVRxxIxQAIHRSVsrIyJJ JqKoDjIGVXQ5Sl632GBGoZU/mvjBiX+F0RrpYTPJE5JC9BGbgCWQ0/c2YpmijzpbzEBWSaqcfx+2 ukSM0JOw4qGPIOe68mG8pU3A4nWKDclewxOr8qan/T11xsHxbkovjHxb6GB7S9xrDP1/gq+qBy/4 6tlnN35OuF1j552DnbeJym8TCdnetjO2CNdvbsJ34MPOsuTDWmj8pv+Vu4vw7lVqh3cCI7UrkmMJ cH7V4CAHh1YLviwYcXFLFjTnFc6vwIRdCW7ZGcHrD7NXGStjGBzSsaUwHtSr4M+j5mEgXfJzXEm9 Cc0prSXvv/xGHx9sJJ88LxA+i3UILkQNR+9JR6DoPKt4A0y0lJWmWo0mLj3lFLtQHQjm1isfPut6 qKUnYCQfP16bRDM2Nt+T5fl0fxl0XfUIodJWjWyxhXpsU9cm7Z0hSwZuk75xe8A9m9NsjldZ1kjo htQ6o0mr1jtrlaNtxHgQEVFxHCory+5z/I/08ig/uhjMoHG1RboxM6Ma9xTMYjF2UYASQuVu+AAV 7Iw/2G4mbcb+xUpw7LodZfYhl3xpYpYTfdGsZ5hmqaLh2miFfL1vVuARou/tHByfXuxVcYS96Rm4 mmzycJ1VUR66UZdVMo71+sA9MCGsonteszjRdad3CWfJd8wbCJEkcCpdZ56cpWA4NgJppmWueMOF vk2uBC0YMvfdug7wDYqv82pvDK7IqEg5nt5u/j0O73sUiUdiIspj6sw/FtoQwCUpRlxZXJq4Mj1b BzC7Waa28ek1MRcbQ3HTwswLp+9ElRcJWjo9za5xhiR9SjAPS4goqwMnF0pdsvzMPXPXXTTydWgC rGrsRSeVJZ3sPLVStc/vjBaKC9MXTpGzeoDppy7cQDFudY0YvlowKwb5vMmW8MT1RHJykx+kMcg/ uBm/GZf/ilkRrXkZhUcwrt+C3OTLoAJyKmTUHAb3b/Z6FNcNDRsUlgdqhRF/FtVhuD2m1gksr0mA Pq2AC0Sq/iZ7IaksMeTCwb6xYLRMnKWwjAI6mPse750PitHVobCMXpVn4Bw9NOUahc2jzRz+bA2W AdHIvWgn+EqcOfQpbyAso0Z01IKKHeyPyHTfpaf0h7XUpIbjShwRMWuYqgRqp5D6VIS9QVt2TWQW g7fQgAT2vsMQo00Cq+tiKBuxcwz05n9d5ZSViO4uI6+l0LkczYSM1Nauy7giL7f20DDi0sO92dqG N0eGI21KQrW74Sl1iLVDRMJa5uNO3UxbKIkxfJcJhT9fBQM+5lKvjL9E3khZQmjhm/ZWTVIqqSA3 0uPu92W64dJcAyIFknVgqNv928v0TpO0t9a9/hcVFdq2RPA7PUFtESgimH+j0c9ZzunhHwL9Qkvg n6mZZ4kYtM2f8jgklJ2ErjoKPiv0ohPGTjISXIsyFrf4YseArH+9/eTPi6uCh4AsJ45W6upfNvM5 4YdQPGAO38suDBYr8GGEnzEvkBDytntuVxek+lw0dFEnF8/8cT3EUwl171qs+DhjHbf0IXC3Oudd LTciUk54Y58wkf0uCe9ASNrsNaW0fOTZVUX5/HKORGIb/A2xYVplzfeThHsI/R4nZKMgTw0JfqVQ MybP5yXxhjC4EL8IKELDwP2X5x+mof8ReeRJ2LAwSwsZf+FsrzQZ9sWmKdm+eXoERkbyEiufalb5 E63g7NRezmMwJzC2EhPiRGQwd7hYb2JtY6Ghli5YTliqdJ3rmMYOLdJUyo8EGi2JgtjDyhjOiH9L QH2vHNh1hplxPtLyv0S16Fw6I3nrfzZ4kf3nBFFp1UtnGhZmziYzkWQR3bSnxQFRiExhfJMvDoOe 5KVPHgZ0qbsiSb+1N6bvnCJiE4CW0sl/BuyKQUc8pruaMMTdGmoIAQ+8kn8h14z/5csGSW7adRAk ut1CtEVer1NiJobYCEqz3/abWtcUl5p6Gz748T4dXUl9+eli9oGAZJcCz1yfc/WN0o0xewwyJ5H8 sFzj/n1oGyOgheMY9KvWIcl72iu/dAx///FPW/j3s+c4COQ9VniKP+TwKY4PtLPzgV5lt/HK6T4h k2Gn9RZfGox6/WAmcKZc8TSz3zjgwrWb1x90qb3hqegBgH/4yBW78wZdpM8GYyx2VFTTQsQU74N2 uHnvLCSpkZDEKyy3hDwyzxa6BXjlla5bgZcrvoLdnk9tcQqYz5SvOuWYUukKtFnOZQj4y/lqKNtK J8n/cMzARIlUCvgRRUuSfy9Tf2sHRB+qf5VUvPQQkz9B3iNfUeY7r66CB5gkaWk0r2m2xUNx1D8B UCCERzFU8+ylXXLsozRA52UorprLiDcNNMqSDfyg7LpGIggPYoFkKQHt4fHBlrJEniHwjt8zbBFW N1if7oaDKAk22oowSTWIL2cjlhBWByl/Ht1mH8PJ9Zt+3QErsR1xy/dZi92BnD5zUzAQ9yu8OA+R OY+V4wJqVI7o+3Nd432zXI42fGtbdmHWy5Md+H2KjYOt6B4JhhQHBvsnco/tqGAhw4Nx3y2Jx2AX Jmq4psJkMj6fjCGkJe5OOEveF9Kg4UeBpd+1eYrXpgyqgDSWBztMzZcaqGB5AlHcFE0xM75705Wv dMaj4ZQOLGzzPcoug3SzYWfYb6Kzlq0MZ1x2o8pmLY1eYMGtdsVA2IA9DUIbI6QEy5wuc9pB4fYq 3LBz68GlByaD2ECdfAVoyWZjBYrt3eMwR4zSyXas8b77gTL+Uga1nX7okVGJbgwKO1YwhV1ekbg8 A5DjE0Tnvui8NbLvkc+PynOhD/NJB9IFjmaavm65GwesU9ZCjHD+62bbGLNyn/C0w3uovVh9vUCk PekiszkWX40TilGAHfqU6rjfG9wG08b5Poymy0AhEJ0/haU41+d+hY9CANgquQQdUsBW4Kv4CjNp AX3PdbOp/E97CIrk2rFirZ9a6E+lKYWw+zJjDxrMIjNPN1aOA+iJgHLfDO43xi6AFVbt9y8bNAHC 5D0rmCa2QoDDYaO1T4mmqZUVPbjxN6plIkO9ljYKH4uNu21G/b5IOQCJSRzuPsAhgo1a2/jQdDtP t6EuxT+3f9Gwkk8rdbf69AkpX86dzM4aG4tvu1WrZo4G9PJxBaIxGUVaOJcOvDx/oJkVMgo0UIn2 D6c4nCvatIXxj06n6L9bTd6zfN+h5/aXRfkbP7+8JBJCiq6zaNzMT9fTnqJU2/7CPylS7cjuskwN EDC2NZcHGd8VevySggwVpX+2LGj0BrM+v8TP9zVqrBfKxgrs+LhEQv32NwXLsoeRGV3R5VPUseH7 A/Fz1TKJWw8yKVI2tMZvsD6gMnRd47YNV5VVPVU1EaZ69dvQOeW4N/UMijFhtSsI7Sjnpu2IEmgN BVpwjUTUZF2GLrI5NNGo23oZ/8Xop3suUmy56M9byilPXQIQZHtSFsoBc2YIwQBrhZ/V0WCqaoal iE51BvQUrYbqZ2PYvmSLheUlW6JEc2XWsjU5ZNyUBIH7Pm4TjwX+3r1xO1GPIooetlxgcWAoFoIl xrt5y/uER0xpTVk6IcbuL+Ms5JlzcJiIq7a0XXGoSnxt6PyvNNRwI1UI711s3XWvnZSHovRkrwF1 VKmG/5KxL2PYMCpwSBM5ysAVulfZolzl1HCgf3nnEQRCrMmIW/dSaSTUn5DHW5Pt17Kv16Xk+mdp 4gxFxPl5h8lTkw0yhkwRTovmdS2NYcrzLPBTSnd8029V7HAPKGUk+Pb2zSTfKJYxALe31ANAp3d6 9sGQwp9TIZys872UHA9xinAp5o/S1vkvqsFbujcDxOoj/qtsndtJWOhYx+UA2mx5/8WrHyXn3VU+ wuJJD0v65qtT+qDAaVE/8csNKLs4t9EH2fkAlEkcudy0Vnd1wthnOuxfMamliixvDNEyZ8XLp3ej z7fUkJuA9shy4H7SaQhEwiiMrOENQI+jS81QxiqLSTp2QWdEZXsttLCoEwqgVbuwzGSqQrpzvqtO JhSDh0b+7rqq7s/mSdDoAFc4P9muBhZfFH4QZ6iBbmtObgBAoL686I3273g/JwNo+0+Sm0LSsNkX g48WoDdAwgSDYNbt3XZgxv0J+okx0avszu6LsySalbcPVjqsTySq39a6qHPF8NKLrEFJD77i7yFG g476MkcEM073oFlTGLKFfq21zILr4oNfm7v7D/1MkXzrTAJtpr1h4Sye4Bi3mG6RfKBLALTgUOBT 9IKTs0/gkucY4GaQ0SMmvuf2hQXoBHsrrhpEZu5oMGirKC9/LixhdQ1hWY/2QZCFfLidp1/M3nFu TG6OX6ZKaCgxCQPxYIH4NDArSI+YDaPpADrIOjGsty2ITKCBRbc/YzqJ1pDHbb4ahlo0OQiJ+7Sw ISpbdh13uwvlmcWv7fyYG13loV613E1N2hy21or1n9LcIwlfyoQl6cm1BeyRuPmjsgm/LTzFhVIv Qf+qfjn9DHl9ydOjwJnTI7Lw6tNxc7vzC/xbiECI1r2UFnjdf+WSGpthJx9QpzPua+N1gHqepXN5 TJLuZ2uLghCgOZ5kNwzVRalYZRdqaTxMJCoDa8bLi/jDos56X7XN0g4E4uheVb4Sbhfpv2E/6zwQ mUNP5ZWR5UmRfoNnIos+/hna/39QgcfSQOHSyKWmzztiiRteyC7bWnrkPEPYgebOi9IygHaM5GMd iMz1lW576KvlZsc+ukEzqd/e+DcctWzPve1AI+gJk6Tg4qzC0j4PwGjv7EN+EFePo085D1VUwg6H m41qR/TgKgRe4nXCyeRzmrHwowm1hPMucOZq6owZMKK+NwYXuxULv6IZ1jheGaiP+1DrOxQQaQD3 rN6LwWoEfROyiDoVxHYOyJWDbqh9PBB/gj9MbyNN9lXb4HItx20eBcFi9Z4+s2pUkdIYUgBTjTXD IqWHOF/swLjqEMW+ikXC9xaff9DLhLrjPzhqsAgJ0dybPyAezrlPBJIAdJ+29kwwmNRqfJWHTo52 O6sWD5mK1aqu6j+YagEBEk5tHtQp04vH0jigVlUDa/2rki6SXaEBBK5rFaHupG/OceOtlDZIZgrg UkYkBvkMPGAVkzgoum/dpW8NRIfsKelNDYcJqyOcUguFyoqjmgxquRGQx1uJEEz1dfJVhhhKksKh qw89WaqkH1WQ0lsjzJj8wiyrxqdtCW0g082u+P9BiyqzHOPquLBXzmxnh+hw358SKDXMdwOmViVf Cy8t7ewIVTmRMpHDuZ1f+s4zBxjR7R6CsTQA3bSiGNCzrbmCi3R/A02G1vzIKF1bDP43RFc5gcEV 6GR48J6pjFEggMfu9gydbqx2rFSe+6QLhhehvmOSO+Rfr/gquZJqqgj/0935mPy0qYo+I5/RAq4Z Jtv8+G0hf/lMSvaBQeYQi3ZyqEivKvgxVXCXFPwP1Lj7RyviC8XHCW51tAL8iftmzOcdr4dkLyfI YCw0qL1Tjo7i9ptDPRBsHLSNPiBkaSjhAhPgrIDXwgKct2amdYRpxKhpRG36O1rfmqa2ZB09n5hp IpIoruPyV/v44lsUXgCNa4XqZK92VbaSbYetueUFyICBQ8dqkTJ3Z0yCv4rg+5lKnNwoOv/D+KdK L+5YSgaV736dgNCkHfsEvDvwo9B4vG9nw2VIEPL2S8aR/OgncxKVCEjcAtDbavTecTVqQ+FwLz/g Vd3QmcCv86efLkOqAIZb5iwa38neF2juckq3Nkas1tbwITNrmmgbjhBKjhpWKd0cgYtJ7cvltKI7 pZavxrBCt460TssS346XcErfV5gQ+oCxJUMAkOoBC0DLh+GqKvoJw6dyMefFaeEecZStMXmrBHp+ bqMEfB6vy6U4qFr/SEgr7lvpktfiV10WnOqrVQ114+lhDKvF/zKDuiMkUe/+Aoco08W7Io3c1O9J jfyVyQi4PYS7U0gJ35KhMGpj8Jn1wgg/vXmbs3qTQbKRLp7K2VmMIvhF9Qgs6DwsTiefA7ii+APc tER+FDyCchy8gc1KKV1yUofBMtqrgzzDvsjjW7lJyH/aDzyPF5qMOMwq5j0MkPZFDPXqS4XN+1G/ b9T61PSK15zrZWnpi/yiUUz9u2yMUrBiOKYCasx5FfDKlxdJv4GxDnGChodKz/DFuLNl14Iv6Egk lWXOFdc5W7rBw4bDAJHJm9h+cWT0g0yCcOGLAEZbsAYC1sBx3no7gg/T7hZN6KkgdXjjYi7H+zC/ 9WSUXW8l+jedIXgM7niu9ftamZCfZ1LYIv3wE70zndIQayvJjTpGwEahp1g+HbAt1QVY0o6/Vefs 3Cp6in5guGcWDyO5RAAQL766tZ0dv5sbYxICbmc0w8uV0vPLmyc9+lS37VyuewzPjzXH+4BteFDH VMCmRf7zgGzVw0N4q9XuwxTqAP7j2Gg2xolpJyVjRyZVwzo5ApV6Mcilkw9ZuMFF2cRlcnn8rwtj gW74SUo4x73IBwyUnohb3+JCU3GcuniSZEYkfyziu9V93+cH+EptYOz0mxKBl9W6Gz88aBlQzo1B NyN/gInGCJU+2FqEeIRG3PQ2P0t/VMjTveHJS2Q4ecldYABy9dymuHCxExcvMHtq0bVCrjlkMYhe ntWz5H3mtHJy98sJ7z/hShEq86MmlDMACkTB2fri+274egAZfBQmeOK6fqUYsodBdXezPkrraCRw mCH+p4gFHhTrC6JZOU243CiIi17d8dYBN7+5UYG9F5PKOLvGl1xYgL3p4onNe3RrBvFgOBJEaQgC pRmErjsBR21OPlWBuiIkjtPIVqxPL9dLQp1Kg2SkK6SLmUNx/2ftit/fBUw1loHMD0H6YHgO4x22 VqNHjQkPbQxnecysLwKyugM2ji/gM2sPncosE9nwSqIFPOUDpeN0kEuph6PCPW1BQnhH38LPjVe3 TnQ1lPoyE5PATzEgeCRXzFCnjDXycR0XQEgFQUE0NyU4ZesGL7+jQZl8UW6tz7yJZJVSFjidvYiE U1vzW0GlRTISZLN6WCzO63ojDUWM3XNhlkHzki7ocKHfTji3aIDvBQiKw0jok15lPXAPtPKm2Lnb YzH9aLTSYNKg/nV2MnPYOiK7zZoyt8f5naV0+8tfzBC+c+U9g7gijVYKgeMNXbUSNYUc1B88Gt9g Rrt/LHl77ga2jv3xBDOBQhu4J/mG3i83C5ye1XiXFi5JN12GDqZsmOsAdg03YdtOsyfHmAedoW9j 38S15XmLDq/3CZyreRDyDR/boSqgF04z5rNibgwX+gzVypH3vA6+udU625KjdUPfd/oaIsVvciu0 j5G+wUt73jDPrdzHzDsLnuf2xP//pXMHRn+Zacb0t/FMvUvDMs5pGEmzHc/y96U2xAIhW48GSDha MKigh58AmLqA1Mvjp9BCNKDoNL/gVg4wWHaQx6MANVYHM+6NgYwd3I0OU7pBTAJaXTrLHmY0H4Tf eIpV6g85Nk9fcPvwR+PC+UsW3wAPmEuAt76zujsk1+3vf90ap/mmdp4D4yn21/EKC1wSdNLUXxBy xRQn/UnLQcmvCiZDR+T4/dyy8qL1gytiDjurtbrNNh64o/1aIMzSvvuV0k+obatyn3YsrD73A+r/ suhIDdRMh7FIvgNjs4smT7lfijBIIc1mm/X0WNqteyWPXCDc6TZznOxLBexmuq6IcxKgUSLcA0sC C3sry6bEgDsjy1O7ZPKpuo3aCqwjZV4hI5TqZ7GmRpFETaMIz1OxEH5WJ2bKH/hKYzWl2/AAnTSW fI2lShUBu505xek0hiMf/eAFNSScmh7XXSlQzShKFVmvC/xM0BU3nC/AIDpWZEkIwTIdy/lK1N97 xEkwL4DwPj7kHfEQWhe6bZs8thdrTnabKpdvgPFW0TQL/7veYN5Kii236CnnYg/fszNgQK5w5Q2f BtBTQxHuZsGuu4b/qEmDar1fUCRiuikENbVFnKtm6UkRhv1HJTsfbSwaVQYMxAyuDvB0maIH5Yq5 IqrtRTxzUoM/WWYp83x5NTCq6nr00lyuJPmUUWhRWYsXMWgC6WB3rlEcZCGvdsWtE2Yd3TlmiUtu Q3CuhqJ5uMxTKF2Pt6ppidgA2TheMBG8R+tvxU4gIOPSSEx2BDx3vIaYuR0svVePrWimFOycpGPm IicALqKBHUAyM8aJRFUYsmlHcmgJreYm+iSumcudhX6V7x/KhSx8RSzgdJ34DW9E3zVFcPiE0XZC 8/OG/rMR8WgJOaTKHbmEM5uIqleDhLg48K/sWkDxLdK3e1JjE4IUSpxt0veSjDXhnv58tBbmxXEc 3+CprKW8XzjzPnmxaT6iaU2DSqL34B4k4T99gm+fOhh0YtDkpZiLtv5vfj7G8FG7H9iYBtxNcRFC FA45sThrWd0uM3VD/90bE06OxbAHEbmP75zmEG4S7Mi2TPpZQXi0qa0gTa6KAcQKTK6NInwSSHrp iEoUz1aiViVnTdrHWd7q6GUnqIrByb1pRu4k29g8mwVdQ/aiO6kyPOjGgAHjA+5V79pYvaivfhMN IpkBdQjk2m1SLgl2GhYwfIcFsr0LApRVbwCLQs7tjHh5v8JhJwLv4VQA2NaP3JfPT7GoAWS7qjBw 8vD2OX9hzbie0pchrEI/DsQR6gLUJD5qYgjtpllPDLVDS0axK36Lb69G8wkQ5e8Q1j8YIB0HdZwy w4P4UzjyIEsE24TaLwZOVbydI1R6qHaApjWSLEhpZtfm/3U8Lxw1t9uOMEZagYOl/1A3GhWZyrqo ERyRiOtbdfhSoOFZyC0wD286+r+Y/I63oniDaurUUZZOhk7HxJxgn075xiC2hYrtz3k362lAHfa8 XjCKJXSjP38/NSZcjDDnZ27wtXWb4Re5mVJMF3rt6Xiso5tUwjZKTLAMUuyEeQatgSqu+xUtZDjI iADXaYI7Zyzm78wojBIC8yWFvSq4Vei6IuY2eVdoVSmaqBRR8lZcyEvedwT+BBIB/sjA8g/yZ37Y 6hf65Rs2Z5OdL3PUUNXHurRNUST4PeLUfoISy/08sveOFeCGt+xws2Elxa4NxJjfgaH4Wae7tLQA ky9XzLUCA2Z/asZ7xqtIALZrpjM3fW+IvXGrolJBdjDuOdvIE19pkLg1tTE0GqwBhc4/uEefr/7k 5LWtrGRvmbGE6Khs87G0RJa3z9agIpObYIGcEKrYf8jx1vo6j0fpHkuBuFPic1WTpfRly8ujejhE uScu7fbGdKD4usEkL7vDq1Y+YkeqsOGDDunPdLS3l9+0QD7luOqh6oziI6yZZAYfBZ5yQDIYKFt2 d3R6H4HE24gV8xq+mDcBL73JJnwURsUA1zuW9LCD4ZISfDUVGyrgM4i2N/lC5h30atwzyF6R1TvS D64IXlQj51uW4GfcO2pwMvSijSwtUjVcD8+v4WOCvrQbVzZd8ZwXScIsvC8mZFEwBCjkqGiW7cU/ T8ilhK6Wj13fcJfVdKDAiLI4KNxWldzzdrS7/pbj+OEHTyQ5PV1YXo+lku+6aw3aHTOvxnTyyluY oNPh0WOrwWJObSazKfOsThR5GZV8mkGQzIN5KTGrqsHkSVNChl9IAKceEr8l0AHxFZ49/BDUNgM7 BM8w0d0Yk/nayh/FONiSswHhOsqNGEIhjQKZFT9+lIfYyMVE0Y2hCvYUKQSyM4NeYgW0RSJD1/rp opkvx4Tx2SRSMjWwsSISsTI6tpr/ks0LxrWNyx0Daw+VwTJ51UDtRyyHPmoIF3rhrxlDWhOq5oc6 aX+2V6jZh20G6dvn/YmmMU8A11ppKflhdSHfJ9dCzYilZerxmNuvrTSmZafxHSmZMeRyADAoe6fZ 5+S+gyxOBlKGQYm7ZGQVYB2CIz6AbCKLye/lyRULSkwxvL/lsYu5GhCnff06Pjz4/qfyAfvdpokY jZo3TMNS0D5HKJIETpYbOaZvHOrb1GjEfAbmBOfDiAlNtHA3WNd1ng0fCNQQF87NWVTum2zLOMQY W2FN+EwmGOzM5RLS4B57UxNZ24L8kEVGVVbJC+Sd7Aa7W90P/dpWU4g386JnDpHh0ll/zllsqf2D vt7bqduagSaTlEHWiV/moZH0L6Aj5AFxcxa5pibCQKqeH9v+cLTDCkWTffRcNv2xPscHsBL7Gf5m oJpMnXJykDdqJ9R/afXBol8Tf0mPw/yxfXwAEQt0pgRX8l5fcbrBl3Z6Zpp1R7Dosse1VyNtetPr v/oaNgyla/GRMwdHWSOfotOFaTJS0Aa1qPxJC4+kBXyOYwVa53dNpFQP1u/20EoXAVSRoPWNwJkD urqDumAbkPAU0CNdNtATxfKPXWYt5Ei4mE19MxwIsYd4Q0npJ/IoN0cFTVeKjz60MgRGUkDc17bt 54McKcHa2KKwqRWfM7z8RnbdwZPAsf/gAuHE7wQqXmevFc22iH89TBqsLcyJkAzP9O2HdqzKH3Ig Gi2xNAa3CUCUBzN8uLC45AP8FgVq5wG3WIBvJDPaP0A4QfIYjwlrUTMgm9CjsCrBUMfvPQBb+PP9 GTRszy/m5kjeasgcuAiBmIyrlkxxPPJcua1BGJ5NgWjrMDEzbj0VnOZwumArIFD9DrCjxzTifnKF CU0oeYDrxSB5roYG64gz/MEvvNNR1biRx/vr/GeKTLOVfwlBWEV86bjYhKzli9i6WgAyBgZ4kMAG KzV5HRq7/G3ZbdRroyTF5x2ed+YEJvAwlOCwDWeW2mcQM51L7HrHFR2mwf50uGeQNrcDUJwGqTuG dWcbb3BpC5JJ/X1wyuDh64KnVsO/cfKCKeAB8qDHODcXftnEB+C1IUh0q8PSfy3Vrz80ziCmS2r2 wM0zZSGu+FwTp/S4FB9IP29GrluMElTFJhS6zps/1Zn+sknDkjs4aJzccwbtucA9KuoMtGagEBJv nfoI93BSRBLQllP9AzlOAmh4278BULuCitdDWRFT1VwxnI/QG2i5RXnNUPnzb3cjvG2FyYkTQb1a HRjirFZjFlP8ZhqmFCAkJxieC1CrcalNPfPbgmCJ6c53MHaW55j6XOVNYqBrPte6pIvUS5SU8ib5 wfGv95B06DIm2bxG3NWdLuQJQ4wWyvOvZeon4mcyqhZQVTELu8qyFenJNosCnSK9xhxxCdqhICi8 HyA35NnO8ot32EvoHvQCfvRqd3QBHfPtf91aMNauQAE/28wgWzIbVbQMEjLhETTM4dM0v0MikjId vqfEVFqQiVYI6ptBDeFcBTAQX9yTBtvt+l2B11O5Vmr8cxZdNkokVGAIbbwrowIjDsosrv7rIZK4 7S8s8ln69tHazQQDL99U+hI/gpSjJh5b+GHcUDyJI8VchnDBpnERqLQsUoliI/lM7uznC53gOMxU nWzJ9A5yRDtgUWviO4l2M6VQrL6iKhtzUwn+4H15QKn8od2KGKjlLlBrYsaMBLPVvHMnI9wcdcWK m+Lpstkz+lnCZ5yd4W82uvSTcf74Cgm26qruBmRn1ppCHI41b3ED8PnxP4Ji7MT59GKfxla1pSDi pV60Z9Du3dYAEJvYXT5b4OSdWXA+NkOvg0RCPfdQV3IdxAwWRbXUzJQ4EJ89zAvX9GxY2S6N70Fe Zvqzo2TdkGBe8ZVbLC0XHFK2ppB3T/Vy9iFQD+6nn3337BpGqWdVVBH9W02Rzu4UCx2Mu5mw5XSL zlZlQasuIV6XjLDuSDuK04TbK8cplPEDRefsIUuWpdrvGVEnTDXOxUsd+8onVA947OlQa52413OZ m+OpOQMDMP6eiwq/U3oL0tO6PmaBbuHvapbs2ghGTRtqR8iRhKC/brVx5wnlyZ7kxj+Pm1JLx4eu bZRC5wJM+C0GOwqwctOqj7qfH6YiH9bHHvOd/t9JOXd2ge/7RloaGz0yNzIUcNsO91VO1XpdgJwn g1SG6VGV85p7xau4CgGwN+j99C14YUtpHYpiLeD+9eOlu4Qw7QqX6p+nHBgYWt/T0iI3AVALVXlj B+ljYVgrBmRULCxBTAnr3NavpH11I1mrZcwc9iQYfB3A2c0mMg9X63I99GJj35nvyG3YFIjE9/tu Y8hY3Zt48BO/QU9nZ9CTj1bO8lMLCH4k47W4sZjowRhAbwkeGDlCrzd57sHQ5vAMxl4VIzji4+Mh a2aF7WhmeKkGUUL0FJ3omJM09DVfuQul4MHIpLyVLPFfgo1C/1tryXUWhLn/JDOj+Qkgf4XiExxq tWXSl8abcakHIvvKf/JAAfSoYfNoOwXe3ptv4hvJytqvp1r51RbNb5EPs2ji2YrOizr9CrqEXE0L 4kE1nxFCXALe9aEwpFvzx7BkjKgT03RH63jjVGhUyR/O7o/TBkTpNOK1Mdv1RQV95CsevxzEgFtm qFFUBd2DP4lh9eF0Nve0nM8/k21mX/93nBy/iRQvxRp0EQ6xZevEMg8lmUnk4hwLL4NWZ6WOCDQw eDqbAjIV+biodMo0+3YRQPFfKdWYJi+ZwJZwXksnTY+BjJVomf41JXKoxFRl5bo6y5fsBJqAs0wn lFcNY1swKSxtfIvbmWA1fklsz6SdVAZ9vyLnp4hBXfQF8R1uj9fKw6HZ2Sea9ZiP7owCVcc4M16v yVtoI8mpxwecJEQAHWcs9ucASPB30+u7snzeAr/4F+Z3P6jf6HOanzBZAV5mwmB4FLK/6+i+goco hVnfQ/234cc4bV2IxT2AonRj0JG/Vgqh7Y05rPuWvC7Zz6cofLlQteBcWRzPEX42sSFnphcUUZiA EeFc5O+BOv/fUjzwgNfIFhaaXZ2lojAhn3uCT8d359CPA02fVYoJJYIehypPUZqEvDBK6TCopuPM DUfOKD8syohKFD9spUoGoSdhOHbiV4ajuA7G9ldkhYKsSn5pxD5fmpXBHp2mzgg8+pAjsG+X9xAY 4A3MtATWZfdpWDu9NeR8jAcMorqqHlmsRG2wvv0z+iGXCrdS3j8hV8e2a7M/KMr0zumZIMTFD9hg jChhVHw6JB82+bfpZeYb4NDTwW5cf7fQu7PIE5g4vpyhiscTq2iq7dSSj8lqZ49/QgsQiVW3HfuG bm2foPzjw8RxCDJutYcLHtis/5+2oDJin3fyeYaIJXPCZ27TjRTZ0zcuuSyUedXjdouUdZmmKAA9 BKL5+iebKzvatYwbsnE4bVoLNykpjNLrAQ26vR1eMBAIfSehYLHtAZ/WkAMNl5djCU/6RRKtPOtn 9B+6Qaer3STxUqs47Jjxmwekz1wAaDpnCNeeyyTQfLbswzLyZcFoaUAQ5dP0pz3j4mo1Fqw+Qylw hu/wrr4FQH14krrOH8T/4iueCiuXAscXvW5Q45xxCwz+sso5Ju4h6k1e/2SbfSjloa12x6ZD8p5G m+oGjyWbC6vBVaIB7T5I/rb9bwgqJkM9s7RCw1QbXSAbukMCG+fQZKc0g9BRA8e7G5we33QGbfxn /ZuT5nFGdSv6FNr5apqUooCYPzRYQa+IxFuIBJ2W/q89q0AIv+EHmbAMSns696rZmRhFkQUzNgqa KnHNXtAul2PkYpoRUEN/bM4S+t24PphkqIPgss6M24PU6+juvxq+VheWjxyPBM4HYIZMMlo2gpqT 6O2UWMMVUm/zsdI7HcOsT0glJe3PQmdTejqLJim3CXAwhIzTAsauPipxiq7jRpBzia1LyWEcslnZ GPQlIjbwokCYz9vlgMUXW21FuNpvWsZnDUk4UZDNJ5EbSMId62J1tcj/oSiv5R66fGrftRYDYOYU lbsmjQIoze2A+hFZEbvCzKpD1lh4VmITCK+kl7xs9QJlyiOnFOw6+gFCYWbDVpvvIZkLSIvOdW23 956Yrs2ddkADWZJlJg+424oq37VvLn2Bjbu7uj0VCKS6tuvpcSTKNvaGQ/ruRp3rdsZFXyzIYxYi ee9r25VvDiyjg94uubzcJxulmVNlMIMaSe2a5Xx817aMgUnEupBPEstx61fsHPu0bbypgpJwao7V IKjgqrXgkPRbUAwT+zvdLrMhIE72RXMGaadilRsq9w41RU60XROatKdit84oMuEdVGH5uz1vloP5 Ellpvl/5Bp3CiM/yENvmzGLov+8KAwzcJTqHQ4hG17vey8mY0HImNH8xSyRnuynwkGQSNetHWxEL q93wCEslqndgJ9ngAX3Tj8NbtlAYN3pi/fXKVR26J/Ko2Fx4DuPM/V56X8J6bsiyLo6tvDLcAVLP 3xHMHFEG9sy+ihQfmLuqELqEoJz5vuUvt0+9APyuuhhSxNG+HbSxDIFHsWNFj0k5RA2R5i2sN3Kc U9qu1UYWTMP8O2J5qYYWqU9n3IfSw55tyA/sQWyY39+CzCisqlZPe4Wq3MUsDvda6eYW6Wv1xwbf zuTMcroiR0+IeltUhP6/4JfuEgChjfnCLD/V4ypr06fF0O2pPTmgfPU4Frg9ilw1XIgUNScm8lDq cwg1uJ6ACESGxmCMyUbEsk/KvYm72jCwXT3gJySnXOy1BH8qHAndUWyph5439xOzR3fyGJEg3Wgn fPl72c0kDgDglVo5z4BZl20Mj0vHE8ADG+qMEpGP15MlPQV0gq0+CY3DvnO6uMNeB4QgHa+XeN/V YDs9Yw3J/SrlgDdE0UhHPJID8dTs1Fsu+UvwQaZG7azZjUdhJPqy3Dp64WL6+bqvF80+YjSzJfwi V8O6H7xuK3c7QJkEZlo459sQuFTl6qxHaYWQPCatHXAsn59/aiDasRjNHC2Acs/9crj+2TRY7+Al vTYOMIuIkn+IzmVU4V3xQS1Xv+kJDvmwETLkxbOa9Ur0dNL/O9wbUMaeYGbvfo3TnLF3AAUEdhDk QVdR7AQigtBmZkYQjLqjcyxPNK/UC8Ycj5LWu/L8fJpqxCU6L/B66J2TkPln+xTMji0WReokiS4t egKjsfuVuFjvChuPUXUib2WvRtLbcAuULA+Tp+Yw9MKBSqbV9xmU+ZYj4k7ASi7ovZGtjrnqveir 1EUxIFMseIoWmDmM5eKhCJJep2y6Uy9Xdavc/a4UXLJwdUduJH+xtFvWnWmur/jD3DdaM0glfQtf lkUYqsYc0ADMcZt180nWfq6QIY8eF9wD9u/2D40taA1bdWdG/+HU61i6mGTsDNjHgYPck9OxjByI clYQjTv57IOlEfHq5AvuxVM9uOFekH5sDeija73mOahV4T8mtA09gFoJ7JM9ImBPyNpS9ZfytbN5 77UvxxRwnXkEI5lalUhQFt9fYIk9m8NewGuF5t8Vyp/Y3HDB5nRcNZ+pMkolpJ6c8nqsq3Y4JT7R vChwdLUe0gpfxrs8/5fwEblTgZYV+WQ7KVPUX7iDBG7yUv5BQ16pydRDLxYqzCKu5gw9jTdMipD0 SHdoW5cnau3mfHGnXXKTJmzfO9APaG6pj1gdXnvje3ZHBsjZ+y2zAPnQtEdOmjQXHd01e2lx4m3L r/FeHnk9u5xpAk7b7/vVyB3poRBeJ10fiwKWutQdc2E7NKfD4V1L1Zzy55xWxo6hJ/ZiP+AmZHmC 7rRWufK/PFSUUVo+g8Lcfhq06sYjdc2LhHgptUrA3A1zUM343DpKgIliAWOTd///+xKmxux+CTLy b/7SqhApq65jZ/wAeQdvrWlC4XaiA3Fa4qd7+5CUk45EhN8dAjZkBRzBEA7DcCjmaCkTYl+GHp22 Q1iIE5SGqa10DDtZHdIktd2ZqukLFlEkJhVk09MYUmwblUgyfsrBVGBP9co6WgArJANdVUdmCfmo u9G3DYVUwNCnvgbsVUnRhXruQziQeVHn4l39IEUDJ/21BshQ28D3vxDjAHIaEK7iMxT3LwlUVpjn 3/aRTmc08XXU7iA9n09JndM9t8jUSI+mlXB0cSWx0WqweIIa348kGCzKhDjoYfWBJIkjRhJ7rul8 5rSDDegntIjg/BUUsIpmJWHIvdsGSU61oFdTD4vyHdWU2OujFw0nQzdeuKYFa16Zh/i/EXgguw2d UGZhis8xGYva74ha7Fs7Q/hU/NY5QyV8UqYhg8anmmR4LHwChuDZCvVSBY8nXkY4sjhvagsSr5tK MW5bfl3F8mJWlksimN/Di0dNFn59WLF2UAzw0SLWHPmYNB2WKIHQrRHoF6fmudYmW+H1TlnxzrNG dnBr+DkSjYRSQlt7UTnpQ+Ikvk0tQkkVGhSn28qHrDQrAdbdcdFw//6+9TKxgCcPt+oWa+NIP5sv jFPCbnfWjVhtD5vRXXGq+Safprdc28ifL3xQVX/4PLsUSeXsXW8e9XvbyOCKdbknTHPbRIM0UL/O /wzIZo81yJ7eXmN8FCOquTV3lxmSgBzdA0tY6kf1Tf9Jog20ujaGINKP6VPB44OpXdlp/EqpgL7r i6EeA/UVzoosyXYu9A+NMDH8oW0pOv09Gt8sAsE50Q1kPlatooIOfcDDv1YJqs3BZYmllhlxdmC3 CghhOQnTmSITErdxusldwD1fp4chkuHswbyAzbd1o2jWYrzuFqNl4CyML15jMx3OPR9cFgbqvMeZ e19F47VJ19m+QEu5ofqAR8LK6o9nlZ9gphbuBM1hiPQEuQBhP7nN0RMYtWhUU1/jW0wZfURS8TRP nmev69MFMfMkJF4lhGSo/MHdVkz2bR0dur4pd1SURwri6WSfcz4RCgqyMD5k++KbIMPY6Lp/q3vf a190yerGiJY6copk8Laebdkzw+Tp0fHpSLlXzoigAlDTll63lGVzbDFFSgeDiuHv3VD9cqBykp48 npD5tppLFU8I0hwKsOQk9VTJf1JHCiLPxyIbQPBS6gg/3/g8X+e87yUw9u97B3YIxFX+Lbpf+kYP pFi/HiQ0Z+ubM8U1GH8S6kvVDbf6W8ZE2NTYOjjyDFG7zMo/mUqOQQHfy88Tbzf2JjNYqIfWk1Ek ZRdl8K7a6pGXBUuzkuNQbjbM8AW73AKO5TPurtavIvo/NNHJ5+21iWR5fKXNh9id3dNC8asNDPuA Bprs36TK5N8khkErb1g8jg/+K4qF3TtLON6Wzk/njwUzPjQcY8UMcOGRvQcrS1c4eSQWCemKtOF8 7kC05iQhk3GPqozZoqDFemDGOdTM4RmQk84Jt5m5E63Dekrx9E5Nvsit2u+4dMveTWvW0xKWYfIc hkliq4Bl0qt/HSPZL3oslfRsHzV+Y38DOfrBno8MAu53PVpO+Y4xS6029yaafpNKLgNu4TgPCpN1 TAVHKP0OtQMBS03TWTPnVdgFZIPILzEElcqAPXkj0VdtB+D/XQ5z7Ir58hrrbDJOE/mWUujmClok N2UsA84vaAaIcCzs3HdtqsQc8A72rXbAaUgDd/NsC6kahPHbl+2wnjYHMgUryF4hkedRC9BgundI Z+sFptaTrRA4SrGmBe4uEQjl4EtCVPQ173fn/gV97w+jQZkBTuzxLaAGIyLSL21pFa4KJd1NFlpv RZGe2RUQBdhAHKgxMdI9Yw1msIA+EViFPQE0PQmJK0n/41M1tze98xawxOfmM7WuGCCIHXlDvj7X V+JDXkUEIUKGlQQzcruy3jiutTXShUME1wVkQsypOAuk7vzFN84GAEVMXPeuJwjwFgFloinMRPvD bi0eVd7+ax9rslIbBZAUH1KvvLOqXkvCOlDJIU71WNGBWe5nzv1Vm7TZNHDeUyHASjcr461gYQKa nArXHK07eE4E/D3MtmxLtL8r11X9Mpg4v8pgiFDb/1toWWn6NPAIp5gsp5ufOgEU4SRGefT6oMuI Msee2gDDKWxCKDE6q8c/zdQEgG7GtXR9NNnXG588xjx+m/7cth3wOr7j1k2YCl8zNcAG3w95iF/x M0b/nex/yGvXNJGahlssggptmcWZsnIuwGL1SPZQNTMr9tTq/YMGk+OZWZ7ELVtM6Y3TjQtfTIQ0 zfNvupDaAOu79pztvmpbvM6PxHFsQmt8daDXWSNpXPv/lWeRd8WYl8u+hCb3rzcQJi7pAp/Et7SA BkilZaGb2Z3akL0NzQy/nFOEv8ouUj/4xUkjkW00PENkGnN/4QPmolnTJIiZMw/nFoFnwm+jZnCU pcG9P+tOu86DhLbMPUbfsMcxrOFo3octh9+tAwMADe936SmTVsr8o4zv3UAq3OglobuVrd+6t5oD pbieYE49oeO5jGOTcx+8KnNAo80pK9Fg0VSQGuzdcBsifvcKJKTGXPCXVIwStCXFLFhZTX0vbdVO hhxolLCyfSobso81PrwinolK3RAdqvXL0JS5r47SusXwE+v5vkkrZcrdAkmVckw8nEasU/9iUaQl XnTQfJH1Ao+baIge8xOdG+/Px2AhOtdPTT9zENBKq0/3KJEpqFBH/Hz9fO0ZpztwmAfkPFYJ4bCF XWZVdxYXMd6xRdPp7MshDqMwKB9fvdSzWPqfLsbZ9X4boMsGkH60eMjlBlEpaeEkNWfY4mb0DYxg pBZwzRtWbuZGVLw3/whmnIsF9Q0l8y3BAbQBv9s6voXOFUASb7oHRogu9QkPORxtiREE61kBnilU zwCu15i6fc2vFpDNdbYkxdV5sVqTCSYnoMTYmU3Xqbex7wi/NeGpaxZStR1cnjdWdQe6NuGrSVrM nsFlsSJE8V+0qCqJvkipZOPE2wMQB6nmD4G6blNrNFMrEdNrU4ehSgHwOiBw0HugKlJ5G9o/eMHB Ru+p25PDAOzxqBd04/8UkhlzFVGvwJE1olesdWTWTCVsqjwf3dnK/7HtKOKuFi6A0niX+KgR4UAj 9dvUEqgJgYQgSsdZgw0XyfSHdF+jUL0vIXT/4fUvZ6/oJUV13qYZZJplUbB0fUBeAiLQCPA5Tbr8 tIn4x3uopgxef2QjvEjWZOleMsyKflLHfuFOBv6nof8ZpBhWdpJj680AqUxrp0oJLUUDyPeIEURk fgnlDsqvMBXOiEaOQSNBtpULWfz5pYTbBUVA94DqECuUe6ssJOMIyf+iewOm1pkU1f2uWtNWlnhS DjynVWrFpZ5iFIXHwtp8QjhIce0nN993Rm8nkEicvmqU6UZwZsQhAXtAhtvKt6JRYVXLZgRp371r ErDhCfagqZgnTamJV01n9npLr2rXivg34eDBkBgnSwOp+0PvI8Hm21sCyt5eOUJj4MsyHlfjpg/4 ZyYF91Fzig/G6EE2hI4bjwE+nni3aVlxJo/1dsuZOCXge5fxANejtvsZkFNRUfSkwjRAD0NpB88z /cxvVihjP9t7l3ABKVw4mH9EEP0Yl5O6mYkgFRw5jHcaW4pickj2nMCtlBJ9lSQJrvg5XF5oA0dy tP2Fyq9O17wElpKKPY2VKptExuzr8O7zrNir1yTF133s7m9ueWCerBaGm5T8IMPDHc0IwSaGMoKm YHP9LTo5cL9gEpm6JEwRK3wJq64L5LsSY2JR2Hs9H60/9MfXGPj5p474ouO/eWhP/tpR46wddSjb MXxOKAjYqhM3Va2dLNDDrcSuJz36Fm1BI4zEv9PGLNrjG+HU2EOm0eU7Q1UGo+W8n0Y2Z3y9sRC3 p2wwe+b+HswwHcBNnCzgZveURAQaVUuM8ClMdsA0/JEO/xiTsKVHtWuQf9+AWCQaqVRixUn2moQi 0tD3dGynp5n11qpSYAsi5L7r51J9oajPeVIuRhZUKzqihGrdjJGdmrE0bfZuRir4bxR1ViFXCO7+ qfOMSj4drkfUldrs9RJ8KR+Rmyp0CmQX86Y4/2LVYgGXeAw6ZVmdYWG4m0WZEWYQP4D3Ok53+5qp 4ok7kypL6G7xDIpVlHTGI8XcGajNxNU9bqxAMSPyCd4EdZX+KZ5li8SwoNuRZ67iiawFIxPryUdR UGvRIXnQDUewNHsFRXqHuC0XPRCcgaLGaF5EAM4jkM1W3DAdmC5k7RDEGqgsGicljE01x5VNkBsV egbDknMTO7ASj4bvwfdFtIuwlpeocyJhHgsrFbwbzJtmkp9CCYmVMwCrOI6024xSzyq/GAEXis7R PRdhkjey4sZkR09fit9EL6e24yDqaXK7jvtNuCTOzLMeWQX+xxvYZe1sAl97Y4DMzA+v70G/gm+g FWKnOkf0B0ttLPfDDnniJwsd3/sH2B1uMe17m6hdj3o2PzK+Ugy22D2QQ7jhQWgnwqhx9uHCCzCM mKXpQxx5WHQYqgo+HOIJh/PHuRHPy0XAuURwKG+MyoxDYT6Xa87yZtvScugpApHN+oQgiD3o4EJy bqS/NSXFBzdxukaMtRYfi5mxXjaD9Q4XDYJSnuVxdb3r3J7rxN5mD9oIMSanNUeE9KVGfm/EZL+u Rwa4znMc3GLjy58HihovIwQvGw0bmoxt8Y1eiVWZSKgv9CKx5yq96hiI50wD3u5yFkGV2f6Ya0IC VOKVWPaCg99iEYHwKm6ziBuLJRvAlyIASvjwMdGkBXo9G1SROR79xjgqwrAatG82czebsDxZRmA9 kZPoDBSHChIosxF4vfdw8CkXj5kGQxy2AJvT7wP98H7bEdgy+EvlxJ+ZnfNjTRszkTY9t9h7vCYY 52L1082dcpbvYJbWBM7YYK7b6Mafw/rmif4BQReaX2F7BxGvidyXE61i5AgSYWrjBEPwemnUhXOd +ZdWCb77kTU+IRRghs9TrBJzEHrxgMfeyEXGzQGyd75fLnJ30x6mGTNrebapl6Rp1NMu40VRI2Gm w/YJNnYJGQpYphqLucfE+GaercRaUBSWN7scLTkjx+zFAeAa3nz6pdTmIBDP8O/ik+yibU3RBP2L MyLUa9s9QUSBXVDyszXJquy6NHXsuoaYCLZgnr0yKD+CElIJmQ4KsfLY1AtfFhVDtbLScTzWSZaC /p+IoP+hzeC7CWmTkJJtKtZGOQ5qJPF7f5Scs/PGm6yaEynm8F4sku/QNupcLliCRYuOi36q/bKX 8FBoD/uJNoOJDgwZwHte7DiI3neZMwjIrnxekFkeE/aGXeVZa6LlmPHzsuxCvrTrau/8OJvmku+W QAkD6B5MmTGUfVZeLYO0Fa4RmROjmvfR+5RIGDgWDdnUmxEnjQJSJH6JcVwbau+OWqWOX2ImgaOx gKxyZuYUYFJ+3KyBCIQCBkBd/Uaeip5o6heTewekPGi1QuWCJbW/mLTzJtrE/f3LW4H/woCqeouT r3swdfzPoHI4tGs4YF4x8d4DvR7ypHqq9/zHrDf3F4vJg1ohZHW8752mAr479Qhv9Z4Ec7SwmtBJ 61pD5fUd2tFgw1mYPfXASBGTXjYokFJLJwgiAS6RHYo+7ZovUniqkC8CwCTBwxcySd6G2QPd1APq WlICJrU20MnzXK0UzDdkXM6NiRA+y+TOEKlxakd22K0ilWDFStIElnUc+svCb367lwF0msNuQboi bcOk+kF94UQqH3OaLW3JRRMz/hrK82eutgj+t8epxBtV5Ykn5tL96eNoDgQEuzPI4CwV5wPNiX2f cYI1BVlSjC5jUvwddEVT7b4sfY34AC+Asi0Up8HLB+kgZrNdMn9lJAl5pk6vdAjlLC1HP4vbDyEs G05+TPdLm6vjZPJsOD7d+Ag5zjZ2dvTkPVimbA0OsW1JEeXE8Mom8xWdtTEH8AYy1ov9wJa6MGBC T6fTP7EglCryInNl11h917k7q83hCQlinacY8g7Fedfbk2GcbbYi00T9exql6Kpjr3xx3jiwyn7k wdCOVSIuA8vqC4DnBAiksQREQlvrhdbgvXChXJBMGb1S/QnXdWm+jvo1OQphJWxmDySgFGcLhDJx QxnfgNoTK3VGsDgxLzHRD0CsLV+PIh/H7i/A4npVNhnJUeBBQwJrVAcTUJprqDbQd+gxPdLuX6Gf sv9aEI8bEaa+0eDsUugikif+uEl4dAngAWtVgspCTkiaUejUe8ox3/oBaLFTBxtP9k4zC41dbO23 v8VGb4o1hnUsixBUecgVb42yKgvGvO7BywcCNMeySf21DoL8f37UIZcKkhqcu7ygX8Pbnqzvol+S jkJBdmnZf6XqEU4jPZGs0WUfWY4RnrXODU5VjwIZo1Gbw5O00OPg6j2bwCSl4qugaKYNukirZz0E yBG9j8KTeTA44SbJOSzc/GZ/999L2vGuQCjOcoTX4LVSNH3lNZEJO5yKm7PdBd0CdJGUqSkI2mNd pNU9Gcwe7kTajwnz6gVIFAqCRWOFoOIRXloy47fhOdjZC8+UYCPnGUYKT0QmavY0FusqJZWHdSTv rD4yQa1dyLibUd6URTDTEkZunfiozgXWM0xl0qxlHUSgxbozo9VW6nfsQx8N6P2GGc5yZtd4axL+ 2gF0hbuBj83iVMwem1LKKFhHYF2OI6K2Pk+UWrXN+ipulBbxyOtokFAuO2iDfwKj8rrv+QPfRYih I10Sjl6OU/MtnkUplrQG6qz3KBOVdX0YShcRdQQUfysxB6eEq41qPErCT9QmW15O+jK39NHTNyDu XdJp5Tn7rXeb949jhXuHWP9J2JaJHycRU1D/7XNxVd6sYhe6s4/qilmr97Fi5Nrfow/U29j53Ayk GSfQlKEeqqq0xkISVesltOZWFOQmbPCSqe3htqf+Ah85f+cCv+5cCmfi6h3kCITI9q/Mt+Hz622z nxPhxONms7OZBcG2F5WjIapmsJ0xLdXOhDfdeVY/OtvVHR5uFVlp/RpwUZxgaFw/VZAoNABKmSGW C9b++BG7BrOKL/K6Ic5noMHn4GZFYybJNE5rzcxsaKii4dEnnYLiCcKBTtea96yD/occSfoUpRdt iJc+yDmyaGkrPwvfyckry/A+VT4KsDVOxiT7RyRFFSsPIW56dA5ANkqdZ/upznDCu7Jtw8YRlDov yWW9QjxHCX9s2/sI7T9VaPE4M8uWQSMAyr2iYuYeHrJt5mpd6QnNjJEGD4q6q7l012dpaOaP3iGC Fl6ADwpKffvpCtWr/TEgcvJd+S1Cd78KM7zo37ZrdLZJBmQTCgtfXJSJ5OzMjOfQpt2fSsunt70H eXSeLmE07ORBO3MpQsK9ocNg8WPxDBt5UIcDsge0hr4TET7Lg5EJC3nqJUp3kUlLLs+pDqbdSF2P iLoxg8dkGp6z/jLeg1UfJS742eC2q21QI3yHkI3HX/G6axxtd4ZIJ/k8V+MLer7EAYp2E9wVBfkv QYN41h4moFTsuG328EzoEwqlw0rIQhGmpRFLCiSZJGBrWtLCLO+GtthozZGYZf2y0xZM4T6qoKht s0R6Pmsj6ePTQH46Mzjn37iGtip55Amw+C/eTSusuR1ootu+qfkfwb1PAUmT314fEUsLKovY/MIO PINWefgwDcLa9ociLP7AHMECAjTtl+XjE4kXNCFQAXes/uEJNFf5gGAi0hFRDJLs8VlIdJxlPCLv mqm+aoVS0SKqjucwxCJ7M0j5X8/ngOl77CVRV2EdZ7oosdtJccp6ir/rjg4l0M8oxfVSK6y9bZFD G3hq1GgcwvNCyRVTdQrqj3JaQM6VRRvoO8VtporE2VS9RvQXL22Ktev5//tTl/vTAW0dD5cVCg1W g7Z0lOjcOly50Hx1VF1v8sDxECtC5UorT/er4DicIPYxVy3oKGf10ybv0/mcKlxBnXCTQRnmyHNS C3hir4QdLlPFxpVfDkAxo7YI0wD70xsUDIK//aPCEn19LKDDF9PlSIoBFTu20CGLkqy9U3vcUFvK MtE/Zy6GcT7jUm/8pYQ+I+BAE0JLYORcni+NhIIv35tsCoBRztnPw59VEZEENq41yRHm+FS0raz8 Fa5mcHjFZEv73OEy90vbcYTL5GNiWBPoaVoMFG9mPkjvYVMI+9Qm9oz9tsyZPO+xuCpavobCsT5U MbjGoaogxCIzPZUonPIxUUye7Y2xCGJICM9keqMH1qOV6iSA8iiYLVqyOtX0olqgdQIdCrTkrW1A Ukkak1BnMP26uYVh4uEEajOIAbLk3oafIhzLyhkrnwks/PG7buzH71BBuzbA4ilIkpMo43FDW+NH TAcaJDCEy5oX8jRVUcxHlRWYb2Ef3J3CEXEVDzS+Woqw7RRx4WWxKny4gq+BtwlgAvPZwXthtRjX iS5op3a+f8WqS/rhGdFde8Hi/4UrcNJmF3jhaR38HwGxweem9FpfF9id5525m3p0GwWD0cLrtkOh CZcdCw9mL24pvGeTSzW2bd6MEtIn5+qlNZjhk5+8NPKzKRWfdKLBPTtbn4KCdIcXXNaywmHEhSVO FoKCsRSGbp0g54yt6UEac1An9wmbPzs5Yh1tdKUrvifs7L/kavIj3tQOuQSgaVCs8DkiJj5PGARz A730acuZKmS45uT9sOADT1xYftG2o+EuAKGijDjdU5PN2k8RbDOP4zIF1APqkbhaXcbgN3TyWRCJ m3ALjbtsXM4p2HLvgdWKN1tyq1MIL5cALj5IT3b8+lPjx0W6RY+WmGcmQi2PW8Ut7dMTuafRfb5Z v/zJof1Z8tpdze64ujRiTKkBx3/H7/BVzg+PEjoW73Wfyv07mkzQRal7X/JYDRV6nAn5Ii2KdTmb FyhWccsaSHQTU92S5Fxvk3uWzrfNKQ9umqY+BkcEnqL0IX0S0SBatkCWwRZcHpiIKuP7zDDfACgY oeb+jMG96L00i5smE61RPDmUmS5IxW01oVB6LWTAwxnvhg2o1Yp1IWlXNKm0aaLDx1pTYKH0Jqhy yt/OA3KBaDZiLaLb2u6LmR2Yuxq3WzNnTT+ep2W52Zy5yqMDHHj+p3V5OGxsDQYwejAeqhkQx1Xz h4js/qHJv7Gj76SpXHYuSZcmbACASrltpkyATq4RJnUwDECej1Ffxy3jnFSK+SzbOtOwrzFtp0Ng jhLfLmxQEc63wVYnS6zcOZeue5vAte3FUUV2GvDoAKyMAITTMFYwsNWUigAkXKyRduPCYvzz8wdH WNQj2RPXeZfMFLyz4m/H5W11idSoNLFHuZOTRMho5Z67AAsZw+nrxxk+hrUYg0uqn7F7e+MMjVsg UoTydOCSKLaTIZ38tnno0mRmnS28bMgZ7WjuQK5zsbaBXRSBwFvYJ4/4B0psNYSKWGQzqb6L6j4j Ttcta50uT/S5QExhzIq1PF9LEIVN8xtHCOSrY2lAzMdoBcq1c1efrQCp3bbEb4IQMo7CnfUqCHKA 5HFVEr4ECbHJnM21mVlaIf3n6rMVT/TY+T+KYY7GALTN9hRDeuIxP8YfsWGz8coGg1VuNEOXnIyI +7dsUH7tkbEfnE1iYs98hd0exl/lFaMHZvzP5Eov0NFbrJnIdsPUXDGkD74Xx0QfFh5w242+Jlxg Ocg8DFElp9TGftgr06BYqnw4OxK2KjeHWMu70pRJplgoWEs0OaIrWaPTIuLuLkoJ2zOIOr7o+rxy ZSyuXvtGXUhkQZqjhCG5AUs42oZmlyDe7wcyB4T/gz0VNQAqsVkg3pOB/tS1M1CizkDrN2097C0L +JghRUadXQf09Ifg452FqvGH95m+IA9gYrsdYwwNBP91x5owVJpSaBistwAOWyOm8GOcVmI8fCQP JamjxFnC197kXmJGStUsj652C2fl6PVd74kfthRS30eVqCgKbAYOMWQc5wZGUTqE6CDgS9kodZAf 2NFC04e2TURlXqQN1t6TICdEhASWU4N8/pCnQDoAL+CC5vYlrow7Iha6y7V6+/H8oadmlt/AVbPQ 0MkIcVSETufyTVO6t7NV8lmca0TYqRReV55pzDRKdXBiljKaBdD8CWnmBdO1FJc+rlpwab1P7Jx4 O+O2geldhMLx3hliVLW0JpqYXYlf7Yjfr+7nQykL2iuSZb97BWGe7kT5ZzemIqEm/ajOMm75dW0T UxIY0Yse8AJCCTQh4DXWdvunP4pzElKK7FX1Xr0SZOEsdlWPYEAsy9kAAHKTvabFZM+0OKWr1fYM 0R+CSMswz+U0cqhOuG3K6faZf2nddhMzfH5u8K/tgN+S3fKERZlUIx85l6+KEDze9oeEjmEEBfSY jdD2O2Xr05qWZ3E0JdtAHsg4DYaRqjULa4UDxmzMhBHy4S4wFyyQQXEekjeHn2eYxHcvOFsdEoFS 2mrCVgoD45TmBCKWeRLGOFO9mOubDn44GYlYTZ5yB2efhOWolQhmHFiHB8JbVS4chGHfAG6rjeTm 0kcjbVUQkIQ8kwcTJaNxoXMViS9jNwkzvaMyVglYpWXV7QI+bdRUQx6KimP0bzaSrKlKhygDWF7J B4jargew+siggSofXKeFwJqYcYMsvS8DaDMyx217wXxBnYNXK0nohumwL2Gc5S98qHEa3cndQDFR ZRw/3w5gKa/AIsnZnEhHy7RH/QBPgAjAOFrucNtKfsDAzm69kT7YZU2hoBYjf12yA1Gq43W/DyVi oL3zCgctJ5mi8+SLeyKGrNxFxK/0rsHghBMlRV9tGLCw+exmSZrp0i7b0j3dhRj1cSpvFamoswFq JaxSjePz2T51SbwynDAHb3FHbsPZG32r6W8/Cbb8Cx+bKOhUvWFNqyv9QdNKNQ5UDcUUzvD2f5Th hvutJxFCYV7n/ia5h88WGlaigGbX4AC0VaYv0bSqVt5abrPUdHFSP5RqAyOeXXLjkrmH1AIAg04E wzvqgpKdEs/q/LCwKfY1rmeRW0fVesRflVd5xLnRf8LlbuSYu8TwkiIGO2NCXU6dqjTOKjWH0BbH 8eSMBGCZqr1VDOZ2lZzqPlMxAJSMlHqFH65O7SyMnpZfWO2nowUkNRL9jT7myuoP57h7FtAThyGM SUMSqpCvdZfmBDWQUTIVPJoPKEhyR/g+tkAqC8KHSd7RUEQMSwdy4umEQVy8IOE7+uSCw/ddmF3i NYMF79cOQXOYV3TOmBYqY2rh3k4YVo7SPC6h3rD/8c6ZEDeGXMuScRCSLBwoW3KZxaFZa0XqU9wM AamtWPci/as6UAQJpLf9g7g6SvDZDP3v7IOpQhhHK+hMgsLLjvgZdhWH/kmiwzNk80mROlVxpjr/ jqecIF4kq4AoqGr/E0sBkA56iiOBFbWsKZwLV+9kO+1B8TbdTY3ILjPb5pKBHwSQ/dtD72CXG75r Y0m7u+ZAYoJBcDsfWm3+xd/8O9syI+Zm1eAjv/5P2O/QAzW7u1Apu1q6VHQiBnsseb1p8/Rs2KbM or3vNwoKq0e+XX/ZqEwwID/KYBc6w+aTCCaWYc5eroJ4lMMu/6ZWc8ASzNpS9M+8kAtBEnRQMAN2 LBtKCX+7PI6Dpfq468tCGHo2iQxC8u/HXLwIwh9d9GMcomjHwXCqcFIkxem19eiULNLh9+NbqX4c /sOaNCmp+B4XeO9NaL99barDE8HbAmJbJSKtfilgfm3M6F/38vOQ/QnjG3DvL1A+Q+KAtUNW9HcP DFVnzQxiPOK6QR3OOlre6YrUqB6f4mp+8uR+iJYbYdHN3xXlAU+f5ufCFetvrnxashOJq9N+y9jb /FIXYK/6nLXu5IrfoQVrGIgLhXJVnM9K1yWr58wdp9Vb0mdACZFuCE28k2n6x97Yzyml+iLNhf54 RGOAz6+/9Z5SZSbRvQuC5ZTTTakB56Pz64cz7b6JoA1Ppd0wuPVyUPIQo+wn6tTW+aCiD24PPrn4 Y1xn4gyzxwQK7LjnBCsd54dSgyVfaTy6TP9Vpmj2lK+YoKYB3uHTZ+oYduWEfoXbQNPUFciAy2Jw SbtlqMZIKt4BLWUO5f/o89SF4bV6a1LG9OilWdEyx29xbPfvj/hh3pPXyBPnVNmzxk/do+CA03/1 kgJX+8vdeKxps5OiWPqkY7ffydu/hUrmPpXTyrRVJng77zo6QYX9ODlJhbHn/4Zp0OwdGdaSXrzL +72AkTaMsDNqELoQbusyQssoZNk7bXtRQeEilr+7uxEZ7+CU3RGGsNmtcOjFApDofU0VMCZX37V2 OPNEGXHr8jAdHQVnJ7iCkIZI1AHAhc9llTAh/CwcVgUMj9YlxuGLeGfSOgoy/QYfo4FekH5TWgXi NGvnP4AOocBoUrti65+rdJtBf9VeylStC++dXc+NKwk4IY/EYx2GOzkOyl/SjCvf5Um87hfBuHZJ fmb7ubYK5SLDsKOCqqizlL1pAOElA9A1rqlNflKKyKbYVFUJsyJ70/mAB9WKNJDCWqTjsUX/ZhN3 K2Gp3tvq0tm3tm9ClHoQ8DGHIy6iS+srSxld0AzIkSpMKxGH6SWunmKaECab1DgwBLfai/i03yJY tV9YipqVh53IYc3nj9W/0K6awrefjNUEJb0SojDF6c/uvsYK/6Cm4vT4ndewLD820DLipdxddDPM q1UR0iCGoEpT2EaxRa0nXRZN2TDplr7Yaqi9MLsK8suHzUY5MJXNdEhI54tyAR4fReoARtHYDVsL LLkM+TPNrcgVT1O6HTcVmxashNQMgvTfcGP8pLT67KNSpCatckI78vULA0iKzSb3Kjc48IIFBrA6 il1JBJTI4k1RAf24oADFDqefLh1Jmll++pdxb7XmxRgbwfKnvOZaVHb/J+3FIbfEmdpbwIOuTEMx 1qNgFnrEW4x9lrgizBzgyduHmblkwTh3+M5VUM9S95ua/o5GlHfs8Mvenh+q66HNf8/hGzkHl4sv 3sb4lQebVisa+1uah0ZTW0NBy8NF8B3imZM2exT2uxCa8rPn7hXGsrGi3L+DXa+SBmMs/rZ2+Hea Mk9Da/Hq6SdV3LZ+b4ecgou0hsQ28z38idmcbsD3DLu8HBvdx3R6vWQZ3OfieRGlaOSnG43ihQYF XiqOJChHP6NpQUeSXwzsfmJcufGijoCm+RzOu2XlHcCA/7fe4AQt6TRtW92orrG3waWVZ50J7BsX CDMgKCqhpKeoOXks/EBZHy987NC/XczEUEo5u0XyU1X3SQf3x6s1dHaHAMCtzjPuHB9/R4Ypm5AC xVsbAsbzXTcM2zAbGfCjAmED/Nl3Y5HOrW27ZRZ1TJA9ScV14cYgf31JVZxtT06aC+crNuxpgm6D VMkhEW5A6KdCzIkVM9ZObz3qGrAjmktqXw67Wu0IWvRjwYESmQIxRjs6zZiBAe08KYNn3VhK48OK /nwnGsRrIhE+5HR0SiOgKU4MZCj1r2e+jbd+zi/Wwx5dqezIcvYSvP9qteG1yN/rso/rWUvJ3tz8 nCdx/bzZPCA7tgP2r7h6eurkl3EMFtv/vx5+fo/lFhL+EsysH9xBm9SMUBuqM8tloa+ppLnWDjk7 2bDQREC3ms1xHUvBHwszyde60ud4wHcQgB7XO1v0q26n4HUcwIud3NdLXfTLh5ovFQXp2aHM770T foLVc2xqAa3Id98MASfVRKIiw0iBJpILUXYDpjQOg1IQc7WUVOa/xfvi3OHR0PbqVf9tSN3h7CdG 4ReIe7Wd7PcrEx9F8gZZpiba+5l896L8qTperkddxeh+tCrWBIg/6yNCxSxA5VNYSViZT5QjZ43w D5sF/NxU+oGXGhFskpm1qBfHIEZLCtcWt9EjivMAKk9meydk4dGPGAO6clRlBXMJSthWbkU1asJ9 UqJb/TdhiXN9yigCggeU4AGdgpKjFC+JmJyYB7sssn1tUuqYYMLM2AJ4uahVm8kwOcSXCmeTAfzB lyH3N5VV51PPGvsoKUpr5IJtVCZqoorknR/OdYYMqOAe6AZIB9tj45amLrSBDvqSvE6h+UxcJ3gg EkXxuJw9alngQRnKtqHQ/3V0J60YXRTf53T1auZFBWa/jaxNGYL9FVoQ4+OiIFEROwYMUJSPZtp3 KwzEyZmDx6Zlo1zyZ+GqjSScIbCuQ/QmeygkL3cZBKh99UmyDhiNMsBxlMu5KIQSfk8Ld7qBsm3Y lScwpDx0bzh/pQzkTs8SESXKrz/izkX+tVK/SiQCEDCpQ2Jr/zvSbdE52HwGHVHkCb0Ou+HfkfuL BDoTTKlexZyXe1if66mMRZzUbHgHwMNR7nR5FD72/MpM2ZBXSMJhpntVxUe2ygq38iK6FLRWLbYq N6kf9OMfSrxSA1CKmEGEPR4LCL4oHBSGOYvccdHrWQkks7q4k3UdNnl6ZoJPD5Ztqi0fLukmjzi+ +l9C/XqxSTjUr4feoWZI/zgDLVz2QlKNV/45NTsCCdJs9eaV1EkUICvgPe2EqCf0MRes3AarmCXn zxzJe0kiU0r7yk+DqorXX/b6IsOCOS3PhC8Sy8sS7iWmXYJB7qv0RyIbqQ5RkqANCguvpWd4VNB/ AWUdkcb6O8ppxwJjCj7PagKH5/mMyZrIFBmIi4LCbZ/7abyeJzDG4ue2Iigh2KYvAiLduco7fRYu BxEU4mlIH8kVOqeR7vzViSADPyJogNHGBCLhXCNRcd4ff5EGdo3OIK5ZKz1c5FMpmMOHjQ5mg81J vifxO610NRDabtYs0zmdyua23vyDcj03oAmHeIvFaNPazqwt8mF/U1iLBqyMim97dYvI9L6zDDD9 ctbwW8LViXRzXce4gvihahW6Fj/SQ+YbBL8AOeV10QwOqDzYc/SZK1JBbxTXuD/6O6SQWXRHQ3+4 dOilvAymql5iWPObTapCG8zpxAGNY1f5xw+kt07/vidR1WtzEskGtNsyqtCr/w5okHPBYVHv61i7 eDJWBNrV24W0Opk3MdivBDHAr2YxMh/QuofAbJqtWSaqFP56H3mHtli4bGyE2KN6KQJt8Xxoosyp Zv8e/UBlpLy1NVGORisEk9yJRBjsX9jqAbnBivTH1GM9YQSQvdy2VH5kdpc88wlAwJwzHSzejotT KrRZNDQ8WNpkxtAtmEXRBHegqpXAXY2T1biVqeUlpCWPijO6h0Umn/1WsYEp8VyTL6r27SIag7F5 BiZN2n4GfSxuTEsw+ds0NN2qKqJ5M20i5Nk6WeIkmPteVaaX5SpB41yXWUyK9RNVEszk4R3pJRjW sr1llyhRJZ/IdNXK/hhLsMRxeHJD5LfUeFqgk6I+oqokyYufhbMpK0MkcFi+e0KexMBDTOBxS9Mp vUVbu6Ba0ABYhFWw+8tU8KZ+aWvvEYBzZUwl9ggu7WdKY4HatybTakk6WuHNR4WdcVeHOoL8Lr0O +6YuD+Qp3mDrO06Ihy3qPMno3idudT4iY7Bgr391dq7nMh7q5qpLwchynI1zISWeOqvdH0Gcb3qf aN72kjEe2v3jwFH39LUCzs822MH4AHwC+zG4ktR6i2bA/mAdIF7jFyz+l8rg9WNalgLjVOWgQ1dZ 8+tYr7yiI4DdzSqKb2j/H/5ddcf/q2bVde0sAacwCXDqk9wABngo0GVKxchGGb6Q/UuKirra4O47 rgyGyNmNcxu+Z16/XzxoC/+nP56+mu31WxCGVJVqPTFHHo3rGM3fMt4mlRE8nO1HI2nptDLGWKft 1JGnJcMmi795SlWICYBw+9w0pHNfqgPbMHAqCTHGme9kMs09AB7AJ25LZsqUaq9K4VgHwe0w8usk q65oFbP/fey6dA/s0VKStNphvIesy1MBqZiKoHdgMYcIsQrznuPUjm5UeVVcki2YDgADNiyl4Zha ZyzxB/VR7H6egClf+gTmyU2xnh+Yt0nl6HVaWtfKHbGB0WbInjlCq0wpJBgGSjQCFTyjz4mMBLxp T1XkMXcH+gpduMDkJwOnN+3c7x/1ov75yHq22F/ia2VQq8oQaPJU4JVd37lMyRP3WQBovJcKg3A0 5toBSlzMbmmZX+j7DJv1hkWt9Vwam91glWmv5KfREhNB3EjWfBvZacog5KV1nRO+Xifj/wLzvcxd Oz96p5HxxKluCzVQhLoXEkHpisgKDlAob5DW87izNb2WqoQxYTBJt3ogXtPdQ+7TSn62oEL5BBfb N+0gYeYsOTOXkenIwCA94+afzZ7/2iWx44L5DC/bqRDq0wXkBmg+8MOPJFm1dcP+YW50i+dM3Q/L gnRC2WoI2wwfdiiNObA1bPBYsxWJ0j8yrqy1nWRyS9CT252cmYUJ1s1mgsYGfi/mOs48qS2a/TG9 rNgrUZdQcTZB1mLu8i4E6L/gRKBL4BkvK6vkOHVMPlEl1BLvkPRS8mJiSz0mUz37Tld37ha5uqwx MC+UhkGJNfVoeaRgm2lFtZtN97R8cFIinbgwsC0D9FKCzqWlMWzUIQeiXuFGZeRK3MyMJvdm3ga9 pOyQj1wTDoP9PMrcQMGwWKdVXpKyskEPIUzti6coNdiMELeGGT2hXR4R7mIG2Mb0uhqaeCNR4Lth +kAdxmkkjVVhIB04zR+gXCEQuCDkyIBtFiC2pjnW6TXsASqhHkBhimvzpF4OG3ReamMH44EhjhOv 7B51G+9Nin5aSCQi62AFdQCzDfwj2CJUTcsp8xcGB675ANHh8vDrVHiTqJ7brTbywtlIP/WGbpYp lYz61tB5avYieF+eS0+vkSeWo8DHF0sv8+U2IDvvM8eXZXeYtka00bseWiKxnJeRw4mfD0EWiEXG 6IdYDwX2ImcMsgmb7TM6cMstLgBYeWKn/V2w+NaOJZP7stqcs8c1jJn9SZycTXXHkcHsx8WC+0Lz tvGRlPdRYoNzuO9y6xxVft17iRs+XpnqKuC89b8zMDWbjprWpI+el5gjVuj3PDr4UD5S6WRzNRoC CEJ+FBnw6HhGUwaeVkjw6GHwidjJw2Kj3WWP93CGTIPkDx1pjgtHdI3T7RNfFTvpLzJU6ajKNu5P 6u3BsMHgEadvxp7LXdL0isNmAjp1N5RQadogva/2E9//ouEeNqRiYtkpqOmH66a0wZAlaKPZh4fP wA033tVjUTqs7tB97SUe91QYtk/Ims9zpVIQcWKOWfo64I18lNaJ9jf2wfcjkleDxPnReb/xetnr 72a0lvUakN+k5nTEtYKBZdDfXcA1qACR5LmgnwH/BklqOdpR5JAFhnPhEI2JW1sG7b/8xFLHvNQk cHsyBmNGPlWfszvNLcSr8+VD7sgzARdHgbY1RnfdHUL8e/kOwnoVTtFl9DIXVjrf8TXCesSWXv/N nBhDAglFtxNa/EJ3h+nIjshv0m2PLmTYLNRsKCpyJFr9XPTgF6AYr1Eesyi2MSD84iUxhuJQ6cO6 UzVp13WvOHnqcFrF2q5hHESsH37ugqzeMZM61BwTTELO9GF3qV0qRJsijyoaNbu0OyRXeco6Wolm NN1cN5nNEUgs//g4IHnWYiKcAewFFjq2PP0RyDceGiZAn1wYbz0hFmrjyaoiGBnqEvqnASq5v0Yb CznFC2gchDnCH0dDgIn61ktTl3nxUFv6CsA2lrqhzghsVuiaGRSNK8T+kO13TSBTXMqnlDeN+Mhp bM4kukrqc61nvu2dQVBL0M1syRswPHdv1CLQMyNu63Jv+UqsctoZBtequo51UdIqyNJu30eKuywY NG5ZO0szxZeNpAAph3V06ZmWXbI+wjlwu5KF8+f7IAw/qQcHiGf3txxtuTo4TGbim1lVRZXb+331 zxdr5HPgpUHXZLI1nE9TufJlw7Owz29xEUuTQvbRHKNeOnQSPPxFp3MeHgk+8d80Wkuinqf3vjnz G8R+nXk8WnFVQ2Odmz7JVMR6yNGYRsnmpdzHcg9b3ceaQyUMqxrlDaGJLbLezZIO1Q1oYmQk+uM8 7ICS+oO1SGGoq8T0dOKwXgdwh+oGxZFLMLVksjNko6gdRU2iHzaCMMdps7PHAMzD9rXoIYBmQzwq /cMJsh6+KBeLlx739Ln1vDECL6PXg5aeIXdXhWtgFAaqm5ICBbfALWy9264P+ZFM6WcX+cxHTicL S7qHI4Ihfx+xliyc1YMe5Gqui574NBLRXomJKqrOgdlN0TiWKfTDgwyVZAQtqLZOzg8cSOmO8VbH lpC2E3cr2OLuynsx4lhtapGivunCn2vMcjNOHHxn+fNFx80hvECDWFRvBWuHauF97PGcwvrzDW+J o4HXeY285EUEc1W89B9NiAcSKR8fW3+Wa1iNvGd6W4nHXaMpND2wX3mDN4xoLPAOMzPZ7zERpez9 y+dIHmfYwp6AHfgaQkq1vSRYyHXL5t8MebehuLcE2fO4GBmEwvQPx7c39Wac/sjrzSn1R/1nlNKP Db01hG7bR5uLe5EXm5SsNdLdvrL4ONERQavINa68eqi1Q3Qjh9x0oxvzdPUqx9RNi0t+1bz1J5QZ AJPLuIv9DMCaiPj7QgWTYsImwElWvOdQNCgd2dvbeDytbmJbtMzGPtBwdfa2H92cmJhgUXWOm0PQ G8PJVXymOSd7vDHEkC4uMdBhAArxgh/vvX/VeMLQWPf+nh8GJTVY6n0IWaqPyxCh6eqMbAGMvsKD q3jv890Dx2jDj0KLVZBZRdUSDCmJlHQcuMRb7IDf5L7hWoDN5h1qKgVsmQLm2TnhaU48Hx/N5Zkd KV5EEV0AHmbMNCkBw8PW7TgQr/V7/UCvHvJxnuxFyPwDvKzaTBfQq2raQbnLf7BOK4HGtETLPl4t GAtZh3kOABmTgsgi8p673YfZDhItYQWAWipm2PE3goUOciPint7uO4PhQwsdw9i8qzvFDg1lVGzC Nf4rfHllufL7kCSmJSPEnx813c9hZsZh/CR0SIicvatyPr5aOjZTcpsoC8SuGYQs/LYorP7ujvaG rOnYQ3Q3KgkMKGWVV/kcklWz2wziO+ZG3Xte65z1yoxXv9K9a+hIkUM//nanuJ+Mjq/CkCRl944W 6mLoREf/txS0gtTg8jpsRyFQ1worfmsWLEJbSXEgvRh4/81lCfmf9ZsxL2cT9AFo8kkMbQNAqnf0 BpbpQxYe1Ux+SxwdTpwrWzLH2Q82+lxQhMcM4WsTKnj0X2ZB4KWLZxEeuGqXQTNJxVzula5HitUh J+xGP08il41M5/03G5Ea32yXf00suT2Mho//L2ZaJDGg6LlQqb3YyRymolKBcfoX1Gqdn+T2NS0i 7Uwc40ODry5+zqLzgU4CxG3ejGzLXvCXZC30hotmj86XLVs15qH3Q5Y8DiWnC/jufe0v7vpn5bxC 4zYOKEvtfs3glYGmpomT4SLeMga4dpvC8BDvADAtKBKZW/EO5dSx/+PGB303wvZLD6WO+aS27Dgb QVN2LCkawxoejPUnB+iD8Kmhf3hMu6c7jxukaVhx++qtlv6BoA1vHNATJcMpPbBhL7FVgRQFHm7t zUClDoAufQbAXx/9DfPlD5ItbU8a0kd+eBaNEp2V8AQ6E5f8uJwyMNAeMllCqKC47lCgP6QicWwZ zNcE6MIR1F3Ps+pBTqnOCRwDTwLt8XlVvKdyr1unI3gLe7IX0XrZFsuSXyRgY4Qd4cTzhAlVPue6 z5z42f21DoW0Pgisbk+olC7n5FkAA4FxiVQJDk62AtnglVKzKN1riHsbk7tmzySaZ+Xs9QUwWx7j 3ASP2X2s/OtLlo5WR8IiwsYY7xnQUI51VGM98uJYyNRC+DcYHl4UykGyCikOKu12gEtBsCpND4/y TrPpVJCgfN+1CFRTUBGbnXDIQd/moLp0jLNpzGAZelBWVpeQttr3oanpfftNaEyU4WPdTSbTUK9V eIHqistrMJDZQirqtKuOJOW8Ghnr2GVBPDHRnNUEhjZfK+1TAwxop/G1zsEVPwiAYtAU2BH2r5ZO xy4MpACEcfcW1jCXz2+4/xiTh2ztqDog9Iv5wyQx/AdTff7qoFkmpO0XNxIymXmtToZph8GquEkI 9pkif//fwftdqH9ZcdrlhOsE5rSB6aKnqZFmFwtiFYPFtsWtn1ki/2Kf32cqdXvR2JlIcaRt3zY7 j/XFYXUocDJulMoZvEyT1zE54qfS8iLeg0NX4SAYXOn59XAlIE8JXcCLVJ1tmQ/h+zv1R1dlTiXk oTe/nv8GsaJ5I9OHZHF5C3L3xj3MrGGc8BlKt7OBmB0SekEKpuZvulyKPYVOPs6HCPCHQLlVc8ku dFv7h9C91DiTPzTuBM2O+8zSBlJS1CsTt1fC3eiNd4uNmBYFGsF7oOBH3x8qqS4mpogqQnoPHyb9 ABYM3+KQzvrfIxpkhZheoa1/12txWCZr2Wz1s1ZiFRcCthY6IxwJfzqb6NczgItLMrfViCB1Qzwa lGptN6c/Y6afk5KHPjvsSMT+QJe5CmJhqErJQmkSQZ4VIy/tWvDS8nggG0D8aXQrgCCTJw1bZuOr qjobiJjMyEf4FQE0N9I3g1+Nrsyuy5DXdNgj231Z0A9G3suRMrL7aKnwEDxK1LAl+0MFZHl2WZSn Hcncu25onu89LdAHh36ZKhpsJn5Mlbm9SJEUrk03AlGfi/VhFEI0rsTW8HGLj9eNEqQR+cid2H0I TjFCrH29MNGw3bo6TSak3MGP8pQZ/87BYri0pKtU156V89db0Aze5bmKqen+oFwVlODptjpIPOfn 6G1VVyqTpgpP6XEOxrFjqAy5HYn1eLAY2us0wZ6H+XZ3YqV3cqERksGs4w6xLQLtUgcL25ZYWMkp v/AQgTFanK+XshWSsVkSb3Pydq5DNw5Da44H+nr6NFlaS8hdZDYsK1E6Y6EQO2X/soRxiEMq/ROe FwNA7AfhzSmvGack7mEMLUnBQpPSzvzYyvODHpQbDkBuAFbn3bQkOxPz80QU0lwUzmBzz2kIdhrI aRlBHvzAJiZ1LIhrQHaMtTUInzNg6W+1lXoHQDT4aRK5fMi29ot+cLnzGCi/2V3joiQNkZS6x4jE aHlPubep4PId+r7ZVZabLcC4kM9M53Wui0D+DFdtTtZ6IsE/LOLB7Jz13uEhUS1el0hhrS4Z/tO2 6/LbVMaVeKMMcxHTz45DfAaAAAgXWwXuKT+TsS09s3GoYXsFHKlBudgSPN3y+sc+OAUJ1edQVfsK AFhduwekH2cLNS5BF5uY7JW9MY6vdqs7BfoSKgqDwcSMncmDJUxbn0LLuEfhh0or+stFFS8IQy2+ 4R1tTtjLT4CN7f6b+i0LRGlp+Zf/T0YYqhwTA+AkK7JEszPQFkudA+2b+QDiSHg/nIIJDGIXwHFa PtrAfAuzGEOTbfDMxb5CYcaS6OSASfuujYrL76sgIS2BUZY8DFmua7MtUaOK9xvcudqM5G7h2eA1 vlXsONo9n0NhLWbJJd7lMQ7ZOvN+csDV8nseRlicuUfRV++E9BBUbXqrBq4qdRtuEovLN4y89XSZ 6HhIPReRSYv8tWzPKmr2V6M37cuGiAXyongUR6iOz08Vr3Cqwk6k2wlyVRsmw8dkCCKbtumLrkes lvrtGkeXNYnDxPzieyTI3i6l7H6mDgz/DTwpmqrhNLsgi5yjQHQUczJxzQhdRqvPo6EwHv6s6Rtd twpV0KHJS6xWkA+fmXdZ5p0NMMLDC4I/uoTVuqwYfn87d9UU2CB20clQS/VSQaUwP8bWP+lDpiWi iycPF3relGiU0dllJSIBAQJ0o2q41bk/C7wV8k3CdEhrbz5GyZqKhOAlS0MH6l9PTdD4fh2lJSay 2KTlujQcighy5rlVAkGXFQMbHzWpQFyzVjU5KgC0f8sCc2vWGBJXfbuC6RBzUoyTT2L9TMNU8hu3 7HKZOPqwxX+gL5kfPS++6dv46orhC9PuSFokbAoNlCkCmC8xYe5HubXMXA0KApZiaLNUlJNCxFux gPeoqvGYS0/9NnejqhpzZjVVg7bOVXH849qWhiJl43DRC3c2N6rw6MnwNx52Dneu7k0lxakTRsTE ZX0jGxoRETU/faoxoe/8fPubc0rNgBSFY8OEOn95mbYOVQyM5RGt8R/zdhvDqEUjr+cqc1wxSXUt ZVYwqFKDtBmiTtNDy/vrOtt56hyCIeo+JfQPY2pS7Jd4EGC2+WGqEPRv0GYo49t3eo5qNgMMuKEg +hdG5P/QRNh9nQ8aAjBucflMHq8mxRlrwbWABcrLd7lQKX4Zf/9D7zoEqnZ32XzyN6o35GbEnoQq /3aM7TSDHPS9/97FSOIoeYlq3H94ZvXc4d+7fLZ9P8UqAH1fQcM22XcYO2UxS9Chjx6dKYiKLmI6 mxrctK2T2TxzVu1lzaGxvXrj5sOe0gj9vLOFUP6pOtONRAJ4Wz9FxJBSlvRTCu/9QIsJXNHDMEIw 2Rtl8126cn0CReJQ2hnd9JbituGtrEk6SwCSuA4ll+ISnK9xXquGC4KGyJAWfy35V7sbyOphPlmx 3UjzOjqsNYqIj2SOXQyLRz8PEQBaOsjhlm4zg7kXXEkv0nvQedMh2skKHOHGZY/tVIg3yKBaRvXn 0gQKH5PnoZIc0EE0HYpSKYNECkpCeD6NNd85E+GdbZ8jN/81Tg4zweoEAhK7yI6ArnkqJcz/77Eb cQ8hGIMsED0jjXpE3yv6fUeA/bb+hDRuJNIFxVQgXnPleiS5qx2JNMROtgFDjfaUQqHB3ZRNyugw 8YW4bBwiwFjWk+a+X7yZ5yHneVn2xRJFpMRuIzS9j4iVFx4JVnUoO37mGK1iNlol7obvWPkIeN1p YVQyszRdC9yTgGusdBGreASQI+iFGG6p/gjVsqGCATsTtBAqjVYasgAiz+fslXQeLobj+AvPOgB0 oPIqFi7poIzYEosfqTZnrR9pZ3+ODQxM48Rv8eJJAC9gsTdEZ3FQNfhyCrleolRk1euvZ/2l6och of2x3axLM8v59x2e/wD7e2vQnKH/YbdsL+lBqNBbErxYm5UEqeDBig3ibAHswWRyIyrbaghM5ZMn Bsif5w2ifm4bUtE96gUpEeGoDTKftOU8DSCG3Z1JHLkV6mcU1hO86USrembim0HERCLjt+sGGjyN eS7fZIthMQdWz8RQlIs7DyQdK6QFZxoBxfR0NAjQYdiYJ0e+P1Pd/pjG6IaOo1nri3+5uTrrY0kC gfdvMQtZ3MBVCLGeW2Mt+hxBq+R9LxhiXPe73whuqDMj3FT8ZBvWOoXggw2zv6aoK4XW4TSWD9X0 3w2nD0vKIgseS5+ty1cMWreA10hDGvuNLmQs0tURgl7QccUfK0mXyxcJt5fGtYvch7ZrYnLnOopo AgwmplT1SPwUcc+h3u3iFxI56Sys28itZm2XcfcxNZ38Kefi5/4oDm6kUCiM8yY2cRx2cQue5EUo VZXfziS4BogNUSeVnUzdVovTlAufTTAbs48XvZbshGvZWoMRocA1n4PykINXHjzjGdXlDXpaldkM YXV+dIrJ6FGf+JFTUkTC6qD+kSWnGUx6Ht62gBvBtgc7wGxGwVdZh2vJlrpqKapr12ih5jwdZRnx I7WeFzdiT7o6nvK1z7Y0COsjylpFdC1ZRkuebvZdiQB+Eix/5GJ20FmTCGSC/BWR7ADJSSbemAF5 ptpVuSHy5kOrej7piiw0lVKmh1L2ARcvbR3QHpde67JIEOQX2KIxR532iO0oWdCq1NoSNvNKziTk U37aaXu2shLxQgyf9Q22vncoS3VHr5VNGaQAbGPPljWaKHQ61zDz9yPmc5DOeXjaoZPmUgLY17Z0 lgzknnVeV/0ZjUlBCCE6am2nQGVfrExkKMkd0Y7/feIc3m4YzwqEHV9MaKv6UIQQ7YBL0vLkRUBK jjjDF9M8dAj8apgQYprTJOz7yuI3pc0cEV2pOXOYKVusUdqIKqO+cAn+39aklAOeRDXUsCXlafOL rlu1AmEy7sKJEGdgO1TsC8OrcdBPhwcwT9DQL9pIKt0SaISc+4ClMMBC1JOYEWa78dcovyAfS6/0 AkWTpky8HMl0mGaCc/LhOta/rUi/I4LOoVrrBydKDKgGi70V/YmVo/YM1y8+tdo9lf6JvwCXrMPn Z5KMgtWs6f774gSi66vHZllVTHTghlcks3Ba1chLZO7vfc53J0tKNq0h6Nj+vR1UFTyMsJLTN3Qe amN2sS/ZXnYwszs6KwqB2ZtkHzuyhUSYlmFXbvcAGKYurV6t3sFQzL0WvPIWIk8I2x9Nb4fwJ9mi d2nM6euFOeNTTzUpZia1n5gFAvE1qqZA9ipU+xC8rTkJHrK6xA2hTpGcJXdgO7YtcRjyoh6/V8Jd JF0u4NKQnhkE5qWSNJS/UGjHcgX8xuAXg5cICNUkJtcojzQ9iSZP3wsmDF+J4wRNhVydiafhnWoi oRTaPDG/Ra4ippI7gesvpnjMC8yxFs/Bu7RxbPPZCsN6VEyYUclNIZdHAadvHshPBkiGspY/j7Mc ILwimbtYrTCdPzXuQyq/vKvpU0SmwxF/3MAmHBJj/QkUeuYDrcC6Q+hqbzGsefecOPomHTOYyA1U 81ZmjTaJNnw6/FfXkHYcZSgXT0tq/NvvQAs5rfvQ4x1hwKAYQo6S4k4oO5lGJq4n4sI1bdthHI1U NGD5Ef68fiy69JEX2Fyqg0M6Yr4YibV/uTnI1ITcazhj3v7WztovQuYTCBMJwESRjYkf8zhhmluh eeAcc6uMo2APGumPoZQNetCmtA/fpu4hGirf4vrHL8+TpWjemidByQQvP0pAoxGTc+QAMULc0hz6 S0N5pRmq77Rou2TbRq4L0Mf5cilmJLeQ9MNiCnRXrCL098xF+OfBc/nJtOgZXIS13PiojP86C6Vu AVuytj3+kLl6lUVpfnOxsB5V0JeEvYX2fKV7yjN75fwLGCnHS20Dw69n0S6G8Y642Odo3wCepycd t+vx05vfQt7MJO9YDZBJcZIRV7yuAxYs16UuC8Rudham7vkXQBEBIc+Pk3HefPTDfxAdx4izz79S D4e3QYPzVmLOxchAFTnZGJIJGlkfbYWPedzfPywSmHFRoFbS5Y8qOK6kmaYoVJoItDQ+dLe9jO/a IJmbWukWbZMrq4ZZrS3uXhifGYqEHrTUHKqBIyLnXkAw2SCr0YrBGIbOZ786KRFhXVGPIWOFKosD F6TYS7T+yLyEgSWsS20pCrowxk1sjR10TsXFYBJRY7SDSoxhfGzXon/CEGSK9zh5DsRTFYG26Abs DJAOd8kuYSMMu/DSPP+C0HTGTu7meoKVFjGIFzzpdoCGT7qObBp6QpLGBTvni88jd9J+iQg+Efxz B+WwEDkLbsiU8jdeB/FZX0WPyDeKaIeFVDDg8/dnDPlhKa8bsh6Zb40w9JOs/MzaI7PdvEYhnuMJ wEWMK19jTvXnpG6iQN7SkohYy4+hjzZn/DAqSQ83sTsOxH/kqioA/DfOjHZanWXtLil1c6Eln6qq oOwW0o5bNvBV4IhMQ935iNkSzUwqi+VTnCTtjapknEZx3xH1StRaxOId5bSqhswZh1iuDGI9US6g WOJ5IKJxmx1scdWBdCsSyiDAC+H2qUZpCBBBnVdU5rRXmQWRh0TPAYgPlzMB34rCrST3NfSdWudQ oq1F8tag4vRfd0IUsqZvDV15DPCEXoVU/T7Et54F5am+7wCLQIV5JFZx+KH0UmB5ZxiJTGd+i7tQ N3BtHplkOW8YfZGf9FpEZc4HO7yGs4UHTIS5yYZtxUUG/hL495RCYuvB/Fj9FEiXGFtMKFiNOMvn eqeRub8lwtWcrO8Nt+aHTUa/9vAmN4IUpfZSr6RHnxwL0Hilbtr4RxlmFZK9oobag2l8t5P3GcC4 N1vD0llj7R6uyvxoKUviVYS7DVghCmJfPpVLrSa9SDK8PYVwwNNVVeNqMDKLDdCG7qAHAFMuTNQI bBgKzTj3JVfnRTbtYVZKVMDtLX0qxF5xFp6Nxo8eIleCQADJkNQ0hKywwYlBJlBG5uxM/tTq/k9B ndSoil7MFVK66HmGb6PvhrzO/c3tycKpZoAQzl6BGrr05jszRfLqgs+w2NwL+OimUc8djzaxozIh Tw3F4nNUGK3p6OS2cdYEbuSavnR0FhFy/E7LAXyaiJVBv6mZToXaRCknJZoIRATwVRLqG/VhTddv zfeSlDAC9pbl+MOESVxSdfZthMVQAy9chX7KStwnDUtNx/DWQJK4llaZjjK8Sy18QbLdFLl1Nw60 5Uad3bXYYT1Ip4CMcbEsnhdE2Tyq5JttZwSLGZ/QRHM0i9IU5EGqtr3/AtMtnEykPZhpXfJt8OKL eG7ZjEHfJLg8K7WUDYvKSt1xkOsEGn6koxPVB8UaCEw+kK2Pv5Sl2cpPvmpqZmtZdrFdIJNIubZi WWr53U9riwY0DV3agR+CglLc3dh//rUuM1jIz6WqBJmE12601UH5SWRPLuaqNhD299JGgh59KcGw ctqfOJ6sYYTpd5R1AZnK/s6MvUsWs0FBoQd7FRsx1vuvEjdqX71ztPhi+Drh2WK68vuqjd7CavmB Y5ZDRkchoPAU1NUqhQpaFdImszkZY5fY3bHGlakTwJ7S8YFw1/GdjcNxnJJEwSWtdAVVDjAuD5Fz WAk/pygwAQQJU8Ghtgazlc5SFGG/EVzFV8a5TtuowbwxqZ5mVS7pMfcIrXw5DBaBBCitylqkwlky Ao0aJhFjmDQNbeo1UZ0+lxnTfzuez6Ao5hnlT0x2bvOdYawMhbKdqJ1LiOtFV5MLK5y4RLr8LXiJ 8MPPVrjlbERXuLKAt7nelHkbhb1OFSxs4HCh759SukyBcK484lUjqIPp5a3oBwnRDvMeYKIAe78i 5+8qCLFAhInYpNtLwiHFVzf16YPAlT/navX14eRo3F6PNnNc5TkqCe6eTmrMZBOYTjFo2DBbXDc+ IllXku9JPaCJTIzly1d3FBzrzEXGRd+uynR8ojHp5xw8pWEHP7dfzCoDd7fz34xM1K04CmL8sLIh uYGwCn0+dPChm/8EjlLjsZ/x89nEx/BbENWMoh4jMZvXK0R5O7QYG87J1EDDIfZJ9v1krlbuWJ53 hineAbtYCuFplCtz/yCUDYhQjXsk7j28DichRXtzLLt72XL6QGnMeY//kuDVjct4s74ABgdolHys kh7mg8i8Y1yhP90sRKZWraiYmzZqa/WjdR7k2bUFWN3T0CJz+v6WFZTKRuK3U1xCwSkLB8gC1/kQ /fuGFDOxwGzVll/yuUOOE/cclcouJkPzkoAZKY1gtqTQLdeYZOL1pommxJFkXNgvLR0uz0X5f1Ce GO37WydoyoAzEhCGVI7mCm8CT/l2xcl1+INrincqzqf1YAlojNKJ2aogsy7qEtclTIKzIvDCkvl/ BsxIf/g3tRx8QPFXHZk6dAJh/FzipsWM2nNjdpd8aQZ2ci3kggeD1iEZoo+p0Usri9AtV/P7c829 KeKGrm4ayEsqT3+yJqroGJz82zaL1ZAQdi4JVmdYPaHzSvC/zwfqRhMAxYIroxBhGKnvoWlNO6EM HccmtrdEJd0v+2rYakXwyBW5QB82LNMK6oq6DyGhdDi+lDVWojtV+ciWPeW0Qc65vReASkTLLMxs ptp0nn+9DKD2z0Z2UetezMloSQRDTFZas/6hlTBRB+mHAmMsHadGf5TtQBNLiPIVc3IgWVuuuJWa lvY3Mihcz5SPJTOvNoq4sGnQfrqbC1nd1p+PSUKA4PPcgTjN1WA9qy6J+5Qb3S3SgPUCsHCRP+5o R8E7xstJ356CtqVfBfD0pMI9LxubNUC3/vYrwFllDvpPlH5CTcKgiIUG9vfGk7qX2QC8wwtGjXay 0VCfEXvCBZEB7YZ6al2lcF18qKq4/Kh2SS39zMzQSV9xApulZGyhO5zPSIo2QZpGjZeju4w/ppCV t3JveXvCzfsb6B28eJ2f3A75fTK7IDI6X65JAzyS8q6HoQKwMdcKD1QpN2UO9U5PUGTYFlnXLjbC v2HPEYk3xX5St3+vHZ+LbWzIKRKWDcDN/RbotngvaXx30CKl8DivYRPBb6efXgGpmBarTBBbt/pg b02kGHhrlKUucxvVc+DqDH0AhHWcAz1tzzpIi587Xy4JRN2pLx2t5gJiJfAc8m5WrV+KSQSVXOEo LQded+Xu2sIgysqIxIkUtRKcc3uA3bh4+qG2RbMSAQtv1BvDeoXkn0G/DTHIxBthps5lSFwVLyhL jYBJvtlIbHdUUKcgMkPdFVoz5TOedm3SiGTQ7c2pSSg1kAHguKWviSiBrrYcuFyNcsKvG0EPQNHz axEkwX+GyGSpOSQPXGsaBuL6Non5wN0waiRv3X82vNXojouhCQ8q7fRJ69jOrwIbYkhuXwKX2Joo Osj/P4dg+K5lK6fHgqGFL6SmLMrZGfsQ9f/WfQ1NkgmlLggArtcrN40yQprvcPSA4Fa4AZIFueVf 5r6L9Mv3MxR66Sf8i4pdkfyHs6ilHIlGgtxNgipwpuWoo5JcjaLYVgCYGABnNXkDoZup+ZuGV9gm aYtzVmycWCWi4+8AI9DCqs14mWsvj92yk2zDkxyIPLuRLJByd/um5nPM9UA1sFipZwMYwTvZO6EJ aKxyKvBR1qDjMPn7EDfHycuWy+vo3F103U2+PAkdaEaSwBy7U93Ij31048aODuTzeTXAN7rhJMv6 QdeETCxeSZ2rHmZhFRnE9O/S3hA3om5so0XiFmowHZaqzFj7xXkDZaFCinq6DDyK3lApaMM2vVxt d7j0GUitVCh+gFP1fZ7v4dp5r2heyFF96VPKKKoylTpiQjNTy8UPZ67NTJrb76xy+aiS8BlJSJYi rDk831sBIuAoBhmmb8W4mNCZkAcqTJGlYnzAKzaENHH/nuFI7WTS+hgd7Pehyj6O0WNQjwEFpeGt QTr5T7u/YSoLp7rZ5O/K/ZBT9YoB0rkBYs0HjJQXoc3VwKndkVVBBfhefI7fdd1HCRR+J1BOcWU+ 4mKsIi2/7vuUMhcS9OVM4WAG5iahtl9FJKof4e9Qy4qCD5vBsM6n1zb9Yuza3aUE9jhxRhjcEKF2 W4xxEQgqpoa32H8p8FBMddado/61rjHzvP7TePVDSI2OuES4Y6nLjiggbYKtle/nJ3IILPLhy2gU RAsh0a/B8qd3vAz1UR1OtamZNNnuXCvMLyigaX3vTJzOLFfm2Gt3j2PxvprwZI9KahtUN3hbEH69 4pp+Yh3jgHFJ+8UrjjwO1bn2tO2Hb+s0mlfKdRnNEPYwJnzjRWTdlHaEHzwiMtE6EVp2r46xBrce yiTpx8ktMAqfV6AHHuzqy9KPfSqOY+eMf/YC/99wS1ZvFi2sLOYW0OzoYPySkFU+frVHxnpxKOg/ eSsgBalzP11Y4F1u7jOAgdBL0QKNK3bqjoNazpyXFVRQPRV9oqyQ2loBaaueNJWsNQ8TMIZQsiD7 UF1S0Q+r2KrTIz2N2qfaNRQXm/p5QRZAr2SnzBX1B2BKkU0Qkk0ES6kA51PoPj4ySZHNhF6bI4Os fn8Lp8BMKiPYcuDHuh/4IQNfVuUn365MUgtClLwBmeup0TkXX3NDAL425AQL9CBIo4Fjm4K4JDP9 IWTtNh0V/WmFK6EW/gsrh9XLgP/F5ny2iAn7lKTTYmH+Bzi0OaVnSp33SvKiyuYJ7TZrq4b2dfmF O128fCyyGzjpe0oQ2oSD/+WdA/0EkQkvX/o77Iw8sCtI12ZJ7ogKVy/L/FbGvG2K6BEqsiNC4xZ3 TAKu7gtc85vEJAoWLjgIXy/ccfT3KA9wcJkb45eLYMYDpvYGChST923ZAQwSo2OXCYj3toTMgR0m 6q9NCvaQg65dXas3VCq2MpKCMM8IoR1kWdcJlGLXHgtfCK4PaeiTAI/hxJbNkFAUkoCAYIkxysq2 KyP9wgBrRp6pJKHRAnwnlxRMaNgdRXsK/NIaH71uqhFi3Wm99E2zFRPdUOFujsxjW47WV+xpCjNk ZuSIuavUlryklVP1d14Q6fOIZfuRD2K6OO6MCJJPk5LC3CaPwLJ8uS1pKno2nZ9RyYbyvi5ODf9E LIh1CuyV/fDDIcecJqGafQLVf8fLRzRrnNQWlULGoxmvz3JXwVqM42MG3md2/TpD5xYltfoiAAhT QqYZvYDr/DkWvwGZVI98HYMyTpUo8Bfsnf6IJD4CqauZlD/Ans+ILhlz4ytm9D0gAgzS8lAWXcNb DMOU9gWvHRJ0E3F8Wqj9nUyiu/a0kxUE9f71PkOATTfDDCfDjFPhZL9PcFfde2xivsivHO4I2ZJI CsTxSnMq9IBH/3tmDi9/l4ad8auaFfu4sjKFIt7Vq/3aNMarf0oLa8paxBf6R2pVRkNZ7zKQ2ndK UXp2jYeOT7G6Lkt27cAtfokdh47PiGpqtsNG8EebVdLaVN2WjodHSxhkjMYdddr3069f3oNhIeOa LlCx01AD0y5o9+HpJgNtRSCOZZqC/I1RRBtzTFWXRN49qNuKFnHHmtQwdO05XaDMrRLcI5TRyRBX ln6u7GGK1l0x5ps3Jqa78Su3kcdvUX2SM/z2ju7frV6Ijm9WuxZjrlPayghWYZsA82YcusoMn1I6 UyVMmVDIqusBgIdop5mF+/h4Kaqq4br5NbM1HAA2FAZwjbeUMYaqkJHdjtF8M/voLZAv+IWVQP/K 9d3JT4bVINW20v9awyBhUN4/gH9nVVV1beQyOFRvQlJ7Om/Jwxm9rfBqlA8EmbUSqkfvclWYxS6k +T1T4m+K9VswLTItW3OhLWWqUGnV8uXf/bpq3hllIAnxmMoatnq9Rd0XjzqvjRqF4mXQpb35BzHl tJpZj7rg+cILic/HLj+FxCZY3RGdm3jy0iiLz/U7ZRrndNK9VrSaIF5bCobxyeFmEsU7f2IhELVN p0y2s/K8GrOVJQX3YiZvgiYtcPEBKjpPLnr4tZp5fyIZdQBSKrQESnGyGjiPYHJ9+5lTkNwwZa7R m10mNmJUEX0J34JB2OWNvSjqWZ6QC5wiNwGqi8nJrNBSP1LTfm/IbfPrlLLgJXG3kG08QfQaFhq5 Z8b+ri5hQKBP8sYTMOqFFesxLS+06T2Bl/F8yCkzZ60RCdhoNN5EV2zXjbBCDjszoz2qUMVPA/97 ps6y1xrzWmejErUthuFXeoq3tjXNjUbyFv6B2fUL3/728dmrpKP5vlF93hVwy1ernqWriaiOrG/W W7tBPfS7ASs6MFzlifwjjmVY9ektPoDKzByzRgHStZj244DWaUdNB4mBtGnNGalWJVQXrk0uiqg6 5Mj1TDqFkckW0CcTgWM54eCjavsP08ngkUJ66EONLI7Q0OehLtqf3LRTxFRws/aaW/m83il5TYkp g1iZmguG1qCa5VHs09+F9Vjz8pB/HEIQztevdnpqMN0LjnPO6VQAGmrYCT1QHqzrsWR2KrVuNiIW ObPAmWfMbjFJ6zgcIWFBEQuOlrVR7kLd2eRg8nKELwgUp90jRCMiRtReF9BNaTwyGbDzyYZUqsZK wc7WCP3OXgsZJj4GvEhZlohn7M1IOlInmkKDVby6KGmw4IR3ZAoqcvUlAgQyD9ROQle+NXDQbibB w85+GKDufyrPMzB5+FtXYLj6UsEhr5GyWF9v51sYN8dYI51rHC8oTz6iOpAgVkQ27Ps6+aN5WhPu 7ni+Sq+AKpTyD+wVmgx2ws2pryOCNBmkFwMV+4AuLsu9aIVNr6gFTkPkiS9n7+ryc/QdVXSkv2Sy eNZIelEriqXqJ6W0ZOO1hCqORkESLb7mD3zs9JDPZ/daWKHFBRtJMty75Pj6QAe0Tz7UnBCpILj+ vZ31YDERBkpYo5wpKxNTGOc7qQvn0t4aGn5E2YTat7iFsdf28PMQKRLcHzZD2cBFcvlucxYndxRL 5E2g5zUHXTSzua0vyBjUkMmt44qn3yUMsz4zId5R24YFxXuoRMisPi6zv7JdPiesgPwOEGXSCiXl gv9S4YSZCwWflY3OCGcLhVBPHtfVrdIGDFjeVQmjoiW6M2D3fFvqEePN90RBjORq4PI2F4ejS2q9 vZ026GknzDJ0LyI6jbT5OkjOE2kYFk77vnO1KG0eVsMhE8UfkQ4oDzxuOEykIW5vS+ZBp5G2G4cK si7R9zlq9eedZTPLO4pDDbTmLgCdYIN//SN9Dfg9ql4N6ukArbGe+LWHZeIHyAEn1m9G4JFSl4f4 51RQymOBcUeWFnyE96U0TDtwMohqX1N2VJlAs6nvIaNn5JsIz2fO52huV+Cfa4Llt1UDDU8jjXIY Ubm7wv2/FR12wVWhst1TTXBQt4cfLsyHuXS9yCUmBPVJBUg5ObWvc/gFaOOBvF/ZrR+jTw7EfRGT 7h59EFEghYEywh4/7x1ifdp3P1J3H2sWtJtHd/9Fj8CWns3/f0E5fO2pvf5IaRRcAxiCmYUvA0Pv ydJT0u5wXsctiYMF/SjRpB2NS52PAIm4dEtHn7a0cBpCtROjr5jqNXxCVQAL4bVOVtk/aRNZ9Kkv xa8nWtVYqVnGHqSY44X+Ou0rovLEhFPhwYXjzl3LmcP6wQQcvSHyIhUpIMJaodq/9+93RZsjN2P3 WzeIMCgZbQOyuXpwWZ9/lRCdIXBTDTpVV+Qj+VgcLYBi7dvcAkWaBrNPlDQ7/mOct4IC8KqfRp7l kes19w7LLUzyBS2+HH51ENnQC5hXVjutzVVVjeOmdsJBxsqQZzB+dlRt9mSfUeWHSXzGkDIIQ7Wn lA92dW9qJPKmHxhypUIIpRDTdDjAjfVk/K+CAYdku2M6kCdzr03YSfVMdRa7qKoXlfrqqL8QrF0h NLGCtXPjS4ObV+cXo2Ylc2yqSlMpcS/UAYDOoscj/LVC6LZ9aXmzfubxst89+UTCY6udnMEqZnnN ZAPKl7pSox2YjL2ulQOuG5F3qy7MO+SFcuNhQVnXOlbKfypsyn7NucUf/M2fUsEk/UPEdjjfCHcx fvzKvVwaXAqwoqw7o8De1AiDFgBUqbjzhLAuJhw2CqdSL+XMZFNBKMNF+4hBGxo/nIueRxDnGjFQ 8Fbwq7wwHoy7aY93zphn6WuS8e0+kcvg95Wcz2ktHxfhvXrMU8Q0fKlPDPjFDErvD6Qgp8NfIyT1 vow3BiekfLC2AySkSEW0ecQJUNr1GM5YsfaQevxnGfn3lokDAQ+8qIviYI6GAVD2G3Or/PhY+33d Tas7NBgXg1AvdFgJufVJH78lxyJadNIVa/8nxqcdD8RYMwgzhjnw8uj84Rjm3aTTWqVh25Uhszty S20XGpCokXPqo90WfExU4gEL+7KXJCFnPPGHqYm/A3tBq7OYEkWbOEO911HBOvkkwFl0WOxnpS9Y BDhp9K5Rc35SM6cap5wdsk5s1Gc3GjkCNDp2KSvolSGGkh7wer/IrcjQHT6AAMAI4I7V3q2A36XF Ceu4Dt7C+JCSiqY+xgImloPaXqoSpZwfuKJb4y7zsx23IOmXsYUTQiA7tPRgLhIXopW+lZMQvLaW D/YKq2P/yTLbAS9PL7lUR64+qxN/PZBwuzThW1drI8hS7u51ox78Aq6LnLsJ1hLfwYz3a2IzHruE yRAnUmTc8birGPvZkZa3sPBgr14SP/K2YUONezeyKK7gRyNuXjlClpKgbgNpnPtZ+P6LoDmxS/7J 33c+q2hiqQL+hSbj/QzeaVG5uaYARbodigojUH2ZuYoGlS8vh+GLqY+d1sh5g3Wgs9+iErFqaBdY EX7eNNuy9hM/RXsy5fksT58owKcZzMnsyFUkBu068goB4VlX6YY0RvXwmXnHq5YFkTY7oaMTWCXu LunYtCMIlUYBLV3DBr4cYGwJpjtyJN+Z9lBr11vFP9w88olI9TuqTIXQYrA6/YaQfXbVgRuqNgfP YvIAQDbvQyflqnDwY5HhW4kRqT1Sa7rNfEB5VGBViTQAAu2hHwjFiNw88SItIpPLdSzBzlAmkCUi 7psOcgxdepk8rsV8/6WbteEksBHLKEe0aX9RX0pkflcoAfnjiiEG0wCKRmsmTIKTaSQH9pT/mW4w CkiQd7YgqyFMonZvWNBoIWZxl/Wd1K2iuBD36baGfHVWJw1QLgAj9mI/qxfY+M+FmDc7g9Jz6skM kI3Ua66PNY3en0isNgD+d+sCCWYgY5lRarq/d2THNHUggbKXhXTW0Awyg0rG7fyNf+h1nVDC8Q3o IVrc/8XfIWjn6vVacV0e13RjMaqWMkFCuSY0v3Xcc/MPpQ8TzhK3Usv/eEN/w6g89vL6oHnrAQRw LDL9LBq6V2lbJw2Lvou1uzJMdejxWynDrfueZq2P87u49vy5F6slwbEQAxved9+u55WJVxlaKkWI xiRDlgrNgj4uqEkFKcnZB8/FVlRTpWJ3Ajtktx5dxLZpRCN//CnTaem3JOkxB//3udKQaaq/RT8P 8BKyB1T8U+czdjLfEF5wuW/QLCSfwCIewVMdVizAQTgBZHVAyqxYbH5ejt5sccfRd98uUjCjMoeJ yWI3fEU29sWyMaWosS0zmDNkqEJSZGEGZ0H2a0Lpt594O6qdDaMxXwkkRpKDq8b3zBaWLqECf//d el+sqN+CTGSwTKeHq5g3ev8lqh7mI+WKdY+H8jHULqX2AbN8ieVd1vdKkrFrkH05WrjoE9p+vzbK +9Nbmu4bwk/7tR4XGyN3NJGepgkhQR42iGdEn01j6eDk4wOi+mJz1/qnyYHkeRhf9RST6na+gzuw MdQO+3payvn+4VQKITXSNJrxmix4zwEB2pbfWH5cJx4qQe5QKJp2rJRM4f1omGjctgrwIAYL7ha2 m2NghhErZAsez2Rh+V1/pgASxA/urfyGa7hY0aBumQxZKiGT2bHVF6Wq6OFw4IWCuE+cFiHUksTA dgdX6gwgg3DClPoJkcZLGO+/kq7JpwBDVABaEP+0tcIgMHO9KG9v+k+sjfHLrX+zd0t4bmEsw8/3 /BQ4AAu4RzHtw473FjjR1PM3RNb3Ri+G1t4fgm9l3+rXvbO65ZbCNyTiBkusFdmjblcOGJQrd9Ld 2Z00q3aN9WJoNTkwMvM2t4x2RiqGCAMz/F0tz2OQEKgG4i/z+Jg4lI7gZlp3aw2HSu5GRU0btDKl DH3/klNyGI9Xd5pfeSoJH8tHIJFxPxA1NVEiu5qMe7yk9GxeSoLCFUr34aLus9Fcw0Mg9YulX/Sn QE1RpnaoOo7Ws+JVAagrS6pvFz2MvPm1C4cmlLzTdUu+ETmbnjeyQIfCONM47zvn8kBt9RjmisWQ 4X7ctcHLM3dnpejoo6o+JhhRuZo1fiRl4VkoC/+TfrqmojFFp/M6Ap5ulP9P2aKvWDsmMhwGDVem yQhbUFxsOX1yqkddghKbKU686S4+uEkeoR/thgOvuZTFk7R8dsrxDfVeTexjguu8bZlaZ7xPPSb2 FXePW25zqWt7fgxuAOvmed7zNGKetoNeMEYJHCzIq+NTB8WK6m34MrAsokHU9BIng838XkhI8BG+ 1/4Oxu4A/L8C10aWlX/UQi/vkesj/NZIBTegN5VK7QOxjEbpoKV/h3yQFrDj1YuhO8CFIEJ0g8PI wzrE3hrRd6AHESf7C1PDMkjVRUnz5nO15LXPWxNcfC7fVBsPQlOGOM0kZFGiArk2ARrV9ffeICRV W/suJlWKR6o6U4jZCMa2lrpp0wEcrKOWoNzQAlCRi4S56UnPBjbX5xMTaGhnbsnm6DXD+Orlfhia WI3NIqhiHLtCqkZ6iNyCsuezeBWVibrh691aiwKTcRU7Y524LBm98jPkIiaOv7qQOPxVggF42gJa 25ApLsQVp37gU9fCNjvGrDuCg24YAVblUYjy6Ez7646AwT5mYwyqyV9xbyDOg2bsdWfC8eaZ4Und ZCcssEm3+E4aBppvjqQX1hFXLkmskL2vwi570wdtbQFw1Fj5XHsYkgZxSkXy37qcNeFL0Rf782vh iD9xaHZCINxcVr37m+R4OsQTGpg755gWuLrermjhdshIyalXuYV099Y8KPj4EGVUB8lJkpOnx9c6 srDUV7d7l5S0+Nqd0ublDZFzNzxKZ7E/0O/mZXPhCSmjCKZxx0/nMlD0Xi7HE+5xodpaVv95RZLV FNxiH89ocnmQaGdT5p9yBzqB6Pdq74bUx8RU8zJtPbwPuRI42GlqjfQ5vDySaZRWn03oIGPrDJ+5 /s/QH4kpBkklRh73oF/pm/WulhooKpwSPzHlp1tC3U3G9plI46Ai/u3KzxgPWDCoUkJzwnLUlOkF oAK6p5yARiAc5fNb4HrZ3DVoszYW+P2NfGwI18U1pHB8sNtG+h0dBs0Hch+mRqDw7ZhcW0Mowj7l hDrOpx0wwFp/jgBcVldMmRdW6BtYAyKx6lquxn+69SLaj4F7lVgZiyrixLJnBPTTRyx/ifBvBJKW MHR72AoP5j5HDIwB5aLP3AjACkWwWkmvEv6IEDFzUq20SfWNkMmogdRixuqtBbGP1ELPcVmhQLTu e7qyluYQqLLr47EK7UxEJLnbIltE7VYce+8iVq5tkcIiNOsbKi9hxG1gM4NaTphoXRk/tyJM06gc HCorxRDnuOtZ6fMc3iigvPbd+FBRGEs3P0aFCL+dc8w5HVMVKwza3KGdbdfm4bitIKHP4VJUE826 oInD2MYvAygJseik2OZ6AJ1mumAD4V3yidQK23zubXLivvGenLeKnrM/HFRWhnsj0RkoOVhSz9G2 EnLVrlExnsgdny2Ix3WFxJH1uJHvMs5FcmVUvvtFINLTnFPqOez30/n+dXSxFkqSHYTWecJg96r1 Vh2Bt8rcNmS1byb1gMjjOOEz8LHaW0mN8h4VVzh/T0BNes2aMbRg6hl1+2NhgtIT0iXO4gKNeZSF 5IV+h/CXAEbTk/43iQEr286C6WnSD6ItES9rn8F1RwKs+pd4indpdZmOI4ldmo3UNZSUcm5W+2Zq bq43lII3i6WZ7q6XJY6nWpRtQfm13UAZ8mMzo/l6z6NnIXCPhHngtPnbeLys1E4W7yz02qwNNN9U lxWMmKLzClTWwEvtwt6D67RpEYDbE/+qcQDXAccQWnP6Bmkp7jnY6a8KKnn9huiviebPPZRlDuLK fvlgLfK+jXf9eqUYuoXpZKVbMxIyGuOhh93VsTywiHDDBGG/4N+em0RmgxcpXU2FNIXaoVTIUXo1 iN8+XTnrUfVhQ1+EALygo0Ysj3jEWbq7De2iSv0SvfZU2bIAqSD+kGoqsyOvYMrk4/94uurUwWul kmJPb1YKhV1N79fHuozAZAUQ1DzRXhZQCdLxAxbbjSESO1sXpArMMhaRJiAIXdHJrm6BLXEXLrGR VWcBmCU7lM2tUfF4/sXG4mlCXvQ9jVm0+EyUyzfHKliH4OVjczkrlFVPaKgC87tdA8cNrMkrpEtF un6UtrvX04w85QH/r6d4gCwhc4W57cfHTtCCKOKkpSQkWcCKXr5t+++3lyjL9IUjygSW7PxEWTmi xLk+0G9xyfKxm9p7BHdaZmozqbSCt4u11jXnq/AMPDZLb45D83clthWpkq1xllrFPqw/IcU17zTl 9WYum1z4ILuBou+LmlNGSryZWhCFuabpH0iO9UoQBeiTrHlT4ONbab+hRcF5G3qc+b2X3vK1GloC TcCQGv3cWFjSP1hj7g2cHkV51OQ4VEzFmshXMD/xcLlvvQB92GqqxrbUUc4O56e1ZJ4rgOL8gSO9 I3scV1/6zWGGxOQGy06R9FoOJXFuVjSJ2DUGN3xe6MslcXQ/EqsuQRzKBIp7IIJcsuNS1tAof7sE bqDgwYaRLlW+akVvZUkMG0vl3XIMceoNrVWRX6AGHo7I/0UBSXuopsiSd31u2uJ10sx+QNmfQWoD ipvME9DbO4NXD5EENHgA2ydnMzGR10EyGufd56hbhPHe1FHy8k7h6zGLIosxs+YNC2kAc3iJ29Ay 8QfXKTDUHINedmbp7hgEFmIkvH/zZtRTiRbFHloSH96Whnt7ML4sjMI3At8dG7CoEbMrjgcOVTH9 tCU/cyIrtDsWWurT6kzLqLa7bKdZppuwqDH8hu0v0xhlBGGoMjuo5z9vqqaX12W/pX7eoX6876I0 XW3iDDT2AfnU4OJOFRfnu5hD9UV8fmcwtMnQmq0JGqjEWwrSB2Ih7rHwF4qcIMQ5iG9nFSKlg5KI 86VscoJxMPznwIS/ja0iwjSK22tgJD7krOd/n31cTGFR5FfbpKLX2RkxWCqvYTESLItkSqGIYXw6 vHrBcguxewDWej2Gvqqozv6zvvRU2niQn5iVcpz9xnjPVtXVgqJI29g5DTH4TpdEYkHZgMS+5PWy 5w/4RYBLI3q0/6d3h3cv7+QsSy2aOeI1T8sTc1IYglvreuc0os31l0ftoPTmCjhvvykx7XH6woUa FN7uakmBZvXWk2PmG4dr1jpePb2JyzCkQHDcn+W0J+wjbF1+FkMu09jnkADFvDY3H28Tcdmcw8hf surijpi+v9Fz70PR24AVkPp3tOsNqt6sXx1dW9BbnZY8+PV7rKFw+Htc330OJEeTNhuT8ssBhCrE 6Aq2qeuyLu/6MsFx4mpjD8oKD4NyWWtRFqhIEfLcsRGGQYTEGWPturGxBMjAWnbB5YL2tybvCDTL ayUhOoff12MIfypq8Y/pKJnTSW7f8NpTsw3VqrSFQPkD8qyCqiwr1SQf6zuX5ng9/nj+qK6iqWpz tP9QfXt4cY/wMwOq1yBU7P1rH5kzdpHIej2IF+JiECyDabp0mLDQFqU5nxBtRfvv4q32KwHaQcNC id8HTLA4jbuBeUcEpiEn4qPbJ+5lDaWYfxH8aR2R5BVAWUWZERwmaweoHp9odlEcnoeVKpOt4Std yY+BhmGjQ0lQNNCHGH5vRx891xs2lCfh1mSGrBKhwd9tEDsuw0VcbyzpNlJgDq/d8emLscEShFnJ zPMU/Qj7FDiu+VuRhQb1atjVgxzBPa0nlnF3DCqcH58MdHAOvqedQH92Pjtnw4o1rhFw2l37SHeW w4Eyrvi2G8diowhKMxwp1oy8rmTU7wquNIX10P9KEmNkGxJSh0USEMQDjvv1uKv83IMcjdJp3Sek aBFwjtONFcmQF8hwZ6rZx8HU0TAS0M9nIhGj5rKWNOfD7H1j52NlgUSG2fl2m5kR6b+yyW2q6yiO Qa1v+2XGJ227djajfG6Ky1UqpTneIbK70D9Bvsz6CjfmqWJi7AONoSbeiUISViBY9SPpD1l2PQ/B k12QtvL11A/BUCyDEJsOaREJo1Wu3B6bmOFCG04Rd0i9+l+arMhfY6bY9orFjsbYbfE5Ec5ghtEX Sr7IaB3cVX3sNdxkU21q7fOLfLhZi93728kkPTkruzQEo3+z7hdDE9dXO1aNPlVeXfhl+rfe7aYO SETSvBXwl9/LKXmSwxcED3l51uKFAEpHq155TT++adbyTUvODX1VxTwb2CkrUhbnrVUrALSpCJUm nhf5uPWtVzbWxuAmKN89mf0kFrGMa7EnL/hQ7CknNsmvmureGoENgSWlmO8VYxEeJ536sxdrk6fI lihxzyKvwHt5TUfci+QzmFbVoLvhwEuBhDL1ZrNhFzcc6tlvoOhnuksvnuJ0QPe/I4wtkb+jPcEJ EQED5pYYlNVQS7Ib1eQ67a2wFx2fHaM+2UbiCdLtLEk4cf45/kogG3ZMgHr9Qfc90fXIWVDqsijp AvRUO+P8+cn2+fl/USV9KzrcVua6vtRDRiUs+ihjnTZPoI//9W3sE7Aiu0Y+eSu5tJ/qvgP7Km50 MJUWt3b0jzRnvF1AupJOP6x0jsv+K3JiKy+xnK2naa33Ay394mqXt4rGH+kXeV4GzxC+J/z/9TOW WHXfiTPhR9B3SuIAhVcrd9zbjhaKoFGduxyeH26XTQuOjGRj1RX+TCHcH9Q+bxCUQhRfQSJYTHBf PIxe5/eHuK31Li/nwmHbq+bcPAVMAb2M54vvbkckO2AYfRKIyqBKa07kzwxgRNbLRIof4qZsLhn0 4qKfEhe1uXfmDMsbg//8OISkXUQNsTzc0qSA//vVslFslV+THsizL7etIow8MySbQtdyZxss4aWH hMyTVw1Vftav7VHq+YaGyUuAui5kl184z31aAeVDMCmTG+Yu5NmZH3zh07LnRDsa21n3OpZdquIY +jWXHtDjUFOFB+cokvYLR7ShEPKRyCZp86zErg9DLDn5YiyFLIJRkVhIBO9eiXYHrIAqsoNW2EFp G6quD+hyz2vzBW44oikfaPwD5pOkMfld4bXbIqtr/XmaCkCLHPoS7QGevMBZkxD1QGUIMr8917eu HBRQQtKrR5iraNz4q/DuVz5QVQWkWzckuZC9T6kulOJwn8Zc5e2TRm3mVwkKUL7l+e1Yy0d9iSLA 8vvkUud9Ax9OxHOUGhm4yuvbGLU4e8Mg9LDlR68EPi3pkwMvQABitQXfMNuNgue+asawfTEE6TLl xZ32YBqJCPQwfNo9QYvE36dsPEL27xmBDOxTE2XtwZ7m6iM2RJxcYaygnwuN6X4cfV+ytt9kAgo7 nj1OpjEfl+EkunkpmQ0Q0RjmiiEUVOaPYE8c3N8MkQDuDSkXVP9lbzO4HmUh8aTJ9WONr+zLtQp3 NSkwEVo482S6zEdKLcKYR4qZhJ5f8dqjZ7mBTJfLRjRFPA+d3JWr3YVDc8iJyRQxHwX+02ZVV9t6 I0Y6prghcFPWvVgIQzzI11Plh/niVYIVNRdLcM4zLLCuIodkDqcUslYJS6zYN9EBpkMRoWE7c63g 1dDhNSF3xk+H26LUZqRgrXYxo3ZNA708I8CdZBTn1Et+HVL5NJIPNCmu08kfydRY8/B7s12iiE5D zYFEOxfm3lh+xzV3OxlSjk+8ku/R1f3izA83llNWpOMG52xPiUudLqdZZR5shL/Wi2NwtI4wttCu 0IWpxzczbl3i3Db7qipc5/azsQ3y48fQvYnPOfx5Sjri9JSQ59q7czmcV+gquqSfmkOOKIJ/zfkK L0hAMzk1eJV9EJv6F8xwywWAp8k3jdvRvRhwaJQ3r0ibTL3h6TQ8uz2ASYl73KexkuKsjxRH9Hk+ /bWA7jgHcjiQ79/688l2fNI9ulRjKyDbvINJmseClRE7ZVBTyFO+jXcTYguTvrgrmFuZX9NOKCzK ZXWpdRTH1gaPBsKkREhyxZNmanKBNqjgBSvbAnYCnBeRqke0vjzG0MplEnX2bcC2ExuGQlyVFraq jvOa1QPqt/vJZQ3QMV7YVMPu9OZh20TnCruLdxmCp3gn8fzohRwBukkdyOqiGQb2STD5msGY8qyC nDd5KEI3FOav4os5A3+Xvcy/9+P4O6BWw1SE0ox1IW/rF1IWtE5WOkwi+ZWgsUuCb7AUXqUmGc+G 8ZqZAFvZwney+cKP01AQui21eJCP537WGVFReZpvMAhTI43MPEC2PaeqAqnBwvfL1ClQ9C9Wh75Y bAM7eUrDWlWRMoc2uY51O7YweBooTkrqfRatA8P20LrBlQfO41M6a6g3x+ocVBdsctEXsc1f9QdC Vh9DknK59RqO2peNGOtffquBeqTAeVGLB6RZB1GG0M7C4jqKh027MhYb+Q9BWe6erFTdSNB+0fGT u15SdQKmof+4qhfMbIdpQySVe7xO5vPE/4yyYLNHAn8mbN/xEBuT6mO68DVCSuW+C66ZkL6BJJ2s Yjv3X9r0x68iFYUsVSYOavv2YyxS6PUJfkMKdMY+pUV4124hn6FgGliCRj7uH0MSd3EAG/7w1yez 7yQeKlkdBZWDEZxnLQGnyFZHHFnuE+Vn2zA3y3vI59Y/G8MJCzUQrPKGkV9wZlhO/C6PKADBBY2W PItwTdwtNbxAgRfBA2fcg/r8EGKdHj7ZrxcHYRcLJuTnIFLKUGUXtYeTfZUTkWg101Fco43d/WFt uGqsFAhg3DkXV6DfXtILtrdYOgUroC4N530Cehjkwdp95Jott2PeDIDYAu3Opb40eQWU8SvM3QZz 0YbLK8DLj0op0uf+JAwjifCeyeNNkG5BFNTZUH/Bjm6Z/8U6k8XlwJaslbbtTM/qXfgSSHNzMaXe VqDQbAWGTvZ96DTBw/u/3Qvq/L2fqUZIDGSVMtxLL5EIqLfKgOCDrENbFV7UAgBig3LPyBGr6h4O /nuGhG7cMJ20AJykeXWgCrtvWxkfuAkzNDN36gxB5OAH+CbSnoW8ib/4lU0i0HD3Y0w13oq3aR90 iKTn89Z4+Em8AUlX036/Auk/hWEbdyC06xlPCBq1zlgGR/amFJs1SvdhDLlXN7YUaI8VWe9SF7zP Oa0uw10widAkR/ps9OpCq+T5EylMcKtlazeWHTi0j2eM6yNibH4wE4t91GiOizdNauE7+qGxPGCe goa/hXIn+pT7xX6Bn3jX794GOoPYwJnOw/xl3ydjn/SHJmszCiFxfKIG4Vs7D82slYIlTG1C3h5K h2N+CxgCjmdonfdy+pXtBTJWXWqkQuGS5Es0eQHV3YY4kcJRt+tBsjebvo1RNu5FVQf343snekfq qbifPOJwPZb6pLZ1KS3wlxN/zXQXT2PQfl45Wbv+pNmWjAyDy8sT3sL9ppbVGJtXh3pGC0KLdcaP EhYakk9ySsFp1MeeT2k9YWaipBdebP+VQZqNLa/VvkDS3RSL4XQQC7NLi4TRbCg9wdEYHeNYJQwa RhftgrejR9J2RCrJvRpr8nQ8wiHvgSMiByheuTZzpo7Q3ynPSolAo2rrwn0tuXK20eoaCIZr1yIl o922whhonM9lzp7Imn0f9uoJvY+2fIMFU154MMZDH+1njPiKfKzfaPrXST0Z6P00PdQkPFRxUO9V fgv4vNF/eb6kfhHTqHIUSesfa3U5lUsp04nRMCFCsjSRxfk3+EAl4pIsWRhdDpYdjTpMFmV9z/6E 0cOekLeOi8Yk0X5Sw/UKsDgjzoIvVEk54JtXCVU4sXl7Ot53ZPhn5Eid5lNDcUV6H7ZxfPRFvD1R UgL9NjmIu2v12YmPh8iYjNZxc/awtvuGszsmwuFxKq52wbGoZbEsXflJK/lcqHNcirGpQNXxT+ih SsHj82B4InJIq46xKwVG0cmp+wuEOX3yl/k/cSNZYfot0YfhY4f/AvFqEZzm6PcDxAI9ajkwEMj6 53HcxuYJVLsAjut+8BXogwnHsop04JQmQrI4QcN3WOo9HtFOdyNaclDvxG3S74zGP8ah0U14AvrP gClqenyr/NIbdvOiV+dpgERjCTO2KMudcM8X5oRcw2pGxZZabw1l0TYkDcEDlc4hKg3I+aJC5MU4 92Lr6U01/UvWsQd2wP0jyPTg0LJ66BX4J21jMCVVE2eHHaCFzM8qMetMJgFwBIw2tIll0v3CSNq5 r8xD7tbOwtcS+LUVlXfeot4CA2Hr48AH6cENh/btoLbzYPr7mnpulRkEbdFmDDhAe8ngfYUiAC2x f/GPffNrKxdvk5B5usIzbTfjTxt9IhILXpvNIHMcxYaqC2XBCCzH5spYv6Ie0ItTCQZIlkJsiPXe 3wf4os3UcbVeWcHCb4ggEoULNbZUN0lIG4eOK0IbBGWgyZi9CxzVOkFaqA//aZOIJ/Mjo7L6ctqz wWH7z5dpojwXhdQ/rXAhP9ZZH0eSHTx/+H8p1ryS8x/dPSA5ljR5v5bQIr3nDinmCriwTyqU+sNI xBkYvXVhF5cbTtYjHc1GeDqxXI62fYyVTARvg1jJrr1PpYtGAGiIa6tqbN43O0s2oJTKHo2zXZMI 95b7C3zBDuIBF5JCeuTD59ObdKfRprcoAhWOViwvcxt64AIgpJUvTmqQcjwV2xOGq6G5uILM+uJt bHqIp+MROcMp6P8s1j0xcWNP9t0IHF4PBqBsYq4wqOhR/hZrdwu94QwBmF1sS21igZ4jOxRPAtbu gkwjlVdm37lyFswtvU31pjN7HrGN8KZDPXRpzPxeG0DhSFxjDrgoFFZbJJYWMy2E3KdC1/iyws/a 7e6UAbfelr/ZlHNSNgLgV6NEdBsfFKcxLA+xTV/AHPrQwcmpsM6puZn5CRd5aUcQwdw/xrBFgXbe XDcUv4LoG/32vicQwgodfpjaKe/Nb3SfJpKcogAvSxiMzuOaR5xgLHKE7UO5okouugWoYyu7gSeG Vz8SmPKlcqk8Yx4/MSysvOQm8K+h41UiXv+V7q6hB6HrB+Re5ZOV5Jf8Aae3fdVlhMyEDwr5o4kG xldDKK+UwGT9u8t9UqICD2Je8+hcBfKmtolGss2Cgj9/jVsLd4SN6Hi8AZ9KIFFcdypjJA8WTsn6 P5dyfLPw/jZGALw4x/i0K5Yd9AQVypLcRgB1MWFAG6b6Ix8JZsbVo+ewYcYh0Tv8TnfKHhjSHtWC hlHej8Zud+oSkFulfCnEFrtPIlUjQkjOEClKKi4+P43fSx+j9S3i6lR+XDg7mApXWaM0nWui/Gkl JeS9j8aHWLnKYjTS/GgaUdq7GpukxS62XuF/ujfx/XtR1dCu0qzddzZdCsucVmiJzpCW5xou8RVa 4X6Gclt0CK9TcFMoVIHMkl9aYxdRXI7R71h9u3wdZ3PMNG3JHXvr4gQrM9fvGiEcXhE2LQttNQvk yyGZMq34tG/BTCySOLqemcIyotGupHJiDHbw2I6Zritvm5uunA+rsf4qfCJm3EJm/MCE0pz3FTgy xPTau5T4doDiAwCpITCkChcsoUu2CQ6jGX+HueVKFNuXwB6UtXI7/Kcn1lVEHtiisSbdoxl75uGP YrIj+DXXvU3yDUvfDi+mBsCb8sf7AjnJVHHApctcm/XbayKvX0vB9VkDO+ZaYUnCSf9cLk0kj6wd sTmIVJVsy6IA3Oo0u4xxD9LnJ897iM4jhJG3c2bYl+YedWFmUsNn04OlEx0Q56Q5zZ09GhHP8573 Dri6dlVWqCq4uUrN3e2eQWvt3YMiyROMJgqPhAk6vWSwFb+ZEQrYTJG/e/mRiSu1VuqeeaLZCrq2 VMw7Iq+4Y5XVY7h+ka6feGJAddqbsfCL5OKweqC7LEeQWP/I3uOzWLeLysrxEQyLfLuw+rpykD4c hghkRzVHgIcj0VwaAP1kmOXnjwhs09Ne+DagGHCLP64MRQbh2hbMAdXcYJk+IdcpDNTe5JxEKgAr p+OT2ZIT8zzksy8B76ezAu8HvxKxXA/FXJfutSCRULBSHT50w/lu03Kl8D0jP1PHhztjJXDn4x9e +YwgnToaWKV646xpAclaJlyIJGDeIgCpYfaLm5fhgmWhoio5j1zTrcVvWZ9Cm9zR+iZtTfkj2tST t8IUuDC5o48BBt63UfbUoq9Rw2nncNxmZ9eyCfFXgZU8wefL35uEUfUK+Y3Vbb1MCsbNrjlxoSA9 wyJURHBkNLvFPbo4JfTS1FsC0dN12FBHJ/z6IRHNB5k3Qun1AX2PkWrCDwTeBNXcBePQp5BUIfd7 SF2/kyYxGefQ8Mm/iTKCADSuLMSRRjO+KgXU3bUoGyTKVgJX3/nclCMgUpkYlGmV0oRSB+W04Dt7 LXAaEEVCsLr+JNAn3z60AsuXM50Eot5Swi9fHXxyUxTbbFlv9TkUrSekNiImYbowNU38OnS+/2Uw r+nh8MUwkK2iLatOoul0PcUdrqSp92zHT397/JDR+7cfnQSqOo5LsLSpRogK7PI8Nhc/H2eOofXy vavsuKXMTZAcs+SdSKA7TcFppOFlqMkrO3EX3V4TF2TVaG6KWtEJf9QUZs3bPg8qk6w5adGAZ/NI WIIWo9pRXnDht/FUQPaZ6u0tT0yLVEmdOYTGZ4GQDVTxGx701LBN8XlyLzGvFGfmxPyK6ywBmj6r 82vpIMGkm+YQ6TyIjpfO9ZiL2ywdvqN0JmeThUj7wd11db2UphMGTPv+PxZCTMcPx3i8Uan5NGdD HOV6uEutpI+Ap97aYh+s5KukQPb9/ypvKVmQmpkbDwSLOItci9QIc1ItqYJzdTvgMxrm9roW9MgA Zhk+pcUxvX0d/+IJbled8P+K8lk3HXhhaVJ78JvllnhyEFh4x0k+dtSNsPmAOfh22HRL79sRS2av kCR4d4JU8pAMZHfVuyDA2AY3zTGGbV1OewuunOCLVOJFKlNXuA6jmWpTnQXWT/MwHdBMCGxNrApZ +x4yihYoo9uhIoKn+FG+0JV6ljkSkh3vsNUuUK8MGefWvAPX61NolLwsVf3BnbE2i8vQMJ4PkA9Z 51LjQ31TwBzQun7cB9uJu2YZa3zy9mOlb9Fgs0D4AXiE7rDD6NbM2Z6N8+HuF2NZBTj457n1EOAP 5zwYgzLmG5tmBo/0junGgYK9LG3zwSslEYlQ1ioBNn0vRP2ypSJS4Tw3jNdQeuNLFDzSMlLDklus 4hmZqwqC9AaZ6DeyhdKKRELE7iJfrdESLvAvyybjE6SkEtQRE5kZpxnXksQBZLYrYjfq4iSylGHR rYm6v2iu5Ncc8SN7jEbI7dZLRgRxLCiCTLcnJe/fvK4A2jq8pLbIxm8PtBYlM1gm8bfw+j50+gXg 94DljyYFoy14U8nqs4mmM925nE24OGOORC7XqRwIrWHw2NDMdAV+O4mxc+NqYpfixEXtCHtVtUn5 qmgsjfCMcVxXH9jQL1jSxjEu4gmFLSD1VAgTzJZEIzpnpq7woNusdlD/f2uAbFnsKRxgbWYF5YcR 7PViA3hOuan53DrAH2FyJL1pux3fm09nEEXpUDmxXciSf/4/tQcJ7rzCSMGnrvPaNaKx3cKCwTIc rnhxfnmBSeyZNMTK1KYUpmaCider/fTC71kTfCMWwRtULuIj0B2yEZmrNK9pJD3VJe/u+USoZUSm CFJN+5tMae26Eyhy/Q9/ol/Za+An21eEtymomRJXOwKiBS+Mw5v9LJgZl/EFDa1onzf1serglCPK MXUCL4X9O9ZgTLn4lujLlXkC1VjHeU0WSJ/HppdQ2rppM3NRGHG//8VtIBw37E7MwcMwOTpJzdNS Da7kdJq7rQdWvpmyzrjQ8fmTwVIE8ywnl8a5Hb+WXWbTrCP3WI61QbLN4g/xXkUETNvWiowq+6k2 f1hcqnk3rRUcpCe0s6uvTUZNcN2VWYdFuj42KcsxvCK1spSmPa3TKBwDqOhFeIucwsM5UtwuRKib knxDRefSoxCglBl70hrIMDd5TMGxTCP4BSwfRBkzHFOKgm/lSOM3AugaUdRHQPR9yRgg1oHlIX+0 9MCfuqitDt9gKj2Xq2CTNUZkg874ZDd7iFoZZUvafom0YNEKovkk+C4jjPmfQhJeMr7dw2OHT31r oHTy/aHSloOCJPdZOolMoOKKKVnYHd1G9f7t90TCpDCl3/V3xsFAR+J5mSekH6aAdIwHZfkurtvI niOCayRe8IDcOtOd+peB+5V9FEyYs4o0+NOHHpj9ftpSZgoL+tdm1Rl7m+zGK6NcHU1hqFX5Frkl oB+RoPOxECtsuPKo3aD3/od+rqTH26WkzONS5+L0ZMNPzsyI5slhfTNIgpsa5Ula3+CRY8NyVO7G sHc/6EheFQ7Cx2XXwG4wlf7PWTL5J6T8wZ3IPuwbHpEmBB7cO18E7ZWFQwToSzlJLKWuzrYZUOxO 6Hz2/KeV8J6Extv78aJAPkbaVh/4UoLDVcG5dhHAurNbItFcxkZCsU4KFnII9h29mOkgyGOTFCr6 mE1pLfb7TCWoY/HHW7Jr8S6OYi5+C1HNPJDc9Z7gumyk6A3VHrVpEI2COxsnfZNZXiO3vhYo+4yE vLmARgrNqCCtKAnAlsecET/K8QU9CDNJoQ4Q32v32sGENObTbjmNn+LxUoQ4NbwhrHUFvPj3+jEp iHweexQzeUYfXcmYtEV/hqQf/M3rbyWgAcplutzgKJx5QrnAVubuDIZbidDSoo2N145S8AEExCRO lyAtexktXKXHIJ06NkmQribd8U6m27yvJB3W5169hwo2kQocMJK7fl40ynloji/OMJCcC2eXH5fe Sm0B3jMLwwo66h6UQhkyfIw5fj3GQGjbddwucRSxU8lYYgKkpIHYZsdm+ZsqJQgoEDhLpscpxpFF XQ5PvVDThaK8FbCpTGvj9QKR08DvQDHziNIKD2mPVvx5+IpL3y7GRVsvx5tQPDmAsWVK1wT9KDdL zm0wbxuvQ2CrkqONifTzWSH755Gz/ibN4uGguyWh99sjz7zH83Gs9zHEcugdSFvZAkNUfdsM8Wya L+OBgxXBXOJA+DHGFtXo07EazwNaGO/2WSYHHHZ1XjU7eBlga3GNoCQTfriOqIg18KcRvc51jIUN emC3b0ALF2umbhE911eUxIPtWWBf+PnIznoevmZr3sPSEOY4wta1wPJc0RmKlVrx4OCY/4HP3L6t jcUU/sqP0R55/DrvSOgvQZl53JEeIQVUoV1Y6Gc6mqc9jDR2X4j8pHP4A60ldLhL0ZXkSPB5MU9L R/3MsF/iLu6Am4F8HuRjAY8k8Er12rtCOVlBUopuoZFR+/QvzCdkVo9RdmoYupypbgppaGcdFzLr 6+SeX1qOvBnlIPDx+Ye4IF6kWhxhXILrcD4XsnuPr7R/4Z3wZX27r7Ij3ITu5DQ2Pnrj7bq1PBOD IMZOVo1/BHrAoX2f9/uVtbDRVjp3JQhLiD0e80i8ff5ScGCKuqWnJ9/BZybCqpaZAaqST0SFL9vU 2xPc1M2gAnpyZ6SbkDif1ejnr5nEHzGNgyPGmZGLVHntEw4awfWk9ugcfCzluCRUD1G5iPWCl6pP HrTkt84fmgrsLVzEX9sRg5Bth6PsfYzIwFBpDX5a0e+md5KSO4xRAcOLcn19aCvCKjQSVTaTehkf 5M3Kd/3Wz4xAl78ufwZ+4bF4yVBlL5EN1HTufu9pjZ8ASjTwjpELvRq6E9qN7VjMPEacvIOGRDoN tWXDhoznBugNTVX3NMWjgVHbinyUHPe1+DQBBcAjOnfT7H3NicGJgjiasdH1oigBbxAEd00doJuV z6RI2y3MfJV8J7OlnGrGCcqE0bT1Ry0GKL2BfCVcdaIduRk85PoQOMEZSi/0Uf1YzwUkgGoOHaok CNJmfKIuKs5wciSaeoE2qFI/H9fYppRKAWVaPFEsdIcAPu3f9swtwOgtjZQtPAmADQecpKsVAzXD kfTAGRa0nGgYAGU+aWh7RBOo6PLnjbKIKEWFQaMqOcI5ebH8BFoacABNJb3heJue3/apBQ3wA6cN 5DPjA0yLymdnJl9hPw19Wlq7AOFbipNE8e54Dk1pFcH/oYwci+T+FdiVpPwKwF1/UccrMiaPYU9i VQNsJuVzSxQStyuxofgppyYq2YExaAUVPS32eNGXsNyYuHhvPIXh3J3dDsGrif/qPCX/JDbYUO/9 GZM1QuH67hNSsvHQcnL5OrxEzFI0mA0G8jeLSfoF/pv+ennWn7OJjuCeBX20Gq4wZyQ0tPNpjbia FVSp20pBRTbAqeTMNxLTdysPD3RCzfAZj5MZzh9cSsb98Qbwl6w61i4MLoa4Z0N0pMZeX4HjDlmY LlUtjSAXkSLGv8nyHHVISHpveNY+eP3Njg2ZgfcR3nhaQN/Sjt/B1B/O7oGsKlu1QGi6upx15Vlw 1JJhIQz1iHFnUdQD4sB7IwmUQ1k5XLlOMzj8Y9IndHCuYfFDZsraWiZjl6XX1MYmPJCu1XMuqt2m 4wgpDcbTY93/xmd/YQodUwtfWeMFE/0bCxJZQAYNL/XQRO0dF3nQ995m4oj5mnSa8Bn//fW0BXsc BUa1BUruJEJU+ThV1ZiszejUPJebebWT3EnB4HX6uD0paGVWjpUmh1y6HsMbX6gXxiYXqf+VlaB5 VcF26jc1i0Ja1+iqf5EvHkhzINkVD2/Qfh85Oju5dGYhsFTV6BqQkVIZswFo/D3LnEbcYlBrtPFd aBVk5sUZuRTktSmKZ+wXH0/yegH8QpNctk+0U6ct1hhreBHCEBWm/ARIhxJCvfWzHTf+Vo0QT3xv rdFcKqWECoxAqdyfLtWmDQIxXplmPHRYJDdsZTTp27jl+z6ksyB0FyEZG5XAa7NyTJ4tqRMbOWLQ AqYFnS/k6bTBNOIZcb2E/uOZ3Gf74jqODaBGQR8hCAGTFq1seT+2yvJR1K03wyGei1hWTwzGsXIU /Gm0311Ay1s02pxmMcn7zxuTpmeWyMFMf1tLxJ/iykU8lCeizRkPxBJIToGBFouTssbKCBem1vIf VqPjfC7Htpc41sE6JkuIevgn03sWvgaMnQ5rtz/Ey0z6Hst6znvHKQV27aidRAW6EnUC37oOOkz0 AFV+GnwmI+EwyNPvG1eJe28gCO31mIfBzoOiL/ro160sFWLixN9XiYvhDpJHiZOJWHnd6MjGpyDC vsNgjAgAZtIOInuA1JSlNSa7SfAkAgi5JYLy5ul3Cpcblos7KTWXDje8o41DufP4UIiPg8yRAtqs UL17LgTLPaicNNYjq8prGZGoiKSnPh1JGPYU5ovFTJIegLpHkprm+HaAy5lgQ5kWn3/ykqMqJl0j YeH1EsqlvJCiHdpMZSh9dbWuGLLie7GuTvIMZK8vk4X7lshcvm1mbYWLK5xgQF30rj4iIvk2Pcpi Fvsa5ZDxHnIA7HL2iePhosXFNKX0sFI9uMpuw25z6cVubeS7sZ69T9tez4vVletYgzD52kQYHdOa WOVosIqdWl4+ybzcw71kLSWlV/9NDI1kTzVxCELU9G+Gu38zjYLboolDtGIFFPAVRK3CtqxOD8r7 t/3SnJ38dugl/IFvWoHMUqUFYAFSNiMBRaNSrHSlE6SepkmuJ24mjInPnxOE9x5i3bZma9ehTeO3 CMUpExehsZv/qUQuYKzNeuGPozif8Hdt1P3aNu6MjW+UFu5Ok5TL75eKIZ5agPYW/Eu/1i48AGfF 4z6GQAG5mS7ZN+dh/OHTYDyoV1/oW178BM/reckjQYz6qrhcI+a5Yp3AA/pQ11QwLe2nlmZfKXWm y76sLs8GP+A9m8ChGABJzdHEWcQcJ8PhYyzD3JYsR6CXAKis0rRTq9WNw5/JyB97ipZW1a/eKtel jgaBpqSJO0WxL608/16VYFem6QJn9bVhuVDu1OCBKLHdHoBM9ML4D3uwgTB0xXH78hlfKiFnrjnW 34bG5exvuwCKTowdIm+d2U1rsaXCaLt2BwzbRdkJrkANfp84cqREeSferGbHsaxDGvAHStJ2sUIq oB3uereFg7LJAVOSVqYr+cfZ6vMAW94VQ/O56aX89+EzSbiHdApDvMu635W7fCidarbW5GiAaLa2 ni9C788cECKB3wE82hA9amvw+MkbiW5l/EzKaPfC2pWFOWAI5OjzaAlROeYIf4tdfdHvcXWIN+90 TQpdFf3YFwvAhBJmljWCUrKmcshIYnta7xUG95HkTtGZOCTmbv+SdP8HxzCZcLAPFM2+jO/O+Jdd Di+0EYziKSmBPqBu/6/nwqxCibQopGmZ60PvD6yV/dgqSXuifzIp82zLlU5wqn5woMXYLdbKIsqq ZRmKnsZpnkF3R+jN4V63kRRk9d/nALTd0ExXFNY8Yy2M6v1gG8wxTeQN3tvhzN6cqmmYB4PhdULx uMh9KJo6M9U3hWinj/Fn5TS7wUdtyHJ5Dmh4kkW7k6qgGgQKrp4q1AjKaSYTg0az+RrUOuCtRs1u Q1Pwaz8UW3JOl0ww+rxAR5nNkFBJ2vfjrIi9Y/htdGEdp9ewn5MIwITR1z+2Wa5gX8gKkO0sb4C2 DH1wxDs23R1FHnxf24BBzBIqpTDwvPdv47H9ZXa7ziXx7Kn2gLPJuSZm/drPCZFqSLtDKe6lBN8b rzA47qYq4615dt9q8Afq1sI3aliTZbWbUoa0yaV+1b+XOotjk5POZfC5VI1NYB4gQplVfAwwvJ+2 pyISEifmBiF2GwXVAmqsiFFUkWMnIz+bmdz67+KEj+P/JBPA0wbKZSGP85s+Ys7hh+BmZ9peSyTC Yd467OwIM13aPv+2Qc0JAVmU77uZ0aE3CZDiVBM8qHGZDlSD1CV29jMVSih3FinPqxQES8Ml+yLj vC2fea6BXXCJGxeurL0gA7i5TIkS7WCLin13ESt+oxWuJQMTlwHZIWR5SP8ksgcE8zJ5kRCUr/sH eI3ISVcgLYs4LyfTY9ITtgkcgubITAyE0HBnWS0BE1QNj56mtSdtNrH3izqNf86O+9IpEgsVVKpy orvcruQ4fU7vJnhSunadJQRYnHG+skaawiu7NIVAkmfKwLHXwM62tnT6soJ8YoggD7AJ/7BubmFf RcOtcBsi2IPr76GDHq/7s2dPfNaQgagSgKBuh41EatQCV6CEyL3srGrv0ycztMGGjzYEEtUIJeQU Px98Oeq+4rOvapaiFQRdh62jvM+/veqMf7HagWXWIDLxOmqLb/vN9geDG9vExvKfvMifgDW9NajF 35wqsw9FMuW+0dGDBOJ9FRVfWNl0ml6c9usZ+1uwvto7DyEDl2yW66gARj45KLQyUx1x02DrFbjr 4BSFb57j3xI7kve9m+aRUye/wcUflEMcjjhQPOboxnPpeVLrV20I1vCw1opilPaSDdBq9mS0wCmq D1gRYzZOx0Crn14xVOKoEkmXBceAqNtiBbrsBGum39+PjdA78hdhorLNy3rFdgYDbqfkDuXds9Jg 9w07uh0lseVsP4+QGZo4GuIJyxXcfRqRfDzGgKrcQwFNzcLxQ9hbFriFooe3TnD2NbF6xV2LvMau YU0ZTYVB9XQ/Ws3g6WbXRjKM9FGkjq2vcNa7PCEPc77ofRqk2IjYNNKI/tbLnpwxezJPaIeHSDpq GR2TWxbSIg8iuEBbLmOxrFsIFBk2Ba21CiDw37c53uhDu8ubOuoAk/OJG5fC3RTbd2/7XzFGGNss DtR/lHAfx2Eo0ORXWfypY+iUW/AYuVAP17l+g9rviFrek2amkRrC6760/uLbimtqIjWDtNfAsy0k fdY5L6GWswmI5r1+SLhmzxR8z6JFVww31jK0H80iAeas6stBPtan+AS79nGnV12cPePUp6I32cVR DTSqT5fZ+/GnJFgbXgwLx42mWGMao8Rb+95M+/KZtwDjlYn2RCafeCLTNfvARuHQLKJLkicSYRNk a08CHvI5HGoCW75QDaU6+bXjVyNZCkIriqw3vmnekF1Jcv2ExodJ7+jt4YiR2fWS1FDRTDV5UBxt Faj3cA2R7cpFCS1419fmVm/dHWHKYzSzyNMSYIfL3CBZ2ea+o/qln6lwUOCT1KgIU7z5zx/m0hF0 uwH2X3c5J0XAv9x2h9vfnSH7yA+n3wLeKcyWzYNQUk9uKqpfYJ3YFF0W4V6/BSjzLFQVY8RZKY8l 6B2UWulOv8lKuPvJJ+cma3MIF0A1Wv9ajXmUf42Vz3snumnB/wTD+nGP2Ci8j5whyKndijsos0by hjCiEmjWReML+kcIHT4mLw0DHd4vP0PRSD5eyGm6gKuvEGJwYrYbYyEQzDH46ewVgmRTLYOTl99i mvibwRXzk5PUAXkgIvICNLPPWyUlBxNrZu11sVyLR5iJ8Vxh+D7e0QyYuh1r9Bpp8eqlaJUgHhlK aQYnhHyAU0PSib6EC8tfyQMRWkPJt3DoDzUV9ikU0xM1MbL8rLwPpKKBytJRzOBk5Px7YNG8nPql 15+DQnYu71sjEc8kx6pvS5RI6lNbE00GXS4Kyf5/Zq3jo7buLxrfs15KJO0QopsXw1OLxy7CKHDj hs8Wakbw6XYudLFA4WyLyLvb15X4fS9XgtZP5xf/j6rtOFHbhZOsZbzjyH48ZF8RmfxpeqpBeSE3 NMtUO+uoxRpVviTdUc/mSWJStuX7ntpTlp/JW+N8WIIvfHj/IudIO11LKB+21PXDUYH7gRfti6aR m+mo3DlUMcTl+ph5dVPP5W1v6+QAumfsb63fXpVrQ7Mk8UEUb2HImMHvdBsu0i/JM4MubGtE30MU 8w0JRFwq0eQmC1DGpgJ+hH0WdHpeJS7zUGJ06GwtSA4d0H759uC2SkvE99W1M64DuViRy1EVFGez kH/gUFJ3RyfQvyCBAW4/s8r1JPYuKoLzhgzRKi8udaJQwbNNPZYcta8z2GfqEz9kHCQohFpzcHIO 233GxRFJzQrLAl0ruNYVss5aoV8/rdWmX1oHpNN/fJJagmRDNChvS2OplQeToOD5Zr034rlrIkKR QNk3RPOuTVDY3HUM+hUOWb6YqponPq7XoEMZz0cwLk8ym7Rrpyk+REwzr5Z4SQYhZxwzgdYn809S wUrYHNsz6xEnfy0CU2C9beOPtfhwSBbqJGA8hyQwXabVbpRr8Hkp/SCDMsS3vahuwQNKvjaKfCV5 qQP3aW5SkPjasxP8lWVB/S1Sm65V+6XCmTjrYoM07YA0VsBnwF3HzQxWttJ1FS/fbR092Be0y2Wt h/q5NeSH9BFqQvvUqpminpErtrqBYNU1bHjHsMrxg4zI9uWj8w4YTeUhNFaE4kxOzVohKsxY7hZz dxaHY95qt1yXOeYbEZF7/ogmXbLfV09k6a1Kn/vFamlz/n/OWBmv9kKlGw2H8gZIVK4yo+MNH+XZ S5l7ZP5ac+J1fmoCHVLVpYvrBcrZC1tWswU5aEQbnHl6LNjrrfZohuSvaLf0z5bCIxyP0QKxnNss DqKHxLwE1oPGql0OqteqYogRfp5efyvOuRxmVcJPwo0R4vOpMq6yCeLTwo7FwLMZorNSXWeuAyKT CMTAyykBAN6Vh+jWeJgOupDIicJ33fHmrQb6LpvXqIhxCqKhgwRozGH40OgvrvSgabOBzOO5a/eP S0CXC0l07p4ruOYm8eY4Tepg3Jaojbs8T6Q352b64yIf0RudTPejVgtNYAIA6DohXU3PwYJk6Gxw 3CHSyqV7hlpK6TfHKyZywEnlLdFRWOZ+ZYt04JNKNk4f4ipt3goyWbmrW1cgynYHZpYpsWkaQRAi /WT7j1fIw5q4w/QKVesbL6DL36mKFdPAWEZQeIQlvERF5Vy4erAeV26hxKZAIB+s8OcVnN1MHirA +ETvEa8g+Cx5BYu+/6GOpYSwwJ6dtHg2CjA9v00pOVDWPrhWk9fBe3QWPTLFy+HJWEwWxmsctX0e hOdncdA/GBnVV5sj+ThjQJdvFcjzMn3y7ujYj3ikFg6BMA2xWEJT/8LpZltrKEQufPezgbHcYlg1 8orWSfmChSHGDZdiMszDRB9t6UldW36+1g0UsXjVncbYYTNwjyvKyAfRLXDr9Lb2mE2j+H+9nN9v KTwOXj3o2NRAAw93b6+63QAtnK1+JNizoIxmat1IoWDTyyQz2C9LUjCaGVIRbmQvvoQu+IP/FxSA lp4hHWznCRZ7/stY+NAqQkZDyPcoHgN0r7a4LGdZow8qJsa7KMediYg9UxM3nZ6y+vDmPduF9hZu vjC9LZ/PnmoSYtDdRIM9UjSq+H5tQ54FCLJmUIJoLfwyIpTNcJIHLvwFy2ljnOCjLdS8+5TbFqhm wlj9qKQjDV2rNB7Jye0cndf6fy7l70KX1E9zqRX8P/JiDV98j+xlD7Ci1DnToQm2jBW8Bc1JHzOP wi1PAorLe4a2YdqB3/40iUGBMKX+nzeaprZCpiQLnXoWByOrK1BqWC+e2fW4QItcdhK9JLa8VTZY SuP2hrBc9d1j9K2BHZInuXHZFGdzAThVGP8nrvlGgTL46j0AKdKHe/dvTRCwO13P4Ep/mZUsHIy6 DPtCB3EXqlL76pV6VkE3/yJD5MSxDYfXoYg3YNeYO3A/HHhUmTa+JY5JXqZr09zCf/VjQJpYX3Bn qxbmJYBJkbeZP/dty78lcxnTPJ0s05RJNBY5J3BD/UD12XchFH8GSc3m7JyVvKCKrMGjbw02Ms8r k54eQrT8/OSRs1ymK23IPWcAE+6+KSKe6Ffc6YpcFjcsQuS6Oi8sN6ziLW+SlhUkhsHgN5kZ0NXQ 8HXKhvYtkiJuujejituSnLAOADOBAuEpItgxEivyfKJT/tXCarVXUfIGKSyCzEJNycXZ7KzJvSI/ OVSPtWbO7egZLM1j0Ty5ApUA4wjl+6H8caYGDcfAtFxC7gZcQcGlikxiEgdtIh14gj8oVXiJDikq CT+APQWd98KjwqD63YkYms3eNtV3aX3264EP8R+9uELjo0B1Kz4PUOUcEXU+7MiXT6BvseHEi1Ea HLSCRX3I8a8V9YGs9KpH4u1Tz6Mr1dvoHZk4lRRlx97QduQfuphoonWR/tvW/GAUdWjGgn2MW8p7 +pBIms9v8lyeXa2XeSXKWOUr76yn24h+f2R3UOlz+V3ZHoRyq1iNW+1ZhJY9/cRTQyLi7cOW/ln3 WAFysiMJKBTQ2XyOiv+9dOhJ6KmXqONH17S0jFKA6QJSOOapotCoirNByd4SKjs/aLjQcEayl2Oy y/psRyv2DxgrYtXyRn17T+B6HiWqqctrt5D91JwBmxR8pz6Fhqaxdav1CNnmc8D1Ko++QYocAqHG kUd2jl/Lxn5wmHWAzCAnc+E54qvkAK1DeVOoQTJniMNKT98fNeW4Mby1/jTct8MM73tkKTa6qngJ 7J81CcdxeBUfx4iZfk4ls9KvksMa6uXY9FN24IyQUjS0lgaNmlzZjploRYyJmYkZHnXLQsdI02h2 XiEuc0yRK+peR6mPrJmEqrlXOEh3RfmP6ChWgDnaLURP6fsAW2xY+ZpV45Peo30aKNVP1+wFXBio yuyo/nBBIc2gIi1bYanS4HDZibXHmPxay0fG2k9UkRvvJlYKP0GTgJSA8rKqYLUpRFpPLSaleXQP palH8t9nKIoKFdzYWfA6btcvw2nqHoBO4AUlQOa5e/zFh4BbW2NpndKlMSxpEyiHGYdueh0LroED gbeYI/Zdmfj572WTGBvIlXhx1/se9XJO6dANUVRwV+j6/WHKlDsx8Q84uMTgYTjDkDCaHC53EclV i3fK1okiXKVow2sxa3gCivqndniY5aESYjiL++vc5IRv1gwGWOOKpzZWmWzIpJ7z5A33AsXSjlMg uLcobK+G8quV55DY+dRJPYQE17N2ICe2j/zR3eZ2U3HnB4vCpW7IO/KgFnNkWpEDDKsW2k4me4lK k79LPxCXmme8RO2XdvWluvwaCVJyZIAu05WTZJf3Xw1PC64EAJCAMTlbXiDYgwAL9npiCyMCGFKp JT9S7U8dvf19NEKkP+jHfkHoRSRB34tzvybuP2bb74XMsPiPSgLBAG3fiQqY7a5wD06Jc9nlwbKI NFetW2eDcJGhBYKmVYwM9Fs5n2M9c2fuJzsLtJgif7qh0wDYl6Q0/bic5EouexoifYVlThOfNBtR f2Bg8qQQi22k0q526H/L2H603Z3Yu74dNnyNxK8dPtupwlwsQ6lRxS0bUqw2JKqnwlakjae/HxWm /7ORBz762NaJnZpIFqKp7U733b+ntfJsphUBo/9LfS7Zdm/dm8iWkHmRQWp9Y1cZDjJtTyq5U6gw qzPIAi705A2+4tLbza/MpYH+pQttiRNpcwk4Dx+shxsdogUBfDL898qOK9/mfwvgrTOmYP+4PW9A h+vKCXGa1d379TQOytFR7/FeQpn5qH8O5JcQoeshZpCeQDVX91w6p6TY1geovUJRdqFXqSHwMJ5R iGrXjVt/njgiI0qRJ3kwprkPHbNG/O62AcdEZ9Jis31lQurRwubksNskNwClWXJy8LcWAo1Z/tp+ m9HF18ysPIIGp/Ikve0TjeuIWVa8BusKVGmMDo1b4sV+g4RCHbPTabM47Rzd/VnoSZ1cjI6/KXlo 8M0yPK8WTqdr0gBKmRG+qSmT0bCekzMeaanF5rhu8G2K1mc3s37ghxZJTHfouJgXBtkuLnsNGH8t UlCHruNzpeadZ4nX11y6KRxAA7P0imzbNiaugPdu1InSrgrR84kE4iSWB4nMdHgV0WuEs/Fknvwy Up5xIiKOqXqfKhRHO7rH1GqlhLtxIPp21jv87bPaZBEGEPZEh/Pi3KnfJwsyYpmceBY1EMW/1bY2 mxPiN3aDDH5hhYTOE1VOLk2stBkCnEiHZaANZXnuWzOYB28hRvvo3nvI8eFhK+t6gl76T/aGKuC+ TgMUcKv0E+Uhk9/fCcaAdwSK77BRdRbqNJI6KuM85BkVEd70RFFtlmPK7OqJx3EEEtHHM5T/fWVt wEwL591RaCP328Hc2Xfl5DazvMqYQ6ZRVVHpkceYoIb29kN/RKXdUQO1E+hnAglmkB99dr4xCTit 2+HHgqOCOTuRxqyLl5tRh8WN9Es6gS4/QUIl9YRVfDlS/pnrt5Zvw7ZIM7+P3ydcQg4F+ZRafuwH IKT0T137lkGFgwxyqgR1m5nt7JmFRjJDDP5NorKp0FKBbhEirnYhH/ICryRVzNfDYuszqbmu8ZkF 1nU9ry+YNNqOEZovjrLE4rRiERWXr/sKd+jAjGmcyp5RWSHwQOIuKQWbWmbrGKfxY7nIcITgxEXU Tjnh7pRg5sMc/8VdlcT0OLyrXpk6rAV7IibgfDedRkx8edpLb5H5Gzj37VgxcRK+5GH7UqGB3ifl CkFiTpdrAWsyd1/SgkHKj9mgv9lGe3HsbwmZdmIkl8jp8LX9kua2DiPw2pvsT/LdJDBj0KsU1r62 m2O0OB6Fi+aXhZ8ch/yqpAdNQe9yQ69tk2qeNIng0POg43/qHhugkT1oNi4e1i3V1btyDjjPHuF2 ossCPkn1kLLD4r/DaHOgKxUxJFUTBZtlUrSClhKc5Zo7lQWukaJcimNuJbVV4wxMq2cRp97iNfsM Q1jWcfHiKj1B6sWdUZ89blYuuK48GjkKrM+o4TRBefcPxgu7b27Aurf5NYx4UE+BDIhswIa6z6l1 +at5RyG/mC2QdD9r7ZVW1fesSMsWhtLLAqqdm98t0vWSdeH1eotvdD9ZgeoBUWR/PZbyFL+dsMiK /0w8bPdOYSnyqDSKD6zKm/+2pDz3i3s/tOdsMk3Sjfc7DUnbivBhuzgp+Mbj2DMtRRdVW/f4tJuF FPQsbwAOrdobdTcTFbHTzsS2rJuyEklSYAbnJcdvHzXmu6UP6dHFgc5i1jMQWylTspiXlDyc3R8D c3w8VqN8YhFGyetZH8dkvXRIcFlyZpqLNBqe4k57mCZdWy4R5V1RGfZhsGOhmTHhKnk+i376L/ki prETNw4N+7xfSs4tGrScLZOsNTTIVR1iICK22jeve8q8Nl7KTbf6ZcIXo6WnR0vZJGqPhFsMbJk1 ewTD4n/moA+zz6wdmCiVAtBygpwo1Il8IIHWZw0QcmF341xS8WiHJgZSIL5BJewOQwlP9FU4jULL OeE9yMh4ir3+7v6pvWQYRRepQeC36qN9eI59uqkzoWqOI0tdXul90UCVlr1S5QGS77UwefLwhZwY //uPWu7UYflofoXeWwgcL6UaMwB/gLcQL8WezRsTmv9sWu3DtT8I4Xyfr3ISsCEvp4251MoS5zY9 BliQ6Jh1/Lh9uZW502LGpE6WzrkHx1vVKQUxG3AyBHFS3r8Vty8IEbRwM3hSuY+8Mmf5BhsBbymC Mi6ZQcThZo8+1zQ4bwNgQb7iYwZRMiYFa44TvAf84jtsGB/N8WldFaid2gchLzmlwnwg758/cDDK +lNSqKa5VGS8IG0ucGBWpTHkHK5LagjLMFPQYvNQJpuCSuAtmd1fEqb9q3A6wLxaORduOvzaPPM1 3RfqQKYdDHCUfFpXGUmL8asX7s5ISrmvsWdnAce5ic3fl/miTXpCcBrJnx3PPiOaH408g2kPWjrq XOG+3nRfjWz3jtx3nhRxDeCu5D+LXJeW8OsR6wYZUtkMp46xgY3laHxSQrfG81+76YVknPBpSPij i28GUkQ3boL39e+sIcIWDz/bUNzQZWYhwoKaIYgksATSY4+daoqhzzANj1E0BFQAfINjF+RhPHfm fQlQ93o4oK9Yyimg0+5eCtRmRZ6fwmk+lDskKciuEYw6pQejwadZfdg4jGYPz2yymLXc5RV1d7P2 fQ+xcBA2nY//1PDjOo956iUqYp9oKiWUoLerlLd4PdzV/BpgkeSpJcRDnSvnR56GC/WIC2evnsu5 +mpOFRj6+fGUwNLgO1YLsl5O12YPd6p/GDRT+rT9NWsa3ouc37JDrWi1sSJpxbkbcvOuSjwoaITz Kx1DJBJgFO4FdkJICj8b5S4jokhvsb8ypFCrAdeytcMbLAGO5KbN489x1IXxkWPZ0mMlFxEBn1Di 6V5SrNwOlbEytp5mUnpra0MpviwlOKkBh/zb0PBNGVIPLIDbdLpQx5CEAnWLQOz/WQIrf93JtWLX Tq0eeJco15g89520tBXe9GPI39JvoamSlQM3mfcxJaTKDAowUhSgPyQ3PWEUYeRRPra4ZccRgOf7 AKhI9xxNKsBuqPxlTIDfQzPgSMPvMORp41rXJDiCIwVx7NrKCSg2z/QNUqsTRp9jkASHs95ghVW+ /OgXBftMDjXKAxiwFt8MW4eqmvtL3EAa2pT38qQrkNq+ukUd857cP553Z+/+4TjyCe8X/DuImnhH 1lthBwqUgPg5bkpCV6H9oSQZIvT0fDUQ+kzALjEfgLppNG7I0ABBLBIXaW4oWZuutmcf/HmdFg5U 0T+CqRKmpGSubGTieOFZIsjqqaCpQqLjqyltiZZOEN5OHLw0BcWKqfvGb+5S6Px4oS7VEnm3t27Z 4Wafa1xyzZQ61lUK9MKhhrhrGdBQ0osyI13KuYbxSabig5XzH3Ki09sv5t9tUyMWRZhcc84ye+ve WucTFs/cjDdS+u/+LAZH0EDfiBDVgUQVyg5WdPnRR4q+lH+ODVlP1K/G7vga8hfymcfdP6OTiKl3 J1+XP13Cjzv9xHlpuYTTbt07U3+jfLvn0qGUcGVbp3zEKJ25PjxnXssO1yLfTKf0pS+GnOhbI69F hPdNpu57wWBOjBQH0iENDnemqvY9wGzxqB74d3kMNkidUa21fZMH75eXPoScu3nxoIsCYeIC65HU A0dUVME2eJCfU0DcN8yUhxSyZv1iWJcMC7MzAiRcX6DGk38MbftwHTttFvuLmk0k59CpxE0MPFAA FdFvB63IOdE9g+WW4R8k6hLshmaxIzWpURJby2DLpDUv195VkKlVw7kY/TI3r/hpxqS04cG9j99u WoTQsWLDKF0xPgyZCptu0BYjMPnaHEjXuS7auoexot+BvaRg4QhZTe0IlPARPGZJF7sx5FHIzFpJ JOKRj/nx37v5DS9vQY7n9BXgPG5jLU4KMp1j/mLcv38kjf24s34YPhvSc6gDkfjviysW+p5h4DHH Oxc8AO9H5HFJPB5E4ZNo/zPRkR4KD/sw3SMzrHuLcp+ewzcj42Uij3/3SsNj8pvRCtjlbIuJmCVm t3rFeaCMcVQpKNWb5VIjVPTupaemSjyQfTnb+BtvJD4ELN3umx1SHuBBQkdLPMOJknFsMkVEH40s sZtia/rHGyn3Z6yhd1RtX+A0PaQYpvRXsffuNFlbgQB30jzwXUYPbSapMhJ+iLqSdur6RoG+T+Hk XhbsIatnPOQ3vLxb6O1e0NZxwUcSjdKj/py34wWrkRK111H2Ra63nJ6U87SqULAr8wiNKL9Q8hQd 8WPxo8AuWoImGxYeJPJZd/6TQekZ0MwxYf09t0s+vQ0bl1P5GNA7gyCth74Xkv97CQXicf6iZCu2 Piv3/6gAuAfvbXfMvlNmMeUvvMXeo2UVUIO12mOEPPACKvY5IBsan4fugs3AmievTdTHpHwnnoIl ADL8ku6JSCvJE7/sT5TDGt70iLmiGrdrR+SbwxqIvwUv9JdSNccHIASrSAeGcy2cJHlkmbEaCzsi s1p7Qx8cPEGXUB840Ui7UgFSZ2459vL/8VnVX/yTi0S2caXLik/opMjB4rBkxT9nQf6EcNXJp0pX pnuWGsUwT7M9sZRWHnHOhjU6EY0983MqXfVByxZJJBM44Uk8hQ/JOwXPps73Ew5PzfWAyzPkae2E zhzSPsrnMCC4MWgq1Dh+GxASlpc45Cg8d2lcfXN0hUmbUOHkhcWqLZx9sEKAgO11q+ko++w41SoR 6PgqOyI1Cp+FYQUkZU82TExToWILqoaU9EGDIsjB1jK8ro26Q7rvZbx0ZCrBF+jL5QeiTkuNCe9t hJogL2vCtmlyw+Fs7+ebsTqJ7P2T3Krc2SeJOQtqdu+XMaTStGREndX352t/AFZVRTstuPSd3yl7 PAQn4jZuFt7p4DVJLxlXo6qll/RCti/a9v6mjN6IvY6wAomcg4xhkMuTOdYOBAkxMKOnqvWGwwWN pm9TQ/QPDrLPdjaDn44srE8LDouwyCZcucUoHylUmcMLw6yEL6ppn7GutD+aQvTiHVrDTTN177MI wTaSXV67TBpSb51wM70saO4v8/mu8Vz/fNNN3zJ/fxYayAFBN2QN3O3rQksGeG/XdopWM9/vGVrH jKZWgz5PfviAY2XU9rHVwZD4LkPWsDbV54P6C5U9R/q/8Ry7ADiJ/Lw3i9F0FYFdWZblEybTRvHS ZUij7Szp8/c3ZqIZTLPm/G223kfKNUA6msqEaS3dbY4xISkuktaskb1Up4POVOBe1FMHYU2N7+3z 7cyFZeYBGEs91vT707lnuJngD8WLjb63lUsiL/1zlHQOGa+aHpprgm7rNcoI4kIEI3YGikiseNNs ZjX9GV63lwpwXm9m5XSpUy7W/dphSSXlVAH+pBz+ttxbLE/y/Jf4bMDt64VofyLM45xe9lG7TBx6 kftpXSGsaItMUwy3/gOAHUb75mgtPCnPoj9ABZ5T5LFM/M4FgO9rKyCa0ZjXTX9C+sNWosc0uQEI 3SXYyg5VydvtQzwbuUxgSiZ/lj5+dg255geF4wq9PrHUZUS7zG0ibr09KPVzC4y80Q90wI2d5fl8 ZItpeueL4uVRtdYO+LY2A1n79GD4sCo0HPIqC3rjJX+7Rf28lI+Tzn1/rEo7h3Xu6h5uOm1AN10v 1WU7SpgXorUpmmo0go2fa7K+AOAszqS2LkPH8QyT2OEikKGrjENA2mHUM5A9FrILd+IrMnFYHzmC Sdfk0W6gkIztq4f6YuzBEx02DX6OiHgU0T3yCaGrWclq/NQy5vs+n4F2Jkmc7F5z4eA0rOM8oSTo PuIq5M8OfxTx1Iyqd/apbM8hNtX+Z+zcvCjL4HwBSHDR4RH6ISk1Qy+Pcf+BRTvYG2PWmbLcMvtL x16Woy8juKAQJWA1nsxlXdsqW1Qn6fFOIh/ZEWF6qEInZOLiHaXPsOfu3TssGHZYUM6sKlHUOsaD 0uuALVCgl/A+23x6tSIyNI7fqViuCCOyq1WzvwSiZuqR6Wc8iq80tjt6wGqzGCZIpRda4BUGLzwC MghdvSvrNnpOrFhGBi6Xg83kTvBlQtoc+cBk80ESApMDAYQS65OGx+jicQ2mxnyBl3u/KUZjS4Bi uTX0J7btC+xTy6Diz5LhRICgrFytbQPzubuZZhVuA6afWMaYGCzuGwKG4++J2npB85/NUIpLvzxu iYdW33rsUfw3FgmK/O+ROyesn7zl6IkzHdAjZBFktmdhPx3PSFJviRx/uyTwowGbDX9sgNOGuxXh NGo9hrsstErh1LHc3KzN5NuOdr0r4OYtb1Ad2ZUZ8DGUIwQad6QfYhWKVHYac+YWFjl/+Ji8LEFi 4bIo9QtGvu3eSLyif/ALckjf1yW6HMovqfCeW0PTJu9FuExMk3WqwAaHIgmvLfpBZtwdMtx91GO+ kbyL38f3FAwARD0TzjzscODFlSwOUF8vdMCI+b9Fp58CiKFwBfdS1TvaZ3sx0+7HNE7UybGXRrqY l1ZtMek8DTg2G7sNtAStZO3Cd/IUZ6qSZzpMi1VVVLWycL9rP8d6nUueiDviLXGWk9s0VTZduwnW PjaYRtDrgFGRSRqd5BrYDilIPsMmFgmKdr6wtU4jH5GnfJ7BVbVWW4N4HAd4pa/v+s5HcBNXFDrT HPye0K2bQNF6Q1m9m/RXex7/U0Aq3k/OuoKCmou4zPxrhN6lxYk1ZvQOJi7JeHhF1PFFE1ZbmUFQ RAxJGsRFUYXmzBMwdd2RF0KBJf+5UWJZqLCMbfuBwQFrDxnCHEu1BdDzFNOed85HvWHLwIyD6VYY /HqdGI4QVnguYey1ABsh3YwFHjk4V4ku0xL7mcE5wR74KsKkKUFGPP34ELICIKeUUPlbbAjbw/0n QPAIzW5wPxvZXbWKTylwC6jsUNviO91IvQVVYe0YXSVXNm6lxNPUCKvrwOXLBYPGpoQxDvJDRq/e DEvpS9cWyYfBNpCtUOjOjAoMW4avq9VlBZcX3OI1rxAca0NolitTkR+2LU/3ZW9GeV3cu8rf2Cl8 GHmTjlbgy4gAlZ9ARoa/vrqMRQc1GZCJLXz+ugkYO7oJyFrFannN3GjFmUafRAM2+f/7qaL2KFa/ whk+GtAktsjE1OfLMdaJS0B5OAqWnmM93v3U9Ga3SKTKGmnY/kbua2WEmfYg3bsof011F9l/00XV iHhjjRyJJ/cCZzt6ZVxZ7pg5AkCdcfHepL7lfpF6KpMCYwl+B7jyjsQUujcPY8pqWJhRIkMNcWJo Izlby1BC5R/aYXUjuLX8neIZJ7Q1hHLH8m9gVF15MYKtPssAYBvVI43UIfyUZVCvRlDeWQGgC/Dt OkPwKT48wIBbSjAJcW5EflCTjswPJ5AEfxAhj62XqEymrYWqjsNbv/moWOhElrkLUGUzYAEjuJST Vvh0OiJrnlCs7Scac349xxY0ABpaC3ei2LKdtJ00L5+JGUmLYe7jVlUXGC2t8hBf1OskiTajduZN jppsV+L/qYWzUZ0qPCtHZsz8ajd7H7RmlNxr6ghtRFIz4WXghNKZyVykVasPsLclPhaJhnR8JbVv BHqtO/D2kEtoXYzPRtOGKXo8qh2kO40CZ7dunSs1dxAYtxssaJidFk30csCPx3PzTQObKwOFwofa ltXGbPUQN/WDZZOkNnbsI4xKfD0ayUKU0qdUpnGFjWZTchOUzkbusq2LXkHoQP41Bx+FYbQiDuav s08o34U0siRbY79nGKAsUY7OjaaHtyDJeRRy0uYHk9gwy+HBtuuPOD/+4t27JVEzMt+qFXJOaFSi 2y26bbCTnBMia0ZOcGflZkrCpi6PfoOaQFh4S9rKZTfkYYsTSM152XnUZwu7AjRf03TWHOILjdE7 Y2XZwZx2YHLYztR4rh7ykL+R/SBSt1K3KF9zH83tWzjeTU8a4o9FJOg1oW/Zz1HBgssLy5q+ui6K ErboE0ACJ95GfnEKQEu519skOOLSjsSDwW9LR4XxygR2Om0ZNPv/YNd8/5zSSuH1ML2SKeKYv8nU W3Z7fBmbRUuZmiq5mLwrBbaVcvFasMAYON9gSeFqL0xBLqA1nqqUzCF9SsY5tci9+IUYV2HKJs75 kKJ1JYteDElJHIpK2TPIYZtEVK/sxPdojSrYy99boDncpzb+vHMpM11C83xX5vlCljlyrLqI1duH k9eg7VV0iYVDy2CDw63UGxG3R1VxETExG2WxnqcMkCiI37N76VdfpSPjzBUO/ZHaKpu5apOVLcJe uWCQP9e9bkfTYjhUy3RDXycNJw//9oAsOZ68K0aUIFNNtEsp3UeplnibCVrFfbFdeqFY0+x8YeUw pAG7YynqaLbbulaRGvZZXFnUYIQu6pAebJEzxfh0oQjuY973xyAvIXWH3LZjwMzExz77olqtSPwc 8kl81HUTXrVMq7XPhW8qgbsYTUUtEuhLDtU58RRueXBt8ejNs+d1i2DAJEr89Y6BOCAPWBvx3XHk Ar1F9rjlzVCQOcxSnMnMUC4gUTZjn31kcRxM92Tx0gEjD+OqHgO0X7lDlBpYRiq+lZ1d4Zmlf8zI FAyAph1/PXAU+jv1Xk8L2TN0fdxgp+p/d4swVp8B0Ue3H2nbWOyNFUKuE+5HMv0qMvLjsms8B0ja mdpmGxFN2Ik0wWn2eIcMPwKbcDp07q3AqLww/P0fJ3/Ap254plr3vw9J/U5nK8Pd9drxPS6DTat1 HS+Dr5fK2/Cnh+psRmw5/72wAaqXYRs91kvBY7RJJFt780zazoEoU4wERlmAZn0WrFsQ6AHVqQp4 KDUIAy/WA7KVPvbJsj/KjXmDiGy9eKVtOxgTxzrMOLp3o/hSViiCG2vVLi0srE4t7AlNo2/TugWd MQK7dpxVH9jNzUlhNhuqyIiDP9e7on33sOH1tVJC/Ndv2T1Q9fp8w8MxfDkjkS9RjcWCf8QKw/IB wUF1BT3WWivEWlIxvWhiPLJBKbcxkpnx133y0fHcClnORhj58ZKscbiP3SUAwtG3ZvGHs+Kn/zTU iiBkkNRzJbnRAUxJ15pDsuYZhOm6ECJ7roL/SBguR+tuovDQJ95j4BQ3Fh3u1h/+L4aL04F9JS87 CMvA39jb//n4+Le5S5MfpvI+/kh1hSjQ6obzeemEUxpCwd+iuab6L0OMFdKDJAIPneP09g8WpIut nxclkTNeMNlMT8iNFvLiLvEnepbM4aJryt7CjsXcs9V8ATRKI90jsqcDRKuYZuFyS9g9Vc9+a+Ev Cii1MDp2DBOdDCYBABc0BUUYcrn84+KDK2t1GZZooaK5m8S+HL4/P2WfA4esOc/t1ThEOrh9D/Bw +bGDP/+jVxJsRP/btWkWK0WpYLo7PvRtKJSDFIPuzDT72v9JE5XFLTnPzrZLHna+/cMo1pgLTZyR JoYJydZurk7TuU14qC/IkJlAjanUnscs80uGoxfraxkJgKbPqeeHTw97gM2GO9reZHYNHq6+j4ZJ HV70N87yeX76fSbXHt5HPH20qKPMAAHpxOoHdm8EN1dRANAWKEbOh/Qmz+YDC5cGkTWF7OZnVtpL HzOzaXBtiQHVd6Pwe21esK+ky5NZktmQJCJ+jAHt/CWmH/fStv0cqHk3Zovp3vX/Dd7AGpYCZCWL iXw4xJ1XlnfVqf0THL1RdmO29JnOrshWByN11hz1eHnJWRoLkuUd+AIpmbAYCYYZBChXFk1aAEwi hWiEaWLNNC8cpZjI1uu8AhG2bbtqDLTmc8oBSBl0Hy4GDLewdx4XaF5ChTIB3+i14GFqaiQN5RcH qcNBcRaIyuS0juc73kwgOGQcypXmJICejKDVy+WZgHe7U2R3WXOB/EJjzAr66QQS0pgUtdkhgg94 dOdHmNZBvdE4lDkyNvg3vnX3d2rmtYzJKJEnwLhftEczyS8c7ynp8EqyTgmibVT9dZy3Y/9cvxjS t5apJ2TzC4EXpRCMqQtgPehFu9Wj208xBxoM41uQgWZ8/snuBtgCea5IY331HL/fFJz77NKNUA1o LGqB6HLOIUtJqgHRYvmhXY5DjaOJWOH859cw11UfDZgzaV9InSW2caDGEqe1w7EkYpiY7sbNC0OT kOuWka+JrDoidFR8XToA5A49KUZ2EJzEyvCdN29Lnz52xWFHnFxJEm341oVtA2VTArzlOYmwAwhw L/fmZU75E/Sceb6WmrXxkT635N1f1FtVJm3GScPUW4aT6z9p/EOG9R3hj435wWKLf433Gb+yy7/T muDyVFQCL7ccLiNyTcwic9cDWfCPnph4hJrUYUSKZkjo94F3qWiZJGGkMEvRZvRu276aFDyODSqz wUQmmIeL4pMW9wHCQgU9pEhMZkIJsE/rSvM78cW25HftQ/dSr80vwLGvqbtAzYzzVrJ1Ogk/XM0E 6TvB6b1jI2Es4ea1F+tRfQDYQG7sT40ftHAA/9b3/N7YwHID2BFRNowAZsquo0PMXSBYBhmabvPU gZ8TWTuHn1vpZExxL8bspiDh3OR5VxyTUEYWf0Dn/AONaQyNDouKglZ2LdW4G5esBtIlQ/sWrPDq xrWzJ5Emz3ZmpwIbJgWV9gNRVfVBb/OJ8RtO4WnFexwzvdeaV2N7xapwi4KUPbr1XZtcd8a7bd76 uLw1w+xg0/qTSuPE6NX6HaGgSh6YKy9bv4BmMYX/AL29L8/o3ewDeklnjeGMzwaqcnSj3Bvr/9cH LWtaUmKcCU/UbVx1ZPGamXAcU8i8DFFbRTi1v2LQPHD/oyi9GbO5rClUkvMicPR8GIfmfY1f1WTf vA2NBPfusHxp6PtZy15LmmlGAfSO4VwxJPNuQbIKnNqmDGHGHlFQ6+C88uXQZzO5s3wStn12b4eM zZXLT2acyo6EZ7mf7AlOnjONDcj+iP//oLwa1h5k40AGeXHH6Zbdi9v/9pAh6cNqZblBFq/meoAw JgHAhEkb/1BVobGbBQ8gnJqZ9U2D6jpDugy0znJvs5s2k6rzyy12rpG3CNpH0SdGHxBkFXHDfKO9 dlzlWZC6hGiXVQotRKfiQNodhKYwTsiiBQCG3wdzN/20PLAjRLQHx0ACRL6OZdxUkAbEO3Rvmzc6 oZJEgaYuAWqj95cOJ8uahR4zTULdldLZ3NdgmRKAUCYyXZbKFCIqi5DJfqAezjqEFLUKBgJwnX7W PKr7ki4RbTKv8y+I3jWVz2CogAiJqZ+TO1sHIeQqE088+e2RIy+FKxTEO+oerjZSrWlsc1tnzlGf tTfNgiOYalVuMx14QNPY1UEbqKEDRClfnPG0Q/aeQYQaVzoThPJCzLOaI4NdIC5ev2/9HlGszsV1 B378Kxad3H64sqX/p1PFha86pjE+SMhenfUnbcBpIgMkFZTd9IlY4HdF0Er/hZibShJZIvMosnhN 2wfYbcNXMTaZJDpzDJB1jUxWNVbbi35DAxjES0utltVDgffQxY30Ro1VRGmIS5MVdZ8g5HN2TkSP uLEUSjYjhPHFxbSf3mSUYxKqYkK8AgXS5W8zMHMioELPnwktjZfC7PNRxX07+BP0kdlldgJ8uH/q B7YOVcLreltt5+lBXBnS19YBDbKwR4qNTSSpZV7hV9W3ZaG1VhaI1UF1On1GWa9rT0wIRnzI6hTd u8BrD3J7klinZVqMw87f0mcek7ifd/2CmOCzQYsiPPk3ZQbb4gA0BoS+adO+3n2SQ1fjPyJQTRJ7 AAXq6/RhN8x46yy4hfnk3nalOTGTg3wGBf8ZzFnGna4Vp84mcszBkWmZa5Rt89LGsqofZY0nhJce ZPtnpmxkrio1ogoqCDg81eUzCdI3ntlXjuiySf91ZC99R5n+7DN1lGsAlf3KUQ8QqDQaC3ksl3Oh IyFa3+3V7kOjwrgozM5VXVGjvZgZf2fM+LZU2k6s6Rh3d/yqXLdnBdDEIouYME3qBWQGffxfn9fO 0wjxqs03I/+bcy2JoBB3wbJxsLbIqAUYg4nRWwcLMDQCpAIC6l1gECVoQh3DH92kwcFBczU3Y8l+ TOupXTeci+Nh4zUvlzazZlq69SqiwUmaxkq8F7jSISBZ0HXf0iVIDSHvwYJjLhZYS5DpKJNFNhic Vaa7gdNs/qGpyqD0E93Z0k5sKTY7zw20kNkW6WgqearOkqcKJEbbMb7itA7RyXBR5aoS8kMr8/Nq MeeNhSxeUS8Z3rAxzzPKaLCQPWxIE3SHnvKWIbkwngvNzKwrHNg5kL3mZaf/GKTKs4sx8uhZZ5ys e8w0XgPMUoEGWifoSk670etjWv0WytIus8FPvEdsknrVUcWRxmKgvyfNukVyrj61FaIEVXqVJV25 G1RybrvHrUHXmZZ9y7CqQQrAsyWRzO5nwMUV9wHFxtjAPTMer//ae7gg0y6WJ2D6JJTTxRXcNbyo OqNaw00jW3u4vwKytkFBTxIn51khL+Nx8kO7dORYjVgTJRjUg18VIQqsefh2IwQfqSf4KAeTVWm/ 3CWVS3beh6QIG19Xm1YUmF70x1WYhIdngPGn/62C9zA5RRXF2owoYwzr/eqe/sCYGFLNtR3nDx2w 2+aLkYI1PhHdHG0+Xzf4iBmzr3qLpCsw9IPlwMJ2fl2LocbnfAIkjl3aVzYbI/h+OIae5lTtNSeW 5KyBp6Bs8PZfiPK+nyKqHMkoZrSDGPA4euFnROvncWSuqNK7abz2P8wNtNEJoQGCw2SRzZ5HAwxK GUO+WvZGA7iD3t5theJxHv1WU4n0scj6afEhmGyL/SQvI6C1e8a5TmGX1f5zoJ93ODSHOSGNBgn2 caUQ9VR263+BQ5aW+GT3BuPstleVpJ4gvo6QwVxh1Ycbr7F7kDgJbnA93V/DSUz/nFwLhqngiSnY xAYTMYmv/4dqImoxPYQcvziXKFyxjVVod5m4ed54QtQQUhvYHH8dg3Yt4GnctTyu2jF3M1Uqe5Ff OzgSjwcK1OCy1HnGtdUfA1EqdtIWyP9ycNxhiTOBcIpQt/kyzmS7BIPYDVlwPvDD3WKx/SE0pM01 KbKN3LW/vj33Ldr51RrzAfuhfkJDwCw+njsIvy5KqaidoXtawKFdB/IS1QDReb1uUooYYtanoe3U J/uCTjNxoPu/gyNkzKsP/Od8MAsNI3CoWZGzotJ7JcKdgu/al3zm86GXz3+pERKSM8pKgHeXdTOC 7mY0nQHza0HzzDpbtP03qZRydMX/wocuD8g2/99V4dP09rmHAQxZfYhXif/HHYqO9L1l85OJ3yF+ JyXvENA6Cf6q1JJyBTgIsmZg6bFi+WaviUsLtJtQ/eWf8MZbdBnK3XuUEmM2H+eqFgoEUj0W35sH 9nttPi17K0mvukKopir+LB12/LGzDilWOB7ITfYfDcPXRrt+srV9IBnGJZDFNLltelGCcuqWRjYU kmtvnekqfsf82FB/rpsOl4LcZEeOR4KveSNpgymcADVHcjknflZBVqJDRIYte8Z2jScxI7SJne4Q jfjaHuDDDNnhcmTV0S3mrnVeFwxc3wt2l+TBF9/fQYhXw9gIarp5rITsQzGq1O92Mcg2qgRb0AUy r/7AlZO75rq4DCMegcrTe5ZesEyLWjsFDWMQEvsqlOHp21PF6lokYfJwbmOChiGanF3tv2ESDjSw UYwBcV7QszyMFMmJoE0eBkeexnU7fO786MDNMQ6VhIefb2hHC8fzghKK1yuYg4ciguIy7DORAcDR qN1uqpJDFCHU9hNYVd94ibA1qyRNOMbVThZ7AOhmh9M4COAFb4b8qBFQN1bBfKfMuRCwff0j1VDa R0NCF9B7IqtlqtEVOTyi950X9wW1GwWun9fVzHKbI2YN8cLIK/nvPyHGJF+w9cC0+m/KO5dJdUoN URE17jwgaLomyoCpgaSHQanIg3R/zkfRpMuv4lYAI0cJN6j7/HaWdeRQDXYiI8A/Pz/a50D+ybtL 3Czg3rZwR1hq2tKvUjtJ2SZGEe+Hz6JIOjwFoP5sgXqXWw8+lR5oBOWq+kzlzDPAcExyI0FUbsjw 1ILUH+gptskbYd7odpOEwFxyAUt+uEJKxuRsAbu3y0t2+Poymtg8o3yvlxl2s6OiUThifxCJl7he xjWoksMFKKxZ2s0PsjnA/PwtCwtgmaBIDKG4/pOGrDpuOY/DU44KH76KgTNHCQmr4YRjZttAcfeY E0dlV+pk/Sdsp+/Jfhiuvl/Mkf0WjXdYNsSuR/ay0pq6loNDp0GEb+Ya/+osbcZAdM8hiRe4ABEg 6vc0l07JKA4SKSIY3lh0XXcDmtn6QVvAN7r1HKuEbPQ2daJ1vn4dbNOqXyL6860t7AnhDNVgW90n +QaSX6IWaRkItRP+z8FG5ffM75hzb2x3u9wN0Dpvr9iPZxW+N5lVBFrzAqwVyzjR3+5FgA0WA7R9 Ouy2IsntKSOBfAd5fqi5vbUgPe0XzgiMEaBEHbBrYtHvh5CLz7wxVPHXab0DWT24NHOj/iMkcJrG ARb1jzVSba9gZ1i4ayDw66dcc5owFUCB16uHaMh4hh5JRQHZY9Yl1+FVZ2OCEZvi1+dSI0kUedRG jZ2poyymvxG81OP4i8WOSilQ8IF88SUSalbx9nVwNToLIxP+t/7yD/xxcC8FZl7kdxGVgrqFHYQZ PBthG+SMsA8nd3hGISo3ay2m574/qRMVflK28eI1DAJ/d/x1UqQO/jip82237uhpZ492rhs6Xmo7 3UmgeqPtaUDjf+ipO45e0YhNxstFXIz8/BNhwV/6OmnVOjTx1UmDcbAFlq0DYJ8JxmU7hAIl4Fck /Vveu4l8wexOluB0k1aUULDEmhCipj4QZM1bvbnUNQu6yvvQEiO8XZ4qLRUiO1DGEL63X1zOmgKn el7Gxb2ei2zFdjMYuRkTxNZ5TV8COgOCUQuHcap2QV/qyXK1yn5lQzjl7lp89lsePYBpS3+uIk6X 5XimjTdvTQAwzm8hINyx7Ng6rjBlO78DaYA25vdVX66+GDCLr0nB5HtTgaeEHvtv35gZVdZgi8Po MPY8IjxqgFdaL7eANzWIhx6XObZMY4wRWTSmpGHBI/UXejkLcQy1NVv55/JfNLLEvZiErlOpbhYB iq5bdL8F+w4K5z325qdhignVXm2Dgj7yDrhe4Z63O9dn5V/wue6+KOwsL6s634dSezX/7BCvd3EG UgvmRSCIkq9xCb9RS4gf4t/pfdEJOs5AXYarD24zQywqmdb76Xa2fjwIFfcCTmSacw5mf8BSdcFZ z1edoTvkTpK+NuRA7UeA++/XjBXrpoHo2PY92NS8M4RldXg5Muv3dSaDPhlrDJbVSLy3/7XJORve upDxC+7oe/8MnTzofm3owNOt0Dk6PltILaYSL12hQiuTc3GWbvIk7HCIolRd6aAV/mn0rlECTL0t XZPimkq2UzBimZzhHUjgmMtR9c4pGN5W+38AoP4fyzTwr6aI09OB+CvjEQfcheH0xr2c0LAnBN4S f7iv2cSxPbnvcy0JAGcPcKCRdtPNCStwSmg+V4gV/kLiKZUBE0spKjqYYvB8z36VzGWYGOKT5RZv 15OaSelLWsd2X9hRdwjsimzJmn4Kt/za7MZlUNhKvjP9bQZwBVKRF9x8LXbjz7243WCWQz7DxQ1j Hri2zuEKsoI64J4jRZCyX8U/Pc8rZdDIUE+0jAbqd25+POw/XvzvDFpIYVtX4NjJtKqsCauORBkX gNmKSBLlKbAwxZp4kbyJcZXXvwU2enFuMajOVxXJz8Uj7f84rzbT7ttQ9gHub28lHwZoLJtf/5CF qFr4vk+yv5r3IS7BkkxZWnzahHxB9tKHggtSmu3QJkbh2ACukjJGk1O30W+tGTXvBK3Yd+CHnney 9UDaozZrhr1dSLbfzRho/v475XjJfle4qxx76nICgg1LZofOsizNHC1HNAQBV5OwpJD0YdeVlI4o qTWUY8Z5JDMPHXkZ7C3WpMx15OJ4OUU88Jm37CpcBuZLQ0ZgJ8Xpf2rBqeeRLcP3/5Ix3zdH4Lbk ZUmHBNTbDgE3+eJma7Dl15BBOiJNJojkLubtj64dDVbP5JhnoIRAzcCMPg5VotopMapS/CmDSLjz KQbR88fY4BIk5cqhBWHMw7Fuew86bdB0QA0x2CE3arJjgfenbSZHwFvuym56a4oXmXCJtQtxfosV 5+YEwyjZMLNYaLNGMLGxGQ/zGaFR4MYJxeVBZfMFjVPlLoCCZehDYozmpk3NgFDYgpw4uRF+Wb0e nebiUS4W9k2TP6WCiScPxFTr29Mi0wyr4kpo7G6cG8R3QOa73r0ICtymEt+F2wCnzUmo5oPht3eD bvZ9FbjG92Gnf4965R4Lmw6EIppjxqwOdkdgfQkzdjqiMeYJ9zeygobCyNHFhUCto3M3FUUl3Clq ftBb6Y3n5tXhX+sEjC03SpGZOAAdd/sVKbA4nzXJe+yt3uL55QuLMKZfiEEHGnuELWaNb8Iz4A+n Jip5yUnFaZxI9OpJhAV5wlA2XOGU9Fm5L5ySC8cLG6N4tkPjBwt86p/iF5VZRuMRYhlQgQTtMRNp t4C1Hy867TkphXCaxnYOj/EsNHLEi1eFSqyH4jh/bA1wR0IdSUrPIqflc3Dza/KLOqi6i3s7m7WJ v2PgV10RxgcTw8EhZImQc6YkaDoSpP2rsIKE1Ov0SulJQzZZRKsFKt34mukjlrBtUWuqG2hr72Nr 9FBkki8TSlcGMKtQdpa+6fzxWRvA/8DpNyVOnaPaYVebtQifZx6F9bZagXalObLJ3k8a6GwW1hjK BoboFaJyH1gQlIbRKnwdN7ZosWtrKrXmszmIYl/UvZGUV5b2/e9tTNhCdFHoGHWUHtq9+zYQsZal PDn8fpVfFBmH+OfZAZHiYI3eCp77njbhjeOkXe6xDG4/h3onmkKPiSdzRshylkg0zaGZMERNGlCj aQ8EAULBvqQ3qy49rtPgUvO6oGeVer0sv7qSCelsS1e1kVGz1ff0bK3TofroT+umBMsA+5E6VOd5 Kioeo37MUtQcsZsY40jwlQOAZ+YkF6Vnn+MxPsh8wToDqQlCNWb1CrOVhTog1A8UvWRwFaieaYKo gQhat/O7nnggrzfCDsN0Ohd+vOEfo3Dy6fzOdOzd9Dh1zdJxPy7klcXFXQmQghpvdr+/51bFjQEf 68/eCtB5nbaFjWElOrueCqrKRRx3bMGTiDQC8zKxMQPNcItJ1ZWSIYGDgon80a6cSJKiAaGxPySw nKgGQdSh3porTgF3FJtrVW0BN9WK/s9NdLRQikG953uwKyoe94AP75CuzjIn/f3eRcTt5xkZbI44 gcILwHWW2AUGCxkA8KdSKqfeah7Cc/32uMqbKc2fSTZ+nO7wJNBkEUYR3yxutoCiE5AjDj8EQ5ja TD64sZNWLdAWxHpk1hqQkoOQvoDanRttXh4/I7eh68ZDBB2Ej2F8WY8HwDq3yUMSmWa6jjtLMFwR BVM/KbQCiYPH3jd6c/cCAnnLOJ6/bEGVRX+SvbKD2YtREmqB2IorxXdMfO8alMOMYo/HEsZoQHNV /I5gsLyfuB+JF0v8eGvtO3B56ZvnOjmsb1guKeYOSzhOzbhOhkUw9489UHzGXTjVyc5+nlD/N3yi 9i45FR4Li8gxysawy4EN+9myGQm4C0+bbv3xwrA/zZi3cjPADNJ9H3inp2LlZjn1rXru2zH1YFbg iaoa5Tqf53ISJbvE06mBy5U/pJh8HdUXsTVu7zvIpfVGwo31H8CUrQqrNkFGYj17mMEoT+Mz9yPH W13Zhaa65PnFkhTOg+2FKkmpMh6aOrW3A7LkVJRa4Dk5SX12OGDdbLfk6O/fXIt9aOWpcH1FsMJN X6mO9EOZttdUzOM6PtBaYgMcxKDCVJH09BQImQJRCqtJwLbIgBt6vc3nCJFYRhpiNs8QdiDeWbrk rfrSENOxxVfsqXd0Pmudldonr686C5VbquS7lz6E33F/nKb99urEjxqnvPW/IFtIEz3VPj6hPPUA mPEPCmWcBxj6sASGRuUt22GpDkF1RnCZivZlRAfFTmpOZCMJ/zg1X+Ao7IWDQcA9Qc0AoYTJTvEN 9XV0WVh0e0vHimsnwIK3cK5TtMOxFFJq+VJHuxSITQbDJ6nYFIKWM+7lHGU/91Gwcmgdhx86Wt1P ifTin86H/ss23NWbBbPJlmwb43Fzo4/x4YR5/L2Razi+5hWckxnTfzf+L6CHJ732IrrJffM40AW/ KBFEgJQKrGVmvqTcB/DO/evBHrj0s7ZlZi6x3PGMRtUu4p6R5KJfq6/4vd+rAcyy/AuRKhMDqLfG FFhVG5fmsaZc3CGoffWHSNsEQ9pcZ/djxDmm1gJ/c40jCcWVmI3cDCELxezYH35SYbTJk8amR5hP lEbbjGnYMlOxgp5BOrx0+j35G5wtoBHDV7lvpFwuY7yR6B6kXMmtcBD0Mq+pRZK/uAAJ59PKFixl 0QZdZ86KsOvPjj9cohJ5eEGqHR3HVlt3zfQoWJ1pfxH7gG4VaY7H22mYOJElsopb9E81JQZSsyV+ OaraGloS8yo2MUdJ/ZvlJURzOmOfeWp4Cl9OMtcyqGJgiiKjo3fT+ClLlt831zKHYZfyGmZ2WB8p ra/mygBvDRPUWosx4wZtcP0A1BkBdSmuVJnfZZ23xpXKLF8QWHdr01WcC1H5AbFJ6YVLklsV+cfC qIjVXWCQnoNQtJBg4FlfbMg4KhwTpxmhgSXdwjcI1XUkOoCro45bIvRJksIgiriE+cxIBPIHp+3n QXeuXPBF4yEzLSxX5z67ARJNG/zmfijlAn0uLQmqW4RngUl0gT3azgexiySpvPvDZybgAs2Y9sEL /GzilWWDJ5huDcV9URcyOqCr9AxoNGROYwOdO3RLR4CO7SbJrD3MwpKjtlIMiYhv3nJGCMfjbTUp dHa6P+MUVPmc3wKTv3LUkr63+0BSks4jiTyxCI/CzkiasWsI+C5+PLrp/ZeCb04Ly0oOKZl7IDQd xhrAF0RWxqhNV2ABGmNp4doOdZnYsOuQmmeNgR4rawMYVcXYk3+xxQA6uEb9p+7250Hetan2w68W Jt2W8ZuCQnVF0RF9hwyejkft/1LKmw8MqFBu100H3Ok0qN7bAF0//QU353EbQvt6yIoBDgbE5AQL U0SwuSeDDNx21s3bR4ZsXJpmYLZS8FmXzWa88gB2vt5twcQXhIVRhqqNqTYH2qGSU+Nu11NT/ZeB EGAWfb3KwNfdT2SgxMsTt26EBVC13sMXY5X7/8x/yMC1BmBYfrMolAMP8Nx/U5b3UE5vtUST2TSh uKkr5efazWHCv72Csesr/64IhLsBoqBB/rxHahBzrEQilwzn0ujMXzWhR7HNpaMn6xbTA7ty27lG 2CzcXwFdBfI+sifhXHCSDiuzALq6GW6mwJ1lCH78mrZ3NBv8x3lCTdd/HVs4vT6gpJFEdETf89Uu EJ30RLcicvqnAh3zNbtceBwmxl6+c1Zt4UoWFagKJiWWwQ0bLn/indmOzdVz0Srz7n1ZDW3xADIY cbmFfL8LTr3AV9tc+D3PIY2zLpGBTPNi5yzLs92nEOPVdLRP8EnkSM7EtmLRrElnDva+ItrlozAU 0KMTZfAkpXelMvVCJUwlxzsVpr9YNRrGsYIdkR41L8DTOTt4O46vI+AdaZugdAjQW7xKbjPkvORD 8lXi0BEcXYwlgLtUy390qtwAzOlJ98Vl3lcAUlGMLv8oP9B35R2h4l6OJH6O8vZWAACilCfe3Y6H YQJXJV35ix3lQvrh9SVH69dEPqOKJlw3LprEOhreJN4Oh0td/QtuPzwBEiYpwPFNfxf3gk81Ij5k hPDEwznUuw80rz7JqR5TbnDr2GvN6H86G1p/NpxMezZIxS4OlYh3Z0FNRCLP59wkjQvWkrlDhpT8 rylA74I9OcnBgiuxHxT5+kUmk0vOIjbiHyGS/IJUWeQMeCYljq5NREMcCfQ9e9DGc8WCQ1ytBQvK P58pOluely1KLHEzen1+mjqUlnk/Jrqn58y4G6kDusosVrZH0WNOFPuVrQDeyDssZDOKb4LJrRR3 /cW7mwy5CSRY+bCm1etI19+B3SWHbaQINTWk7kP+B8p1XEQweyvJJgTCDJzy6/V5CLwwCudTryIE 8xdPX418SINv0TK9njH7faPpaIC038pR4JnbpkhSSyV3LGvODBNNglYGRk1tOP66ZfVU9P0Kxqeb P9j2NiRtKr3tkJzkFeoCATs+xlesihIE4GliQFrOOlnaKZqU7EDAiZprdBCmwjFSkOSfBG8ZBP7Y w+k1JHMu+P1nnb9/659iu2DStJ7dAi2eNtYkQFLKaC2nSNqDK75O204/hnFn9GxXJHHRVNCtWDOx GpIq8EQj15A+kmeGfWdIZIqeK4R/Fy1kasDRY2jUYF2g8wF2wetl2DKe5ZolM0xKrLDAwu1wjTLg v1Vo+CcNnwCRofCb38WCK2FHyA0TQCK8g8U8kSZ6bGkkHF6p1Z7DQjqFXJ9dLEkFTsi0SfHG/W+n ZqM+NEr5UKInKwOhihIBxQ8/7Ef1pTS46x6p2xQFLDOrADRrQVEsOqA93YCZ09+ymBhtCv9aj7f4 8ON1d9e4g8v4/RF6up+ROwxw9S8zciEa/wDk3QLdU2o1qWq6vHcKOMukqW/FWUsQGm4ZJIn+MEF8 8eD77nk4F1Uf+Hrj166M8gi4XocR71LeEJwBtaV2rfPUyY9uCenQ0ndwZrGt6GZfzeGrs/ZBZIxA j4co/Bdn9f89e3Y1cBHu9dnfwzfoJMCbiTvTX52SdMDxByBGn3CM5zmhbGeyHpgyo/oNtKptgDNZ wKgq1Z018ClZSxKQxyBhjxfniWfWGlIzAKK0MMRgyqLxGsH4liQnva2DRbiGS98MmB7ubqTL/yM7 NoFrGyFklVWSwXRbXImmjOflmIvEGxZPYDlmXBq9vL/ov6CKhjW7V3cIFSzsfkPuVns9NcS9JCJj 2ed8A01csr+mBs7IxTMWX4kxQIvqpxNlVXay05GMRLzfZkzkc7jrB3CbpPtoWHuoKVI67woGOU3r VyP0Mf1bEEVj6zXu2apFjz9RfQQUZmdLlfU8eZe0/F/9YrjBF1lXYf5YfUuiVv/cChIxmn+TGUha djabxS5ySoCbyCqOsgk5p61bwYQ+n3cYYN8QhxXUSKspa4wXqCOn8dy7/O7bbjrAWyTgfEL59dRT i7IYD5FQOP3M8iTzfhrWsWPWxiqCT13MYoqTEDqVdFwV3ubeQ8nZF2jYovLMQI4P9HD7ITr3Yw8n xFMNmQdrEBKtPzZ5Z+IDK1iJmidBBHhUKMprwsl+1fS+QgCQWdbx5HDkKDIzptKeda0MOc9lpAWX Sx6senL9TNvhKSKsrSRkouphZYjWE4AjtwODg5QAuT8BKCjhzN9T2xQEWU+1znWySQGnxrPXPK/7 mUPP1nGS07yfP2Xaon+T2dy9xgwlTptPU98C7bGXEDnKuvQeRyyFxZTlpoy6Hz1mFhy6k9VO3aeg 4Re72vQVyryFkQZ2WYnDb5af525KXe9b7d3w1WMFWYd7AkQxqlacIZwoA8L4PX4LXVssy4rL1kiv uxdcWmPjd/e0seSVMxmUt7PT0A7gBUpI7eTH/DdrydnLGImVYzr/3xvQ/TDrlNI/Fyso2MNo8jT7 Ah4BLlW+Y/J/43/2w37mgi1gZAvPG55sFtINRSF1Uu6ZDPuZyuMTZNQ1IL9XwrNAAdX3H9H2QvgW yzEMipSRLiXyRuIBjIHe31MbzYsaRVq0SnF7tnAOtqaJ3chq6uMV2p/m7uf6znBugljA8KYGiLPZ OW6YXDVn7LxL4wiX6e0qjNPrWZpSepBTGCLWRq8ZXeS44PtgX/68hF8FNUR+3O7banZClye3pcQr x4hs/+EUYcGe0kK1Ed/QHAcg1dAtsWjt5DhXAgEcCTvjnzcKvXiSgUXiCtlKyi60LMo7V5oXS7DF bhNlT6W37OYnnUEThpAoZu2IPY4/xoXTZNiNPlGRndB4Nu8wmIzYTRdE5zAEQAxUJ5W4/lQMzZmA vEDgZAmH8SyTOmemGfZQGQ9aDpEa5G8Exkgdsf0hlZUWXhx64xGnvUrYeNAAkEShuQN9nHIyq7y6 pI/8NJPo0UKdtfsW1C/k1erPr7zQAnW/YrUKDGYfWYiAJ4IktGUVLigBHj/9T5PhJpfEbVv6qkxR BEPgk6+eFq5AWXcizlSNtkBoOvYv0ci5gi3AnnnWcR5aYIPHKgw6dWKR9w3DxNg20cGdmAaUMgJX DMO8SX/8n5LvkXyvfajtGYIgM/x06MBycP7gNwYiZBq/SvVgH0vxn4I8dNp6NGx0L+FSXelAcZbr MCyeZ5H0F/HMbhNlwKnJIqDiMleAHi5SynYOH+HJZJX7m5xSqv1HKdJdSLMFDEbTRcdFHBzv9VWK 7HSovvTaqMRxb9xzfjVJLuLo2LV4pgzqjHIFbNSyTIlk4u5ww7TtYZcxCXx+JAprTpng0K7vQuzm 0nRA9A8hjmzYydU8t7P0Mfm2h49dDuEVEqZIxuw769OFS3U4gsRDCslUSDjkp8rwXXBhEOB89SwV auFwVr134SzZdSGbAX3vfu9Gdda4vzhOE2EDNi6qYRei0jtk1m/lZ2FPA7Sp75lOo3zWoNBMkBYr CrGtll/PZJ29EhzvUh9wuat1dahbNsRbhDGk9QQJEAMrw9o7z3AGmUOm65yGaoMmiLH8jvxFI0bz YSR8vXCwiXNQ/nwI3gs60xOGvI40k0wQQ/Fk4yTKYM49/6KcQvlx0MyMdaGEy8cxOBrn7lQpxzNy yRyAf8v+qbL5m9Q26tMqhHk8LKj2J/sNg98Wu5xjFQACHoOQ0jrApOrTbHeC8FFeHKpm3O45io93 pnK0l7BGJFaOWHj+2j3tRH5biSCcu9Yp7teFDrR2ZBQ/LOwGZumCcfYysThPGbzINkWyHcaXWgwC UMvoyIQyhWZ7gv/3qP0nhrkm+kHQQ3jhj6tmdqbYJRrmyHXonzxTYSZFcQ/mnpeElaHGFjhVpaNW MOKZmbSc4kcZoncRaeSM+KCy8FLE1GSsNrOkXgRv6sD0vjh75hS2OCDD5ltMCzPn6An2AHNTpuaN XSkuKMHg6EJlhv1yQjd5bX8PYLs3B0xeNLY4NQnK+a1M0HlZGzKEyfbTM0hDoYLhHYGDqykC8ZbF I+m8iiqriPflkJs67R4Bu6ypKTCbX2bYqrXmGF/nebx9T2jl6LCFtLUGu0c5JVFqOunlhgGu69yB zKE1NQDNJwJvGyhiKJs0BaNeexwKCPLOLnbe19G9lYqqVsQAscel/zU0qDynxpYIFg6lAXQnbbn9 eQTrTbt/esKl4EtcwaC4xyTCsozx/KU0Z+k5ZhU1u14u8moSFe8ey1wKblJiE8pvPgKQ4//zNMX7 FxbEeJWo5lZMK3g9j0BvTytctcckH3w+6Pw5pbt0DqK7GIfK2t01Fy1+lkKfMxWbnVt2XoX9/1qa S5Y983+ATGWLbzmvYGMg5rpO1pQn/2ynu6kexRWc7B3vj3NQRasDVXUOsySDO3qXwttToo0dpAPZ HhpkUtb6BF7HGlBzIEdWy9yQkNJ2LX1AmERDpim38cE1ZA2XgSw5u+EwmiI55ska4JhIjkMXdBG3 GLiln7EtwNgk+Ek8aHlCaT5WWn04l9dMYyuyBx8QJGaBNXoP28kv1H234Zmqngn8uVp9PBt6LSCj xgGUrjslxl5URVkiWE/JOkrUJgSlrSAPdDzzcX9Q2WYgTfhvRc0TKwnhtWMxsYW9EJjTicqEMcnl QRBdXzmkWq6rDrmM7Mzxqi8Uvk1ueh7smXrLD4zwaY6asPs8zW6QJ+6ga2vN+Hx1PRN9Kj9JSreo boxFZkVdwjoI737J5581hX5miZdcbcsEeM+RLyDrlTkP3OMSwWWSa4iD8BrW3Iayvvxb2C0dfTMi GpHlyL+FUsl+cZXAFwA4K6OwEbB6V3nwttSjnMVLp0touH+mUHudhdgimYaEt+Y6g3Ifps5xR+vX wXp7pky2B1UWoMipjfMU5ceqtJtr919NJkZPPhLC2yPygyzOCBG1wTOWx2EWxzPYp/1GOToEYkDH i1Efoh4atX0o1szdQ830OFx4JWSJQP9akHsGvORqUgiYsYbCalVdxuDK9MDD1hXdq6/bWxFNcjRP abdiPYghoZ5PZjgpEZNbe/UluLvnQQnbo8BPyNUfSRlduBjuD1UUp0RQu+nFjybQjnQgrC+lC7aB tdfwsLyEwc19j4X40BVIVLUb0+dGOwq9NflkSf8av94IQWu7scPC2gRRnbTZyIeLGfMqfWzVIBOa 1NpV56o4XfakJnVJDTL6NZEGhcLdzkZfXnCxaBP+gPXJ4zXBdlqYmgqFPexVfcrKqywGQyu0INIp 8G/vhPFKNOmzDk6OJMCX452qMQV8iIr7KqENlik3P1He3IU01Sh6316DQiwvSEkisbdFSCXX1vTQ u2PEwENXOj8D3sQANcyIB/KXnAFZM1eBwlsm/CtvKRjk1HGMZ40o5t1mKMXp/mlQTxxOvqiVxpEe L76NqSPz0H+4tyOZ5mpnzYPIIPDs5jvD77kjI/gSHh5aYVP/6xoki59e+shtCZ+Dm2V/9Ahjr6Wp Ym4zkJkj/tObKBG9S+2ae69yS6h09dA05ztykAUXc5hbS4uw8oZ4xDTZUa+/2uBkeMSN7sTD/9Ds Q00JAAqOwqKxMeMVaF5vx2+w+ppG99huxeW2/GLO0C2DrqjeHaq2C/xAWg7wC79G20Tjj08gAv+e cPvRa4H0lAN/X1huxs0CcBXYw+NJw+ipg+ZmsA7Q8s/AQcUHy/9PfaT3eOaGN5TmbGpT6jaB4UBL zEovysUVfiMUCMzxoBjVyUqBKFbYPIKKJrIUj5xASh+w/5BHBlqjZ39h4IzMMGPNixMec6viGAdd d+6+fcfZxeKY2G0WNzBiYmHmJI6F2os9YxXnx8DJtr4rUHXmZuDPVyD2JTtwRewC4Rd+/P2o+rK+ NPX0u2qQrUxZnSSxDE8nUir75v0Rdi6lYcnX/kVvQ62xQZY+W+EXmnbM5ZJ0yADBvKULmovwdU4D 63hF/OwV5W+LNg/ZZBR9fdkUAxpAHvvn8+F/wClLsKEVycByLrN1b0gx6Fd1sdv6DiB0QiYIX7FI iTO/me4/332QjIWsN/4ybrI+oNrsfPmC19dgryeVVgsSetitroKeehYgL26Z4bQd23AtH8y0y3Im esmdRh97ObsQCdIz0ZEPiM5PAmS9yQrzVTevQvmh7iqEtYfT0B8rR15nGwrFO/hJTHEiO+q0j53K 8GS6NoHJHbm+Pkp/1xvf/UaZXI2pn2i7R1DzG5fwe3YDFYjMWR2qGEDAudK503rlK/PHAZjVRjJm yZdCQ3lU2xwgKnj8lonVKGIArvWGSwqHf8dQL22AVCTImYNR30x8v88DXgyLK2WWezpC2O6oGW+h qtE7meFG9BOBazAEHMF/eM3SabQ5EZpKqYHcCsgba/a0aDT2H6jhsEWDIpfWLytHyVCLuereaLXM 9CSooSTyzkyENx/8fhyPoEVaJo1UegeqCqoUxMpMkYvkB0MqOnXHpbVqmYmCYsSRoL7KkXtKb8hE b++FtYjrIz9Ur03deO2OnvVSe2tTXebBKB3s8pCIxzqqi1AVcJEZIjFaOALOmZH1u1nS2fmlFgOF x/b+Ls8oXy2tE3rVa08XXPw5x5xCW/XzoQc5owFUG7PE0EWZKt7ZQEUHBoZatCI1bbE7bhyz2L/P +E7nJgnivjxXXXaYLzq5nrdNG+lQVI+W/Ao9nAVDtfBqUWTBewRR4IaI/xh4vrF8wfQlks1+3wvj LeZiZ0S1y7Qzp7rkSx6IKwe5SDKfZ3zuvp3uP0r1Gw4VunXkbRwr0y0Pedpn1hp+0C9vBaDJDoWk K/9jCcBLxZp9uVzqI4ak7ivgGMoPm+85NQ10rAUSASINHhbwQCmVjcjRcQtE8vE3U1tepwAawW9y KW1l1okojX9A7obcN3Omhzuw08zavsAe9VEwhSXelz8anxvul6KPL4aNeSEBRwBKA7OAE+wH/P/+ 3252q70yaAyLo6dmokeiqVyJoygjoCaXQlFpUsDDSzbGAvvg5kb/1Tf13eSesZgGlYu8J4NaAoSv drmAKoJbxdNaBm/ysgFlTp2PRHcEVnFbSUEnfq+5qRlXyeftAWMku1G1D81R8mEQEnsRW8fQeqM0 TCovcVurqmT9NZL31g2yJVs2q/zK1Yv5i6SEQFkghnvIqIIEdYzXqvtNJkzkdLcKT5MhEgF+zHTH kaeKMc4Y3lXT0oKZsJywofL90lKkD5yQGQ+lRobr0Mm2pyFmRFTsbTaPZJK/kOmdBXQb6pbF2I2T 2ZzIL8WBRn8GM3+M1dUXHMAd8U/qdUWdt5IupJOaE81V/gb3sijKOQ0sblwJAArR8xDlLITZKaOg 8lUU9XK2j1pT8kJx8OCAaeYCoryi/XglT7nyABwGc/iRoNcLgHJlZRdei3KBroMNDC/KHXGw6TH7 qKLZhIIoH5EFbk8gGXPiiSaVIasUvr8dpYKYAgouweZ+myxm8H2QkIC6k84TVu41A/mo2kOmmuDd p7v9Z0s48w4s3ftGlFBx8EYEfXGCwqmynutW0K9ZOZF5StX6jJko2rIzOBnJcGG+zc+whwmnP0QJ 7g8e9qFlvjPL1IaVpkwpJH2e6JaI9i8D7WHhbQGs6CXSPZu3iLaiR1Ls7K6QYAGe8O8Fr9VkeLkE oGNYyDhAI5vxtz0Vl72pjsihMg1RgKGf3Z8Rt53WG+p18lz1+gnoqN8OGUFFh1WY+NBlpwwuEWEc YzKy5EGEk2tf+hxVJdIVPkNkL9hl9z27rhJxhlmHwZUHgs/tqJu+wd3i9p7CCzqDWUSaHrHVte1w gkEYpwXvniSL/yAS0G2u1x0GoLuMYK/uJCMH5cTvx7uKeckJVHW4pFgJbAvqNJBl8cM5arP//Mya ep/fy9tqwrOwvpvYBRyGxK0xDadU3OaX06YvoD2GKUll4DU09wJj65ZsFqapRjw1MHWBZg7ga7fS nfgnRvb+doTDcWBJVrKmjDnQNNB0AiuvhSUom6G0UwaSwuaHTigREKBvj0zc0GL0uTu29p72FYYL nauXhNq7CXWSD8dh08LT3YFP8RePatVMef5FeeHGSfX0Wp6753+FX0zQobdUbZfMcpO18WwYAKLA SUrjqEtM7XyjG2ZUY40N2AbrAwY/F6g/vjbyybpDSl89SSLsMg0KTVSdAnfVBuZdKOQKv0DnRoam B5fAhQ1m35PDZ+m/18WeoT3sx826TL2iIq+j+BWBbb0fJSKUXxUNIK8OItaRFjsQw3cSU5SjAata MAgACjO30h8Au9xhFLWlFTRiSim512fhNv/xFEXmLZmhWrNOerL/jt4Vqh4srCysEBpKLhs3XN4d sdAdTvF/gjL7iY3ShhxWJ/KB8TiEwaS4gyyR9arNylvIxhBy73MLQ1zB3Uf59qRfPqkN8vwxJOlv T8m8xPP6ZJUjQRNlGrrr4ukP8r2nxgjtzdgUU+wWPfLgfQUIQrUiLBr/cq4G44vbeUuRX9/wbT6q Gdqd3K2nWrhqFmevCQAq/A2et9RyxzXx9xNUw0PJqSOC2o5JyYbYXv1knjLURSKxHI2eNVLc0kK7 Q9W/RJ+Wcc0gJpJ5AMOvz759QwB75lleRZ/QJ52bVEh/60E45RPNi3Vg4l0XRTDV0APaOgTOmCkD 2o17CqFbLveNG/20I8PDetVULFRBRLJb5ogXRs8e2ph+nn37/DjfkQljQHFliT4uYeLY793UiE8r zHvIBVbjNAdiycIV/pX8NNSdZ5HldOCk+s+/mRHcodAR293UvC+oszRwCOBeN5xIanoos3jGMnPF vFfmiXcYvUFkXKbvOjRXNAxZPMBPmJq2O+Vsc/rypYP9LS3jXjz3357yQ1e61yF2+1YKvVtTrX4S KT/PwDQ18Wv8vyyTqhbW40+MNDqRf7VXsWNIfTtYIC339dzAVmD0Ix5iIGQ+t6RVzp4DpyLLrxzq 11L4YZ/hErBuFyiCD3P2QycbwQuBjR4IF+1V4p6b12jUFPsM83ooTfHFieJfeB4n5Fforj4Tw62n EeN6zJFTJVjvKzD8xSxekCPKhffZ9iFPbLtwvQu8pDPpgiEWghl398VuHhz7g/UUQlNG709ug+IV /HqLWk3HFC0O3Y2rcaXXzeZULkCaK/aeOfJGwxtNXIRRnYX7qCYCQUorTSQnKIxMyBP92+bt1/U4 CJ2YSUKSupqtCjW62afsxnM8uwOOivWCqfRrdHSa4RHfLYZ3E6ACgKDs+9py/z8LakR2iObpQVm0 F3hz633TiKFZF+nsd1A4XcPKwcNcd17g/fjYXNtLtA77JHy8a6iPQo0pKxv0VrAYvR60GpqUglbQ LoyrP+BLU9wZag6WWrQWCs/9yyCEvKecfzyxLLxgLKnKT7Tt7ax4Oq48tpHMCUONpeIgs8oduZPu aHzAKySrKasD7FELoaNmQa9ycKHGQhdHNDuLQXXaV1AWVri8MolDfbE+yUTyDBU6z7L16NQ+mZe2 DcDtIaRafkT63liQf4l1Mgy4NONNt1ez8QNdzSLW+1Ukk6MZ4nobTxWG1yIdCUS3hwn5ivIIy/vZ 41+zkzttMnny3FDEO6TcZWUyU6X/DUkGyDRUfMEPkGT7+ykX9FjrvDh9L1Tgy9bfTmf5vWFcjgGm zHaCGD36yIInueCO+V0QehtU1bgOV4qsSi7/KLpBVhi0NZFwma2PUHPdItJVr/37nat6VKsR1QJF 7xiNocQcqmUWTr7Ops7orcC0hymI6YCFR/BjGFn4yVRMgw3mDB1nN1a5SQsG+gTjiim0uYZYT9YL 9H0mAj4p6Xtyty8bwwlx6XyR+xg52J2FgJ9UkmccD0OHOpuDzVn310ksJFqxS7vNSWEN1ctt+tq+ 3fU8kmPVOaPSKYaKLwXdndJWAOO/M+KLlBMPntyrmxUjLqEhRvuxOlWoRWN8FXtcpdlptdffJz+Q 9NNxRxiROyFJs+iV5+X0O4kcGkBIBiDDJHTqRVhbaNpyeub6blEZecSoMwD1CqCHGNdJvNS5amd+ dDN9U15W62PU3fmvDXTtDAgkHawzisRe0xNV+3EQH8HjxhgpCVw4Cm3+3PimAzy+zMTz0J08nK53 CRDVz70VVmUbo83wQ5lH65prMAadE6odRhoA9+xI1bh6i6gxA82Mq2QhXsofgLHMI4WjDKvjoF4O dcAkXQvHApOuVteXxMzWHkMHOFjfkljmx4R+6j1ABohtujL6kYPx9Bq3/z0ALKjCbQv6I5EUo7tu 1794ebAVV6aLTHTiHnytFiKCXjrzPyssk7rbqYsVltZvRvg8xkyqoGpFDRM07jWEWqOt7QghpeYN /6GehXRoEWUHiUxWA0jIIGkFYkQqO75U7h83tf+MRRjO3zBTRmP5kT6oKG6wnLR0khirooaGSozI 1a51hZxdxKnt3BhLAFEwDIdcHSF7l0/UCVRleXQhJgWahK6rKfjzAe+wpAWfFixHOKC5iVM9uCzz uAWRNBHdW8lfR8HruyNTB/QiMSU0aINiquiTl3uWJC428mqastBoSlIra/dkjmSJ3HOe3A4VBlX4 shzYtRKojL0HXjKUTWDHB1Y0NPytc5BHBd8O23nMzFmJUQINmJ60XnZ5y+7ka82k80LUnH0SqW0b LKfBW93E3Sxt7kfpUIJaN1fWjHhkY8iSnydwgmFdnG6ggJffO+kRU2AIfx5l1mePM7lBS2zSDLu6 Q37FGQCzVT8Rspt9FI7wFOsYbS3e0N4z0EDK29jFpm8Ewtk7h72Bjze0KdzRXj6Y856aiSJKP6zK 5S26GVhRooo7x6jPpEwCs4if0Fjp2t+MTopvpJO62lsTSpeWr/z+50TbJNEx9RKfyp2UyIaTNCvO cHnnilrpQP4kOiQVkzAm5VID7FzG2bWw4hxPxDYG/u51o+/n4odKA174iVG4aSJ+SZjOewF1lJ9Q GFRHd4gGmXFctkAV0+7IQmbD2ec0PATvV1uyB3pWv1jy1WGzIWrCYnJzbwHzqhQ2SoafPTEAopA+ rxcSZa+Lu/pJWZqxWLjxItUdasbHsjDovXfyVRk8nbJSBMqQI4yxAFZg6MT2WqzbNWEWwkaO5YOJ zV7ZD859GCYIDmLlt7lZGr5uE8P6MNIdB+fX6nRKVLhiw6+c8KPCvGZ7KQl7rjAh8AuZ3acoL8xy Eay8dOrehzIOFx4ovtHUfY63IfzOPU0uOlamCsoq885gEnQibR65hPGce8cy/ET5SL9JmiDOC5zr Sfp+SDoNKWMFGT9D7jBQdLmmTrPZ4M+EuoUnDm0ZVsR6NjU6AhcNvQH/XRws045JxhIQfkpCrBOC /AspQ3ikOiXeY88g/qST3ddGIuB/ECaryeMdp4SCovxvqbhKLybfR9Fy9tzrLxgC3zmGaRL4qMEI 2CaY+dLHnDlbvbtLVfHuNnpyZSA9WvJdgHv4g1NlEBo32wVcjrGjkke23lfu/BfUSD2DiY5aqj6Y c0bKLt6e1+HOPPgh8+cVCoRsky9lb+WlmheKWAqw655cqXMnO5LCmJH9KAoD+yTOneb/0/1QAvSx 3k3erpSHIv7oXOxJmOqM+MOqN9fmflh1ukZigD0Fru18vTmzj3zZODud+LHHA4XXX1iGR9YvNtYF KkdonKfTYmtcTNC3vJ9tY9vret0adS/XQ8MGUFnvSEwCGXiluHHvVXk9GtPODSi0orK673DP3XXD 4EFvk6YMKJxT6tAiqi3buinEqAJS1UK5XY+kBraARoEmv1Dif0wn/NJJbrtcJJOLsCRkeFY0sNVI e8ktwEHqmFl+4DTTYXbqdxe1tAt1g7ie91/NvPC4YsrZl/ftOjE6YMiSxkY60U50/rWYwXPbMepG qofb70LAc2W5cCJ0se72fos+QWbv3odXXC4C3EbJsehABJDo1hOEt1elp8KqDnmWMjL2uMhiJHDV WNCRnJVikpL5GjmOgB6A+x/q5LPpveYJMyf0D04to8cwg7Iec6aDUTWhjC6jxpRxxUzr3CoGUFWP 5sWkzNEmIFr1fKic+HswB74ow7UcY0B2QSr5GKlfo+TP7DJGUAWT5oPrUUOzQLct6swJlC8OTrzf oOORZ1d5LKz1cleqf1Akr3pGdftQH1A1+I1s5m5lppRDrhvs5AkEtjFUuB5HjvLCkwPlteIFwvvO KLn10UMtVtjp210niNdcdr0nufF3m7Ti6Na9AePoh/peqXgVHdf1Z+5zdKUOmdtR+dp7TRZbvpAS eKFxuGsYw+QQ22uikXPoIWOkBQ4yraVo66MHSjTtbKB6uCH0Nm6cbDnT3tGm4XM700cw5dF2zaSz dJGJM/2gn9JAKuz5TSqQUag1goAI0YcQCflgmyCnuhSSFdrPjUiz4NlDM/Gi7D7MSwIQ8R5lb2Eo NIXK2P7El1Ue0YDP8d+D7UcEXCGy2WpsywQOoLJg2e8eDGwA1M0KUHQ4Dlw/WVh62AXA1JNNr9Ra EwouusC3v7wCA2Lo9mr0tlSEFt5vENLyhb2lLjRH7TqrYl6XK2f2WQS7A2+97bz5GD+tQ2cqFWRW Nuz2VUm8X/9x0hFV8mNHzcfDSqK1/TmzCJnGL9Zc36WuzRqfYVOLnCwnBdiKCg8G5/o+oEMpXv4o i1DK32DECV1TKvQV+jTUFRQw9M+DDRUKZ3c+sb2HjP3pRjZCOApDtWlllzG9lRY3C6MBeCszSCRj cqpXwXXufT3LDzZZWJ+2zaaFwv38XIfsNLgQ1TDy34xojRRpRx08Zun2UJYBDoMBj8ONOzYSLIo3 WP4STU4MPzq57sqYqh8nVh7ehHkp58YLvjZM3RpFQ3OBP6PtYeD1PZTzT37ChR72TbM24x2oCom+ e3zTyRzqzrvIHWN0rWJ6inLqD8SQeafsLrp/9zRKPZKkVkktan76LXQbBko/chZqbTAYCaNUIVR+ PTcQbp62q/y+HnDCboErOxmgyz2XQ67osbrbihGawFdc3OoAuxPGOXsIaiV4tY/azEPRgeRSTUZt zFEqzaawZ8Bt/pPhHZdJrlx0vQqXvQGxEK27Sr3yEqz+AuuqqQUNsJ8l8Vxu0oZ4WFnbyRWzAEGa ZpaT1fp9H84FrkvH7QI41cksP+pRSQ1bE/YVuZ79S9UlxDsPS0qDK8FCYVQGRBkqlWQU/Wh6Tua5 TQJRB6rEUUpFiIIu9zcsS3UwPgas0f9BylQpczz9Kt/IUWjOEuxEYOoK0urQh32emjlel0H8RjMw +h7vngteqQTJJF3teoA/x1fxvGYtZw2tS6E00RZMAKM5uGY9zgsxp32PV7XgaEgGTUUuBcf+aPJT inFG7xIEbH9ttTlLk/ea2f/pbu4vrj0suoiidfZ1CVDdoiIgIx5d+1QbcDLfqsaF+MT1vTr2UXUl vu1ufHlbCWP45hrHUjkx5K4xN6Hx2UPzUXSyi1tbVwHux+GanpWzJoZmEsYtGq0gAcTuU9jZkmZZ HKOM/KoJ/eIv34h7BsXY0+2fBrp5cuGv+7emekmMv6DWHnINaNiuxyIPBgJ4i7u6wz4MGbnbqR5v M7tYdkVJv53vAf/bDJ7qZuy0K9t7WYYi+FUlTedCTtB5Epm306gkh4r2ZIwsCB90XXnWXPXqkSWd 8KJsRzNhhSlbLAM9WzpnWI1XyVmOKWX6iITvX9el7m7T1elyo1CUR8fPJ0jh2pGuvlrEx8muhoCB 2pyzFCdFKEweEJzzao+t7bP2wB8Eup7/0F3P76dmOzpdaMyW1LMgHTeJJlABsd1NznZ2KB/eXh92 e0ZiR12gDOfRJVpoAOpVpu2vRV9aXpLB6CLU8/qp4MkI7wGLLqKS1wPdt0j6qvwS2MKN9tC/1gc0 NIp2cMNz/wOxCtxNwZNqTb9myz9DmKnF2hksX9E6PB70coKAu/OgF6rOPBTAaudFLjHdGBRuya8h m+KKQ73/HQ9NzW/7GfPDkzIZMlC3aTHA1ZsLFiWf6UK5VjV3bt8pwZH4RPGP30pzmbMS2Hth3wKp W3ruijXgF6j4CHzt8WWMA73hEgKcdcbBL3XKXen/AAQSxSx5Q3BVrq2ehKbnYmDLGQ2r8YTqRT5A WSAem4E8yJw99HgOnAorTytJaIpA91IdfUBitsiVKlQeMeht1YkMmq5GKXAYCJx6CbixLFj5tH5x zREED1eK6+yYR1+/hU4uy54SGGeMpShP2QNWieR70z2o28oyCQ+cmMXtOvkxIUSTHYwlROJZoDl9 xIpb3U/INwIIM6L6RSwHCN+Kfy1oXR5EMgYGHkY3gVzA0xSorQydfHuB8oEP/rr8Fafv7L00XfYT +nSP/YjhmiaNv4fcAPDMAUIu1q7hM9AVjTEiaOGmvB9IyeHmZ6EstPJsePikpR43z50lw6oUZYuc pR/riATRm93BJauYrmvbExdZjkseEIqqay6j6KUAbjY+rZrrY33hayIf4npEVFDdwAhBf0OfO3nq N166f7wNEb1RC2x+V9x1uHQXW3fjf2WHKX0tjXzXM3BRUMNCL9m9KN1KKz6WTbc0pa3q1un47R+L o9i1mquyko6Q3PVnTBQjB/MorcorsrUyoK49w3M7Pi38swVfamU/iUDx8tQ8NClg8yXn5qSbCWGC 2YA8RFAxsqon7r8dSIram6cg5T5RB5aiuRQUqI3TUhXtSGomm57XAwGQ1Uxx5chF07X4EqsRXWuI YCyoyB4IGDCm9oCAxYnJUx4xc1f3GUmQ6J3k3RFeuheUNtlE5WjHxX/yQtz+TWz9g/hJG5tsUhW7 iYmidv8Oaww3luJ7+fzVyzA60KdmY8AXUFsJPpjRM4O9qB+ctxcZANXVK4+ILZx1dknQmHCpjcYD gHKsGygozUwDsAo0KjxBPuEfjkjXlGeZxLo/6HsJqsglR7HYGm0qGUHh5a6dMhcnIEvmZadYQjew 90ImrHE3pylWXEX238Z5PhU+z+fEpPclsCOqm7FJgAAO4iOqA+gE/KcmlhsNN5iWbqOi9BaxVme4 7ALyBZgZ0qLlvuKS3N0fP7CEkn/a6Qe4CJf3v4u7a8Sesnq8V5epIUzfh7sinPkdHSv22ANyUgeW endA+vzD4qhdLSne27q9uO8B248X9Fsv72Q0wUPcc5/VdzPyHUuTXzhkFxt5bZ2igSmJvsTZFR58 kFbQ/UjbVZyIRWXJ1764S2Hl79SS4CkZeomT0RUqpgRrvnCwZ8inf4jE1SBLee6T3yxokKUdNS/c 48bk+uQFl2KzEeUhoJV8Juq4NIokvZsVe7+jsT0Wp0OWXllUwpP/ixN+WpmxnB6w+5H0tvOvZ41+ 0Z93qGsGrmOnESP4Y1acVT7yOjH7ZIUkOVO2HRT+Ue7RrquE09oN3gtVnI6C4QqW/YkDhVfUzaHg hMQT+H4KN/+LzwiS5EKPFL6OLGXP5SRGhXByl2zaaIqQOxlOEV3cnfHGLPlKlPFa3wWNHpfJILVy 1lBD6/vpftdDqcyVgWUCWSqk+Qy04K7pX0OGnlIiIKDpWhclmMTZ76O3ixriLHoV1EfCfXD4xUQ6 Whu/612WFZ7lISJ8QrofiE/f65t9FA2SenAEbu+O+3gNp88ktm2YFia6pSN5L3uX22S+y3vO15rW YObnorQc+Wp6TkVFBzGewvxAIHWpHqAm8tT6wbk+nTuerdKggF9XBswDNncu2D35M3MaNwLK/E1j WWOmjFc0WlSYeq6/0ZCKc/9iC1GLMU3kNJiIgUccb/ZsGIFtQ2HzetjK3aHwKOpPM+8x5SynOtYW XLeqMFnL8Q4CLp8+GFKIUEXzuNdrxQlSIq4XQRWxZ68cEEY6hBJJn16FGVz6lytVgPgvXHRXqtNg IijnA5l5jZ1KFTNtFW9Vk8ctf+ISdQAufoC9gQPHIW7eZUz5C6rsRVine1vXLyOumXURmUPAPogW wpWLfkJ3wwDulsZYGt5m93+zl8huat3LSaUuCLcjjgq7dYP3B6kp/Dq41aiHThU5NQK9dqPvma+B aOKOf2annFCRKhlSzphSXHrM6Rr7VRBnXIb/nhOII6Z8e8f3c9anA78GDoq11UwsbzlvDVhBIXzL NrmzVs61m4JQLH2eav02xxCN1C9JQrIvFI5O8UG8R40NVnrppsd3l3a7mftCB911q5b/2mgsjjCi bEHDW6zGyUxSDgcRQafMnH5n+meWJAVHQ1xdEfRPgP2xMu0RRgq9hAc4Fk/eb3pM9mBQk1Dl2BTB lGJxi4P3NuzAL/lNM8Ow2D6KaSWTJr7/M62ixrDV0Ev7gduAKCVk0iAoDrKy1S63KlRhzAJM0iVe 8GbncqZ8UtTEf+CJ6vW2te+zfkOFoGC9K3TxSohieuaV7PgnZBv9iPR2gZIVQwNcUcZ5fI4x/ojk ZmrJfMmEupW1vrlIKotGsy9MKC0idZyHZce6SHS2QD0g6+u2JEgXWZttyKIYr23meyBUwYD2ivK0 D3dv6sm4ZwJPaEYumAmUe5insp4UwCgaj3hKYfxocrP9XbPcmJAaPM9fLe8PdE0JHdSzr+ZK+h/2 p/yTCYZsV99/mVM20PsIRM8CqfK0W/4S4hkgwkBkNIeio3zrg4+ZEXkY0Hzs5oIKDmXBrD8oHpCW N9nt+S0G5nOZ8jX205jSLKO8vkbxfGEXDRPQ44RRSfTwzYBVSRzBUeyxGQ7wLlLElEAPwjgkzFTj rh7n+c8ChGmwmqX6BpM3ioBDAlWL8BARvOCviQo5TPvoY2kX5Yc6pDILv83GtIBmFKPhcXg4E8Sa ASjE1KwMxl1pnMhc+r7EAaWjC75vxv1go5nTICCrOdPUgROOYbmp1B+ZXXirnuGlQ/E+WhyJWCJR ujtI7BZDzZzGzscDxGtdoX9hsB2j8TkVp42qWUmw4rxwcS06BeuLVL9R4Y0ADbNbywVFe1DYUq2K K5e7XPjkZx6hgUs5oangQF7jzGb0ycv5yjZmKeg3jRJOJ005J1x0s4pUV2exPw7x5GgJKeF1U3ZN rEvnFMtBYW2pkxOjhRPsI9KD4aUYr6fe79YlrhWrWZwbz4VAtc+/udVyHFgOX+lQETIbgso6lH6w sHfMFBOSZRrPx0NEyX45XT9s+TmUsw9zcUnaHK1h8EntLKsDJlNNrObmhmYGir9MeRvKLM0CcyvM ZpRW8jpsH+a+EbAq0sn89Ku0fmc4XhazTzBwUdXL5zZqDn18twDlyz8ZWYk9z4cD0IIV8CeTPdi5 i9oPp31kR5t42EnXPXCdKBzxG1MJhW6+EtTV7U9+9Y8hSfOCAObiwbCbzwDLLLCQ/C2zg+liNv+n ROJ5egxrUM/NT4uRPj2xi1AhnTsRa+Yc4Km8Z3RCTGh1O0h3aZ6WnPIwAnuAaLTmNVQuCuuO4Md1 FcsU3/X9HpW4L6zxvVh1UQ6JUm1AaA7WNive4Fzc2Ils6STlbCbbdxMDAWWkq6tQRzX2q8vRzq+2 j7Jz3ngqRjdtrS46Im8ojETpLk9rkblCslxF90JRmd+mrzcTNZu7alUg3HP4oT46TrYN7cdHZM5D aFO22t5N85pp2p8g2Yyhih3bmdfe+RlW+lyAxzzhA9BV9EBYU8l06VDrN67WSamP7SH8qwqjjaLk v1L4s06h75I9UXmnFKMLHGuk8WbEIHOVP/jBqRPnu0vG631dIzNT3toaKj2/9iHjzQn5hVtztc99 hqFzENTWALqwxBI9jthor/xYagB5kGXEy7ugNu/oiqzNEEW2A1lwByV/GkfnJQQhrcS93G9Aja51 D9d7P1Chx0NA2SGxKz0WBeczIfDjpdKCQYiP1fB0DcIZTsS1MSYVugOBTVFXfo7j7652ybRBg2J4 1Rs+VU7cKw3xdc8Rz1AabUmEP/KzZkvPvm33zIZVreHaZZq8icOQ/a79Os94myC8INietBKiwuXf /2bcgfYOCYKR0LhwgNYM8UFynj4BHnwYycWbwxa3doVtbQKOB4TYDL5FWft+z6Ifwwsb0Ot0cw2H dSAzw/aH8FJnGVYcoUaGOvhCAbNlJQ80MZ6HVsLcMM8rG9bTIQCTwwRMMZjFvo4odKFXeH6+5kJq t9kInSqLnntyehAylvmU/z6gQ+bQ3MyBoeb3EHfaLyYlYu7D+5LCaGm9N7yHJ6QM9ZaNF8UApoap pYpIl9QYsiZuC03FLIw7izzjY3oWJt4/TvumLQJDDGuokjYfQc9SYvO5A7GPR1Zil8DDmAIB145t hQTUf31DOyVDYwh0UJ4a9pE90kFnpvIC9bTmwGp7UPEtj9FkujlFcQ9DrdyYw9Ry6/+4ukq71mzq 9Otr3yMUH9It/33smKONrCry0zdxE/Iio3hSok/QJzvZsawe+m1SfckReWP5WGCoA6SEPUi4UmDb YuGeUE3qzyba+yYpGDDFA6zCeN9f0Y4WgQ9UWOF7YPEpbKB9/+JW+9+9OpME2g1EJ+lbKVDQIpJC IoPdm8iQ/W6U6TYY9gFiqRW49iaUbMUVoYYVEPirIzxJMI8qjfmDTjKNNRY1s3vTNfuqNdqHZDGG pWmcTeUZafJJfLNdEJ0k8N154JtliTimLLyltGcQtIK4AsAG7WCYh+JL00BGgxbAavZZeN7kGYDz KzGz21vbN+rAvBKsrrq7c+ZiH7PTGzl/c+xPpCPRtrJuDbrbPWi7UdIjN2l7TMu9bLQtqIuuGhwG KSZKWCAcIN4J4SrsscRGctgFsm4pe7UKS03Ml2WH1tHojZNJTQtpRfufKltQaBhrcBSX3x8lx9+o K+uXrRcSuI9iRlNnxwf1sLnlF/DVMfMRwKx75IUW10wO1dCp84f4jAvExV+Xn3Wi6uSMiomceN8i hH6/vYxQVQMmkVBZlbh8bGRq+Pv3Ez01TzmbPyQqDG52257OK462ZLfp+fbnNNqrImmbzGRF6pAc NVxIYyUfw9j93921fkVtP3+sQzYRbJGQQqGZjPzfWeOeBpflxeAVwPRQ9QsMnSL8Y003nVLG8J+O qyxcoOtpaSuCnHXDFpO7cbL3hNBXNoKLn5Bfi0rM5Yw9QdV53TSBQHNyza7XmeEM+pqLEU7J1sXL rBJ7fl3jHYnr8HKDiLoO7cp3GHNswHZoF7k0x4wM0xdAF9KR0exdWhkxNvrNzSoy9//1aZG0MUdB nV4PtMOvDGTHmaV2Z/jB27TcDypBn2eFntZ2TJEN5QSWMNSNFxQo81UONbuZtRY/4mJsFVICiPOx II3jMixRpz7eOUcOB2nyi2PvyktQgEnOul0UqRBj5uFArL+bhYkcZD8xQfNI7wsrwxa3rkCeo8u/ cNocz7BhuWjNAMIqsXWqK7fuO0ygEWwEPLl/yYk+bPIXCJj9GgDWCH4IuRGTXVGQBtzVlXTHxVqT X7Gll8A6h/QRXbBsiG76+9LwcP8uqRSLoEAPU+D3yjnrknfiirvzlP+HZCRK1EcS6VBLx9bgMiri G8QHERH2vaICU0bAxgchiz8xh99LF8fIe5TgfFEIu1iPw0CVNVcN8mUHrX6GOn5CZrfgn7ICe44Q CIzOovQKt7xueSpmakalYG3Z+4CvAzLCOBp92vsXfvwU+BRpnW8BtcH21AahIw0+OM67o2ouyDJk k55dDx2j0tzEfoiva/Ixwh7FLFQciGKpG1i+FN4aRaonWLZGfLJh93+ADuSQDEZSpcCbsC5XK6tm PL/VAmjvcWWWM+iZpx31AYBIsvxkvqMn19NVKJxMFENQqTSMDKkKp513cj30N+rw8Q7+nucs4RLa 8gG2vqQphFwA+sf/Q7Ydif519tCTyZ58LEHmtqnSN8Qvin4SJexenbbg7IZHxN2pWbYf7WdTXC/U l1R62cxR09RQ6gk3HaG9+C39kJAmDRZSZIGekeaLlDGGHovVVM/7YF+OkqF7QPOKmmAM3myvEYnL iRRMxxAICpUL/tAxhaMBoWFi84tpxEy6jcVdQtzH8C7tHouXWDaDQhnM94ctlEpxcJOGmxsNZE2U 3AxWC/C9wP8Hl54AxbSGtIZtzW+IxbwStiJ36oHLuzDgoPVMQyYc7V37v9unqSoy6gw5o+SlC+wO b7WOrgLhbsw7xZGqTZs/Edzz80zUBiZnkHbmO9hZS37BLzUa/8d6tOKPxx9QiNSqvSf/cNWT0h/l LSv6JMEG7W9NCpC1M2gCJ+CBT9WzlFiMQjIZS0uXbbXOw78KZGgqoqGq/66QGvWN+gVKTK5uOR8t jynG/JfPjtALhSJfvCLV5I7Gp0XtfkKYsYn+XVNQ+nP/WuQ5Osy0ZNgW5wCRBKwDHtY5KA6+FYm/ 1QCyM7SL6Q2mxZJOzwgR6C6vi40wPqH+SwO/spysy2rrBlPWwzfNaCTJmhp6mLy2CDF3N8w5aW9B TcxjGj5aClXtWKB3DFZHyHx56Dl0YFVoi8IevtTTod13ZvdAOy/jsj8L2giDDU/HlJpjony4RZjX nQwMtv8aSPswfgO0o31Jb94PFPaEILmJKDaROawHwMxcu3B+HO2I4tCxSCw4F+WK/KJcksgJikPn ycy2fFAapKxu556l8iwjWRBSZS8KcMX4iJAfpYCcjHh5tlM7wttMenUbicH9P0LrrQIRX2Mk/7kV XamaF737kP0LazCpurCPLlhIsfPowIbIFsJQ0vW549YruL99l61pnidEAtf351XYWvlIX89t+dYU Hh55U0VCcggEtDY30X8nRKkz4cW0DRGpQNUUnjWF9iEL/leZXYtLVOCK/5AAxgmSRtV/cFlrrvsy imtxrwAc/OgO8bvXNz2zOS3RjyGiSA9Zs2cEqBR9MwJ3zyrUNB1tenRmPicQYuWjDuaibTbHO1gq kDqNeHHOjLSym4QlJCb5CZpwd5Yr61IcuFs2R2p7bc9zhvPrwbTuwMgO3surl6CwmKClbyeK1P63 GtPhH/uchuuv2Xn2H3QYoq9nCI0HcwnHNmqO5Ww02jJu1+Kd6fIhOPBpcdQjdkwLrft4D/ukz7zl i/X3kScDgTTK+8ig0nzESexF9/4z5RrL+zL3Lp7oUo6CaXa1svxH0/jW7qrbwtp0MV0xNb4HdK/p uoXFmoYio40dxDj9KYK7/4JIMKvDoRuF9SxSRzlZjPGvDqAqEhAQmYNqe7C/smqWuSlr1aNuvFmT agzSMjY9qiXy95bQnM8NDkMlW7ZjWKNPRecN2k1Q1JDb3Lu0Acp5LUF7IZhJ80JGDMw5zHbAHIpq wQxOLOhuu+TwsqctCcvI9Q8vLvXf6Ag+hzjogHit/CJBdByVQ+IMaBFn1qmsQypkDqdGsKez4RbA 8rIDs1mD9FBZufr5zBAH9rgfbBiDUOOunt6xbOX41bxtqcZD+SjcfQlv9/eJ3n5TedwElYX4Fk+A 4k6e1ZGZuKxi4YWy+NUpGvZNcN90dZa+kcJn7kOrwDnqQdAkmQ9Ti0L1v0c601pGEeIFDjkUUIJk Zp+b1QVWUZPGvs29Q+uta5FfpjqkgSgCUCBJLTHvw4HmVzZ1El2MVNvAYqKjLh/u5wob+5OIMnxh 9O5wyjOl6+1oRFu3z4Pd7kogB8D6B0s/V4LGOBUBqkij9qOYxUc93p8k/RKMXssYjZsp1FudtS66 vjPyPDkYDXXQAFiT8mG80nUjz0weSNfpqq7ae0TH1SUugMHfUvUYciqe7JBPcrw4YfX1Q1xPKmyd Jw3BA3VMSLbq3zusU54KVuiq3QmIHhdQlWUlvJXim24MAiutIzywN1Oxcx7d5SnuMp4Xb0PA9JvT xWFlwMSgD0X5hvRmlM5t5aefJqUw/VyE8/OWkdd+TFngqIZTjDkd9RT0rhn3z971fOyB7Vxz1dfx UAq1Oi0b/u4zGhC0bh0MXP3qnCk6yJqoYTQUh1+l/KrNNw2+5nKCHpC7TAVY/Xn3LNKhr+34z5z8 v09Q1ovVNvoSdyAacsS5Ug23hNcXJtecx+kVTbGsYBCkONzHc5jI/iaGvXrna3DINUKzsgEbeyaB w3dZXJqHhDnjNrnAxc0Csq+OH30+AviCEbUlg6lRwbUvIOdAJUmOXxlU+6BlzaTavLz+vyvioyVM GDRRC237ucPq2OcOws4mONScUTJoCbO3oBmsNb/g0ayY5V9E3HUWBl3GuxVgXe3s0a/W3NO5XIv8 huZ6s0dnOrl9XTkjwg07jqoPn6egVH5EuyqHS8Rdms8AkSVyfOvE0/LfPQqapNW4Pc8evEyI0isy N4TwVWc7dHuAI6LsK7quQWUeCcrxd4VIC6A71kjxEpGW0Nwd8YPIjRJT4a8FJ18fb50qbJRiTe83 PSTmgXdcr8C+giyBhY7BWyGRw4kXaKcIQ5jEZEG5EkbCBGEahnYUUFb0Lvnm6v2dlvTyrRDiRFrX yGbfJJA6ExniQWUJXC7f4Uz+UjjZhlzowunJDSZmxOaxlrA2Opzol6I9I9r7nT2GfP02sFCAx0Xi 1lu9tTe6ImySTz1WjK5FCZnNr77BK6TyTV68TfgNPVJ3/EAsqtudgQl1znLHHulbVPPHGRxNGJ/J tmJfjBsP5TH7ldfMFgs5PGFCWZlF4uNkDcGL7ec15fM+FjMuYEYIqsqI7/BKD2qgfomGYfI9Tvmk HjkUClln3t1wDqLcPmCyyhPyCfcFrLpq6tw+7OXt7dl61MR4lhoGgsCdIwWMjwCNE6GfpUT81VIb U6zGormv/n9Y75CbchUZO2CgX2Lqm8M45GxEx7KKU3D5AaDm56jzKNU/lvwvZ3LWRgr5l6HwXtNC JrMlTaf2D1hLiMxcaMEzxOnYy8GlKlGQNEaurR/h3Y4Wb4bkctT/Ey71MTX+6ZJx5LBRS/G3EEGx U60Xs3FGGr3ZWlxcn51bEFC/PhjZCI0WcGw1eX0FDCq7+Gzm1Xfyr4MIE720BM7Dw0mkPcXdSdvL +iI8rvMaMCdbZNwcR6lrJfUYQ8w9++QjbBw7l6sX5Z65mMppEnFrkD1phq/ApOgMC1FkwN1eSe8y KOGa6Y+Z9jaKWo6+X54gr8kEVMHMEf8W1RJI3vCJ6EN0LjbPlux1mEaJ6bWGbvd8nrzK+4ndd1d/ vZWenJFzya7myVNhntItG7NDHx7QHfn6WvRPKxtPnGH3HXdLYA2gRIOKwAV4O64M5NSpLa4sXVaO QgHk1qnitKmpMyhkm8nmCI9Y7thZzAqVqbUBtqjENsPApfZ8So2lsY58kk2mKzJ+X80ehF4NbmS7 h/HfPJf8oO/kufepZYyczvAKb2OCXd6w0mkkB722WVoOk4h6Tbn36xkwqDy4yPiRH4/QymkGSABD PSHI57OpStG+HeHsMkCz+oG8V/1FbEerSfCQpCPz3XgkXjSSKjuHC28CNhxVQS3LB+fimfqbs1NO Z6TTo/2r9F/pPKDdQXc98BZP/5fmJ3tEmFsMM6kPHz2r7eLvhSnzhCPFKbHfzqiFmMd65cpl9jKy XHe3LDpHmKmgFoOtYJhQJeoD0k/YNAd8fXwV+TOV2wOXfGTS9sJw4hUI+T/jJRgckSXDclysw3JN p1Uqadjurwq6zKksxyRtQB6s9Lripb080XLHI8AnawUPbxngrPoGN+XK069YRd07xYWmGcMstjpd BkDwR2Iu5qMfVRuFzFP7jf+hjieEzzwnNDSd2QIskVYX3p38UgCJnECuk6BOmEhNrrctk6pjRytt p+EEHosxo/r/NLvz60Zue6vXAv5XEsP/tlamrGmaPi/5RSsa7/+m2RYHY6ynOPV0XvzVMsxlcZCe DyYAEU/ESznt6IR9Dtqnz2hvB0qZLwOjrmJdqmU01Zkgf/pfb879kmimSNxAGF06kWpH9WSpnlwq ctS1nlyYFeEXhhSfM156QHBCUANIAEHm9j7piCG7llIEK4C7EdeEPUMVDBRr+2aVuHckZFjgYI/c SCFNJ9Ejyhbfm/QM9tmMvIZuYgFX7tdBQZGSja2SSDURYXbdAwCLGt1PN+/Xt4z75/ni6D/pReyj Y3opl7xlMuCJDOiYXLBoSexnJFSzTHUW6FTRslJyrOWP7JFhknHypr32xkI+20w0lndPMqYSUNBm ft4dGf/iwMXdurCSFTs/WW2rKQ1ryXPQcm4U4VFWa+ItGJcZrD4qs/z/lU2/NosuemyqJQ3QQYnQ KUK2PsQhKASRFYwkS0KwKRL4J8gbpldksiIeXmZn/7i8P+zEluakAeDE0i6qS940bSwj6yMtTdIM 5TFi1G7Jkeh1xmORdGj+Q9Ivbr4/21FJzRlTiA/tstKLG2CJVD8gpQewuqbQWkC1jLTGlLC4yKOX YQ6rTLk0p4o0g4UQP46pxPwZcBYYCY0ZyXv/lRmr6TEWK+EnST1GtifKXKDpkdTAtOFyPEsD3sgm ML6BIImVRycwCDuEQ8Z34RJbxMGiFB+7VbEHIonhUNuTVuruf2PMmqxOduYfoTUbN6AR2MYP1+XU tcffuIl3w82dS9UQau4lUBO5naJ5azijhtA/bNDdobi3YQEl98szmZlzVB30/EZC6wrcflHe9jlo Avm+5UYZwBP1M/5L9EhPkWlqx814LNKSxsG721wYJMV/twXmBoGAkwEZ0XNuxLvf6dOHjoqJplhJ Ew9ZCp7xjMyU5eAfkVAw2+IvRroajKzXIYu5095Imgmixe+ne4lT2vricLTiu0AIym6lK+8x+GPJ SoagW3u57qWqWcIT5T/DiyXLNOd/jQBEw4FBWsv10wYbjmlRQCueDn1B6mxQhWqGCRrIH4dWC99O DamN6snmWUhkWW6bMEl5MV/8PtFymtVqqGbyYTeUQ5noWC1KlKMB2fn4LSmjq/dmn4uddm7TSAH0 SsXolAb43tYVC8eY8qKQAEHM7U6ZTtEwVfy+WceNzBs5nPYUOw2NLTZy1hsg8Cqax8YOLn0us2Q/ e5lpKfj68d3MXXuFZjfiJXIWonq7UBOkHCgqK3TBUzrWZ0mex4s6oyFrzSCX9czlZgMWdKUmeADM w/MDMZHiD9d+CaXk7kahjwhzc/hvVIaLLP75v6Sn4YGCXojvfVk7aK5y3kT1CuDYj8Z+6FbelVYy xBy8bP7YHG0Mu3XMiatuxksYm/1HnV4RpipDezPKhTMpPA3hJq2SAqLQJodnbDnSn5YcEitA6exf lz6VzeAQ1w5m7XUJpS4hVZY10eLhkziT2kBzeVD91rLwXZsKT8aGCSuY/olw5cb7ogqxxgu2TJRv GSa63B36jd7iBh0lSlekEil/Wu4a3x4jf9i4yyzraklIxoLHWWWH/n7QRalXfzRavytfUJTGZIna RWehYMMyI/o+IqQnPFDo6+WXl1d5lvThq1Wo5d72Nxr7RSO3xpTmD6Vy42orhaypLOxUwBujlKmC Zt1V0in2aWSa3YnDPDQDFxStfPFjbG5Y1RYfmLRTuyzWWofb9tH0efYbKl4wTQ818dYHFjf2KI4q jOWNxzMirw2LYpPyPazE1Eyd3oSf1X/SRyEqvDxCGRqrfpD+1eaiTvVauzUsk7npEYGD2RRThgC/ GiQNmUCGJSG70Me9c+BFBb5VGqpoTlOOjVpGD/JbUe/h2AslByMB4CUmcT57TWrVkp8Z9/idBQQ0 BLOczQp/Q9TEqLVZ9OxzE3no6Uu0BKaWETOGERhm9NNCC6D8n+1PFDmNQMAgylk7GEH6FrNCFRWM /mr8nDAPwjVXq5yoA/yfk0L4+4uUZkJzt7pfmOv1Deh7bNLspFt8kkAccKQQlDWvYSq46r2ndgc+ uGGKWIv5vyoUCV24AqKbys2qQyXIWwbtBJ0irPlEJbCWsFBPVxp4gxcYUDvTvi3dn2jqIOR6B4jY aDgUcf4gYeAHuU1u1U07taCVwf8BELue+aEQ+XViUlWOrOwy5K9UuoZi/OlTZFxXcH6ZDlhjPIz4 qg0UnzB0U4WwyW2a1UEdl4iVpG5Wz7c9V2PSfUsdO7yVx6SVzqySDl6qrNTY2sI/F3kd6HFRtqNF 0mkbwyA2TFA9AEdea9HBKixc3qKenTVuXDR9VndGv3J7QJqbS/wCeHUuOLhBg+e8WrfSbZPuw/+V SpwXYmV8+rASbTOLV/3SXtbJNUjqrRumFOpHhrFY245+YtRFfiw7QMwijZHajqqfSRXMKI8F7rV2 ElnmKQUGzUxX7cybEWlVX+wBxTUMk91h8bEA/8wH9mjHXiiYyh2xK0TmLHI7I5QCzyK7lujZyfXJ NOA5OL/Xp9uvVhdQfSAQgK8InYeoIdHvFk62cR8N8OyVKDUDR4lCSo3H1p4hBL4ZsBvCON0JDIwb /OlnCnKNlElFEP47daUG5rV1meP7ZxCJBe7sCdqn2F+CNu2nL3USr9kHqTW+mcGIYXSq24cJfpUh ZRRXiD2p6atvIpAr3XRDZym1MqeiG6FgD4PW+FlwPS/PmDtEt4XIVikyfeuiUbMZlYQaAMEK9fTG ZoDPXi0gQObw1DSQIx4RzzgUMnDYP/ypE3ZWi3vWrvUIcJl7GlFUwMfi32wNB49Q4TfEwTx5PNaZ xk2mE8yirgwBLlKN+fbHLfC0TE+B5f4+MGaGRCk/eKnyg7F/PGzYOPAdKM1w+8ODTeo4GFu4ssQw bwhUFaTmFpJVBVSTsUqsjmh0fTKOfsd4n83B3cPk3vlNv441zQeXyUSXT0xr/ki6lWAFoQaz03F4 XeGUrodpgZxvgz4NTP0A2/HnNFTpsspmHlfv1ZJw5pFB3rpOaZ00V41f0CEgjcMd2oD/3Y7Ktv/5 8cpYvYPl9rafnBjmI2fpxACj9LLUcrL6HWkfRqzNon+/wk4r+5DDyaTYunn6/lXyhZr7mzBZXng4 H+JGek7bW3eT7+XWTMtgnLsN639jZnEJJOvphJTQ1qKituj1G5j4Wv3YD1d2CuX+gffF84WZXJeh m5eCU5sSgPeeryE7kS48t2X7suI692DrQMuR8BajrQfaqFA9giFo/llA7N427UMf44ZZ12/cmSPj HM2B9f7jqSJ6E6hDDcLE5c7tKOzF2YRI+NF5gMvWVnEZvITIXJq+CTpaL+N+UcRXr4bi2MBc/xOf xGoven1P5AU3osOuDtcPhTCjtvaVUGMOqgZLEKRUYimLBwemCci2QNqR4gflkP4WWaVG3suOaE/Q j0TsY3ZZNdiY2Esc9dWz1b1q5p8aQSDkdDU7yEPi6e0rrQiiBnGGWdIaq1pjP4UvhlAOJckPYg6T 2hi7IPgW0b7KuixSPpxAu4JjDfJLK3rIdWhy9+4dUaPb7cDhZnPXy4QSTokdiLnYxhzIXElE2q6s b3j9+jypMWMw918z/AnD/Hetu7QdeBCb92gm9/m0kFIptP33oNF9TqprugwLrkav00g5Yutt0EX9 psx/VQWhxa17D0IU6+J5mZUOBAgzo/XjmmxnNsfXNEDLoI9/i8qTPfKmzh+Fk6pdSJn8NyjRFy6v UIjuq/ohtClURkMPjITIuqApF29BCVAe9zTewJnBv/tFvvpcKfqqlcum/KRXpprMFaEGUxrWl7BO bugMmcjcqLTl98a4pJGW+vCp47Slb8EpVr2iuoZwbOdWTzyIMFv5CwBIydmH/Zp18wM3XoxprIkw IwAp9AUBtfWeJcRLYazjvDpGVUhC3TCJOh1VB+crRLkTfX4snl3yAHqT4NeTOihsMT74BsygWySN c8jUiOlWfzUJRcv2FgJJ3JdPEVnDPEGV83guuMZtQQK8O/KrWmHEqRn6hMuYZYisJhcngie3d3FK yiuc10kJmGrvbbdyhPyXsZj+cKuO7sNUTn6q0SAks9hhYDeGQn9xJUUqit2v7CMVmSb7wAvCvnCt YwDWk5J0EH2iC4pWqVwY4EDE3iOpfY4yqrXYuhuqZwyPWB3l4u4gekF1F2J6Jd0q5sK6nUF+OJLq FdceN8C66S8O5Cwpqt7Q7c68i8oPfByQ3c3LJM+dlJE4V5bZmx+LYsGTYoL1YXLEPSwU2ydO0HUj m51rqsWfWcLky336dyVV7YyGdsb2Wtg87SCERR/ertOi9I4j/fJy9Y5pCMJt8V+zdlazRAhCkC1e XtvH0A3NrwrYasTs68dbOQw2Q0FK6O2+ZNywfPxsVgC3htteJRgDDBfs+faT1R2f4dm265XxV8Bj HOGTLaIruUGxPyWS1n2yIA316z4xILGJxtaux4PndjGzOJSrjrU5jZXniuxTUQ/lKveqXCEe8x1o RE88OwwPvUIvS+ZGEuzEMqf6dmoShF9yTjWBkWXG6Er/ryql89BKNJIqvXK5HyIMx7z+uScmIPek kwwma4lkQtY+4uCJowg0Q0j1pMp4mdWgpb4ou/xuqXO47ABvMReX4JX5Zhbn3lev2vHzY+9pPcNY KVKRr/B7ptmbzXMiBOQuumthPcS0Jd99cIid5cRCJE9PBU4HUFDiyv/z2fFTlgbVhlUxAfm2xj7W jW6ENwqah38dKyc7m+xxVke6/rvupATdsq/mPSHd2sLXw8dpS8uMJkBlZV91ZhYoWu3JmSSIQdYj /ZkBrEY9PjGv39KMUJZrwBpWO+83Qn6F1HQbpIUAtEhOQL/FbPBY0wLSknOqoBl5P4tGZXMIMkwd x0b86qFmvBVqJ5/5eFjU47C1rRbTI6D8LqWk6LRl+MVRSBoc5dGVjDBskufmP8hmYSVDDdHJNDHG arXi30+TXVpaD41NQHi+OwwvQG9i/u5z18fu1k/XOsJW/bXmU01PKgQR7dk9+yo8J/WNcHcM5O6p oknMXdlljhS+Ia37PkqFRMVgKnUCHswDBh1DydsifFa5gXvpC7itflcG6i5s+ZzMpjS78FzPEWVg D4utfwUR8NvArhW0 `protect end_protected
apache-2.0
527ef7cdd71b9b73ef5c7cf4d169727f
0.954739
1.811695
false
false
false
false
sils1297/HWPrak14
task_2/project_2/project_2.srcs/sources_1/new/Dimmer.vhd
1
1,328
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Toggles the LED state every half second entity Dimmer is generic ( WIDTH : integer := 25 ); port ( LED : out std_ulogic_vector(3 downto 0); CLK_66MHZ : in std_ulogic ); end; architecture DimmerArchitecture of Dimmer is signal counter : unsigned(WIDTH downto 0) := (others => '0'); -- that makes 67108864 bit combinations signal duty_cycle : unsigned (7 downto 0) := (others => '0'); signal state: unsigned (2 downto 0) := (others => '0'); begin ledpwm : entity work.LEDPWM(Behavioral) port map ( LED => LED, CLK_66MHZ => CLK_66MHZ, duty_cycle => duty_cycle ); counterProcess : process(CLK_66MHZ) begin if(rising_edge(CLK_66MHZ)) then counter <= counter + 1; if(counter = 0) then case state is when "000" => duty_cycle <= "00000000"; --0 when "001" => duty_cycle <= "00011001"; --25 when "010" => duty_cycle <= "00110010"; --50 when "011" => duty_cycle <= "01001011"; --75 when "100" => duty_cycle <= "01100100"; --100 when "101" => duty_cycle <= "10010110"; --150 when "110" => duty_cycle <= "11001000"; --200 when "111" => duty_cycle <= "11111111"; --255 when others => null; end case; state <= state + 1; end if; end if; end process; end DimmerArchitecture;
agpl-3.0
30a2e5bd62d69f8a66fed2539c139d9a
0.621988
3.031963
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/1-HAL/asap-alap-random/hal_alap.vhd
1
1,612
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.08:58:01) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_alap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END hal_alap_entity; ARCHITECTURE hal_alap_description OF hal_alap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; WHEN "00000010" => register3 := input3 * 3; register1 := register2 * register1; WHEN "00000011" => register2 := input4 * 4; register3 := register3 * 6; register1 := register1 - 8; register4 := input5 + 9; WHEN "00000100" => output1 <= register2 + 10; output2 <= register1 - register3; IF (register4 < 12) THEN output3 <= register4; ELSE output3 <= "01100"; END IF; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_alap_description;
gpl-3.0
98e7336a2e0b88d5c1e6fae0a0d4658c
0.66067
2.996283
false
false
false
false
freecores/twofish
vhdl/twofish_ecb_vt_testbench_256bits.vhd
1
10,561
-- Twofish_ecb_vt_testbench_256bits.vhd -- Copyright (C) 2006 Spyros Ninos -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this library; see the file COPYING. If not, write to: -- -- Free Software Foundation -- 59 Temple Place - Suite 330 -- Boston, MA 02111-1307, USA. -- -- description : this file is the testbench for the VARIABLE TEXT KAT of the twofish cipher with 256 bit key -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use ieee.std_logic_arith.all; use std.textio.all; entity vt_testbench256 is end vt_testbench256; architecture vt_encryption256_testbench_arch of vt_testbench256 is component reg128 port ( in_reg128 : in std_logic_vector(127 downto 0); out_reg128 : out std_logic_vector(127 downto 0); enable_reg128, reset_reg128, clk_reg128 : in std_logic ); end component; component twofish_keysched256 port ( odd_in_tk256, even_in_tk256 : in std_logic_vector(7 downto 0); in_key_tk256 : in std_logic_vector(255 downto 0); out_key_up_tk256, out_key_down_tk256 : out std_logic_vector(31 downto 0) ); end component; component twofish_whit_keysched256 port ( in_key_twk256 : in std_logic_vector(255 downto 0); out_K0_twk256, out_K1_twk256, out_K2_twk256, out_K3_twk256, out_K4_twk256, out_K5_twk256, out_K6_twk256, out_K7_twk256 : out std_logic_vector(31 downto 0) ); end component; component twofish_encryption_round256 port ( in1_ter256, in2_ter256, in3_ter256, in4_ter256, in_Sfirst_ter256, in_Ssecond_ter256, in_Sthird_ter256, in_Sfourth_ter256, in_key_up_ter256, in_key_down_ter256 : in std_logic_vector(31 downto 0); out1_ter256, out2_ter256, out3_ter256, out4_ter256 : out std_logic_vector(31 downto 0) ); end component; component twofish_data_input port ( in_tdi : in std_logic_vector(127 downto 0); out_tdi : out std_logic_vector(127 downto 0) ); end component; component twofish_data_output port ( in_tdo : in std_logic_vector(127 downto 0); out_tdo : out std_logic_vector(127 downto 0) ); end component; component demux128 port ( in_demux128 : in std_logic_vector(127 downto 0); out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0); selection_demux128 : in std_logic ); end component; component mux128 port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0); selection_mux128 : in std_logic; out_mux128 : out std_logic_vector(127 downto 0) ); end component; component twofish_S256 port ( in_key_ts256 : in std_logic_vector(255 downto 0); out_Sfirst_ts256, out_Ssecond_ts256, out_Sthird_ts256, out_Sfourth_ts256 : out std_logic_vector(31 downto 0) ); end component; FILE input_file : text is in "twofish_ecb_vt_testvalues_256bits.txt"; FILE output_file : text is out "twofish_ecb_vt_256bits_results.txt"; -- we create the functions that transform a number to text -- transforming a signle digit to a character function digit_to_char(number : integer range 0 to 9) return character is begin case number is when 0 => return '0'; when 1 => return '1'; when 2 => return '2'; when 3 => return '3'; when 4 => return '4'; when 5 => return '5'; when 6 => return '6'; when 7 => return '7'; when 8 => return '8'; when 9 => return '9'; end case; end; -- transforming multi-digit number to text function to_text(int_number : integer range 1 to 256) return string is variable our_text : string (1 to 3) := (others => ' '); variable hundreds, tens, ones : integer range 0 to 9; begin ones := int_number mod 10; tens := ((int_number mod 100) - ones) / 10; hundreds := (int_number - (int_number mod 100)) / 100; our_text(1) := digit_to_char(hundreds); our_text(2) := digit_to_char(tens); our_text(3) := digit_to_char(ones); return our_text; end; signal odd_number, even_number : std_logic_vector(7 downto 0); signal input_data, output_data, to_encr_reg128, from_tdi_to_xors, to_output_whit_xors, from_xors_to_tdo, to_mux, to_demux, from_input_whit_xors, to_round, to_input_mux : std_logic_vector(127 downto 0) ; signal twofish_key : std_logic_vector(255 downto 0); signal key_up, key_down, Sfirst, Ssecond, Sthird, Sfourth, from_xor0, from_xor1, from_xor2, from_xor3, K0,K1,K2,K3, K4,K5,K6,K7 : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal mux_selection : std_logic := '0'; signal demux_selection: std_logic := '0'; signal enable_encr_reg : std_logic := '0'; signal reset : std_logic := '0'; signal enable_round_reg : std_logic := '0'; -- begin the testbench arch description begin -- getting data to encrypt data_input: twofish_data_input port map ( in_tdi => input_data, out_tdi => from_tdi_to_xors ); -- producing whitening keys K0..7 the_whitening_step: twofish_whit_keysched256 port map ( in_key_twk256 => twofish_key, out_K0_twk256 => K0, out_K1_twk256 => K1, out_K2_twk256 => K2, out_K3_twk256 => K3, out_K4_twk256 => K4, out_K5_twk256 => K5, out_K6_twk256 => K6, out_K7_twk256 => K7 ); -- performing the input whitening XORs from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96); from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64); from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32); from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0); from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3; round_reg: reg128 port map ( in_reg128 => from_input_whit_xors, out_reg128 => to_input_mux, enable_reg128 => enable_round_reg, reset_reg128 => reset, clk_reg128 => clk ); input_mux: mux128 port map ( in1_mux128 => to_input_mux, in2_mux128 => to_mux, out_mux128 => to_round, selection_mux128 => mux_selection ); -- creating a round the_keysched_of_the_round: twofish_keysched256 port map ( odd_in_tk256 => odd_number, even_in_tk256 => even_number, in_key_tk256 => twofish_key, out_key_up_tk256 => key_up, out_key_down_tk256 => key_down ); producing_the_Skeys: twofish_S256 port map ( in_key_ts256 => twofish_key, out_Sfirst_ts256 => Sfirst, out_Ssecond_ts256 => Ssecond, out_Sthird_ts256 => Sthird, out_Sfourth_ts256 => Sfourth ); the_encryption_circuit: twofish_encryption_round256 port map ( in1_ter256 => to_round(127 downto 96), in2_ter256 => to_round(95 downto 64), in3_ter256 => to_round(63 downto 32), in4_ter256 => to_round(31 downto 0), in_Sfirst_ter256 => Sfirst, in_Ssecond_ter256 => Ssecond, in_Sthird_ter256 => Sthird, in_Sfourth_ter256 => Sfourth, in_key_up_ter256 => key_up, in_key_down_ter256 => key_down, out1_ter256 => to_encr_reg128(127 downto 96), out2_ter256 => to_encr_reg128(95 downto 64), out3_ter256 => to_encr_reg128(63 downto 32), out4_ter256 => to_encr_reg128(31 downto 0) ); encr_reg: reg128 port map ( in_reg128 => to_encr_reg128, out_reg128 => to_demux, enable_reg128 => enable_encr_reg, reset_reg128 => reset, clk_reg128 => clk ); output_demux: demux128 port map ( in_demux128 => to_demux, out1_demux128 => to_output_whit_xors, out2_demux128 => to_mux, selection_demux128 => demux_selection ); -- don't forget the last swap !!! from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32); from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0); from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96); from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64); taking_the_output: twofish_data_output port map ( in_tdo => from_xors_to_tdo, out_tdo => output_data ); -- we create the clock clk <= not clk after 50 ns; -- period 100 ns vt_proc: process variable pt_f, -- plaintext from file ct_f : line; -- ciphertext from file variable pt_v, -- plaintext vector ct_v : std_logic_vector(127 downto 0); -- ciphertext vector variable counter : integer range 1 to 257 := 1; -- counts the encryptions variable round : integer range 1 to 16 := 1; -- holds the rounds of encryption begin -- key stays fixed to zero twofish_key <= (others => '0'); while not endfile(input_file) loop readline(input_file, pt_f); readline(input_file,ct_f); hread(pt_f,pt_v); hread(ct_f,ct_v); input_data <= pt_v; wait for 25 ns; reset <= '1'; wait for 50 ns; reset <= '0'; mux_selection <= '0'; demux_selection <= '1'; enable_encr_reg <= '0'; enable_round_reg <= '0'; wait for 50 ns; enable_round_reg <= '1'; wait for 50 ns; enable_round_reg <= '0'; -- the first round even_number <= "00001000"; -- 8 odd_number <= "00001001"; -- 9 wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; demux_selection <= '1'; mux_selection <= '1'; -- the rest 15 rounds for round in 1 to 15 loop even_number <= conv_std_logic_vector(((round*2)+8), 8); odd_number <= conv_std_logic_vector(((round*2)+9), 8); wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; end loop; -- taking final results demux_selection <= '0'; wait for 25 ns; assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure; assert (ct_v /= output_data) report "Encryption I=" & to_text(counter) &" OK" severity note; counter := counter+1; hwrite(ct_f,output_data); hwrite(pt_f,pt_v); writeline(output_file,pt_f); writeline(output_file,ct_f); end loop; assert false report "***** Variable Text Known Answer Test with 256 bits key size ended succesfully! :) *****" severity failure; end process vt_proc; end vt_encryption256_testbench_arch;
gpl-2.0
131faed7b273f2310347d8682808554e
0.649276
2.688646
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_counter_bit.vhd
15
10,926
------------------------------------------------------------------------------- -- $Id: pf_counter_bit.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_bit.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_bit.vhd -- -- Description: Implements 1 bit of the counter/timer -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input signal and connected it to the FDRE -- reset input. -- -- DET 2002-02-24 -- - Changed to call out proc_common_v1_00_b library. -- - Changed the use of MUXCY_L to MUXCY. -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed to call out proc_common v2_00_a library. -- ^^^^^^ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; Use proc_common_v4_0.inferred_lut4; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_bit is port ( Clk : in std_logic; Rst : In std_logic; Count_In : in std_logic; Load_In : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic ); end pf_counter_bit; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_bit is --- xst wrk around component LUT4 is --- xst wrk around generic( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon : boolean; --- xst wrk around -- synthesis translate_on --- xst wrk around INIT : bit_vector := X"0000" --- xst wrk around ); --- xst wrk around port ( --- xst wrk around O : out std_logic; --- xst wrk around I0 : in std_logic; --- xst wrk around I1 : in std_logic; --- xst wrk around I2 : in std_logic; --- xst wrk around I3 : in std_logic); --- xst wrk around end component LUT4; component inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end component inferred_lut4; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; signal count_AddSub : std_logic; signal count_Result : std_logic; signal count_Result_Reg : std_logic; attribute INIT : string; begin -- VHDL_RTL --- xst wrk around I_ALU_LUT : LUT4 --- xst wrk around generic map( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon => false, --- xst wrk around -- synthesis translate_on --- xst wrk around INIT => X"36C6" --- xst wrk around ) --- xst wrk around port map ( --- xst wrk around O => count_AddSub, --- xst wrk around I0 => Count_In, --- xst wrk around I1 => Count_Down, --- xst wrk around I2 => Count_Load, --- xst wrk around I3 => Load_In); I_ALU_LUT : inferred_lut4 generic map( INIT => X"36C6" ) port map ( O => count_AddSub, I0 => Count_In, I1 => Count_Down, I2 => Count_Load, I3 => Load_In); MUXCY_I : MUXCY port map ( DI => Count_Down, CI => Carry_In, S => count_AddSub, O => Carry_Out); XOR_I : XORCY port map ( LI => count_AddSub, CI => Carry_In, O => count_Result); FDRE_I: FDRE port map ( Q => count_Result_Reg, C => Clk, CE => Clock_Enable, D => count_Result, R => Rst ); Result <= count_Result_Reg; end implementation;
apache-2.0
254e9b66a140356ef13521019fff5b8c
0.414424
4.88422
false
false
false
false
freecores/twofish
vhdl/twofish_cbc_encryption_monte_carlo_testbench_192bits.vhd
1
11,689
-- Twofish_cbc_encryption_monte_carlo_testbench_192bits.vhd -- Copyright (C) 2006 Spyros Ninos -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this library; see the file COPYING. If not, write to: -- -- Free Software Foundation -- 59 Temple Place - Suite 330 -- Boston, MA 02111-1307, USA. -- -- description : this file is the testbench for the Encryption Monte Carlo KAT of the twofish cipher with 192 bit key -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use ieee.std_logic_arith.all; use std.textio.all; entity cbc_encryption_monte_carlo_testbench192 is end cbc_encryption_monte_carlo_testbench192; architecture cbc_encryption192_monte_carlo_testbench_arch of cbc_encryption_monte_carlo_testbench192 is component reg128 port ( in_reg128 : in std_logic_vector(127 downto 0); out_reg128 : out std_logic_vector(127 downto 0); enable_reg128, reset_reg128, clk_reg128 : in std_logic ); end component; component twofish_keysched192 port ( odd_in_tk192, even_in_tk192 : in std_logic_vector(7 downto 0); in_key_tk192 : in std_logic_vector(191 downto 0); out_key_up_tk192, out_key_down_tk192 : out std_logic_vector(31 downto 0) ); end component; component twofish_whit_keysched192 port ( in_key_twk192 : in std_logic_vector(191 downto 0); out_K0_twk192, out_K1_twk192, out_K2_twk192, out_K3_twk192, out_K4_twk192, out_K5_twk192, out_K6_twk192, out_K7_twk192 : out std_logic_vector(31 downto 0) ); end component; component twofish_encryption_round192 port ( in1_ter192, in2_ter192, in3_ter192, in4_ter192, in_Sfirst_ter192, in_Ssecond_ter192, in_Sthird_ter192, in_key_up_ter192, in_key_down_ter192 : in std_logic_vector(31 downto 0); out1_ter192, out2_ter192, out3_ter192, out4_ter192 : out std_logic_vector(31 downto 0) ); end component; component twofish_data_input port ( in_tdi : in std_logic_vector(127 downto 0); out_tdi : out std_logic_vector(127 downto 0) ); end component; component twofish_data_output port ( in_tdo : in std_logic_vector(127 downto 0); out_tdo : out std_logic_vector(127 downto 0) ); end component; component demux128 port ( in_demux128 : in std_logic_vector(127 downto 0); out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0); selection_demux128 : in std_logic ); end component; component mux128 port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0); selection_mux128 : in std_logic; out_mux128 : out std_logic_vector(127 downto 0) ); end component; component twofish_S192 port ( in_key_ts192 : in std_logic_vector(191 downto 0); out_Sfirst_ts192, out_Ssecond_ts192, out_Sthird_ts192 : out std_logic_vector(31 downto 0) ); end component; FILE input_file : text is in "twofish_cbc_encryption_monte_carlo_testvalues_192bits.txt"; FILE output_file : text is out "twofish_cbc_encryption_monte_carlo_192bits_results.txt"; -- we create the functions that transform a number to text -- transforming a signle digit to a character function digit_to_char(number : integer range 0 to 9) return character is begin case number is when 0 => return '0'; when 1 => return '1'; when 2 => return '2'; when 3 => return '3'; when 4 => return '4'; when 5 => return '5'; when 6 => return '6'; when 7 => return '7'; when 8 => return '8'; when 9 => return '9'; end case; end; -- transforming multi-digit number to text function to_text(int_number : integer range 0 to 9999) return string is variable our_text : string (1 to 4) := (others => ' '); variable thousands, hundreds, tens, ones : integer range 0 to 9; begin ones := int_number mod 10; tens := ((int_number mod 100) - ones) / 10; hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100; thousands := (int_number - (int_number mod 1000)) / 1000; our_text(1) := digit_to_char(thousands); our_text(2) := digit_to_char(hundreds); our_text(3) := digit_to_char(tens); our_text(4) := digit_to_char(ones); return our_text; end; signal odd_number, even_number : std_logic_vector(7 downto 0); signal input_data, output_data, to_encr_reg128, from_tdi_to_xors, to_output_whit_xors, from_xors_to_tdo, to_mux, to_demux, from_input_whit_xors, to_round, to_input_mux : std_logic_vector(127 downto 0) ; signal twofish_key : std_logic_vector(191 downto 0); signal key_up, key_down, Sfirst, Ssecond, Sthird, from_xor0, from_xor1, from_xor2, from_xor3, K0,K1,K2,K3, K4,K5,K6,K7 : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal mux_selection : std_logic := '0'; signal demux_selection: std_logic := '0'; signal enable_encr_reg : std_logic := '0'; signal reset : std_logic := '0'; signal enable_round_reg : std_logic := '0'; -- begin the testbench arch description begin -- getting data to encrypt data_input: twofish_data_input port map ( in_tdi => input_data, out_tdi => from_tdi_to_xors ); -- producing whitening keys K0..7 the_whitening_step: twofish_whit_keysched192 port map ( in_key_twk192 => twofish_key, out_K0_twk192 => K0, out_K1_twk192 => K1, out_K2_twk192 => K2, out_K3_twk192 => K3, out_K4_twk192 => K4, out_K5_twk192 => K5, out_K6_twk192 => K6, out_K7_twk192 => K7 ); -- performing the input whitening XORs from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96); from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64); from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32); from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0); from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3; round_reg: reg128 port map ( in_reg128 => from_input_whit_xors, out_reg128 => to_input_mux, enable_reg128 => enable_round_reg, reset_reg128 => reset, clk_reg128 => clk ); input_mux: mux128 port map ( in1_mux128 => to_input_mux, in2_mux128 => to_mux, out_mux128 => to_round, selection_mux128 => mux_selection ); -- creating a round the_keysched_of_the_round: twofish_keysched192 port map ( odd_in_tk192 => odd_number, even_in_tk192 => even_number, in_key_tk192 => twofish_key, out_key_up_tk192 => key_up, out_key_down_tk192 => key_down ); producing_the_Skeys: twofish_S192 port map ( in_key_ts192 => twofish_key, out_Sfirst_ts192 => Sfirst, out_Ssecond_ts192 => Ssecond, out_Sthird_ts192 => Sthird ); the_encryption_circuit: twofish_encryption_round192 port map ( in1_ter192 => to_round(127 downto 96), in2_ter192 => to_round(95 downto 64), in3_ter192 => to_round(63 downto 32), in4_ter192 => to_round(31 downto 0), in_Sfirst_ter192 => Sfirst, in_Ssecond_ter192 => Ssecond, in_Sthird_ter192 => Sthird, in_key_up_ter192 => key_up, in_key_down_ter192 => key_down, out1_ter192 => to_encr_reg128(127 downto 96), out2_ter192 => to_encr_reg128(95 downto 64), out3_ter192 => to_encr_reg128(63 downto 32), out4_ter192 => to_encr_reg128(31 downto 0) ); encr_reg: reg128 port map ( in_reg128 => to_encr_reg128, out_reg128 => to_demux, enable_reg128 => enable_encr_reg, reset_reg128 => reset, clk_reg128 => clk ); output_demux: demux128 port map ( in_demux128 => to_demux, out1_demux128 => to_output_whit_xors, out2_demux128 => to_mux, selection_demux128 => demux_selection ); -- don't forget the last swap !!! from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32); from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0); from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96); from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64); taking_the_output: twofish_data_output port map ( in_tdo => from_xors_to_tdo, out_tdo => output_data ); -- we create the clock clk <= not clk after 50 ns; -- period 100 ns cbc_emc_proc: process variable key_f, -- key input from file pt_f, -- plaintext from file ct_f, iv_f : line; -- ciphertext from file variable key_v : std_logic_vector(191 downto 0); -- key vector input variable pt_v , -- plaintext vector ct_v, iv_v : std_logic_vector(127 downto 0); -- ciphertext vector variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats variable round : integer range 0 to 16 := 0; -- holds the rounds variable PT, CT, CV, CTj_1 : std_logic_vector(127 downto 0) := (others => '0'); begin while not endfile(input_file) loop readline(input_file, key_f); readline(input_file, iv_f); readline(input_file, pt_f); readline(input_file,ct_f); hread(key_f,key_v); hread(iv_f, iv_v); hread(pt_f,pt_v); hread(ct_f,ct_v); twofish_key <= key_v; PT := pt_v; CV := iv_v; for counter_10000 in 0 to 9999 loop input_data <= PT xor CV; wait for 25 ns; reset <= '1'; wait for 50 ns; reset <= '0'; mux_selection <= '0'; demux_selection <= '1'; enable_encr_reg <= '0'; enable_round_reg <= '0'; wait for 50 ns; enable_round_reg <= '1'; wait for 50 ns; enable_round_reg <= '0'; -- the first round even_number <= "00001000"; -- 8 odd_number <= "00001001"; -- 9 wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; demux_selection <= '1'; mux_selection <= '1'; -- the rest 15 rounds for round in 1 to 15 loop even_number <= conv_std_logic_vector(((round*2)+8), 8); odd_number <= conv_std_logic_vector(((round*2)+9), 8); wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; end loop; -- taking final results demux_selection <= '0'; wait for 25 ns; CTj_1 := CT; CT := output_data; if ( counter_10000 = 0 ) then PT := CV; else PT := CTj_1; end if; -- counter_10000 = 0 CV := CT; assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note; end loop; -- counter_10000 hwrite(key_f, key_v); hwrite(iv_f, iv_v); hwrite(pt_f, pt_v); hwrite(ct_f,output_data); writeline(output_file,key_f); writeline(output_file, iv_f); writeline(output_file,pt_f); writeline(output_file,ct_f); assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure; assert (ct_v /= output_data) report "Encryption I=" & to_text(counter_400) &" OK" severity note; counter_400 := counter_400 + 1; end loop; assert false report "***** CBC Encryption Monte Carlo Test with 192 bits key size ended succesfully! :) *****" severity failure; end process cbc_emc_proc; end cbc_encryption192_monte_carlo_testbench_arch;
gpl-2.0
8706551a0d1b9f0065b8f057a0971ce1
0.644709
2.704535
false
false
false
false
jdryg/tis100cpu
reg.vhd
1
569
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity reg is Generic(WIDTH: integer := 8); Port ( I_clk : in STD_LOGIC; I_reset : in STD_LOGIC; I_dataIn : in STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_dataOut : out STD_LOGIC_VECTOR (WIDTH-1 downto 0)); end reg; architecture Behavioral of reg is begin process (I_clk) begin if (rising_edge(I_clk)) then if(I_reset = '1') then O_dataOut <= (others => '0'); else O_dataOut <= I_dataIn; end if; end if; end process; end Behavioral;
mit
d3247214aaaa7989a91fff6032228187
0.57645
3.178771
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/asap-alap-random/mpegmv_asap.vhd
1
3,468
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:37:02) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_asap_entity; ARCHITECTURE mpegmv_asap_description OF mpegmv_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register8: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register9: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register10: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register11: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register12: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register13: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register14: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; register5 := input5 * 5; register6 := input6 * 6; register7 := input7 * 7; register8 := input8 * 8; register9 := input9 * 9; register10 := input10 * 10; register11 := input11 * 11; register12 := input12 * 12; register13 := input13 * 13; register14 := input14 * 14; WHEN "00000010" => register1 := register1 + 16; register6 := register6 + 18; register7 := register7 + 20; register9 := register9 + 22; register13 := register13 + 24; WHEN "00000011" => register1 := register2 + register1; register2 := register4 + register6; output1 <= register3 + register7; register3 := register8 + register9; register4 := register12 + register13; WHEN "00000100" => register1 := register14 + register1; register2 := register5 + register2; register3 := register10 + register3; register4 := register11 + register4; WHEN "00000101" => register1 := ((NOT register1) + 1) XOR register1; register4 := ((NOT register4) + 1) XOR register4; WHEN "00000110" => output2 <= register1(0 TO 15) & register3(0 TO 15); output3 <= register4(0 TO 15) & register2(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_asap_description;
gpl-3.0
1feda9a0987da44e7ade477341c4003c
0.705017
3.517241
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_v10_v3_0/512cab7c/hdl/vhdl/lmb_v10.vhd
1
9,319
------------------------------------------------------------------------------- -- $Id: lmb_v10.vhd,v 1.1.2.1 2010/09/03 11:19:35 rolandp Exp $ ------------------------------------------------------------------------------- -- lmb_v10.vhd ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------- -- Filename: lmb_v10.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- lmb_v10.vhd -- ------------------------------------------------------------------------------- -- Author: rolandp -- Revision: $Revision: 1.1.2.1 $ -- Date: $Date: 2010/09/03 11:19:35 $ -- -- History: -- goran 2002-01-30 First Version -- paulo 2002-04-10 Renamed C_NUM_SLAVES to C_LMB_NUM_SLAVES -- roland 2010-02-13 UE, CE and Wait signals added -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity lmb_v10 is generic ( C_LMB_NUM_SLAVES : integer := 4; C_LMB_DWIDTH : integer := 32; C_LMB_AWIDTH : integer := 32; C_EXT_RESET_HIGH : integer := 1 ); port ( -- Global Ports LMB_Clk : in std_logic; SYS_Rst : in std_logic; LMB_Rst : out std_logic; -- LMB master signals M_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); M_ReadStrobe : in std_logic; M_WriteStrobe : in std_logic; M_AddrStrobe : in std_logic; M_DBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); M_BE : in std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1); -- LMB slave signals Sl_DBus : in std_logic_vector(0 to (C_LMB_DWIDTH*C_LMB_NUM_SLAVES)-1); Sl_Ready : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_Wait : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_UE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_CE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); -- LMB output signals LMB_ABus : out std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_AddrStrobe : out std_logic; LMB_ReadDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_WriteDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_Ready : out std_logic; LMB_Wait : out std_logic; LMB_UE : out std_logic; LMB_CE : out std_logic; LMB_BE : out std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1) ); end entity lmb_v10; library unisim; use unisim.all; architecture IMP of lmb_v10 is component FDS is port( Q : out std_logic; D : in std_logic; C : in std_logic; S : in std_logic); end component FDS; signal sys_rst_i : std_logic; begin -- architecture IMP ----------------------------------------------------------------------------- -- Driving the reset signal ----------------------------------------------------------------------------- SYS_RST_PROC : process (SYS_Rst) is variable sys_rst_input : std_logic; begin if C_EXT_RESET_HIGH = 0 then sys_rst_input := not SYS_Rst; else sys_rst_input := SYS_Rst; end if; sys_rst_i <= sys_rst_input; end process SYS_RST_PROC; POR_FF_I : FDS port map ( Q => LMB_Rst, D => '0', C => LMB_Clk, S => sys_rst_i); ----------------------------------------------------------------------------- -- Drive all Master to Slave signals ----------------------------------------------------------------------------- LMB_ABus <= M_ABus; LMB_ReadStrobe <= M_ReadStrobe; LMB_WriteStrobe <= M_WriteStrobe; LMB_AddrStrobe <= M_AddrStrobe; LMB_BE <= M_BE; LMB_WriteDBus <= M_DBus; ----------------------------------------------------------------------------- -- Drive all the Slave to Master signals ----------------------------------------------------------------------------- Ready_ORing : process (Sl_Ready) is variable i : std_logic; begin -- process Ready_ORing i := '0'; for S in Sl_Ready'range loop i := i or Sl_Ready(S); end loop; -- S LMB_Ready <= i; end process Ready_ORing; Wait_ORing : process (Sl_Wait) is variable i : std_logic; begin -- process Wait_ORing i := '0'; for S in Sl_Wait'range loop i := i or Sl_Wait(S); end loop; -- S LMB_Wait <= i; end process Wait_ORing; SI_UE_ORing : process (Sl_UE) is variable i : std_logic; begin -- process UE_ORing i := '0'; for S in Sl_UE'range loop i := i or Sl_UE(S); end loop; -- S LMB_UE <= i; end process SI_UE_ORing; SI_CE_ORing : process (Sl_CE) is variable i : std_logic; begin -- process CE_ORing i := '0'; for S in Sl_CE'range loop i := i or Sl_CE(S); end loop; -- S LMB_CE <= i; end process SI_CE_ORing; DBus_Oring : process (Sl_Ready, Sl_DBus) is variable Res : std_logic_vector(0 to C_LMB_DWIDTH-1); variable Tmp : std_logic_vector(Sl_DBus'range); variable tmp_or : std_logic; begin -- process DBus_Oring if (C_LMB_NUM_SLAVES = 1) then LMB_ReadDBus <= Sl_DBus; else -- First gating all data signals with their resp. ready signal for I in 0 to C_LMB_NUM_SLAVES-1 loop for J in 0 to C_LMB_DWIDTH-1 loop tmp(I*C_LMB_DWIDTH + J) := Sl_Ready(I) and Sl_DBus(I*C_LMB_DWIDTH + J); end loop; -- J end loop; -- I -- then oring the tmp signals together for J in 0 to C_LMB_DWIDTH-1 loop tmp_or := '0'; for I in 0 to C_LMB_NUM_SLAVES-1 loop tmp_or := tmp_or or tmp(I*C_LMB_DWIDTH + j); end loop; -- J res(J) := tmp_or; end loop; -- I LMB_ReadDBus <= Res; end if; end process DBus_Oring; end architecture IMP;
apache-2.0
7344165f26532c5b7e04066c0cb8f91a
0.524842
3.891023
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/c_multiplier.vhd
1
1,128
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; library WORK; use WORK.all; entity c_multiplier is generic ( width : integer := 4 ); port ( input1 : std_logic_vector((width - 1) downto 0); input2 : std_logic_vector((width - 1) downto 0); output : out std_logic_vector((width * 2) - 2 downto 0) ); end c_multiplier; architecture behavior of c_multiplier is function bits_to_int (input : std_logic_vector)return integer is variable ret_val : integer := 0; begin for i in input'range loop if input(i) = '1' then ret_val := 2 ** i + ret_val; end if; end loop; return ret_val; end bits_to_int; begin P0 : process (input1, input2) variable l_val, r_val, value : integer; variable result : std_logic_Vector((2 * width - 1) downto 0); begin l_val := bits_to_int(Input1); r_val := bits_to_int(Input2); value := l_val * r_val; for i in 0 to 2 * width - 1 loop if (value rem 2) = 1 then result(i) := '1'; else result(i) := '0'; end if; value := value / 2; end loop; output <= result((2 * width) - 2 downto 0); end process P0; end behavior;
mit
1d6ff49d7a026900fc81cef25aeb044b
0.632092
2.685714
false
false
false
false
freecores/twofish
vhdl/twofish_cbc_encryption_monte_carlo_testbench_128bits.vhd
1
11,486
-- Twofish_cbc_encryption_monte_carlo_testbench_128bits.vhd -- Copyright (C) 2006 Spyros Ninos -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this library; see the file COPYING. If not, write to: -- -- Free Software Foundation -- 59 Temple Place - Suite 330 -- Boston, MA 02111-1307, USA. -- -- description : this file is the testbench for the Encryption Monte Carlo KAT of the twofish cipher with 128 bit key -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use ieee.std_logic_arith.all; use std.textio.all; entity cbc_encryption_monte_carlo_testbench128 is end cbc_encryption_monte_carlo_testbench128; architecture cbc_encryption128_monte_carlo_testbench_arch of cbc_encryption_monte_carlo_testbench128 is component reg128 port ( in_reg128 : in std_logic_vector(127 downto 0); out_reg128 : out std_logic_vector(127 downto 0); enable_reg128, reset_reg128, clk_reg128 : in std_logic ); end component; component twofish_keysched128 port ( odd_in_tk128, even_in_tk128 : in std_logic_vector(7 downto 0); in_key_tk128 : in std_logic_vector(127 downto 0); out_key_up_tk128, out_key_down_tk128 : out std_logic_vector(31 downto 0) ); end component; component twofish_whit_keysched128 port ( in_key_twk128 : in std_logic_vector(127 downto 0); out_K0_twk128, out_K1_twk128, out_K2_twk128, out_K3_twk128, out_K4_twk128, out_K5_twk128, out_K6_twk128, out_K7_twk128 : out std_logic_vector(31 downto 0) ); end component; component twofish_encryption_round128 port ( in1_ter128, in2_ter128, in3_ter128, in4_ter128, in_Sfirst_ter128, in_Ssecond_ter128, in_key_up_ter128, in_key_down_ter128 : in std_logic_vector(31 downto 0); out1_ter128, out2_ter128, out3_ter128, out4_ter128 : out std_logic_vector(31 downto 0) ); end component; component twofish_data_input port ( in_tdi : in std_logic_vector(127 downto 0); out_tdi : out std_logic_vector(127 downto 0) ); end component; component twofish_data_output port ( in_tdo : in std_logic_vector(127 downto 0); out_tdo : out std_logic_vector(127 downto 0) ); end component; component demux128 port ( in_demux128 : in std_logic_vector(127 downto 0); out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0); selection_demux128 : in std_logic ); end component; component mux128 port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0); selection_mux128 : in std_logic; out_mux128 : out std_logic_vector(127 downto 0) ); end component; component twofish_S128 port ( in_key_ts128 : in std_logic_vector(127 downto 0); out_Sfirst_ts128, out_Ssecond_ts128 : out std_logic_vector(31 downto 0) ); end component; FILE input_file : text is in "twofish_cbc_encryption_monte_carlo_testvalues_128bits.txt"; FILE output_file : text is out "twofish_cbc_encryption_monte_carlo_128bits_results.txt"; -- we create the functions that transform a number to text -- transforming a signle digit to a character function digit_to_char(number : integer range 0 to 9) return character is begin case number is when 0 => return '0'; when 1 => return '1'; when 2 => return '2'; when 3 => return '3'; when 4 => return '4'; when 5 => return '5'; when 6 => return '6'; when 7 => return '7'; when 8 => return '8'; when 9 => return '9'; end case; end; -- transforming multi-digit number to text function to_text(int_number : integer range 0 to 9999) return string is variable our_text : string (1 to 4) := (others => ' '); variable thousands, hundreds, tens, ones : integer range 0 to 9; begin ones := int_number mod 10; tens := ((int_number mod 100) - ones) / 10; hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100; thousands := (int_number - (int_number mod 1000)) / 1000; our_text(1) := digit_to_char(thousands); our_text(2) := digit_to_char(hundreds); our_text(3) := digit_to_char(tens); our_text(4) := digit_to_char(ones); return our_text; end; signal odd_number, even_number : std_logic_vector(7 downto 0); signal input_data, output_data, twofish_key, to_encr_reg128, from_tdi_to_xors, to_output_whit_xors, from_xors_to_tdo, to_mux, to_demux, from_input_whit_xors, to_round, to_input_mux : std_logic_vector(127 downto 0) ; signal key_up, key_down, Sfirst, Ssecond, from_xor0, from_xor1, from_xor2, from_xor3, K0,K1,K2,K3, K4,K5,K6,K7 : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal mux_selection : std_logic := '0'; signal demux_selection: std_logic := '0'; signal enable_encr_reg : std_logic := '0'; signal reset : std_logic := '0'; signal enable_round_reg : std_logic := '0'; -- begin the testbench arch description begin -- getting data to encrypt data_input: twofish_data_input port map ( in_tdi => input_data, out_tdi => from_tdi_to_xors ); -- producing whitening keys K0..7 the_whitening_step: twofish_whit_keysched128 port map ( in_key_twk128 => twofish_key, out_K0_twk128 => K0, out_K1_twk128 => K1, out_K2_twk128 => K2, out_K3_twk128 => K3, out_K4_twk128 => K4, out_K5_twk128 => K5, out_K6_twk128 => K6, out_K7_twk128 => K7 ); -- performing the input whitening XORs from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96); from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64); from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32); from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0); from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3; round_reg: reg128 port map ( in_reg128 => from_input_whit_xors, out_reg128 => to_input_mux, enable_reg128 => enable_round_reg, reset_reg128 => reset, clk_reg128 => clk ); input_mux: mux128 port map ( in1_mux128 => to_input_mux, in2_mux128 => to_mux, out_mux128 => to_round, selection_mux128 => mux_selection ); -- creating a round the_keysched_of_the_round: twofish_keysched128 port map ( odd_in_tk128 => odd_number, even_in_tk128 => even_number, in_key_tk128 => twofish_key, out_key_up_tk128 => key_up, out_key_down_tk128 => key_down ); producing_the_Skeys: twofish_S128 port map ( in_key_ts128 => twofish_key, out_Sfirst_ts128 => Sfirst, out_Ssecond_ts128 => Ssecond ); the_encryption_circuit: twofish_encryption_round128 port map ( in1_ter128 => to_round(127 downto 96), in2_ter128 => to_round(95 downto 64), in3_ter128 => to_round(63 downto 32), in4_ter128 => to_round(31 downto 0), in_Sfirst_ter128 => Sfirst, in_Ssecond_ter128 => Ssecond, in_key_up_ter128 => key_up, in_key_down_ter128 => key_down, out1_ter128 => to_encr_reg128(127 downto 96), out2_ter128 => to_encr_reg128(95 downto 64), out3_ter128 => to_encr_reg128(63 downto 32), out4_ter128 => to_encr_reg128(31 downto 0) ); encr_reg: reg128 port map ( in_reg128 => to_encr_reg128, out_reg128 => to_demux, enable_reg128 => enable_encr_reg, reset_reg128 => reset, clk_reg128 => clk ); output_demux: demux128 port map ( in_demux128 => to_demux, out1_demux128 => to_output_whit_xors, out2_demux128 => to_mux, selection_demux128 => demux_selection ); -- don't forget the last swap !!! from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32); from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0); from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96); from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64); taking_the_output: twofish_data_output port map ( in_tdo => from_xors_to_tdo, out_tdo => output_data ); -- we create the clock clk <= not clk after 50 ns; -- period 100 ns cbc_emc_proc: process variable key_f, -- key input from file pt_f, -- plaintext from file ct_f, iv_f : line; -- ciphertext from file variable key_v, -- key vector input pt_v , -- plaintext vector ct_v, iv_v : std_logic_vector(127 downto 0); -- ciphertext vector variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats variable round : integer range 0 to 16 := 0; -- holds the rounds variable PT, CT, CV, CTj_1 : std_logic_vector(127 downto 0) := (others => '0'); begin while not endfile(input_file) loop readline(input_file, key_f); readline(input_file, iv_f); readline(input_file, pt_f); readline(input_file,ct_f); hread(key_f,key_v); hread(iv_f, iv_v); hread(pt_f,pt_v); hread(ct_f,ct_v); twofish_key <= key_v; PT := pt_v; CV := iv_v; for counter_10000 in 0 to 9999 loop input_data <= PT xor CV; wait for 25 ns; reset <= '1'; wait for 50 ns; reset <= '0'; mux_selection <= '0'; demux_selection <= '1'; enable_encr_reg <= '0'; enable_round_reg <= '0'; wait for 50 ns; enable_round_reg <= '1'; wait for 50 ns; enable_round_reg <= '0'; -- the first round even_number <= "00001000"; -- 8 odd_number <= "00001001"; -- 9 wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; demux_selection <= '1'; mux_selection <= '1'; -- the rest 15 rounds for round in 1 to 15 loop even_number <= conv_std_logic_vector(((round*2)+8), 8); odd_number <= conv_std_logic_vector(((round*2)+9), 8); wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; end loop; -- taking final results demux_selection <= '0'; wait for 25 ns; CTj_1 := CT; CT := output_data; if ( counter_10000 = 0 ) then PT := CV; else PT := CTj_1; end if; -- counter_10000 = 0 CV := CT; assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note; end loop; -- counter_10000 hwrite(key_f, key_v); hwrite(iv_f, iv_v); hwrite(pt_f, pt_v); hwrite(ct_f,output_data); writeline(output_file,key_f); writeline(output_file, iv_f); writeline(output_file,pt_f); writeline(output_file,ct_f); assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure; assert (ct_v /= output_data) report "Encryption I=" & to_text(counter_400) &" OK" severity note; counter_400 := counter_400 + 1; end loop; assert false report "***** CBC Encryption Monte Carlo Test with 128 bits key size ended succesfully! :) *****" severity failure; end process cbc_emc_proc; end cbc_encryption128_monte_carlo_testbench_arch;
gpl-2.0
eb4a087f206a9d6144f59ecb9933a66a
0.644263
2.708324
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v2_0/82c7a66d/hdl/src/vhdl/axi_lite_ipif.vhd
7
14,662
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: axi_lite_ipif.vhd -- Version: v2.0 -- Description: This is the top level design file for the axi_lite_ipif -- function. It provides a standardized slave interface -- between the IP and the AXI. This version supports -- single read/write transfers only. It does not provide -- address pipelining or simultaneous read and write -- operations. ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 06/09/10 -- v1.01.a -- 1. updated to reduce the utilization -- Closed CR #574507 -- 2. Optimized the state machine code -- 3. Optimized the address decoder logic to generate the CE's with common logic -- 4. Address GAP decoding logic is removed and timeout counter is made active -- for all transactions. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.proc_common_pkg.max2; use proc_common_v4_0.family_support.all; use proc_common_v4_0.ipif_pkg.all; library axi_lite_ipif_v2_0; use axi_lite_ipif_v2_0.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_S_AXI_DATA_WIDTH -- AXI data bus width -- C_S_AXI_ADDR_WIDTH -- AXI address bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_USE_WSTRB -- Use write strobs or not -- C_DPHASE_TIMEOUT -- Data phase time out counter -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- S_AXI_ACLK -- AXI Clock -- S_AXI_ARESETN -- AXI Reset -- S_AXI_AWADDR -- AXI Write address -- S_AXI_AWVALID -- Write address valid -- S_AXI_AWREADY -- Write address ready -- S_AXI_WDATA -- Write data -- S_AXI_WSTRB -- Write strobes -- S_AXI_WVALID -- Write valid -- S_AXI_WREADY -- Write ready -- S_AXI_BRESP -- Write response -- S_AXI_BVALID -- Write response valid -- S_AXI_BREADY -- Response ready -- S_AXI_ARADDR -- Read address -- S_AXI_ARVALID -- Read address valid -- S_AXI_ARREADY -- Read address ready -- S_AXI_RDATA -- Read data -- S_AXI_RRESP -- Read response -- S_AXI_RVALID -- Read valid -- S_AXI_RREADY -- Read ready -- Bus2IP_Clk -- Synchronization clock provided to User IP -- Bus2IP_Reset -- Active high reset for use by the User IP -- Bus2IP_Addr -- Desired address of read or write operation -- Bus2IP_RNW -- Read or write indicator for the transaction -- Bus2IP_BE -- Byte enables for the data bus -- Bus2IP_CS -- Chip select for the transcations -- Bus2IP_RdCE -- Chip enables for the read -- Bus2IP_WrCE -- Chip enables for the write -- Bus2IP_Data -- Write data bus to the User IP -- IP2Bus_Data -- Input Read Data bus from the User IP -- IP2Bus_WrAck -- Active high Write Data qualifier from the IP -- IP2Bus_RdAck -- Active high Read Data qualifier from the IP -- IP2Bus_Error -- Error signal from the IP ------------------------------------------------------------------------------- entity axi_lite_ipif is generic ( C_S_AXI_DATA_WIDTH : integer range 32 to 32 := 32; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer range 0 to 512 := 8; C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := -- not used ( X"0000_0000_7000_0000", -- IP user0 base address X"0000_0000_7000_00FF", -- IP user0 high address X"0000_0000_7000_0100", -- IP user1 base address X"0000_0000_7000_01FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := -- not used ( 4, -- User0 CE Number 12 -- User1 CE Number ); C_FAMILY : string := "virtex6" ); port ( --System signals S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector ((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- Controls to the IP/IPIF modules Bus2IP_Clk : out std_logic; Bus2IP_Resetn : out std_logic; Bus2IP_Addr : out std_logic_vector ((C_S_AXI_ADDR_WIDTH-1) downto 0); Bus2IP_RNW : out std_logic; Bus2IP_BE : out std_logic_vector (((C_S_AXI_DATA_WIDTH/8)-1) downto 0); Bus2IP_CS : out std_logic_vector (((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1) downto 0); Bus2IP_RdCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0); Bus2IP_WrCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0); Bus2IP_Data : out std_logic_vector ((C_S_AXI_DATA_WIDTH-1) downto 0); IP2Bus_Data : in std_logic_vector ((C_S_AXI_DATA_WIDTH-1) downto 0); IP2Bus_WrAck : in std_logic; IP2Bus_RdAck : in std_logic; IP2Bus_Error : in std_logic ); end axi_lite_ipif; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture imp of axi_lite_ipif is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Slave Attachment ------------------------------------------------------------------------------- I_SLAVE_ATTACHMENT: entity axi_lite_ipif_v2_0.slave_attachment generic map( C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY, C_IPIF_ABUS_WIDTH => C_S_AXI_ADDR_WIDTH, C_IPIF_DBUS_WIDTH => C_S_AXI_DATA_WIDTH, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_FAMILY => C_FAMILY ) port map( -- AXI signals S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- IPIC signals Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Resetn => Bus2IP_Resetn, Bus2IP_Addr => Bus2IP_Addr, Bus2IP_RNW => Bus2IP_RNW, Bus2IP_BE => Bus2IP_BE, Bus2IP_CS => Bus2IP_CS, Bus2IP_RdCE => Bus2IP_RdCE, Bus2IP_WrCE => Bus2IP_WrCE, Bus2IP_Data => Bus2IP_Data, IP2Bus_Data => IP2Bus_Data, IP2Bus_WrAck => IP2Bus_WrAck, IP2Bus_RdAck => IP2Bus_RdAck, IP2Bus_Error => IP2Bus_Error ); end imp;
apache-2.0
b2279faa19e2d7e60e606cdb6390b404
0.492157
4.151189
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/c_2comparator.vhd
1
1,349
library ieee; use ieee.std_logic_1164.all; library WORK; use WORK.all; entity c_comparator is generic ( width : integer := 16 ); port ( input1 : in std_logic_vector((width - 1) downto 0); input2 : in std_logic_vector((width - 1) downto 0); output : out std_logic_vector(2 downto 0) ); end c_comparator; architecture behavior of c_comparator is function twocomp_bits_to_int (input : std_logic_vector)return integer is variable ret_val : integer := 0; begin for i in input'range loop if (i < input'HIGH) then if (input(input'HIGH) = '0') then if input(i) = '1' then ret_val := 2 ** i + ret_val; end if; else if input(i) = '0' then ret_val := 2 ** i + ret_val; end if; end if; end if; end loop; if (input(input'HIGH) = '1') then ret_val := ret_val + 1; ret_val := 0 - ret_val; end if; return ret_val; end twocomp_bits_to_int; begin P0 : process (input1, input2) variable result : std_logic_vector(2 downto 0); variable inp1, inp2 : integer; begin result := "000"; inp1 := twocomp_bits_to_int(input1); inp2 := twocomp_bits_to_int(input2); if (inp1 = inp2) then result(0) := '1'; end if; if (inp1 > inp2) then result(1) := '1'; end if; if (inp1 < inp2) then result(2) := '1'; end if; output <= result; end process P0; end behavior;
mit
9a6b33fedadfefe041784bf06baf6b70
0.611564
2.619417
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/metaheurísticas/mpegmv_wsga.vhd
1
2,861
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:04:32) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_wsga_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_wsga_entity; ARCHITECTURE mpegmv_wsga_description OF mpegmv_wsga_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; WHEN "00000010" => register4 := register4 + 6; register5 := input5 * 7; register6 := input6 * 8; register3 := register3 + 10; register2 := register2 + 12; WHEN "00000011" => register1 := register1 + register2; register2 := register6 + register3; register3 := input7 * 13; register4 := register5 + register4; register5 := input8 * 14; WHEN "00000100" => register1 := register5 + register1; register5 := input9 * 15; register2 := register3 + register2; register3 := input10 * 16; WHEN "00000101" => register1 := ((NOT register1) + 1) XOR register1; register6 := input11 * 19; register3 := register3 + 21; WHEN "00000110" => output1 <= register5 + register3; register3 := input12 * 23; register5 := register6 + 25; WHEN "00000111" => register3 := register3 + register5; register5 := input13 * 26; output2 <= register1(0 TO 15) & register2(0 TO 15); WHEN "00001000" => register1 := register5 + register3; register2 := input14 * 28; WHEN "00001001" => register1 := ((NOT register1) + 1) XOR register1; register2 := register2 + register4; WHEN "00001010" => output3 <= register1(0 TO 15) & register2(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_wsga_description;
gpl-3.0
9aa72c419975a35a8bf3312a5f625cb8
0.675987
3.258542
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/mdm_v3_1/0d9386c2/hdl/vhdl/srl_fifo.vhd
1
9,178
------------------------------------------------------------------------------- -- $Id$ ------------------------------------------------------------------------------- -- srl_fifo.vhd ------------------------------------------------------------------------------- -- (c) Copyright 2003,2012,2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: srl_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision$ -- Date: $Date$ -- -- History: -- goran 2001-06-12 First Version -- stefana 2012-03-16 Added support for 32 processors and external BSCAN -- stefana 2013-11-01 Added support for depth 32 -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity SRL_FIFO is generic ( C_DATA_BITS : natural := 8; C_DEPTH : natural := 16 ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DATA_BITS-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DATA_BITS-1); FIFO_Full : out std_logic; Data_Exists : out std_logic ); end entity SRL_FIFO; library UNISIM; use UNISIM.VCOMPONENTS.ALL; architecture IMP of SRL_FIFO is constant C_ADDR_BITS : integer := 4 + boolean'pos(C_DEPTH = 32); signal Addr : std_logic_vector(0 to C_ADDR_BITS - 1); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic := '0'; signal data_Exists_I : std_logic := '0'; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal sum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal addr_cy : std_logic_vector(0 to C_ADDR_BITS - 1); begin -- architecture IMP assert (C_DEPTH = 16) or (C_DEPTH = 32) report "SRL FIFO: C_DEPTH must be 16 or 32" severity FAILURE; buffer_Full <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '1')) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '0')) else '0'; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : process (Clk, Reset) is begin -- process Data_Exists_DFF if Reset = '1' then -- asynchronous reset (active high) data_Exists_I <= '0'; elsif Clk'event and Clk = '1' then -- rising clock edge data_Exists_I <= next_Data_Exists; end if; end process Data_Exists_DFF; Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to C_ADDR_BITS - 1 generate begin hsum_A(I) <= (FIFO_Read xor addr(I)) and (FIFO_Write or not buffer_Empty); -- Don't need the last muxcy, addr_cy(C_ADDR_BITS) is not used anywhere Used_MuxCY: if I < C_ADDR_BITS - 1 generate begin MUXCY_L_I : MUXCY_L port map ( DI => addr(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] end generate Used_MuxCY; XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DATA_BITS - 1 generate begin D16 : if C_DEPTH = 16 generate begin SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => Addr(0), -- [in std_logic] A1 => Addr(1), -- [in std_logic] A2 => Addr(2), -- [in std_logic] A3 => Addr(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D16; D32 : if C_DEPTH = 32 generate begin SRLC32E_I : SRLC32E -- pragma translate_off generic map ( INIT => x"00000000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] CLK => Clk, -- [in std_logic] A(4) => Addr(4), -- [in std_logic] A(3) => Addr(3), -- [in std_logic] A(2) => Addr(2), -- [in std_logic] A(1) => Addr(1), -- [in std_logic] A(0) => Addr(0), -- [in std_logic] Q31 => open, -- [out std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D32; end generate FIFO_RAM; end architecture IMP;
apache-2.0
350fe49723ca9493b745b56086d00817
0.507191
3.980052
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/2-MESA-HB/metaheurísticas/mesahb_nsga2.vhd
1
2,056
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:16:14) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END mesahb_nsga2_entity; ARCHITECTURE mesahb_nsga2_description OF mesahb_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => output1 <= input1 + 1; register1 := input2 * 2; WHEN "00000010" => register2 := input3 * 3; register1 := register1 + 5; WHEN "00000011" => register1 := ((NOT register1) + 1) XOR register1; register2 := register2 + 9; WHEN "00000100" => register2 := register2 * 11; WHEN "00000101" => register3 := input4 * 12; register2 := register2 + 14; WHEN "00000110" => register2 := ((NOT register2) + 1) XOR register2; register1 := register3 * register1; WHEN "00000111" => register2 := register2 * 18; WHEN "00001000" => register1 := register2 + register1; register2 := input5 * 19; WHEN "00001001" => register2 := register2 + 21; WHEN "00001010" => register2 := register2 * 23; WHEN "00001011" => register2 := register2 + 25; WHEN "00001100" => output2 <= register1(0 TO 14) & register2(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_nsga2_description;
gpl-3.0
ecc244a62899f79f15ac2897f71b30c0
0.666342
3.143731
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/gen_OR_bit.vhd
1
503
library ieee; use ieee.std_logic_1164.all; entity gen_OR_bit is generic ( width : integer := 4 ); port ( input : std_logic_vector(width - 1 downto 0); output : out std_logic ); end gen_OR_bit; architecture Behavior of gen_OR_bit is begin P0 : process (input) variable result : std_logic; begin result := '0'; L1 : for n in width - 1 downto 0 loop if input(n) = '1' then result := '1'; exit L1; end if; end loop L1; output <= result; end process P0; end Behavior;
mit
fb8eb80558a00ebfce33439a0468c07d
0.632207
2.647368
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/asap-alap-random/ewf_random.vhd
1
2,938
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.08:47:50) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 3); output1, output2, output3, output4, output5: OUT unsigned(0 TO 4)); END ewf_random_entity; ARCHITECTURE ewf_random_description OF ewf_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register2 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register1 + register4; WHEN "00000101" => register5 := register4 * 8; register6 := register4 * 10; WHEN "00000110" => register5 := register3 + register5; WHEN "00000111" => register4 := register4 + register5; register6 := register1 + register6; register3 := register3 + register5; WHEN "00001000" => register1 := register1 + register6; register3 := register3 * 12; WHEN "00001001" => register1 := register1 * 14; WHEN "00001010" => register1 := register1 + 16; register3 := register2 + register3; WHEN "00001011" => register7 := register6 + register1; register2 := register2 + register3; WHEN "00001100" => register7 := register7 + 18; register5 := register5 + register3; WHEN "00001101" => register8 := register7 * 20; output1 <= register6 + register4; WHEN "00001110" => register4 := register8 + 23; register6 := register1 + 25; register2 := register2 * 27; WHEN "00001111" => register6 := register6 * 29; output2 <= register7 + register4; register4 := register5 + 32; register2 := register2 + 34; WHEN "00010000" => output3 <= register3 + register2; output4 <= register1 + register6; register1 := register4 * 38; WHEN "00010001" => register1 := register1 + 40; WHEN "00010010" => output5 <= register4 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_random_description;
gpl-3.0
bd45e2073a9712cb2bf8ed32553b1cf6
0.653846
3.193478
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/7-FIR1/metaheurísticas/fir1_ibea.vhd
1
3,615
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:31:57) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY fir1_ibea_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22: IN unsigned(0 TO 3); output1: OUT unsigned(0 TO 4)); END fir1_ibea_entity; ARCHITECTURE fir1_ibea_description OF fir1_ibea_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 and input1; register2 := input2 and input2; register3 := input3 and input3; register4 := input4 and input4; WHEN "00000010" => register1 := register1 * register2; register2 := input5 and input5; register5 := input6 and input6; register6 := input7 and input7; register7 := input8 and input8; register8 := input9 and input9; register9 := input10 and input10; register10 := input11 and input11; register3 := register4 * register3; WHEN "00000011" => register4 := register5 * register10; register5 := input12 and input12; register10 := input13 and input13; register6 := register9 * register6; WHEN "00000100" => register2 := register10 * register2; register9 := input14 and input14; register1 := register1 + register6; register6 := input15 and input15; register7 := register7 * register8; register3 := register3 + register4; register4 := input16 and input16; register8 := input17 and input17; WHEN "00000101" => register5 := register8 * register5; register8 := input18 and input18; WHEN "00000110" => register6 := register6 * register8; WHEN "00000111" => register1 := register1 + register6; register6 := input19 and input19; WHEN "00001000" => register4 := register6 * register4; register6 := input20 and input20; register8 := input21 and input21; register1 := register5 + register1; WHEN "00001001" => register5 := register9 * register8; register2 := register4 + register2; register4 := input22 and input22; WHEN "00001010" => register1 := register2 + register1; register2 := register6 * register4; WHEN "00001011" => register1 := register1 + register5; WHEN "00001100" => register1 := register3 + register1; WHEN "00001101" => register1 := register1 + register2; WHEN "00001110" => register1 := register7 + register1; WHEN "00001111" => output1 <= register1 and register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END fir1_ibea_description;
gpl-3.0
696de69dc68eb0635f3db0789450dcf3
0.679115
3.242152
false
false
false
false
freecores/twofish
vhdl/twofish_ecb_tbl_testbench_128bits.vhd
1
10,355
-- Twofish_ecb_tbl_testbench_128bits.vhd -- Copyright (C) 2006 Spyros Ninos -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this library; see the file COPYING. If not, write to: -- -- Free Software Foundation -- 59 Temple Place - Suite 330 -- Boston, MA 02111-1307, USA. -- -- description : this file is the testbench for the TABLES KAT of the twofish cipher with 128 bit key -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use ieee.std_logic_arith.all; use std.textio.all; entity tbl_testbench128 is end tbl_testbench128; architecture tbl_encryption128_testbench_arch of tbl_testbench128 is component reg128 port ( in_reg128 : in std_logic_vector(127 downto 0); out_reg128 : out std_logic_vector(127 downto 0); enable_reg128, reset_reg128, clk_reg128 : in std_logic ); end component; component twofish_keysched128 port ( odd_in_tk128, even_in_tk128 : in std_logic_vector(7 downto 0); in_key_tk128 : in std_logic_vector(127 downto 0); out_key_up_tk128, out_key_down_tk128 : out std_logic_vector(31 downto 0) ); end component; component twofish_whit_keysched128 port ( in_key_twk128 : in std_logic_vector(127 downto 0); out_K0_twk128, out_K1_twk128, out_K2_twk128, out_K3_twk128, out_K4_twk128, out_K5_twk128, out_K6_twk128, out_K7_twk128 : out std_logic_vector(31 downto 0) ); end component; component twofish_encryption_round128 port ( in1_ter128, in2_ter128, in3_ter128, in4_ter128, in_Sfirst_ter128, in_Ssecond_ter128, in_key_up_ter128, in_key_down_ter128 : in std_logic_vector(31 downto 0); out1_ter128, out2_ter128, out3_ter128, out4_ter128 : out std_logic_vector(31 downto 0) ); end component; component twofish_data_input port ( in_tdi : in std_logic_vector(127 downto 0); out_tdi : out std_logic_vector(127 downto 0) ); end component; component twofish_data_output port ( in_tdo : in std_logic_vector(127 downto 0); out_tdo : out std_logic_vector(127 downto 0) ); end component; component demux128 port ( in_demux128 : in std_logic_vector(127 downto 0); out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0); selection_demux128 : in std_logic ); end component; component mux128 port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0); selection_mux128 : in std_logic; out_mux128 : out std_logic_vector(127 downto 0) ); end component; component twofish_S128 port ( in_key_ts128 : in std_logic_vector(127 downto 0); out_Sfirst_ts128, out_Ssecond_ts128 : out std_logic_vector(31 downto 0) ); end component; FILE input_file : text is in "twofish_ecb_tbl_testvalues_128bits.txt"; FILE output_file : text is out "twofish_ecb_tbl_128bits_results.txt"; -- we create the functions that transform a number to text -- transforming a signle digit to a character function digit_to_char(number : integer range 0 to 9) return character is begin case number is when 0 => return '0'; when 1 => return '1'; when 2 => return '2'; when 3 => return '3'; when 4 => return '4'; when 5 => return '5'; when 6 => return '6'; when 7 => return '7'; when 8 => return '8'; when 9 => return '9'; end case; end; -- transforming multi-digit number to text function to_text(int_number : integer range 1 to 50) return string is variable our_text : string (1 to 3) := (others => ' '); variable hundreds, tens, ones : integer range 0 to 9; begin ones := int_number mod 10; tens := ((int_number mod 100) - ones) / 10; hundreds := (int_number - (int_number mod 100)) / 100; our_text(1) := digit_to_char(hundreds); our_text(2) := digit_to_char(tens); our_text(3) := digit_to_char(ones); return our_text; end; signal odd_number, even_number : std_logic_vector(7 downto 0); signal input_data, output_data, twofish_key, to_encr_reg128, from_tdi_to_xors, to_output_whit_xors, from_xors_to_tdo, to_mux, to_demux, from_input_whit_xors, to_round, to_input_mux : std_logic_vector(127 downto 0) ; signal key_up, key_down, Sfirst, Ssecond, from_xor0, from_xor1, from_xor2, from_xor3, K0,K1,K2,K3, K4,K5,K6,K7 : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal mux_selection : std_logic := '0'; signal demux_selection: std_logic := '0'; signal enable_encr_reg : std_logic := '0'; signal reset : std_logic := '0'; signal enable_round_reg : std_logic := '0'; -- begin the testbench arch description begin -- getting data to encrypt data_input: twofish_data_input port map ( in_tdi => input_data, out_tdi => from_tdi_to_xors ); -- producing whitening keys K0..7 the_whitening_step: twofish_whit_keysched128 port map ( in_key_twk128 => twofish_key, out_K0_twk128 => K0, out_K1_twk128 => K1, out_K2_twk128 => K2, out_K3_twk128 => K3, out_K4_twk128 => K4, out_K5_twk128 => K5, out_K6_twk128 => K6, out_K7_twk128 => K7 ); -- performing the input whitening XORs from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96); from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64); from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32); from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0); from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3; round_reg: reg128 port map ( in_reg128 => from_input_whit_xors, out_reg128 => to_input_mux, enable_reg128 => enable_round_reg, reset_reg128 => reset, clk_reg128 => clk ); input_mux: mux128 port map ( in1_mux128 => to_input_mux, in2_mux128 => to_mux, out_mux128 => to_round, selection_mux128 => mux_selection ); -- creating a round the_keysched_of_the_round: twofish_keysched128 port map ( odd_in_tk128 => odd_number, even_in_tk128 => even_number, in_key_tk128 => twofish_key, out_key_up_tk128 => key_up, out_key_down_tk128 => key_down ); producing_the_Skeys: twofish_S128 port map ( in_key_ts128 => twofish_key, out_Sfirst_ts128 => Sfirst, out_Ssecond_ts128 => Ssecond ); the_encryption_circuit: twofish_encryption_round128 port map ( in1_ter128 => to_round(127 downto 96), in2_ter128 => to_round(95 downto 64), in3_ter128 => to_round(63 downto 32), in4_ter128 => to_round(31 downto 0), in_Sfirst_ter128 => Sfirst, in_Ssecond_ter128 => Ssecond, in_key_up_ter128 => key_up, in_key_down_ter128 => key_down, out1_ter128 => to_encr_reg128(127 downto 96), out2_ter128 => to_encr_reg128(95 downto 64), out3_ter128 => to_encr_reg128(63 downto 32), out4_ter128 => to_encr_reg128(31 downto 0) ); encr_reg: reg128 port map ( in_reg128 => to_encr_reg128, out_reg128 => to_demux, enable_reg128 => enable_encr_reg, reset_reg128 => reset, clk_reg128 => clk ); output_demux: demux128 port map ( in_demux128 => to_demux, out1_demux128 => to_output_whit_xors, out2_demux128 => to_mux, selection_demux128 => demux_selection ); -- don't forget the last swap !!! from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32); from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0); from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96); from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64); taking_the_output: twofish_data_output port map ( in_tdo => from_xors_to_tdo, out_tdo => output_data ); -- we create the clock clk <= not clk after 50 ns; -- period 100 ns tbl_proc: process variable key_f, -- key input from file pt_f, -- plaintext from file ct_f : line; -- ciphertext from file variable key_v, -- key vector input pt_v , -- plaintext vector ct_v : std_logic_vector(127 downto 0); -- ciphertext vector variable counter : integer range 1 to 50 := 1; variable round : integer range 0 to 16 := 0; begin while not endfile(input_file) loop readline(input_file, key_f); readline(input_file, pt_f); readline(input_file,ct_f); hread(key_f,key_v); hread(pt_f,pt_v); hread(ct_f,ct_v); twofish_key <= key_v; input_data <= pt_v; wait for 25 ns; reset <= '1'; wait for 50 ns; reset <= '0'; mux_selection <= '0'; demux_selection <= '1'; enable_encr_reg <= '0'; enable_round_reg <= '0'; wait for 50 ns; enable_round_reg <= '1'; wait for 50 ns; enable_round_reg <= '0'; -- the first round even_number <= "00001000"; -- 8 odd_number <= "00001001"; -- 9 wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; demux_selection <= '1'; mux_selection <= '1'; -- the rest 15 rounds for round in 1 to 15 loop even_number <= conv_std_logic_vector(((round*2)+8), 8); odd_number <= conv_std_logic_vector(((round*2)+9), 8); wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; end loop; -- taking final results demux_selection <= '0'; wait for 25 ns; assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure; assert (ct_v /= output_data) report "Encryption I=" & to_text(counter) &" OK" severity note; counter := counter+1; hwrite(pt_f,input_data); hwrite(ct_f,output_data); hwrite(key_f,key_v); writeline(output_file,key_f); writeline(output_file,pt_f); writeline(output_file,ct_f); end loop; assert false report "***** Tables Known Answer Test with 128 bits key size ended succesfully! :) *****" severity failure; end process tbl_proc; end tbl_encryption128_testbench_arch;
gpl-2.0
3e61ac588d133a8182780e461d6da02b
0.648479
2.683338
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_bin_cntr.vhd
5
24,719
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Z6BHU2DpKswXqZYCFbHbs6a8d1sMlEerj8R5p7Q4uroQXoAm/ziSLPHXFPy+m2ZJ146Y2Lfr/BMZ 5nVerCq7Yg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Bd0FLQRO1CM4cxLq8qAPSICBO5PIFgH74KkIqfoCaND+HNeiRPEc+zyr+szFQE6psJUwUQbU3aaR wiPNvDP1qVUmWouryD9w5kFYtEcSVOesfRo1lLaaopl5xXJiF8Is8J+0U6DCv9DFWRHzurRsSGDn 6KlB8TsTP2X5WTsJL44= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OD2PLZMCm8mYqjpsOPbZ+fUR1/mwKOTLNHDzPuOokE8UCgBAEcqONYgcSOr3spaM/XVjCFYmAuv3 lGlHbmrxPz70MTtXrZB+fG3ehJ+MN/Lmh49BKKDcYLg909bHsGc3hlOC8PaX34MFYVDcuCOnprV0 2abBmLaHFysESVGtsFlwxwwC2FB6CAs7LqndGSBxptzLAK96zx0rIbEsERRyjgn6XgPL70cz8bSy 1/DXbkVXMjrRMigx3p4/rCglfBZddLABuRCXwIBIIq0FoKpYLtybYDnX0o8haXN8fbTHXszVV5NN SbfgQJiIZY7+NmZ+9DyTQrGdJxl6ozqPLRxZ0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PCGFe9q7siwFqzekcSvOanqLjpn6mQJgh2ORUjjCXYYSP3cd0+4zUU6fhAeIm4UxVtdXIWsKC/6T R3e8pUrnLUbrRSa41Z4v5oHK7aYhrQePZVoIL/P6IzIxxiuLfM7fp+fdw2Gdg87hO5Gl/BDuZ/j0 PjwMYHOfnT8P85jZdwA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nW7WFpjBPnqrXIIVZi2xrjN9+OwED1jPwXqw2SJp5Ol0BVn8NTTSzt8wFMR8lCkQuuelBkSQ+EiU x1hjDEIdL3yyBgJJi9Ulv01Z07MmJvkQ67k0VXiU+g96nXA6efVXR1PTfbU4vEcSIvUB/ctDclYY fkS5gg7lnoiOBMq4uUgtwYkSCdUbkH7G2Rm1vviE1PSBZpOcKHxoAZY/8cNS0tmm/Pgi1IIBaku8 hRb6Pna4V3CcliCvJ13AT1gn3WDgbLO67RDFv9lQaXZ0Xw2/laf0otSPWdl9/I5X/MW+o+h4EfiW HEBnCJjhVU0/gg0cP+xgr5ndm6dEx3XNg6F1XQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16560) `protect data_block TB7MW8Bm1bUm2QgDxPjKoRYk2JIohhiSCuQ1hse6vuejol39U/ElymzSw0/e/pBQk0bl328apIun i8UrjPRGqiUJUsilj6gOGCL5IV3UmIPvyqCunh4EsBh1SdruIRvHYq1ypL8MzEA5JxsoE6MFByhf DwKDjMddWAQOs7cZuikV+ddv8r5qv4nJ0koYqT2vE0lQwXxF5b5Dej59H2vq26zmSBFvLX/E6gEK PpFdtPXZHI9h3k539Vlxh1POp2haNW50CJLal+dKpI6/RVII0NSxwl7xhtHmzrUSEQScicJ7699u fEA54xnpbbR7Lm98fRILH/rpCeWbOekWs0DoWlYmpklCEaB+yp/gb+ywrwlm7W+9WCT/qkzwGxBE zXo8LWvnoVI4nDnqPBtrdDJ1ORGhEdRuoZdoJVQNtF1y+8HZPBldElIaKDEUlCwktCwaWfa0sTo8 4AQm+V4tj6WvFrdmo7djOvoGlsItsLWlB5Z8V4nDjx6g25pGmL4qsAROskNOg0hpqYPOsK4IB1sB Acu63XEt62DUVb+ij8NnhwfAk5ky+USdMr/v3S0nnPKaLCJHyq4TM1htPVHl7jmM5yqsbGVKaae0 uWr+U12VFOHfcxcYIL0cPyuFgN5CBMezkVNh8Eafy+h2gaLt7gZvY8wUr5LASm9d3qyBkqjATYSx 3bRqVRmjPw7DPe/WXfUGkJZPryxAjiUxKs93gFQovcvcW36JmtVpYKDtLoPps/uyew3u/LJAC7oA 76GztniZVFkkMYwCCIr0RmlMAvByNoCEKlnP0g0jHO2Ca2vywfiadEAF64BZg6xLYWNwpxfNn9Uo 3YBxpeD8PLvYF+G4OhBWUKCtBedkt5feIyx3Sr5UKVP0czIS3ErW3wd164HJiQt39SS2KleC9Vt1 JoTeHJqtK7t1o2+NGu1tDKrVvxbkIt1tycrlGaF4ZqxDe4wPausgu9lMfUasIpYcKDlBA1sbNP4j RJ0tUVENY2jON2OGuMGI1j4/4JLLyHbItXfbT09nAMJ77gv/jC4h5Ym+yThPEPTxAwnvvA8ikF62 wUTOtrO1IaeUhYm6lqIubG/iZXVkFOEJ4UjBSCKLaucFK3cLhe3K4pGz9Q/NZyW6LkqgsIJNfykv LuHdmQgNsNAJ0HDGlf+SYUNmWoiBG+H9DG857zSIDBa7u42hfGz3sgm2BM4PMWDOiT9l1rVq7PHa 1PokskUcZRwPkNMtwpOme4eXJ2LUs0+r3dKhTkauDfuZrR99C7MZEOaMq8V22d9AYzTjoX8hksl1 w/DqGOFLPMJ970UNgzX9R7wJNEiG/UPHoMuduBOwRp4kLDbPlhKeCfgq7z4nHwqSIyPt4xYvpu82 rD69kJ++tOcLf8YRaAWQp1rSbkFNMpFX+8l6CceFJcwjbvSpWHFFsbo7HelxahY1cwltqjKQxbk/ FBa5Th204MT+ra2RBgddstT4OlhVpAUYgB7wa0kDeC/wrIb0yKaVzmQzqp2T3rgFVncmg82AmFc/ 9oXDtz8KwRitPXWqrneE7Zi7l4YvxFVvSJ1zBGL616cDgbnYsuKinXMOPYSh8uH7gA6Qmj5OrrTK uCtJ3KZJx45f93G3djy+KT6Onuu3QptATLqtrtE/hel85N6PpW+aq+dUB1K8JmJAqB2xAmfxUDXq B7whGbYdkhLudNWATyaj2S9FrFUeg9uOeziMYzfaTzy4G79PoWxgjVwAhjSQnlymBKZJ0Dyi7USD sjDr14vKT2J/cYNlrBolpOmlo0vTflQJ8umFNRc8jMAULjjhVgJTXW77YwoXm2nHNVQ7hxwZC52C 6Kzqo/aAYI9wJlkUvlbKAOQpwzuX1BtiT5hN+cqEWcZ2HOI23NdIJ9a0jbFgfQvsKd5AotCKu02c S9S8Gp6vngWgpNk6n18tzBtdegYNm94iX+4VEMLbrRqGejQkuH553WU7zJNt/m1bc8igzyq3HGHb oX50TrWr0OLxVTtrc1qEurI7UsVayxaVem4QV5h+y/51KAY8WG1JLnus20y1W3i8/pGJmgZ0s6kp 3ZkoeTP9XEU4DKe6NAPCyJlYgizgnjlfLQJESbZWCkLjROjU7C9ATzkqqdzpTRpscn08w9pRchJx EIBSy9zR1OQn9H/vKOCAocqS+M07ZVXOzMY/RB+WldXgSAH+ICkNilnHOL+p7py+owRF/u6LGawv hWSK6kUAjkhjV1EoRtTx0i0xOhi/rR//ZpYVfyTCIuiHcoCFEKL2Td4A7dlAde65dHOMFQFIUdRU jdMBIZGnN9X86SL8/Uy/oEbPoM4ZAq+Esq0p3CcHimj+z9NQpaQExbss7f22iZALDDf8rIVJuBuE iRBzlqq7MHJ1pgVpvzA/B7lHUdLloftM42Xd8qFfZvqw46iYNfypE+yYJrOO8/+foTwOOUP0QAnr FLVadvyWp5Xbsf9s46fUGbtz8n+KJ/s6P60NqUWr0Cf77m18hb1EWn2sUb6N64xoOH6mqXSrgx3W YbhNMm1YkXbw77BgTb2rk8zqkykFmt3Ou5ZxHgyapcAvm9kToNvMPMe+7+pGAyTsg4pPyCilMWCn uesUiCOumg2gwJHV/u93O4jTxZUAesjo6FSDVA3blXWyb51nwlupzYSxf7JjUZy0/PAEQblvje7y /iFZJN1fdeBKo2NcEKEvg1oE1KfUCyIPuI1LgAdth3whnGVLfD5GKajKnaStfIVfhhlG7CvOfR5m vsqIy9qFsHcYNFPPTHdbCRzqxzHz8ZpCLoW0lTjL1GLkdJ+36C3Y6x3bntd+umDCSZ1u2qqheKhy P/JHPqKSWuFNzQ8Qlw3nG82PbRusHrHl5wyN22HtWZ92LBjvlaYs1r7+c/e+cuSSCDyGJTVNLc7p VceD8cHXX2JYV29/Vz80/zXDdIGMUZ6sNK0QVEMxRpcbJg8p0pCCig4TbEgtmSnKIvFuT14lyH2C /0kssAGP6OyBw0LQL7rkhaZfWgrDcPy4jxs9/bg6+qGAbsi580qG0XghZCayBVOUgGOW74DI+Wvn bCwOMYyKgbLSMr+72vhvFcTMZRM754STwToDZAIZaEwCPQFO7cEqZQR57y5Oh63iz0x+VJguDE+H EGfuIGfwR7avYfsKUH40zHHRYADnaJ8A2ymS/r+stFSl5EV6Qg2tf6kHY+KO3yqZDrRtBuLw3yM4 0GVLT96VLZdUiqM6HA7vfpRuWzbyGaGBAzn/1fZ6xrujtdO1e7ozSvO1n1ZlFieLciBs7pwb1d6W 9BSck1YkyHbmCccwX0jkAlR3Xupn6mzg0New4Ew9+Eeo1XdFUsBXy+PtSwNMgdLaTrBecNvgpHed 8U2VEeL1WcOenCkdFSL+NvGMLkLFCkw8GB45DmaXPkVPPWwFwK2W3J9n8Ee5tz47/NeTSIDklnHU cEgKsX8sA1H9VBX1hnGYXYYbEDNc2EfbmofYC+J+O4+h50EzpEy1tBa8V6cjf/tyeUYi/y0c1Nwq OMKjI6jWJ75LFo4tIFqDSnSI5inyapyntq9zGkf7kIplsGFoQ4IUetDwRvo4RYFoaGH7J9qZaqE3 ivJPpJ/1bsBfWOC2SP/53e+sOWeXTmIHcc8TUeHB3x93TBhfEy4y3JmxFb34GWOnZNwbFiobdytN w3IoHFp+gFp6NKVRm+xuxqiFXDuTv73oPR35nz5kirVsUwZW063DehXXkUeT6EX36ewBK4tmy3cg SG3Z+A4AlSIyPN82HuQ2tjdEliSnLQCSvPbv+dWSgP384qIVuplDZ4G1aSE24vLknSC90W5LIwp7 YKAlOh8q6ZWZKINmRXnIDIWm+tLX/7lcq2jAU4UjSki2gVFkcBJiuRDEOKK2F2Yw3ZuVWqifZ3FO KVrq6chfEzH7Ym9G5ci4eM7Uv1b7RdERY2bUIUlWf/j4p5z/Y8mSLhECirHHfxT2PG0cmpOAHdr4 ao2oftjNKEmrbMuTwtZ1qc/DXABpg/X+PnC2lAPFZDhHeKFyTVB28ckdX3tS4rRc7U9f5M5s+XmR 9ULlzucFzxNj9KRnb4tKbioxxu1Ch5t/49KtDgd0XDYdfvtZI1zycOAozFG1QqXJtPrYgLRv5+U8 PT2Q7i2XUqKufXGtlppElZZJ8Bxk1vSMk24/zG0dGZ9v3E9dBsbiu67ZbL9fbRvyNok0CcRifiZ7 rQXomPZJAzhHHNWv/Nx6K+qF3y5WqRaQqQ0aRU0LFlu95ax4YUr5+QZ44+IdnBshKcUw0rjHFU56 iI9dU2IOkhBOnRQSV0hgo+x2oMOTFHkBELSSIUvfmJwYQ0vth7CKgsHQHSXJbzWXHt9eWgOMJfO+ O47OVIcCouIBC/CGUXfKxpIA2a3Sgl8OV/u0wHdQEeYGqdLQLif7X/i/LXCwuwHeSji2Gc0XDfyl Jd9nU6w5t5/t5EcJxZCM9WRbrBIHZs9hQdp71Y57aen6H03mARdRWQ8sZIXVAbRkKwLRbvJWDGYK c9eWBKAtriMmmPf+pnlzOTtHDCJLZUZCV8U+Gfu5/v5oPSNhxAguz2a6YEvAqJVw13kibd4Bzbkh fWp0zkCxc+igVbcK/HgfmqRiWz/CzUnWZYHFLE/AowIjub0Rl0LnCoN/DPX3ZuH/orfuqgr76GGu T5dglUaDmz8WEeK28n5mxH2y8Wc/c5w+pQMaN4JL9K7zBNwRB0BZz9TvmzOX+Xvyhz3ESaTYN0sp K8SO/a+/XowSIhhMZYSR0kYu8VSEkTHe8hGK7+ioO6Sd2UlENlqM39/E3L5B2XLK48bbojKyqZVM aasIttuGxwBG7dRgXtXoMNYRAebBcJtxWCCqpzhhOznAE7rjz0R6yxUoGUFt5USw2/bOmTtbHS27 odI9gE7SiM+oHTSxh2rgPnuHHQbDQ6UnLvmN22tKYAsUgOf/g3UeeMNjuWFDdmjRdFUXe09JCs2E wk6iPjklLNsaF8u/RFEFa3gC8qbQEfQulgAUI9+TL7WaO3gxNUAQEE1rDw+dfMLwUG4lyXPF4plh EDvZGAVmLhmN01/7/IBf3xLvVSqe8ZD/37jhMA7GPcEe6GlkttLalqAbMfa2anHGxh42hI9gFuRz J6ss0JEu9kX0xWGce2EQeuVU7iZWQb9cDorkvsYpbUXqaNyjPjVkc9sphQ1/qWvKtwzm64R9TN+f zZoFmk5XQDcPyc/dX9hm2RG90lLICs1ZvMauf7fA0lmS6f5yExhs5QoIGNRl/2RH37EOsk2NIt9g CnViD3KIcpmhbw5igqwM6SOvicyvM0QL1O9pZwijETHPiGUyvkPUWhn9YlFvPKzCKGQ1EGIN+y88 eGa5DuCXHBY+MkvcyZzKDH7N6PLXyz/Op4AXZnCtaR8Cdwn216gTAEqNbUv4K0olrA6mk6m+k2js lw/yF/JypeVjbnArRDNxHI4r3XIEPbRh7w/BYcdk7Uzan8P6E5wTG+ARn2ZXILxIi6ICqKmiqYNN DhDyeRCT1a/hn0Sc+OP+wbUCR+o2b/DWZK7h5DJGjfjAsMqhcLmlMWv8IkjueX7gph62P+PqL+fl 7Y9Rj1J3ft0NAwrfwd4gsmDRez16EkQ0Fmz93mm7Kh30j+/L1AK44/cuGhnyxDb1rRk/Goz19Ins f6pyUUGNQcK5zWbADv7RJtAimPnl0/ihuzPw+iy213h3W7DaPDwmhOwdAsUc8GWm0vD5242txiA4 6Jg4mYtGMJNfpDB92QcxgBqX26CUR4IbCALOdMN7MeHZfIdZ61/f0CgvbRHpXJTJlnOn0g5hFbIA Z59pRuN1yslvZn2VfP3TRxRPcz7RrdjXUu9+GjNlUg5Hu8QguLKVMkks2BCGENzsGzMVUf+MPSUR VM+rC0W2QOJ62kfOaOXpdO+GiV06BwnKkrKY1I7ZMEaUTisP2tW5nMBc2UICnefOJgg5JatuUfDA Q85dj8zmrN0oa0jQ9rXdjMFN1RhH84lx4NMfyqnGGlpzEJWw72DRKgViDsXG1rHH9xFlNCcgbkzi ZNQA9pFPqpaS+Nu5fqGytkNnf98V/TOH8q24CoSFBNx4za0JXM90iTTTClp8ZPBoh6WgSou1L2Y9 g6zZSwI2DpCN2BFqpafnlmNY72zHeVf89MJLM+mVA9IXO2f1VFyejTehB+RwtmtvcsiYJMQiuAlu yvjJI1RGZVnz68YoxJL9ZlRFV12mudIdr0n2xhlf0XwTfkLRZCz2q0i93F4eHkdW/78ybQ65ufzO Dw1RDtl0sVGBlP3w2dq24/6RJMSsDrqm8ieLU1XMkkuohJgvHT0bYmjDYO56N0KXAi5u8O1HAV1h hT4MQ+qL+TJZay3d/WotnSb2+G3f3bIXzNMyiBA/ywHP5eM8ecOyMHYzjhCfOAu/fboikXnMF7XL MgGpGmomMn1c32l+HmLuScK8qoOCkgpE6+F8FyyhkhfeYC7V4YkV5ienonSfekVu86k5ydlgHKF6 nT4GJi/a7yZZLJRvNmRVrT6juZiSc//+Eyyv7V4OIt3MW+QdDQC/ly/dm9FlUuNv/N3J4I6Qybae sjA+IqDeDf6ErfFDuzd+Z5aKUk3Nv/mhZFl7rXw842q/HgAMjPiQHQyhnXBzvxdNlwyZvEJSsQNL byp/g9YVsIAwoVzvnaEoBcBIRF89QY4xm5ascAVLkySmLALO55jisJp60f0BPbu8dpHEkAWBTIHl cikWwJctatwIFjCGmVVUSkFkcp/WBKMEdg3BGZJ7S6hiBCUrB6FAWNROnHnsM3ESpBXN6kRx6XP3 meo4Bt0UciLuUdL1C3Wgs4qo1xEqejjT1A4d7071+j7VDkozLv/gHgaA6PmVVYvbeqvOUyJhDq0R wS35pu/zWRsVpFfKd3I1TNR7smEEQhfABDeMzWvnDb+ZeTXZISmf9DEsjO6WKsm2ijYpQ7cvuWhZ GPj+6UAvtw4iw0kKLwJXPBdHX+9i8u3ixvG3NfvyFbqNRkcuV9W+oBSUitp3Pqakhy0gKaPbnHii eYHTmlwGB7UCSFvZPKUzEEm5r4H6DSPoahSnFyxaNpHUJLjceigL4xUUaZ7tB7+hLRJMjbIxHDDc 79dHxc54szn2jlyIEn23wuSgyJLbDEMML8Myvwfy29Zi02Oqv6vUaPKnMy0crR7gOK1H7ukOlpvM AQdzj7cun6+D7vm26f15i5t7ZSCmwhQ3vif9mqEmlR6E4tPaSPo5CziQLDHbjiHP0Xz9TkGMT6Io lEyQXul6yLYebk7uyMvM2jCHlrDRmvBUyGtYQ2oKZoTOY/gdJwmd2QRPBfusRK0RpuvfESBv0IBz dpZTWmzucX8hXEkrocr9Ry8OcpSVgd5nkg2zt7Dg+b0AiyubCl/KJ9+n7+xGrgF7nVHD5gH7TO6Q /eyROZUJ98naXZzV+pjFdukz0RRZK85iDDls29QDUgFTznXkHuNM5rElTsGzQDGMYgJuy5xISoT0 tQBbpi2vIzy6yXZYqretIKHqHgyvg9MQ+UkFaT9dHNT8Asy121keWIasacVXEvxi9GYZEKmUCauE TlJpPpl3w1ZDzE80XQIgkFb7hiqlntkCiT/Z5jCdb9XnOzzg4YXTde8v6kKQA1QBWVJQSzpGWxi5 j+oYJQigiZriBAA0tQVH1li7R+I77gZOOL/emcQYSX5p3sISbNzUmv4y1zKdEwzOQ4mEkrbt7FwP fwMrtPRouhT9GlKGM1EF/zg9CZay2VCexIl+U2ty8PkPKJ9CM/C5QkZ6ozmH6fVcLa3DOjeCMKPX FsQgccBgXJr6zmOBehU7kRNjmdtw8w2wSdP5VimuEt5a23Hmk0mYrKEWtOhTKuVF8SUWA5IOJ+e8 kHt9U6o+MBVMbL9r/IPUMj/qyC9td6zOLgnfSM68uQVUpJSsUjBdH+imdlL8dENqs4Th9cDyfN3T s82EHdsZViDjhoXtLcTS4tWQdmWBS5XO9CqQWZw/m/rCzkgPng9tM+OWtHyBRGrp7Sp4IfJxqOLV e6KncR0VZ1Wz5NInGBYq4sZ2v8gCSY16cpNl3eb2iW/eGCv3oXzU4wMuZQTyu76Zmmt5p+LWmOL3 RT+6qYIlwZGaIblQZ7xfXslV/S+ZIelzZwluHKsgM/rXqqAkUz1NlEdMXXA7M/unewrANs0s6mVX X+QvB7GaQN29lX8QEVBf/NsILhEfFn8J/Qj8iOiGp7+oA4/4VDKWoiwGYJcyTuW9IwxRGE1ktk/C HOZx1S0CFWN+AUs65WONCObpGQ63ywp2a+jw2w7FLv6kNB4agk2Z1XFwSyhxhvbVwL1YLYTzB34y pQ808UArYSXPtfQeI5aiR7q7Nrn6W1PkVqEiopMothMcDbSdEf39g/Ssbf+r1VV5khxGc7uRHJ7t n+eXAoCpb+O/fTUr+TCP4/gK40TqZhtosNZpZ3aagrNAsVF2QAR6gk45Cq6nkJQl9YUpLX3L7Ydt 8KfAprrrbwQd0BWSIv+BFeOjWS7UULdVY9ec9guU754SWyRBOlKOZi5uDlpFLRWsprUFjGRNPhWa OeDYEc/RpcFRvOVay66rON6beRZOrlUycvYH/kLGGXnj0eqqphinp+mmQg4aO76TxMSfG8MszaQb Kbpm4odajK/BjX3XVXJL140RZLqV/VfehSTvIJZE+IPdFxellrQFI6n1wXHyNqS0EZyofi2kwX3q XjtD2CXwGEaxjSAJU0a7xk0VWx2wHVXa+JnLRjtdpirwk9wHtoc2zcPcOR10eMlgLsyf7F/hr79Q ejGwZya5+C8luj6S04GFWvTRzTAWwn+JQwBZxCn4JoeKGV5HfFept2WjbhNttH4VO/94au0nM13U xYBGIyXtPZjK7Udt93fnrcHPTyxS0qKOu9JC7Lc5J3B+oZdenYxNNjNx+Me7ulD6DOGnlXLsTDig QhrY1V5iobtZeMh4gFVEfDErbq9nYF/U7oqosHsf/8k2/KHqvFk5hZWnSSJRRuTTVBlp5y9ySuK0 Ou4iCy0J20AdBto5ekMTNo/49OFWHDY/mQTZw6FrJHhh3/oCr0t8h66omIHUiBgjo/QNpC30V9TJ dvF6tQf5VX334gv8eR4oHnPyY3VsiH5q2s1CVLnBA8YoFvXNSRjgNvVWoLwJmU9RyAtmlSjYpSf/ 5+kkiH9mbqGkjzNf/l4IEQxwX1h/mLNY+F6wweXCkwJ1wuY5nw03MnMzAn1lMGSU6eJK8nAa8stm 280O8xH77MR/oj/21Xia/dzU6seSXmXRREx57DS1f4BZEjr2MDNOgMbWJxpE7yVa8Kb3SP/v9aAg DcsB+XKAVlkuLo7eNiCb5gvNMLVKGzuIa02ekucHIJdR8LzWG3PkTfiOv6Ze+DXd1rA7MLRcVJLt 4aYKDk0EoEEzh3zGePWAVECM9uxh1S61GyVKBNIEzDBmO/P8lKdwr4NEI7Gm+E5QuSTt2haQge19 tHf/5bfvkXd6qBdxampzSVF/lzRe/wnV7XwV6vrahTaN80+s00hR18jCqXhdZ9R4G2rP0vIHoeDc IcsaVGW0b4oh5OSBiPDythh3nt6BSsXzCsLFx4Ol6aOXTguRTYXUfPKC5AlLj+458vmvR0cAR/Si uVCV/FAsSj/fPKGa1sY5OtfYpOoq1LO25JEkNRSKO6mtjlifdyGsaP/4YHZO6E9E3edJ43CrBGAr PJ0RPr7wNCDtLP6JovP7e1RTbDh9w4xLgR3H6f6Hk8+oQTwELwFq86sLM+avHDZPm+KwkqiV/p5t C6vh9MMjU/W4bs8Uk/MDIjxhlkEEMREaVVcyjMfjJFnnEk1dPvEst1eBvhblZgx78dosx963JnNL vmSiLsGg8z86GdtYRSo3E6VG2sRmfSXaMyjFSgK/+8XvpaTn2J7aTI74hKALLTfTGy2IU0zsbOQQ y2/RrTvpDEjrEXZdSbmaJQ1ObLBeyxjowNwe+4G2vqKy2ipaCR24u1stbIlUbRHzXtk+BdjvYUx3 s+nj3mie4inJRGibbHbzDEaIyeg6ZqTvJdLh3wq9joCkd5rA0gtdBHQNas4AmPP965wB/qWKNQE2 t2VeLpiwx+2bSyFMcIuupBqpanaug+DN/fwdVhSurVGAHmPLnRMwig6UzaHfTfZU8LngBSw0SIzc zeZpqGrF7+AwvR03eWekHKghVFAvzW1/2eb4adNZYqNeka8rEsy7thSdRYfwOaA24Yp8wij3zLUi huoPo0pZ8YpGLr9JW8xFsrP+p7skhY6JClBJqdEM2DlKqVPbikm4PZMVlTy3sad+VeIIJKiwKs40 mcCXjo6Avz5P+vc0pRZLUlc/2IugdG8N9+f5TD9vbwKLnYaTCWxMFKZDj3o2KIdREkxDv5xlg3vG VXm5uVQr/tFxX9sH9CAZ3elUBegmWtBIeaMHvcqR0inx0+IQMG4SAK3FV2XYPK9IeOfJ7Lg5oc8N Kh7vGzGJWn2pecs+/M6TgHWXqUHjBbWJHqXf9lNciv5K+N7YNyKN+oSI6VFMXIOCNFWIU5SoqZr3 r0+RUkcRDLBgVBomzAgUGSGdICrEHs27hfeIHgxoLs61AHCFvkGYaHICqV81+lmS2AEN6XeMvCEF znCQBaAVEGtuumc5e7SoevSk7tWBl4mTt6YE/hFL+auLWeJCALF/UUQ1Ec/ZnUILAiMaFDFxBpIs KnykxBdUFjjarg0Pr+4nenwoiHWNcKTZrQQyXIJM5K7bjfuU3KuM8urtiUI/VU1S9g2vHVPT76zT KdX48Um2GOYIFSDotk1HmoYdInY9QMq9yt6kPpaDWqsHv/Y+5eb6xDOYuFuxG+T+sL91Dt5VgbiN 8mUzJKHJgfC1mGkHB86SQEzfQIhTgR9mbb0IO0dJVHOZBPqumDK48LJq+vUv8dT/kppm2njwIOm1 c/rr2Hu9v/ZAdQqL8sr0FFwd2Wf8PO0bKb3c5fx4O1ju0XJjTxIHe6gXyS8hUMRJpciUMFP8+wBV Zt3wAh4sCWQ6BGhtQJPAbwJsk93tS/d0/GoZg0IKBIpViLB3BneQ/vCL+wqzKLBDjrGq+Vr9chJL zKpxIe6YPbNE9bufMEIyZWDM+F1xwUDEOZEtVr7zQ+H1Mh2tTAZwvBhH9jXTNuaRwxbv8qXQLQxX cqw8OUx9s5uafmKRbRzjZrfw6u2vIFdQ7SFfPhjmPyxL123GzJHLUfbGsgCcPd0LxPX+wWNoEmtO LxU0ogpYLXGaZaWcKgYrC/Vrg0ZMa6DM19I1C/x+ak3Hn1eUYCMILDuYaNo+XjhNxYhW7Dz7YNzu IvyVLruJ+NIu3ax3iaNS38SaUhOPMONq1RxRkOxWKDyPOfQ0cgkoYY7hcrnPmnE+a5TWU744YVm0 0mztNz1SyVcS4jnkEgZROFOm5pMLugW0fNhyp/HFlySUTrZJX+1ChnVQbnleUNuaqh7EKSQiPcUw Nb3AaLTD/lKHVXqiruuPrSRpGGq7KPw3wwJ8uPyMUl1p7LvsOPFifU9H+i5Bva/N72RSROrGOzDU BT89WOQTIApGz5IasX3S5VBpP6oqMGVt1OqK4iHOWg7c9Upf8y5QbUUzFT5QHw2ZtLX3eJu8Tj7r oSf4y18e9CysBc3GMR5vvKwz3FC52iPK15AOcZ7z8u1WxoSdQiFyf2o9t2tDf4fTgIeNVbXDmhbM jRKntcANON4fSe+xf+At2Orp/bdiTlbuEgzlHgxMhMtqeRjx4btTkMzbRvhrlUc/5bMLvB65yQT8 zC+AyeBAtu7zi1+vFG9Vjw+YKkYVk4ICOPHbVRcquC1zazwMggkennfgYIjuPq9XLyuhrPvpbqiN VNBO9bh9UU8m4qt07e4gP9dibuXEZIDu+4vzqrtGYna3bO/0W9uEIZpiPNxGWDhbH4bMRqQ/kuQy Gl4vRJI764CtHwe5FAHMAtW0gIgy+TNu+4askjYIVKrJUddpyeO9fX7CKvs4/0hMmEYRbSaGElCL N8iRPcbgQUVlBdhditqqMSQihqVOQG2YS+243vbGr/SJSlPbfdttA4MetYEiqMjj/Rc2uVrbhbxk Wx6Gi8q1vAEjZoRx2UWsMS0paEX1uowPKbM5gcT02oJoPxQEbJ4hK9A7PJN/tXWtDdH58qDekb+M CSJh0k9PAWcFsHyRt8IoQQZzzjV7V0PW+dV5wAy8V7Vk4w6ssyMqtbOT6WSCfPYIfTzNTH3O3w6A isTQXGUaFyscgC0udWAPr4eto1uBHOoG0zFGAuqaFcnL9xFrHBnnked5/DhrJYhJXxy8CWuAYZLa 5i4wIiE6Gj5M1dW8HDR8aYYcXc0rTNIt6PvmR1QTslaxaw90jPwOzJFb8JPEeOmZ7lVF8P4YIB8C 7I4N3LZBy747V7+t/HnB7IdTo8F2yLl8SPBjzslz8EWs6UQ7MbnJx6IEM90X29K9fHOFw1ftm5KZ C0IOBVBXAp13IEVZDmM3CM9jZDAnWkyv9uhvz8BIBhP3HDZ0gyE6Vi5PEvKwS3uh8+Bxq7a2SLDa GctK58BPGAm4HMetUZzgATDiBzAf/t+fjDbtkSUpHl7ccgxN2F+nKbQhHNowACPbC6IIVsieMDR+ c4HJXwlPfBmRYJZS7Mw2HflxE1ynHzkNu8Y/NYhuYZLFIe85Co0sF0LUQ1NnPxOaRn4hmH3NyPpN 50oAApfC70s7A9iSFg2P/qUa1oets3ulfeTkqrFt0w0zynAlMF5iy4P+5DuYTeKotVyPX+83FGHs S36mCRTCgfHZQxXhbEMe0FLKa7FyI2R3kc5BfJ+fk1ytfrCP9MwVZUiL+BnKPau3G29aMTuY9ZMC 6OxeHT9YXJInaxGw1eMpbP9iiS94yAtxGNAwjtEmm4IRb6x1dgQi89Q/NHjjiHBDJQKH1TC96zIB sv1dCqBZ7xJA4mhStJH/wPD7XFYL6Vu5yho4tyb8efPQwMmjBC3CBP4QNuVOsnwHbacQ9QG4PUJq MbEsZcdGXzhmyA072rrxUEwQDR54tt8LNLdaeI9Qbwn0hygKOH7jVc7ciw7P6ARHeJhWqAEqKrwh 77pjRM4GZFh7aoOc+pisWtfpiZqzyuKuIPhdf3vNeaihVhhp04+JOzHuGcaUDtbVorZyLi6XHniz HkgWeQ5HAfYaJk5mPwwSYkfu8A3iMY4ZRYQ1I6ycQwBdmXq1sVS0kg6WNPtfhEqgOfkOyb3sOgCS higBKvu6rJNFYteOmJEEg8rJ2G60QnD/XU75GyScOPNwXaSoQ5iif6JxxBKu1H4VJr0SCQwIghEr 3QiS2j3YetDrbqvhMWl1hb3gCdUDmqAtcZCOmyZ7HpC1vkn1OFsr3G2f1wUPRrsn1SMA3i4HA1sx uSDDRp1ld24TEJdxhCQV0K+op/39BaHOC5oSi+ubKUgV+XKHWgTkxsKefozem6MlYqzkxJSAzlK3 tntb4NwA3eJbhMiiQyBbtSdXxH6DLeCogUSzP/f4p49AeO4kMEzV+tR1Rgleycz15VbmxAHTTAdF 1XbzkDcaAahhZiJs6c4KluLzXx8S/uHVjU0+yYFIcTqvhDxwpxfQD6tIela37YcFvuWLYu0L/TKW wZRm/PSMfMjw8gxPjpeJyHOXUbSb66b710Fse/5wtj4Gb01xUHH1T3IoSmdj0XOsoYPlKcCuvitV cXmeQOhe8xvnHSEspXGdcjn+NXh27W7ZktS3pjJB77ezdAS7fH5lw9HJSpwFhiTHTLrUpD6UZ8pL kiNSJsmC3wpGPm1gAgNM0D/3hGGU9R9tkHXW7QbU1y0C9dy0lkg/q14nmEQbMD8FuRgwS82wKJzO dOtLW3UagT/IhnNsvlsQQ/yMI1o10a5KjpDlkuTL0TcHdU/deEdNS6uSpbhQlvt24rR8glJ+SfZR /Pn5ky/eXn4q831fbUQtg5p5sE3nFjWDQjsDKrJvu+14RW24t2jgkDc7bIQw59f8gmIg4Prh/Il5 TJS6XKMi2riZeVsoJsloI4kLrKTdPSB41Io0zv/Fz+OzbzdB2U0okttt1XM0JcNeIHdxt6WJydDk 4hOsc8Yjk/cyaAYcErnwq2FBFDYs1iRiWc9AC1elJptXBvz4V6paAMWsv7+ZlTJExOGCuVXfGZVR IaKAHCDA2hCtDz0SXkp86I8G/hV1SPXaxTzrOCv4dPSHGMD8JGqwJcibQkJEKmhOR5ZYtiFMecV6 C4zmHXGilPy95FSooBJ+FFc1bBw2TvBK47DpxAH+e9ABQd3vCpsRWrExmBfGV9GfGgZ+DPqPB6Sv 90cK92Tsbm1WUg0wUXU5+sNjdiUhzEp97sUgmca+aG9ylCkvp53BMYPzn9gesi9Chvm7wJYfgZZX lXsLT2jzrhfLODclV0eMPJrtNPULchn44r5Nvmb/jkQxxS2qNSbmo6bMfx2w54U1TX6z3XrhngBp 8qCZetQpd0RgbgJ8GypPFDCQWMgqtcqNl6JIeKO815FcSLymnEWSb69+URnm12OZNdDDnerbut7R nvfUokrl6mgAHexC/ZEL5aQKQ0f7RTXcUPauG0HI9XFYLnFcOsRiMqHTWGhkb+RVj0nVhUFm6pdX g2jwP6J/G/MTSOlDMNQGTPr10pT70sA8ENuYXqoZdgokJLTcH3xMFhQcjgZYIKUkA2GrFk5dulbG tVVf/+369VXwHC71yPegZKT84WYmyeJ5XqGpjuyjlqTZ4EMbygnNVLd8yy2ZRGPtPipBXvF2gE8k 7JmUcwiEYAFEvcYZTxgky1Rg7a4GwWuM0ZId86PDgf9adj1C3VMaGbOJIjAwvK1HA98sBnaWVQUq 0g4Q+lqGwTsHzDh/Ub6+GeHCeIZTyiiLnN+NC9iwmPLqvI3y6tkxfIxT6KGYRtOavOk9oCvS6IA+ RbbJDmfN7TlcBkT85lrZMzfkg5I4Gb9oxnyPdxlmJxXohzhsVcslHWBuryEbGbpPGUQKx3i6Pxgd LW2M9bwBMD7131/ypgurijogu4r9im1dlpEYjNkTv7f0u3efJXUOhg9oguCpGVWFlZL2M3zNi8wO CqqTy2AFgIyhBhwmk/Jb6qKlURxej4ue0QC5NFFVYZ7D9e5wx3JXnMNVEDw3kv+PPto6XvMvXnn6 E2Ls+e6adXe6fpAwG6hxCnQ947G8GeEVO5EXw6QxAhwbMBvz5wevjkG4ZqFChheZv5M9LVZgN4ma EnlYVh7UjriScUUgNo3EdOG1Yd1rJwfCHBnoToyk6BQ83G8tG5xgSYMEGSrRDO7cWF0XBtoGoseo OdQjF7W7fm88PrLBPwyu0jzVNsxP5fNEvYwxkhs+wS/kYtYyZptSIq3DSVfcAtB5wDLHv8SakIeq ltVwzbAdmwDscMIUZKaEv7oNdybiLzGmCZYR3emkh9PfGJ33qe9W7prIhPfVjLK4q0AI0BxheKfi t6Gzx4K6Tivs8BYAuRMScOHLgHKmd0ZQc0FKBvdJIuG4TFBV232zNYyh861vtQ4W8Yd/keQU0qqB naXOXjEyJ3mKkrNU4DRkqUsJqc0VFtTmFe13CttKGCKqhKAqaHC5iwifdcAmqEfENPU+qU3L1nyr /uJSxmc7zj+00FZnLqV4sjTbqA8S6ePCbqRVuQTPXegCvAZiWhZOCz9EOyi+xpq1rU02FOhE/rMY KYeF2sUkl6Nae9c9agrcEjcaHVkcoMKZytfM5a+gHdQ/IZ0Elyjp0up/ReNqd9rj7NHRoR18jdcV y1FqqIgEimd/FpZSeSv6BmXzqFDuOHGdH5pmFwUepkyf6c6LhRAufWOAbujEw+IRzmDuZ84Hi2Pd QEtTK1l8QMDucLfDJxDDzNv1zyxkRFIzTzsx8lOcztjQp6zr3ISGzsqNh9Ogi1r5EASQhtsqZDCm 7IvAHu+b5bD2cmV6KriLaz0G36BcpAUO/MY2FPj3ma9ZK/ZjWzPgbRKWY93KB534EpUp3LvKh+LS 9JgpRmCbJ6dMdQCGRElR06Yis/zPxtgdGBtvFJMMsUOecpuprJvmYGQbVJeIdbbwDQEHErEaXuSN 1TRUNd1fzle4iR92UXNLc0ljx4sU1c1YqHFUuKcBwL/Qo2IhsxeA30NzkQkZA0z8n6tOXVnGqd8b sx2gyxviatPAWJMeaaBcm+hLofpODg6PIYG8fTgPew5tzYiJD7NTywPEGoaLrMCYKmh8q/nRZNVP JmzvtgmSma4qtFv9LdpTl6z1Ovtx4PSzJdfoZ+MumzaBkEaWY6ja1ysZ3dp65elUMMctR/0YaY5B l3rKHg8/rz3rlyiMkSBfMVsnXGnGG71uQl5Z4DwIVd/oqr4ZT3izxblFdXFDzJWLtTik2LoVRnKH ackzFq5gnMYnHIr8WzBtMhaDnGh/l7QUzqFnMyknQ9FyfvYIZ8m1EnJLeOYjhndarKSaJrhuzYse uPyYLleA4zC3uwydkxnpxX8TAIhY+xo5mDNd50CUpx/EzQbNIBpWbW0W3nlUvsHQV0i/b638aRT5 q3jhQz7Ypq98VHjnyed+j7XjkZWc+mRArTu9Y7/19t6Kp6bZxQgdgEDlC6ZWGBo8VNTj/pK+wjr1 39kLphGmBTcoCNmuMJiuYkS/YKv7StpF4Hk2fiqyVH4kjqvxlP46bDmQwX4cMRHmy1ILDy4Y4urH XiqBnlmOZvKA12QWiaWmAO+5zxBNgEfcgDU69Imq9qjphYeK980gKHM9ha3HIpsXmoNsiX1nXahd n04teHJjFTIoWoZ7u0yM1aKxKKB2K+T2Pew4iabBbb6e1VcDpcScTQzT/iJndEsVzVyfKHnV4r5r L+ztMZcx8O6ja/F9Q7Gi0g25Sd1XfOiDhakUksirngiluyitXRzZOmoY81fZAx24mr9eZkhUpb5I +OA7YStwFWv+7bCgbVvRjYoHegRofgwgb+KSt3Te5eqWg3IGxOdgx85LAo/cCQZ3ecKYsY8WLi3t mh+AG0rKKbCo0Vf8uqsprPGSUt/hSjlxWNjZieH30LZ0IcwTEqpjlWs5k+/xaf9qKNkw9D6bjoCW Sx0vYd53rI9uEnHAzrmvadyQbKwuI/Twvkzm3Tx8ZLV4remXG9CqX2tZi7fXJxqpq95RyzTp2jC4 JJ3nB2qWh9iNdPXy8VxhLqTtI6zuG/A2HKS0zDkP0lpPTFTB9nLJiK5WkdgQ306OnJJZTXywPsD/ bwCt5qQPU48I0GbDekoGdWlrDYhm+0R1+sg+wzbcBEK4hoFAOwvURVantjD5+8FoloIkUvhgiMVI KgIk3/T1VPovQuuMIKmbQRCBbzMvyImpWoy5qrV40XkY8QowXOeL2BCXh/HfHld5owb5HdcxTZyD Pr8Ec+/RqSYxu41X20EJUYSyvtvq4pV8w2RKVd7y1e8na7O75rNpzi8LxcAq8RkXzNxZ+MoTCVaM yu721SHSF0XB2L+SZusdUt6Eya21DDZS3hjujYWprYDfX7wRgJDZqDxRJvwTMQ+gDzEdATBJssYK U0w3zbvrAx3PmlI7gi6EtrbvtbL0QbysSl+GN0YxAyaocus1kY4RbR8tohdtfvUkhsEaWZTEhk3g npZkS8DHu+TG7ueE8DJrcoaQkst0NyApqWaOKveavMnm40r1Gos7Xyvfixe+wFFN+B5uEb//TI2a BnK5LZyu0q2cygNnj3BSGAGEeoSrTY2HASl9h9HkzcHAKmnJv26700ZtCP1XsCcxL9BzrzIuz4Ao c3zv5bLQdGkBMfOwE+CdfTIgyXREPkBuPPRCE1WxLqGmcnbcUB4Pw3gxZdabNQQu9Vju1UBFYF52 hwWIXCJJsljY+6eQZCrukuL+bmjuoot29+LUa6vYp7qrxVJWMXhEHtH71PBFwH9WmGnR3OGIPriw x2qg+I0TW6rIcWyLtnr9NT2jkN8AXuUiEefIy3oigX/0/emDKuLS4uc5BJSH3TuclxqzKMzFoLQh CvqJZUpxfepxxi/q3VF5+c3OpweASoXvcJmZT/oK5aQQlifSarTgQm6tdPq3/WBoQpsAWpFx6/xx /Oy3MIK8R7UwU/pYjNeu/t+HVL2dOq5e+eCjIhLsYlnvOFkr5wU+fE14Nfu6rzwJJJ1mEu7ERJEg 6timF7kWzyiQQbIHh4bU6ImzVLMjTjSOHHLTuT5jtUEnfxojlkN+Vki6ghkP7AjcDOVg5vM53K4J Xwoy4B/QeBHd77Lt79wQk/4mo0IzXs9khRyZ5xr5rICzq9TJeQSzw8IaBWE/jEFaHwdlQMP5mFef Rl5eV9QNq2EiR+yI/FcxsBhgavIwDXxmGesUK02o3nBEV5liFkWJNhkOL0FwCV8sjW0HEk/p9zpD b21j20Gxxvza+J0tB2CaufLXGvCyWFbURjptRD9wd4ecTaVlcsd6gXEVYee4yxzgM3tRuvzKT4OZ dfVZYrR6acqENeulmF1XoORCpU+3eLFpH8hxaTxqt9rgxDX2MGgOZl/LXphNyHeADqwQzVAGO2uB Tz2x8OtN3+/KFbJ7VO7GC7/GRruZpc73a6b83CgL9xoZcKl9gul4PXyKe+qSep0rTIoq6OAxOIur CYUtolZKBHPPjT3xGMAzPK+nwmksswME/ct0a3HzXSJtJBdT0u+HlypERpo1twp2sDKryP6gbfpm ZgytaZ4ZMpdcZTUCF+57kO+BiPOKdaEGzK7OU/eoUb1dbEyDl6Mbcru/j5rfTZFGWJUrkMrr4BLm 5KCvGOmWTwqCWzeg4p0sWtYzeku8yoqxBx/OrxKOFKMW6FmKX+nzTZQhZENsbJ7V93DwywZi10kK OGkiNEmSULRUSOmwCsO9KaLxX79wlbKfB8nxNCN6gBUnWPvKewcUmARQsQI4jvDo1eoZiR2JRihm 16RKTZYDavrTeYMMP+5vgB7VBa+oeGxbXHhuQc0SXR+1Hz/j8xLR4Ez+pzqgTY4cQjAnyWfYEdLP scu6VcZ0JS3kUT6LJGTi6DBDq2/5sCUAVkU9EDEy8WxD8XVKlclJx1zdnCViti2+iSwrNRCWrFrh AyUL1eRgXcSoKuTvclwuKypa4z1vEiqFRoHVnUWKls0O0CMMEJ9CzYtLfWOSml2KQwsgIQ8a7C0C oILuK6Lilll5ZrdOPNxJt27SDDE6n5YOfkqKi41PvsLA3RgmHd9BjOhdyLDkSHGltxiRCNGdCVAZ z2GjjGBJD/rxQOtEf9Ho8bNCjJ7dZ2CxEjQcxF+vQKpSkFA7vbLl4cl1xCMo4YASnyOn7am5r1SP Ggdp5CDwmNG6tv1kovkaU1kSfyfOFRdtcgPp0bmWei+KReWbjlpS42LPHhtLS6gekoXBXD7A7HoE gBzxCVHjZ8QBI0ySmQwFcwN2nCt07h1fetUNXj9vsBl6YTIcauw+09KuWG7aWHqPNtv89C92kgDY a625Xb9K8xmdfd1uifV3polnlvZQY1r5MEKtkzOraEm2wY6BvbNmlJVnF7lNCL0nXI+jDAMumjjO rEXMSMBaat7AFoELHPbraKixL/qOFwmqihKXkMbhtv7LR58QopvQFTDR4lCfFQmpx/FOoh0pVn7E MphuoUqehxN0GJYIVb9Kvl2rfYIuDXGwDTimF+OJC/qpExwzO6oaLx9+KA0Ah/reKi31yXtrgdMB /ra4qDiXuTNso4tPe7qIWHK+2oFTjc0Ws7TzHezepNd1m2CQTa6q4WR00qBdwfP8MMbE3b2ZdGTn b6VByvG6JhzzzoGU6hdHkxQU4eyIiiFqRKotLv5CIWmN1Z7cZ2HL6isf3rhEs26yzOI9F/wxB2Wq vEDUCVWsB6jqk6oOcVlu9IgEt/YpPOIc9s2SiJJsIZ4Xz06FDXq71qKpEbheXmrk/gKNmCC4c8GU dlZgxyNwRmz05OpwXXekCydMW3X9oU0d39Mryw4sCbqwT3IfobIGt+N2LDqG8Vu+O8tv/lh8LQa1 CAMjrIegjvD5FEpYJgOSFtZMDRDEVAYaOV/sN0+VcA9g3nJSDAMlJxHWIlo9bpCJAWjpVDe+Z7Ob KR6N/xUk7J/40xbfA2ba3cICcRvO7LzzzupBkSv/noaaarA2WFI1A7JC71p0QyZf61RTa1O4Owrr L/64fepW4Au4K2F+Oby6lxzHzJB8fwD5Jgahva5xzPxJJgomc9j/yZR3BkL/rzn/W0DaqGbssJfK G6AB9GkOtoQzuvtzhTvuLrjBQ9SWAPJCWr4Ztdr6UMnXhMfgoS7/r+ruHbLUJIw1CdvMsApGPwUf UCNcdBGcMbMC/cxXRNjc2K2hhJGUY1PL1L3ufKiGCxnLxy0NolIiOoDPE22qTRd7uCetrRht6kNY Boko08PdhAMsK3hyTZgu0ceRWDM6ATmCwScr0iXIT/gUzuZzFq9xqAUDUqDgj64YMFPF23HzkWN1 E5cniRAE1dILo5gn6mss8QN60Tuo0dydC8m+lBXh2yER5du8Cy1j0arUCK8vXqlds2D5r0JCJcJJ qPnVJcz2/wLC+mueuDbrqrkfSW+ONkeB7ftS0xYcmM27E6rxraDPjsnqDFBhO6iEfOh+JQ6Efk/e GFTPC7rIohjLX0RJmlyvtoz7oDtU5Mt3HkfKhh3MfHOGGcdU9zjlKYao450CK0iSAGUbM/gXCMdQ wBgOJIIsC2BBrRVMDhn5EN5NQkF3a434ZfsjB9hYFFlgg/xMZm5Vp2MMmTefJtXdkkEdhvWtEXYX lgmpWlRsOH6jgYBgZedSwavycxa6TFUHEnj4srmilf89wuOav/EpFblR9D6pC3Ar9Wf16c+ScG2y KHR1J8eMxXbONjrnmUw8+UX3xXHOcklMdpFCcwXjaP5/JSs7OcFcfOzvLsKLUTdPVbgXhgLnbPFv R9KLjaSUM8trgyhfZUa/amAlXrrIcYxfQIJdI3NK5/rx3yG5QinqETaH6/1yARFpgOrmaOdF7m4+ OQP0q8L/T1XtFdBB1f6Ekj8iB0oH5zmyiWGl6W9oZWqJAhoXtQBh3dCrP+yIA8UXa5KxdlwBcyVR oD3U7HUKs5xD3jLwNT6qxnB6G1hqnhdLwzFm7LMkGm8/1YYzLgwYp9ljREKZ5IdsGjys1mKVo5Wx 2urQ7OH3TdUl4WUWVfZrRwtI9VOTRKCNLOZZ3OYp2avc9V/jTdavl8ohfVdek6kOqKp9gVNgrK01 ou0dHpCyVzjoLxDKsiiEifI8cWyLAHiijwwjbcpcNEmlBefRYdaiZvGCQUi3EaOnRt1lDw1biqxm gxJbiFJxnRRerk+4ZnVrth6ZAPtOYDtI8gek/AavDrS/ljQN1JLXxfippjVFhX54bg5NTVGjbKfz ATxXXwQuFfLPLMpvR16oCc9/cH4eShQUaOQcM4Do4o5Bnbc/W32faC3utxOG2lwvyQ5pisH96dZV 9N8lLok6w5K5MULpcANhGFamCHndGZlnoUVAxH1oSpaGt0tNEQPB1KBDGUDzR5pBVxwZGSib0eBj AqY1VLq/CIHMtz21RP8iTDu1eqpD+j2pxmjvMNHImMdLt6rlhR37F6IqZNADQdJieQgitV69Xrd0 w32XdaArNpoNMZK4NQ7h5Lg6oJdFHTU2vKfz+Q8fvNttXVPHk7Dpnym2/N/95t+x6sTzp9SpuJL/ wteBADLy8DpQ4osFgfJnN1jW13hvTCK/am8bZ3EcGc8UQ7JqeMQ7qibJpXAuC3fCNYL1WxEmCVDy JR9a5AwGuIIMD4LfTfiIAz6790e1+p40hzK+Zjie5IliQycZWzOl1JJM/tysHaBBAVxjv51ILS3r 0CTd34kcwbzg6qh2FlPyMABtHNQKmZ9QAwF56goiZpYhZ1lNdlT6GIpgONqi2mM0o2xVlqk6PpJ7 ndESx88ukKGqa9PDu3xN3lbtVRF4Et6Qq5pGXrYXrib9q1yLtOIYex3pPmNX4F21IY0qACXI7oY5 /zV7enWMFMkSCeUPssk0EUt8iesnGrjYZ5FGv03qL+Vimw/TyPekeq7g6oXm+j2R6/9M4jngdcWa Ln8GJA/cDvKoYVqRXk0Vw4GdAas+LJcudfoPajIb7stpNQm3tpJoiEr5P75Le1mE2+L71maPUS4C ZwK0LICyvLBAfxEH5XknzldnfcVwsOyQS+F5vX/vBQOLz2sFIivoTz8Ceef7bgu5I0qocgMQQX3C JJmBCcQelQEvDX9yFqMaBuv/Pu/36Er46vDWRhKF `protect end_protected
apache-2.0
7765c09684ae4c22a28e55063c3baee4
0.944294
1.845803
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/jump_logic_gti.vhd
1
40,780
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block M97EkI6FhFz4Yo9U2K8wRigNx57fOt8lVFwA+PXfyNL8UGSMupnT7hTMt0ju4oDTMPXOS5gETSpL 9PU25LWmng== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AMVIiS9DwkcMnAPtd9qYYC7sZmD8LBW3VN3FgxDycsSmKhvE4eadqAZNup2akZhDeGMY5EynH4YS bpsmoGoAc4x95hwDPsGRuJwLaDvRRqCtIbHF6oDCj/HAR9KfosbqgEc/5q41XQC9BXrGgMyyyBgR 9e6/PlPx0UNQ5YXPHOA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p2fWngAziDA7L9sbbXdBKa3pCTwKU0nYubaiDHU5CH71VmlJ5/3TydfkjEICoY8ZHgnkXAKiGO5i PmaCnLk+H8GtnKa2CPT1gXVdWbSNu53kEiyg3tw1CCqp8CcX+MjpgC0kWJPlRgz9SX5dgwCErSOE 3xq/fyXb3UwyRBbdxsNGUlXZEopVrkVWEHm/crcwigJdZJKJkJ9wmAnciNeqI1geZhJXpycrDNEf EtYzKLCjEdfK+wnLcgcqAStyaAieMFAYBPCywsZDb4GWNeCgrHX8ytNy93jJqh4wHp+2QEiaMIv6 tvZNykrTdO+rG29TA8FbVrUjlkg3qyx0CTeS0A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vcpZLaqvZH+X4BLHyO7alungV2YZ+1iKd4zFRS1fjy07JpH5sXGU31gyM07MnIrlUGsD2ADfNJjb ptgqsEd4FxrCZ3yUXQRz2g2mvTq2qsGVLj8GQL4fk9oPs6eG6p43ZrWdED3llXrT3SXRFCm1IWea wIhuF9pGQtbd0RuW57s= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dGG1Du+0IRTeMcXCh3n6bqowdN1ANgbqVK3g14LZbzBALXCADSwxcRvLTiF4D8Er0+fGtcOYfPUm MJkpHFc9R2h3FsIgiXT6sVEMzHbqh+X8JJ8LiF/e5l/2mKyNShAsMAipYUKaIkdTf8JEKKP0e3i8 w7P4Qt8UfvtpX8jDD7ufpvYxbckWfW2aEHKDxQ0InK5ddXdBiyAaYa+rpT/VgWk2h7WX4obpP6tu oxXv3/vDdIYk3QqZVmMi85PdAwE4A+ZTMoQF7io8h47ecYf3ayQI4raNg2M/tf+SET+t+KwBhlVB IfJyaFiP7Yg7REMwE3DB+HjmfQJJmpFYcPKfaA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28448) `protect data_block hqQtCvtyNAIRhohqqEDKb2xQSxEZ0zwrprsYBq+DyZ5pyFy2wx2jJGgbdQ5hFVoiZ2QMCDMg15P0 NeHLU0i2dmHh2rDSZ0XHpZ0rfCESSGzEJos0UxvuixA8LMedoDf+Ym9FCG8u4XvTNC9IGGeY+R67 fID26unjuHwfzRu2Zku2OF2cibiDqmg5Pnx7G/bnxLLCvh4evsUnO1zwnqYRI1k6Cm+2oxn56Ul2 SGDqQwZtLeuKWoVjG2vyFgPH3UXoG7guXFiWJ2Q3uFqQ+vCeSZBGnLP54AEylM67AerYVciBoI+h FNsd3SMWmUkEsAeeMKHlSb+3PCKcTt7UH5iyjq8yTApBmQRAyFMx5ZNrMSgH/AyK3SmUT6JDAxBa 3ex+7XXfGDpqWswEVangc4dqlHqwvWK2z2Q8GahggN9oJa8C4CyToVSD8rqnOoWBRszgljPbG6Vh ZNXZ8djOfFke45FP5AnVEHMHbRZlCPIMRhjayIy5YD+cfTgAcejD3vvdbAitqKQuGveaosXV06FF 5YFm7bAe8GJnWwc4cBp6hm7Z6/w4XboFSaVgKXDRmv1Ou6KsEp0NLBwy41/kqfr8rje7GZ5g+J2x EE5piI2mTidRXn8cqBFAomsz+qnwZGUQXrpXjKx34ctPTR25BSxdF3mVuPogI312bSy5GE1GKyqL WlXQfn6cZvgvPBaZwnWM4qsyVrSQZPlwNY+c4WCdF7Kl4aChghKrOw4PfilhuGAzNJGHBiQ1QB7t opmxKvn5k56XpKOVxBLdqQaB3btDsb+p7N1rP1haRXRgz05ojZqWEGSHHRhAkLjKqQx1DqsBnps/ sXLegIGHipEGmrkp9B06PkluhhKA50FAhE862ZJMmLjrGU96NZJweeRAfHczjiNJ61Pa+tcISu8b bs0tlrGZ2EKhV5P+IVcI9DBGz9QWIXRwQRPpQj+xa8qEw1I9DOx+GC9K4wl84fYv5HBjbU8lKygd ucu6Xfhu10YKnsf246JB2NHUc6n9UJ7PIk4RqTR+AYgqfyDBz7tiIKJl7LiBO2g6lVHMR1jw8dEe GAmkqCFc0IuozTz2aXZQmPWaTlFMmX43hQcuYVFhaU+klTxQmDAF/YaBFx6aJoqdw6eu32Z6IEFf hxj6Aeo6/OaVmdibWTP5MWFHj5+mjgfGhl2rPa5U4QHcOeW7edeIVfUpa85kWn4FeOkaBBY/5Swo 9FvNneLjciPTbV701+Z7REOs/9FOdS/y98W2nnHNfIzwpMYed6eDNcOZ+8WZnw8WLnNZDn58Z4WG Evg5aXkBZEZ7PsCfFjSN3MfT9jyKJobHDXKuR52SoyKVI0B7R58ISFIO89Hacj1nQSkn3ZK+z88X dV47nCW8fz5BaW5gOZzVfMxWOL9juAzBcuQkHGyLC94a6Xx8sI+R1YScX/t8ynL+AA5kYNrIg8pW hqOCBHdmqQ6nqROD5WmvfcVFJmff7ljd7k5zBRwVrVVT1I10/JWCPE49OLiFFoqT8AS5yAMzmk+4 I7STMVa+lJ7qAnpuhAeKhQl5cKeqlPtLFCsGF1OtssLECs2R74/ZrSdinsktMJjRjEcVFptK9LsJ 7/iHWNS6JhOPpfICzaVmYM8HWOgXYxeHs/ZeBR49d4RnzyOV32242zbump5uD17mMcOdjPHeAXPi 6U4waJBgOlvOQuXQRvq+DH16iOesibr1aU0Qe8zpTYfYnuiweemU/ND3kH8YNxg9NUGMpBcYRxS4 A9doi6EXyxUVX28bdxwfVg3rMjSUg6Hh4ysTSjkgNMv3ri50xhTiALT+wXdZ8CYwA8bHSl+ftPEN 0g372dXjN08c//G70g3+MLarWEYD+aeMsuRKit+6M7Trb1FZMHh3fGBD9gmOTQ/iuoGpSlA10ZDJ lQARxilFs/t8h4WdiUY89KK7UZ2W53KPvgUkaU8T4jL0p95SWVMQkCjclJJswHjkWehn06s9pmNn R5T4oek6P2gS/CzCeeVb3SAPguatOeDATsMJAGYfe0e5xvizzszqLCuvrJKxDwydk1M9AWjxuCIf seLqmg+XLMtsUZIEi8qpIY/K/PlkQV9PkPFLo3JMhhQ1SGC3GsD+I822Xactkqwmevvkj3IcpgRu ZRtKGxaTSmQ9vYk+/dron2xnoH3ExeqYrh9ZktZ5rXjLw+0uRNUMZUQyLF6UZ1Iu12V0vF/UzU+S vy/qyGj3ID9eUJNZlVMgSV8t4GnGLicmeRjauTPA/xRzTgjPdv21UhykFGmd9IfFEc94EUf5M2Gt a9sYl5a8/FLbmf0d0kGjrby+/JlrpmVhIcad0isOra/ztNAf66I7uCz/xtyIQF/kpipzqnAr5JVY YjlqQfERIsZL3USLC0hyxZded/nbQcb2Q6sxy/uhHDr2XkUq6Y+1FSuL4eE99F9bBEWIMBG3kjO5 1rGp39H0nrqpsZ0wQiarYTKLklPqRzSZlA016IkpPRaAR9RtOMwI1Zrz0hHXm8moZ0X2dYDNcOze QDorApOApiPmMoTP1eQ1DW23g9dP2cWxU6qlst0Da/LlqzNhoBVSYhh/BPNu2Rrq4Ixe3yxN04He mtC9Ya1JoMlpGuu3Y+3094Q53r1F1E1CWODoDtxklJ3/mpqM284iccX5cmCtloICHV4hR+/b7mt5 dMSHGd51SE1iq7BCIBaYPFt8AIXWYx7zGTrInX45e+vckQ2fkVEa8cKXmucrweVzlEgDoXnZUk9H pnBjzT/fHzwR/UYbf24klk/vjd5otmj15k79VcqJWCg1/SGluSVJAirL01Q2sshbV9SHVI+1mGN6 Co76zwfMbaGZoWVGTLaxlR4N912fIqdLemaJODrRsgVHje3WBwpHYFEBeoN5vuXZiImOEMB566L8 Qq/zdnR3oij3eKVpA4f7qzn/kbmnjZZUjvZfbZY+8lpSperplcgwV0pTPRmNqqoHndyrscG0pj/a qGBNv09h5o9Q2AA5tFWQ4wZlHyIsWrRU10KYQ3YFKiSR658i1w1DsUhQWCt6RlVuo9qWRtblCvH2 x7LKMfHhpJkVIAA5vWRaYwLgnp6kqTjFXo0jhdJUXSDhsfTNnCHhv0mgBp96NB4QPigZk1476jwh t9PnXWQclQJ7D0oXa7aAMksy0HvPOc6WN23SM3OXJh83VeY8Djsz0bnZosfyD0rmSifHF0sfaUU2 /SEiVlF+hFuAMl4SHzScw12zaIpiBjFUZWemcF+ipNJtK/PJ//ty8WwEmCqqdKZj35yYPCh1DcrF Y24f7XVF80R2hxsS6oJu8qS2O6e1EIXNWh/qaEDlDI5IYwZTUHPZWMHMPcq5eG8ImEglPpbpoPoF mbBTJOFEHPuAWPBBr14x1dFVYpuEDQvObbKrxQfKvLy66oIbyAUDj9RMWvL8a2ggmuYv/ORwToB4 wfEPjFJqzVAWWqjGdVXdWi+aYbYCg61M1wrnpKDvLe70ug732RdJufACtFO72vlhNQE6mz3olEvC 8n/P6INTg7y4ErlVCjqYfFtA4s8qeErjQrI/mU9qWj5f/s7IeecECElQByzMOwnCT8qHqGxW62T8 cUOzWC+Y2A4mz5oJ41ce0Sqy1hWI05UHIQ+Mcp5EDeR/P/ZSCpTqH7GzaCsQuUpIXERxVNhhU41M cRsqjyJOkwbKv+AbzPhEsGytu3CsBmX7Yd9v0yoPCbb0d1OuAOHZ5ZpF9t+T8xEbRTmJawxowRhd yicKZvk7vhDF37Kwusd2h9sZNneaKgEtfYcgrQ13EZBWHyoxFTccRW8lo3FQ3ly4ZWMvOdwZbShh 22w5in9okkKMysIDPP/pulCIYEMwo93yfP+qs9lixv5IoDAhIxPHotH1DvRiTNeQfOuhY6CtQ6Qo q1WvLYXN+YfJNj6HnPSzG+doOFoVAkZ06f/8b4rW74PJynOQyaAFsXxebHUrGV8YPJDdxP6VmmBs pC65FtsAJxpOUQ771o1p+PW8QTnOEIdaFpT6xmqEzmEFSN30w/wuf9tH5Lzi9iNIHm1mx8c9cCYI 3I8I5RUHFb2UXMNZvv1lfl4CoE+z3L7lrFbe5+tRZDdokFoYsnBNMSNpCHhTp23J0Q1e2gKr8ioB ZZR664YPL8Jm8IEp06kbi2+Tyf46QkyUEpv/ZgNXOcVCsBE9HXHa4soizIYtbY7fDTE5wApsDMux ixHi5i8CXDHyxSRvf+o37Gl6ZQvnVKpCrYrqrta4fA9pJptKaY14dkCA4BmqhsIU27ktkWeU2Zav xypKvEkyPxt2frUJXgFrs/RNu29AiGf4r82NQtNCMYZhOi9xY+LHj52Me0MwL09GNGtOWejBNr0I +1uKjTEeIaZEkIUAKskVYTSnpP/YaQgICBsXJF/0CQe3Qn4OpGcv99YZEdmjWK1FsznGT4l2z/ch GSdY0C1V7UUuRzV4iAKTGtY9wBFDELydFIDb4OdA1XDlp+y9BCZXRcXsucAVh4NrSemhoT6XT3k6 cNvmfwhlhSnfbcuGDu5RR1ImwBDtYdbfj8nyTiy8NMZgSRumxBQyEufLSeDezegb0ZUIkrUkxcpc 9tabX3Q8b0pTklJTLtJxwiusLSyXEUuaz72OMU45yrEvKHcjxzQPnsnHb1CzD5H7LRRFz1eg2RWO 8USw5eeYesFNrZ131sk3+RbEFrrPptGZ0vGM9WNMgKKndEglHGBY++0cyliNk2DRhi3Nmjkh53Bz kRkSWpvTh32M9EmepB00vaJsYmDgRd3cRBiJb9R+chMDugb7Rmto0ZU7o5vFQSz6Iyzz58yzkYUH oNjXEY13F26jHAhdC98hCrvILAP5VkocDZO6/UPeVCkZ/1//1+Job+buj7zZit8l/vj5yse4rBes pwBKhrCv0nudw4CCETJcVDe4P3AjAg3UrKiJlN4B/d3J/Uz3t13ELwXVrQVnuIVQSZB1Zuutx7ry 80Uhk8AJx7dCOTf9S6AHpv3yjA/i8cWUz8vUUK5RCUeLnCFIKIf9AJytq0CCu5EsV30WiUmTxctv zB5FExPG4l3VOgrdConcrwPPvL74XaEylH5HSYKBFY8TvYCaX2SOVHMnBYXNjHQgPqv12XOkgfXv +5sCscMb/B0t+z1Vl5CWOSiTL2pHzeDrAINulKeVrCb+02E6c7uZaXxnkor8riPquSsqFTl4IBBT 1pV20VDu6yvKSyCPxwuBFU9Glf8fq8PkBMIasPm3dB9Tp0inzLQKJJ9PKk7t1sk/Z+faLKb3qCiJ mhm2eQaBh8v/Qvhbp6A3XlraARX/sqfmWOPFA7eUiA+NRkYKqj2ekHJ87E6JjAXGVtVu2M/Uwc5L RXSFnQntjZr1kh4RpYbruYX4cNoB+wvu5fEEOyiwMMqcKcU6ZOvbb9O3i6G4xhBS/Uh1xomBIAtx jXzQjQwPwlFfWnyaQeAd310Kybcj3sBPKgIilrTab5/FdaD9aB1B9mypnTIzD5/sG9RNBHaIf3B8 WZOENiKU1QDzUTGgYngLMda3mGpZLz61E46nmU2UGzuHzx9nsrxIVpJaKbJ7WKReGjBURUevUKoj 80R3exRyKHr2w8GZvKSfeb0hm8I9SRk6cUVYj2DwhYui8zw1XCFSXHqtbDuRwZzFYv9evg42wHE5 YLDYuKadYyG0JknY2YAZxVL0hlK+2bRawZ4AFJp1T6821nXiZRbgAgHbC1e0cNzdCbRCFuMK1aTE eK8b9iZkcwTEOOQvHDYvNJWtP8qlRoJwUNC/chIlyJGLdIRfmYknPqoljznqQw/C9GNqZnJvWvaC 2W/0Eeq0wK3EnDsXHXSSavW3TJhuC9O7kDVjtTWVp7TDMBwAXNfy1/19zb1lJcuniSPLAfKEoRlR OlN7o8ldP6ztOhAfqWLKZq9muDdULHj92+yLAaR9MslXGXPuPZS2Rxd0fAqNtu1p5qhJVgnaUtp3 Nny08dx/Gf6bdAHxRZyxMfNwwZqD3/rZFRp36UZsGubcy13T7ZXTQfIfvMBJJmDBtgUWhpgVB3GT cLkIjn1oH263bro6T//ovLMGKy+LK4MbRRm/Rx/JdL7S0SNqIjgRU+Lq/hJJT0YPhNE21yNtqPmx el5CjLZg1pwsaJOwGAXhjBu4TuUPR0iVvnqTYriTRHAMtLY3BOysYDjIIwthZvN/9oZAmGKsRBYI fo0glOrMHNUYs/OqexSmJIChwxD6DDumfek3Emo9aVAQeihhA81jk0aOUwOn8Yp+JMb3iC/3OpOz v+mCs6ZgOAHtdGG2pqmu0cTFn1GzG3xUKCvwHYczh8bhXqcdzsc75vX4lPWEWtw1ZbNQvBiTpdhj tb6g4X9tZ2UIjZyypIUjE2jmCSZlrFGc0VxNZS7yHEVAf3mDzkrzws1/daA04w7NzmogOKHcP/rk Pi46PGlBnJiEzJMdt/J25PRbgZZrIDugOWKP76J19n5ujZXrWgoyoyYBt7ABViGS0MlaMa0mvswt UqtH+i4vk7y6q+wDj3tgKA3rG0MFp+NU4sxf2L7ALCTUx1a36eZ5lbyDaFG8i99pBYvegEP4HBld mnyAIuRROf/XymaQFMIdUqpMVrzKfTWO4xdUlvZuHdScDb1glG2WYkoYyLuA1fAxKqLluqIyxMz1 2UC7gy6Er6tZXeBy+96tWwhbuAUAuBZjBHnI4X7IqVCaNj1Oe633wLZExRtJTaaybStrhG9zNWZP z0pl9G3dD1t9H1KE5lxipfMnVv/YD3JCh5gyziB+7ixKrVdvER8vrnxBD5Ss5IakO0UiLEPouMoj uEO5dNsdBcTZXXQebfz8TWAFF0one5cTF3GIxgMKlAm+KbwyN6V3298DVl5vxznFfQBKQZt1aiDw wRRgFR4y2Nb2aDRYADMAlpI7i1rdqStYQRMTHa9zQIXOrcQ9+t+HLI/WU6306TcQucIbtwLWRBOR /dGQtP2hSk6Fo65Iqy6UrZ2uAJxEGKazAzO/0O7ezo1jR/pNrSz8AmFIb6VqBIwTMyvSD2TTWMcM nE5bfkoH6U+znzNB4y+e9OboVSzor6GGdpOgtVfaljujo0gITmWltSaB+Zc9yxsq6fL664BAU8cN aFfUIscSAIwyK3FkFHU+ecO+4hLmQJnAHSZKxhXG9qzvhBaubdEjdkD+05STPVHXc+h0vxnEeti/ kW+OztBoqQQ3d72iNV7Yto8qaupT2X2LIiHXAytXFRuhWx99mnW3htOQ31RbxA/9PyQu7ACpsENn ORobpjiiSO9ImK5l/0ItUOF70cOThGIlv/9w1QPQoEENRiyUMi9a+UZ9i2uMz107R73c5ZMxjvh4 9jOmVf8VXaxAz42SaDiwH/5h7fBo57ApsP17cgpm/Qk1m+dqU2N1N7xGy5TilCbLP+0DgI0GfHgo Dzz5vBUxPOEZZzTeKs4xtWF9RkRDa5T9vZFVm9ZOqEnJijAPiRC4qVpGdNiKc7rbaLsUKF6PIT9y F5ZyoIUngiozpk/T+pkouTUGR5+TlTwALYkpaqqew9UZ7wPsubz2lMDx13FT/dgX6ogO4mRI0ljG mCI/Ear38Pum/UpSW7ruXr65o4dGUQH0JCjOIkXwcHAA77/iMQdnZ2yKVNsi/LBFYYN4vs2rE4EZ 6raHAfy4fTszBRTeEJl5TkWDganKlcwsF4E7h1VoLTOSNUQKXvpYG4g2h89WL55f1kzRRSiBV8l2 OqY1V/cg6WHnXLQdM1Ie/Ou7wSgnVqfB5Ymy0uxWYqprG5libiBgJA9AJD0x3fJsWXNjQM6OnknC RzHTOIH/gNYXRJm4UGnwXXFqs2I/h3H65kgG3z+u1WR3oPEroC3i1IOcSYX044u+vDCyRe9DfNsw TNPhXIVVfrcInnV6hesqFUCI+kzGfY6Jq5TkWq98MU2iy3/aQH1VTUqUXcEhh+cpDwPdvaBuXy3E ecHaQqkryKEAvNCeRnYowu3PYUMagwSqY5GkFxWg4D8fPiZhVkgcBjwtZaYQH5jZhOEKEUTxFnTx DehPW4qUTPJ4ON8ciC67XuGdbvuYYl2SLOJF0e2FDT4FiwXvTLJZK6iM1uKurmIXqjWPTk3ApMGV RyvjqUQqfB/3yH3xc59/SCchAalb9zuyPAS78MPVceltNawjzsDYdrqfIbUCbcJdlAG+RSSgVa3u BkuvHaF31AdqsLtk3EqrcomR88jA6UvLtQ1jO/zW81Vre9jyOtCQmiN4z6guKH80+H3DQVZmwIZ9 JjDEBYeibfb3Q/puektWDZpyuEnFQP9Lkr2tEAXzMXOviF/kVCFDfBOSBw8cTHouWnXPSyhCSueZ QgvmdnC5ElLHoQ1BqjcOFVIsyMz+NBW2lf2/mj4LdG2meWwkTtaeFWoYmNIKWNjNZ+8cEAx6CSPc nMlIwuVUKB560XZZyjOk/U+vbpuT2CWOX2mxaKG1fy1qCHnbxNCSMfWXIvdbkvxM7GZKEbymh/Qj IPzIUCOYdC18unfthsixN9hmoEJ7v7dQVACGnkHQzgYgdP0wDkkN/WgJwxTdGBGc36i9sOW+2a1Z gynpiBOo3+az1RQrKaSrRQRVLLvZe6q9gW02UcTlxVa4V5e4Dw3FTLsAhGXKoMglMsf5u6K3Gfvm uDHH46mTVa6vRXhlMLK7F+f68bwHBdfLa4ao16+V0vmUZYRKNUvFDcsEAOUs+VcOMbc9E/6RXIpL jKFInFUmaFEMjLGBpstNLzp+3z4b7Zr0LmciwjKaBp/C4kh/jjQ/zTnqEfFRV/XFf1pFye83+r2l j/wOqsddDJ/CzBgpscsnlREmvu5N+Bk41WTSO2UAxDbGJpGE0O+OVRBdb04TUyTZ0yWrSlMVg01/ ZCJ+il20wq/zgAOUNG4OH0rViK4cjLPoKL1hnCxuPFYnU55+hKyaG9ffjZyAIhF5bZPHB2izHRbp Ho1HHG5lNcDVAIiopEfWvBJ6Wn+drri4yGSsYNZNzF4yL9OlRhfpUqEMN9gkyD9wiZCkF8vynu+y SUzT+ywwwSBcpxrNaLgom0po546VVCubBU3EzbsTkW0uCzx9XAgFMi8H3Vs+/H77Rm1mE3iRGVrO ZHjHVkF5xg0qJLDX1+c8p3TSldHV00yauz4NYf7J+ebZW6SWpm5O23u27NJwfkmHLIsRlswTWa7g 5AYpDILYLj9KA+clmm7Dd56P/oppsinKvqvsaWQxbBa2OyZ5DCmwV8jB27ELRGrOhu0gBYjeWH2m NhwIGmmMOpSpcJQ2ULI0kjGOLU5wLhjXJgoB0+xCXiKUeajmK8Dz+B4MrF0J83t07d/TWkhBfSdf sWTZ4qhwZomW22FTDPWdhjTAhiDvYmqyRjWAPPhtLmnDT4yxKHye7tcvUR9O9dU7TJWOFLe+0FRp y+j+KyXZogVXkyL7uOVFQOyAea3JxgKUX+03e6Wo/43CkomnA2re6SiSof5R7SR74CM788p23owh eSNz8utz7dkaSxto8nmJO2qI0xTXOIisqPXpt7Gc/D1LI/wHg4KulvzAecEOUtjN2vwaQbVmsarx kDqbIzOY+AUj0EppDVdXmziPyBA2dgB+3wzZDx+ml3Pdx/QUKO7v5VPJBO5/3JBCrcBW6y3yrc2p gK3hXR530VeYRrjRMkOqU1zR/lDTySjANwZF+Atkbh6ftffOrb8RoFot6eZiZM2qedhSmkoI0lW8 I71NYb/5ASL0FTZTeIQCI8fLfb+9md5r9REo//6YjwP5ugRHb5okB3Tu1+9UgAj2dbpA2e2CxWgm aIxib/H0EsSzDbvyzNXfL9ktew4sAKY/1DwqDwMj/fSJKaZnYDyfs/k/UXbHC0u1YOPtVAg+/4y/ jLNB/6kfCltRed7C9MlKBFb9HKd19SVtysHHzQ8f4Id56PibzfF7JHTqsSyMX02yIlBqLcUqBrmn cnyJRoWY+pwsNYSesEu+AcRJPJh6rYHXn21DPIG6X4kPDoBh6aATrvjXK0HVHApCTentmZUsb/QZ nI4/94Hp+Bq8KLvpwROQleSELGVKtEC3cRZlJq3+Be2rTLZxWtB2/cZ4BwbFXRhQzNoqNlNoiHYW PlyQBck+WUP3vLFK7UIpWru3VnragxZtzNWQyodpIhmvYCcDsNpzf5Fv44AW4btSjroHkxCwmLLR emsCUp3MLNUhM3Wkbs0KPgs1G+R7HUgpz+zhLuiErWEM6wJJcqw73tRVVeZcDMGSXSsJiosHzOxq kg392Zks//4RrUzuuxQ1gVjyh02Yl9bW4KgTjkXmDWDZwl9JufYNiAPYKCf7USWpZrSDPsy33hOD yqEEJXA9I4yE+EVFF7kJcthkGdei/NekmFLnJgD0REyxG5Mmi61BzdfUOJ7imEusozAoZ+zpigMM RrLqD4qFT2agrQwkNjAgSu4MXl3IhHmuK7lKeVCjnFNmCAMG5gm7/PdWoRor+x0u6bHpgzoB4cMs qDDS1Wq9t7M7zc3uhe9bptANy9y5VwI+sqtlafZb8E5tHDwCSa4N1/4eL+MapSNKZ7eUwJcW4Rz2 d6D+o51JjQtXWqMCvW/9ErvsaPliBNvIrzpN7dVEPbU629g9TbvJrT3k+abHeu1V5Hvhb84PXFLS ebQPGenLhlO9jKNcT/dranHHE+6b44JKI+t89snWXVN1vaoY1ctwy5ATGmC0Bp8YmIfmWnYjR3/S Pv5uoMDQO9nHyzroDgT6ZUjgEcOw7nAkSZJFjn16yq3T96up8+OnYD5+9sevmtM4dFUZehNqSrD6 Z9Ujquhm5ghLy6CBtpR/KoQESpYtn0wSyVARHgloJs/Oi/q7OuXm/DGIaXh26GtQ6tjMUCBc7YlP Evh5UU+GqyWLR58nR/VVK8bzPVCvNoO1hNt1pDUlqO2uSeNZq6mWj7NFjB5KaMml1RmKRXc92FBf YEqdR+XjjLAkYO1H+M+qCtORwS0jtff18Vz/R3scfh2UADlGxPYMqHNvcRcpdsnHWH9xphemO7dF R4XaJfJZxGompFilYc7V0qc/dyeslHYroYQ/yGlfsK1WRGpXtNXMFn3i3kscWnMzTeAQQvMB0bC0 nret20zhdIBaLawqnX9LmAVcL+ZIcnU2h1uaim8ZmCCpZ1u0ZsDqwFa5bPM16ps23COGN4G6BEbF A6bDmYklanVKnz0sEQ7Fz+WV18G817SRnHxnwA4brmBFub0EIyTrIvamc1BE2HRyDWuqgYaXG453 RtFpA8rWUgTcUCww0atnyvLLAkzBSo9JmMht8k0s1WdTbXTfjQtrcO8yHPHsKa5+/iR2HOjrJCnB kCVAnGvdtc+D6WYLco0fC5Ajs9pWx6ZOjVJdXP4uBI9LNvfU84fYDbusRdeSLvOJnF/6FKcMLXI4 4nz+HZ6lxhQ1HvK/GeQEyEpNJp3REooCx5IfiYUZgBFZi09X34Zv5foAgJYrdBnrSYnd64Rfjg0g j699WwhkWL1syT29gAocyhXp4Dg2twuo6cZsQZD3b7HpDBVVxfdAZvFjprrzfSrnO67LR3l6mx3M wYWzHi4kEeX7aIPj2NJ+CpfYNI5YB1kf5eaLrSqLrlnAyj6t6JEVrPd2I39kZ0hV/Wcwh74NMKPE 4kFGw5Ic1NLi/EsmqJt7lmY5iT053md/40Ry46boZxeyQqQB1/BK2qsQwpig+HN1NnWnjvt3Kw+s y/RDN1M3R8xipKXfII52dqIvJSIq/9+um/IQpXD4l2/BOPeC6Uz5mY9UlY4RU8JWMKlzS49fEHuM dSLkmjBRZIJ68FwTGo3HyhWb+H5QgpnwEfl45Etlq0PvQ6HloiQgQtRsuIpmcIuem3LVlGGtxWNp YvD4KSP1X5uy1xFk5bus/BLvBvuuS7DywEDVbNZQVY4/QgM9MHOpUEhYVnAk9V4ZGab/rAbELJmt TuRS/ZWpQZPLRDxENeco0hTJWwe9zBfXYsp65Q5zHsamtwr1Xxb/JUMr5BAcwa5hryPEdmQ9fw+B FRI/xMyMJVsnsEG1AnnQDEjqagpj/Lclq1Fp3shWiwqZ1Zsfozc7Vit3dEUuvqywqRe/dGfLYjct fNl/BQ3wULlId2vVV9fkFHgoemnpTdW6B7g/Nizjbykm3muU6Kr2LEbEtHSSeur5vrVljk841T42 ev74fB/D3uwbCIMP5JWMXdFXCdhHGi5UJsybX5fkaW9wD2r1VM9kDPjKlJ1djUFZmYBHwTO1iCUv Bax8XQ44AtNQPlaL9iwA9bxBev62NphL0cxN5I3DMvuI6SzL50+hpjMg6B9gDS46z9gB4Gm7cJqT T4lx/2ar/zdJ2djgEoTZyG83bMStR6nasoVh7f8h+m72VXh3N56chCCUT2OlZqoYuuUuLML3no8C O3vSWtwxqvdXrJU8cGC6fieCHHG7mZMxb2XpjqFDkmQ+uanedgPae1jtk8d5iS6pDQL14PPqgGUz qNWjLUqj17ICf+LbF/qxpabsdlTAoG5y8Pqrvdkfe1fQftgYTi/eN/Nf/+lvGz5GWryrcZQdRaEH Qoyl2tGOKfW+Pa7/nyUPCKOvRA8xgKIkAi07Vq8YCCAszzpPanVrhgkQ4sh/4d7w92Frdin43+zf Q0BtobcObh+KphP3yiuYIdhRB5XDo3BGXa9XOCdenIiHQfBTwNHZFJTbDB1ZEDYCixpNSlFo6GFj GMLjBtyuV0Meuj39n/5kB+BtRyMxGW1JygWUVs6lgOJ9akDNcy8KSX4RNYN/2DymhXfuJwFx6uGW YV2A37dHuil8UErcb3gkg2NR0nXgQiZ2YeVhgbLcOLCRybX4Tyy1nkHJRvl52UBVc4pQ/6I7VmEY HqRHoM+Za3aWdh4AfSEQT+AtXBZK3nzHwlfG++zVmeNQ3nIGQS780JI4GWq5sS1BEWW4Ct7WDrrc l1OyjhqGLJll/bhpKM6oDNVGP1huqc4WUsCVNK1OfR5Zx3biMNf3D1ni6Ne8OMg46GWqw4QHJGPs nm9O7e79eQHG3+cuZV7VG+mwEH2ISV1nZa7qS/wf2l4xyrsjMtw8iIjy7dNlohEeRkLMO1qCUh/l l413M2E8V2ehjqpjoSt5Wz8uPDglinskJOMh4u53Dv2mZ7tjVRzBd/nEK953EJ3dBvKpsbqS+F8X JnSpIgUnTyxNYXPwTzUXfCKbdYdO6i7/37dlUDlH5jLhCA0Sg5qxhQAYq4dP7c9f5cFCRGvh61Kz unsxsDcAauDsD6CKExV5widtIOU/emBIOgJsMM6PsqZsiulklh4GaO4IElsBJHp/cVdIdMdocks8 FpV3ln6DWfcu5CMpd62T7QNWy7au1dPpaNtijYueVjoZzXJ7vxqto9A/mNLKL/WtCqC31kmnG20r oyi3dmjvwuLHmgSzf136rfSI0mkVTnDBP6BhGSf6615Sgv/W3SNWdwnQzT6iZI2C63EHi4KZM+zK ArKI9ajS7iRGLrH75bo9p9kJiOqF0QpbFIsE1nb9NIaFmryxBpMK8WmcsCNV56/glwp4IfhyP2YT DdwWUbitUNqAPyKPkUK5Kpxi0DnVqoqGhrkf8vICMbtGeojjXq+ASQqx26qvwAdbXG6a0fedlbvK t/S6rCsdmuiCHy0HYB4C6EiCNdLCYfAdd5VDgOi+DDzWg6jXwdQOUyhiOo/TE5WgEqcL5+aOKfYl IKvNGoiVXvJVR9POoVDRzWk3tne0fRLfvoPcgl32/315uiXMjx08ehGPm3rGtYKhPrAFZe7tJt8F 5cWuQ/2PhgroctAR2FTgAfck+fClB0lZsQ/cDd/sAr5dU9kFn3FLtkdueTlOjAfns8Cm/zwtAIvX 1evjfP5cD+ExBfx1g/klpjoBBMIvzQBKjzBhclhf8X6dLno2JcwvMq3v06NtefyAVTKZc2VKPQc6 wBcM2abX1xBfB3xukvIMdE0a5QfRybS7sl5Q9McD849IG3rCnyey9cCh8Bg9Z8HIHjd76oPihoX5 oRpZTVc7awm74wGMgLRC3ORYt1KFbylOlEVWcLFIDKI7vwLO8jEIB0bzFzHa1V9T7Wq0Vb+fRRRP TVQhpAgnoKtajJW9pfqkX/nGSU7Ea50CCzF566M2pIxrhAPOaKuv1aEIcPolyZnZ9AEhoBdeesrh mMlR949koZ+fKgvpi9HnknnA3M+bn4Ly+Nbeor9pF0ID2VNyrCA4VOaTSLvnklMY69aPAsHJOyns nwuAlKPz4KULt185Zdxeg3HipGR/w5u9u3SFGNPYEM4e8v/adCntkRrffTrsx7BaLE+tS+D5f2Zw 6uG1UuPiZacDGz1fc+Xyi/oTL7VvRaBn/fQskUhjPNiWUjCqN8C+iJWqZm1Xjadyn4RsN+YEX8d+ HWFAoUwmABMl4Eu4lsIXqNkm0OiOfdcLjjX8FWxuKsvGi59qlw4iXthed/R8munQJygaII+5OCJ+ HZsnOr6muIHvW+TRqi4gkDy4WgR2N+wnsgOmVcfTMM7lfo3rdlwmsLWik7s4itWs72LtSXsfnNbc o4st3+IR9Mg6KbR5m+GJQDGteCox4HFEjqGcXWKjqfntQ3sEJZknjBVrpWymWtG7zETpjGLb4xRd vfjPS2Ta7jS5ULYzpe4v5RVTbxuo9c+kgmLV6QVlRaBtdt/deqVcB6YurksSMzKkW6bH3/Y2MXaL 2Dc5l25eSZBlcdncSfXnolVdNQHdzpW7XH4CJNMhDKGSF8RINgCLMPCBELbT5sXpf1ZZ6SVWN4AR GPyTPdx50XDi21g/e/82OWPm8wppdr3Pzb1jUSsQJZKOigi4k2S0VEQfi8izMSHZ1KixpwIKr+lf Zljpymb2HPhKJ9PPEgmgNPoxF5j5TuQ9xm4T4DBV576Qhhy/U/N7CZgmXn4IuTaBcCoBfirRQaM1 pJYuZNkHaB4sOH7KvwM9BiCJZdbzh9Fd3ItO7ttFjoGUZKwAtUg3CAzmMhpiU7qC+Fh/QdR+kB86 4yg336LBF3yjbUMPtbTEALejqvxa5CSGSDr2GSyMv1lbSMiFTErX/quRAD5R9ej7le0JJRBilQ/1 03e42yrxRoCA+phGBucuAxYJCuJBUiGMciEBjsGgn9TdNAxvvtbRKjBv3+r+humefYBylEPZBkkh irGyoIW4hUCijDlziK/CWEdaDt5Pd73zpvZuFISV+5BHCZFMr7jhEzWv5ojoWMrmEUEiddXpGWYJ 9GrBpvb2WO3Wwg5P6hrD51WdXfDzqYjusXXPreLkeqCATb4wtLGILKRfySXSKZoK/jPBh1GjgzHf fTvAPRVvZpuRuBoEMxHEuFceBKa2AGwArjC+nvBPOAToPKwzOGhRQ/r7KRBXZMSiI+oUjuzgP+9/ VgqIXqBmB2kAxHPLmLkyPMnYeaOwGxK+Ihoir+Rau3W4uGFKNVmcAmh91UJEE2j+3h0DBCvsli7j 5L9c9Xc9toDLYX6EW6G6VITs60iNBzXJSnX/5Xw1a8lqF399hPeH1uJoE3e9CJ0ztTPeKlHNZrOO 4ELm69j+bVcBp97WGZ0AQBEJBtk8XtDvd9BiD3P92BlLkfXo9WluEPX1WcfhVPjqxTwiRxiuh+IZ rrkE+jX2FZo/owTzvjepz181ujBMDdF65npdDR/KLXCpKbAvkmU6p/it6pOjHw4fsj7lWiYmPQSp ysiE68VgYsQFT3zJjUOGa4EjWqk2ulkB3CmVqBQCQYzWwitn0As2+q8WA7J/6K2HogxWeXK2rjj/ eJnuJXgBq2FzhzVhf0CYiImaLJymEHTMzHmFFADqCSIBY5YWp3Rn2h3WhwAaqenLvCtXxq4XiGWv Sc1hkrB+PGwYBysrGtlgMaq/Dy3g9MYpjm7xsdeAzg2utWx0s/XxOnKkZN4GkgsDIGLQ9+0x2NAY 6PoQvw3lADx6Z94xyoO0+wcUZT+eFDMdPEtrRiuDScAJtikBTz0OM31VxXOy5mbspQx+4iUrQ8Q/ FrvVx1ubbgiS7xRjZ+uKwogPMxUkdSIU9GaN37AKQr0kx6W9yJMwJg/5QHzV7nN97kya+vZyMjoL hUOIjYNJz5+SKztjePDaZWvOaSBZyA6vtJLVqyOa1s7sN4u5xOhP0XnsaPZTaQBxxxqV5XnNtzfq 85EIDmlurvv9AgjflQB9WBiAqprQFBVDVB4lW0OU9C7qbwvidSp6B8t1bzDxtBguDX3HPXVLDnnz uaouoAbCScMiqkAfzHNgzj73d6sw1NwGxh3RaMgnWfIlNZoqzBYZNl0Z+ykSULuIN75gDWEzxw9S rMaqD901j3Is51gW84FCLeLQj1AQDfuFotdvU3xDlK2hFKMqI7nXaVaNS0+oYdpaXi5MfwfCcWE8 joFgFm1f5vSWu+oyC+Rit4Wak9k0NH/jv8/1h7/6vAObIBlA0YPMGiKW1+stTLCOSeMpjxXMcqyY +90wy1byoK4KPWfhwD9skcO+nH9uZI5XB4RbE1P82sXmEm4xAtDUOPFfYBQdloq3RzUgQ5dGC2bk M0bYsTV+9iX64FiiicmQ7MiorH/ZgxdQWQByqhnRv4JkU3cqtQw90UQRDof43jBuwGJpjNX/9BsK w3aqnHE0UadpCVn/5cizEw5/plEG+Gge6iPAw7A44/rdgXhqrtvE99jDaPiDcC44CMMxMh04/hIv GqyKfoxSE5x7x7O+NcORz2MHrrK9wMX0/kQ9uu+hfh6HeubrPAwA0yWVWG+rzonsWdLTpV53eK/F K1Gmx2eOD4hFCk+7zefOLGUJdJQ/NB6yU7KSBHF3zHEIT6hgjcMBgwCCIRt2RBLtHHWe7Q8W3Jul BycUIu38DJ3dasmrQDM9ODRr7XExO24UhR/2d3AXWMJAuiUk822Sl1v6QETVCRp4ec8fWfhm1hVW IKFBGFD87KIbShSBK9OPUuebx1p3T/jF176vn8MhUWsNgFQ8DpOotLfxFH8f4h1K6gIOZyy/a4+b 4jj9NM9+sZukNt8YbRKlRXwYqytLiza+J/gPGq7yr63mDsgWIdOh5XTUzyxbYs984/dp5m2HikUl PCM4lx7Kj0YEoPNEjBlLvQj3k47G+VOPTltIvOTlgx9V8PmDGlCfhSWKRXPhDhx+1w/oZTFABhhO pZDAb9q6TdVg7Bm9q/+xsei9DaEbkE0aBq3pnMOgPWYUJw2HSJgX8orRb723JpM/P9JavCD/Iq9W 7y5wsq3PQLBt8B1Jptajncw/3MhA1p4F0PKBSK1hGx96xEuoszlbz/08GyFwXEDxI0HEka0QK+8m 0jo9SK2YQ8OunDO0SbiuIqpkAeViDprcYh7zciCiFVeYT0aU2L6j187xinmL92EXVOKy5EDvZe9w 6KXDbR0eXdeqPrLuwUxFKlAbCEqjaR3GtSmHp/gVdSvfgbpsl94lk2a//7s+7gBL+lYvIs7x7/J1 ScQLy4vs/DZxXaNNOa4fzTYjXS9Es9hbpA9xEn5jKeH7omaSwTCvcPJpDglPEN1E9dNzHcOceozS NVLRlvQT5unavP3NAamW/535EU+td+fiwilLpWwbZnAmvky0zSmNvFijQ6DifUB01N7ZVjaJAzwl HptWv+VFifemN2CJyYEI22WGPsACfjBF3ED0o5sTDv7rvCZ6GAKKTlvF8XVffX4etReA61mVH4Lw otHWu6t7XCn/d7DugRV/QTCcniQyGVy/AVjPUX5QByUlpiSKZbQOpuVfbbodYdpIySvpcr1Sksqi 8RQXC7PwmmNH4C99tQ4oKrtzkXBAU0QHpyuki984pIlFeTv7/nW9u+ZRJO5nbujw98cAAX5kogS7 5o4ks/qCBAVZeIspylsfK4lRJSZ2XgToCRIZjPCu4c6Ry12g/JV5Nrb1cNShVnI76keq2g7K3Q+s Mz+UCIgd8qP+zGvsapEXk+mishpnfiWjdA0M5m5OS/nQsWR4qOjgoeEZOVz0ZqsTO7oSXTCshdl9 gYAuROTHyQ+pXgkvbaggxXQIkcVUOFYjnxqbKGD7Yh3W+LzG8WdMhTySha+31f0t+sMshJJDO3y6 eX5IrYh13OPPLxtDI2nSTk81gEoQ+tcHppAiOupQUCZMNDYhlFhdRhp0tVCaOtGIp7kn0glxyrXp t+1fqxbqQqvy21wux8Ie4UxBY2+g6zOPtoNEgkJyl3jXolbiIwBbsDFyxNu9qykcqPCeSn7B/TfP YMNSz+kBXWI8f8bT/J2HNcuRpaSLu/cFWSiYmGIGnzO37s2CiMfn5klAdDJ1wa1vtiJ5qtfVimAV uOOQKC6ku3UnnWLyGdRX0/uKtovAuCCXeNh0DRUVwTHq1lEt12Hx2Bq9a9Geob5EBfQR8onMnR6l Lf17MB+qEQcfw1PmhZpBWF60vxB55huffNhHGd3OKpwKWl92K7jnv9GeOalcqVbmILZtfbtYN2RT +Tkh0lwVsSlgPek2BFSgjvtDtuR/A/EB+IZwmlvnGxLsVYN+7k4/HU8vOWbYAo70ZUEi2icIVDIq NaRrSG81jUiRr8iSgiWvp9w651d0KjIzFEzFWCeyLD9b29FI55SgfWWC3wioLQ8QejUF5V75TDGG hzkLj1XKh8f9etXE30rtSIUt4OOmIDCmZ1svXld6jEaQ7iaoXHgzZLAVgbTtBb8LqMn84Siq8ypE 2MiRGJFBNf/1wNwEnAN6kqThXWyi6IhR3cF5I/q35vLZLSmLBc2Ohb6jGknmi425M3pa2t5RJtPG lNZCzo+6laxrH5V8gmnlrfspLZ7Rb2w7gTrQC0IbPyLI68J1z23DKqie7Klz8i2r+fma9Y1nzAhf RV4/fQdG6GNK1jMzt1w4pgeaqjmTQ1RyOeCq4AjVtBjpe4JWvNuXu4XuDfE//Uzt0sBkF8lY0/sr VHSLHzxyM7rZGDEL/BVXUvL+5F8l5PDKj/WPOMgCNCqlJ4g9oh4PhkDT9ExkawGIN/DyodxhoNCG MaGxxNZySABIGtOgQ32wBmC4+NQvGKXVSqEgmmlFqBNM3q0kacMT32Bg8Zpu062IfCW+by+LYFHL XZtj1CB71/wdMAC+BeovQNvDolZpXe/cnyALdQ5SLNRMJSB4RpRe6r2zRcWRyE5wWg6T+MBDwiaU eskybNAnM44yoqCbcxEK9IoSC9kF6WrUw9aVKfDOMh21F6M+CpBebhPyJ2XEeGfpH48zjQ9yAtO7 vTiSDbMpvWTcJx9LOgv/Y6MVrRUnLn16+xLt3LOMCoZyrzXuJ8vyYEhKgNBo9kmzit/b4OWasOoq FuZYrq/Rs4CHbliIZLD81W64P4gDcfRGuEFk5+v2KFDdc9DLWzCNlSpWk5Nv2EOf0QrwoxYzQzOp is2WPexX1vHXJkl27I7XED2qQsi3N0Ztvm0rzlIkR5woTvPVi4YpvESBJBU3X+1zhFCKiPuVOM9T p9nJ9/swsdMRMNBclQqN4aqEfCNTMbcRP6cBGRmUVqLccwOiS/dXXz0re5NpdBL6Taj05Hw1HX5x wRpqCQkC5j7RyaEfW/KtxuUM2W8gRBEPjXfr3LqfP2jqUF0M013+hW6Dkm8/RoJqbO+IEcXRctFs 5u4DremZAnW9uwAoFYR6b7Q+11GHz+qXIXz2NonItW3eJDCTyLXtOox70vXXRV7c0g4UkM7szrMA YabHsxR+cBo63hxE3SMkRGMczfyUe1hBV9Z7CrrnAqfZ9Hb5oXQ8vj+aAM3Oogjl9z/KbxwHMGAr zL6+3hDahzt6v2L5sjDk6lGgiD4OQLtRN2f+tbw2LFmlrZUmHSQzSuylxXUPSG3KsI6E+K6jDAPn oveDMSHrR+DjO0XPZFKlGaw9RlBkwutjffr+ieXuMcfqlU9KBEJhpvgLkBaRjgWUy7Zcjf12hVXM I8ioHDZ+nOJxzz6CcAgxQ72CoEaLYjeAPxo6/r0+KAQqVpUxJJdVJPe09RfKXidyG1yv4eIq9OlW ESH6OWRcGYfBf9XUpc6VefvSpMq5zpl+0jWoe22NV7zY/HuTIRhrjp/yXJTW8vspwCOOsoTo+3DN aqAuuLiq3CVo7SxeHFWasH39tQwizjxNNnsaOgRlzEZpWApvY1XiXHPhIkEkxJCxqh+mKYjSIb6T 8Dyv6ZmxV711J/c3QjbMOLbOwzP8lyVT2xJajcWb6tjEJmgwnfr3DadGgtjp8ItewSVRP68sk3pO TsSTIk1ZtGCuqNqXMUqzHCn0DDNJRtzd4odrAyF4OIIp4cYQh/c18Nwam2gfWxjV8XYoJ1smzTVW aU5vSn7W9BOc6nWaG3QZX+potI0DnmDfg2RNIgg1rYZzM3Vum9g0QwrEI9OgcuzriWaSZTu/zlZ6 FnGgiQ9La4vDbtSPj3RkoALOVwq1hrrlncIxGH22BdAQNga29V6+NmOurl44zXbrkYOtAlb1XmAo I8kjTs30LPNQnGTFRYNf7ubHu/ayY6J2HFTKjX7OA2RxhAe4A6H/UGwpvQvq390ysNxllOD070H+ Y3iD05EAiislvlNKg+EqqWvsMmvUKzMQtDhEQIHNxJKdAn5NYMuBNNPCVkGQtuk05WDoT0mDvWev BnAoQgjTr5Arv3/LOu+KMlwlElKsmYJ5qGWjYw/8zjukMi2JIJRJtpZ3SwGuxoWEntl0qNnKxYgQ /mw2dju8DJgDzirvgq4HocwLbrqU2Evv6BNENW00+BGOtMGI8FfXt5Yjw0y74WiWobCnXiFHBHMf swYjJ4zLJ7TKwG7PSfvqbmf525I4o97CLc7MGwIIhTWRuLhiOr5GUlUV1WCXc+KoioWKcQpkQLDW kgF6AUeflecJugVL/J6fo/Su89rHmXkLi/53AKY7POHVGakng78DK+qq2+4/X93bEuU3rQqDuRbe 514qWXqdjWRBKL+AJB8mGETxkhaDxLEOjdGoUpdDoe81aMMGi3+OhAeK/s2j+aJCQtPg7xGjeZmh /n4Pk35Qjh/17e4h6y6ofewVWvwaIjge88/KBfaqJB5Y/0mfvOJ+7Gl9TwJVhzCS3ntu2rrJHfP1 qmi2fkksabaa3I7dRzAo+EVlgu/ALXnybacf0GOGYWTObWc9Y65ENtf8FRJ3u1dCBw/Vf0oobsKB 3F41pRjCycRuo3K/fwyiAZorm/NYiVz5+VENpjUhu8k6W7qBINeBwca6qVallS56GHa/LIfoVECR 2lQDLNRszIWdH12CkIjxhWWGVEsc6eTedtk2CazraYm7aeSpmvAcOd2tfdkML0db1IA/pRe14Xsv c85KZEYduj9zp5Cas89mNcmrtfCQwwGk5BOGDU/tufaTPq6s9JTr5uDilCJcDYVxFn1VIWFMLxDv 6vDlb037nmQuMqmyDmgFOlUNAEJNNp4xsJMq8X6s7eVFoJYyrdScvkQCJELGNp/7ekTzs/qJXi6I igK0W7oIBxQmShSqWtvmS4TH0ursSOaBnuj4b5t0CpwmmPQZUekkPMwP+pzoN3rqnSPG1hBhU/3t RQspd40DF6rrmGao1CeCjJYpQridVucUEhKfPdIP+ud/QYvwKurtGdkXqPoiLkmN4HhDmZt+ypI1 JU6Q2O4J/Aj40it/0ctEJxq4EXUe7RQvyejtDsqRoDXPjT+8pPM8iqh4h7Zy+SpVEeV2hBkmtpY8 3tfb2WXtBSqmWSuGP7VdAX0BTEGnwFROVgWnxV0Hx0JufK7aSpX5Q33eXF1SRHYhi5TugZAR+4QB DoMnQEjabyixcNOoUhHDcUga21KBk71VpoAiMGdCdIvwoCvikMAQeDIqOcqN5hAgXgKztDuM+tqu Oj5CxKjebMIN/XTQ9yovS1LmHP95tZ0wsVfFlqVFPGiSxNLsR88wOOp9xx5seCb2jOpfqo1slSdh yYaQBb60Zj+zAUSqfkAf6gyLh7VCFLafRtmWThVVGF1I06HlZ5cuOCIUlZqgJVBgsyqTRClkBpdT Apny6KmgpdP/HfLzQaVKq8gVSlX8tB2gAE+dnQY+dZIegzx7TKWRX9VdAfAG+5ZYw502Ty3EXF5h pK4fW4k0fuKSq5oV35LRVeAPbAulyK3nhI8Q76V+bhi4GT1z7kPmjdO6wzjKpRml+YCxCsKNBgO6 oUqLKL6bwt2UAht6gfUgzL2HXzwD+NnhjfmrvXnhVJ/fZn6HFeyHJ76W4UiHFKeoa1/dIikIbx1n GfelB+0OV8hFJqnvLJaA4FOH9LLTuJcpYEDmr8w5oz0t/dJWk70a4gGouhbOknKg4Hp9AmBF6zvW CDa50u2jCmNd6wja6wRQDEiy4lUPaMSkMv5ISAGA817GLTvKA56rwIXsvUpQnEoIevJVbWTX1HGa cSAU5y69qOD3h8DG00C1MO61VirOBb0q+O8OYmJmBg6xfAgNbNKkg1YAU/QoYvjO/8ADWJnibRCF C9sOUmfikLVARHIbtnIZh70Rgdnxd/6UZU2f1SF/HKBZljUl0TwvBOxTeOGeWDmu4gqKNrfznUZs kRLXGRQZIcCdlvLxI40sCCl9wUoReyywrMNc1hvtUs985iRR+Pj1RjhHGlbN8Ij1pj5t/353r1M9 ioMa++lXeczxkgCfBRqI1X0cI6G4/Vol7Pg2pRhB0ON26m3KzaGgrPNdGG7HzhwluDcSgz+24ATk wXap689sxt8yMbBj4/2azXPOFABOtIs0EOnLsX2avs5WCD5en/Zqg+q8ObEhqaBR7EDeCjE835lp 7v8y/RAL+mm+dwCThCHYhITSoa2LxtFdLyFnVrvnG0k5Bx1joPaKen2MwRlXkq+QKTEy6Av7t/GB qcRozEfHi6lN8MRExlrNDcmOE7H7lalip8PVIf2HE7naAl1GJ65dRNL8R8yjfFABjie7nXgK0DAs p2dlLJQUtMBwqT5sLhAz66zf4c2tVp2PdOjQL5kkg9vYRNbrVocz3nobXDazcEgXQbeD2mObg+jT /q9hmVDN2LJXr3EsLvUQWmulA/P+t27Cyj0+sHFADpSKByTrHo1md7KUNz9x+nHzO5rtcfNlV8kY CNu4QmAvN4yki2LEMDEQA+YK2cHFs7kl7/fK+otdaXjPeEza7sCqTSJinzfB+x7+Fdh+4XZMxQ8I uUYgRaOrSAxv1JG3iSoyMeGjGgnyItA+p3Dz5Ebm0Iu21wBBFhKDWwCeoJaAq6u611KZYUVrZ/k2 3PKwTAGDIC55r+6Eld2HKPVjbYsBZfwCNP1H9aK7Ni2yfRO0HpcFsBetZptYuK5qDuuUfdsMyIfR XG0WET96p+vy5n70mk3n0zAi7038SJykhKT028k68bt6JstsiN3oZ1BSDTohShZgaha5EfHblyOv gHTNjwupiuDCSfC4arEa5mn+66u2EICBrSw8lxE0/eLNBpSQKJO9eCwd/mkADlOoO2QNCcMVq6ND CZ0/uVE+mLL/JSE2OLgqasojEzjAXntHs+Pv4uAdDg0Xfw5FU1yu/IsahSw/Ikir6RcSeLILhHb3 JNRVoR9cHawuxeG958N0Ez+LbtyyL7IgP0HPjv9ULC8yd+755ajrs+euPFl3RGLvs8I4kQBjXC92 IY+/uQqPNAlOoHEn7qrVqIRAr8j41TxRxYv+74cOfGYyWIvNqTQED1bOsvfCqh+MbtCRvn93eq86 RZ/4i6z0V18jqkdmlSpH9htieZ5OZubGI8Si/G/IjxIGBvwobcgW2tVpBHOI3dpCmnbHJO0EWvB/ Bw8glpw1sDWKtkpfVmAR3IxLd+CtrC6Exo5CE3U1FEzNAEIyJ+2Q3koGY20nWVVu2NR5bzU7wTw3 FU1opc17e8dDBzSNa5JclK2dehDPssCUViHHZ87taUqQa2zuN+/gF6jfNhYPCR/NWFw4aWPJWCBm HqH3/7kpK3zTNQsAtLHJJ4/MuHV4LqvNq+B/TPZ2vWQ0t4dKecZsLYmFwq3FUJRYqvHAaMKcEHvX DksexiKCHoC/CDBLzrJOcT6dzGOx4ZMbM9Nr6KDirjkTajvPH+0ZONpZv8pd4TcwoVodqeUARqHj dXjZnmLMMdge2RlRSS+wGLqDavBPFDhYJqF2MX9anA95TdNKv//Mwf10lmp9Nz1uL7BhqRiJticJ SG7wiTuJ3MqW/D257hsuyblhwn1aL2F3jWBFUJmxv/pzjw905y4GVV3LCgyE9uDGNJ9VQrawrZTx Kd5Bm5/2etBpogERxfKjICssEHUsovAhjsAvW4VoZPo3pQTNmBI5V65PTziy7mByee0KB+rJFgbx 4DzVsjq7Ey1AfmJ3K8/uiJcmmR1tryTQ3fGs3kp++SpePx8Z3Ah9Ip7TvstX84CSXRoybmSULvTy BvNVLdpbDu0oifs5mzSD96H4R2qn/iHYMrs9d3o20MLkY71Nqn5WNtiGj6FQrGCm3yyVkncfRAGu NJws0UbQ3BiJetJkxalL3b/CK3KCT9UuOOqAzK0lCcd9fim7gdhbThqKQOG8VdG6qSTWPnYk7UBd V7kMR1QazXK6VsMCHrHM5LcPueQSGv1SYI4J+pYH6uqa7kLMPrxMRdqz6chJv4hXMw5+z1yFvmPo 8CNjM1JU31pabrzCz3k55fBvPVo+b21wTUOmMsynURRtdqccr8MGfKJEzwaZIYqCPWPzkcTnXhDy 06kFY8BytK5Wyx+yilJ2GnvDBA0JWDuEVuBSwnQSf8YDartG2ZvMHq91ctMN8uUkgpiruh8DpZRP TiRtxxA1Ck6ctsIj9zJePR+qBzk/O9WB5/LoSwKxaNVf5WMGRS36XaqzHLoIACLRMMSZfxtv6a21 kO3SmB+HOaBEpYL8PILHKO+pywa0ZFhdGx7u86i0FXfB65J+fNSZI1Gok4jlqkR6MgEUEbc2bUW8 NNw5ys4tu+vPROdHCykN2EVrVkpYC3iAy4XO6q4aeTX5J8IIvw9MOIk0ZPHiMs1pznTZ2JeHtEM7 0PU9/oEbJwWZ+JTziwJ3NzBQMFcEgNEunNP31h/hvMsIMRudlTpBPTChFPb1phWg4DQnDDIJFPGl JjaHe9yVx9LKTsTjr+9mFtl5lUItTiPbFQsL4TsmB37Rc9Rg85HXWkVU+SEgRX8Pf1nnYrTuhl9W g1EsXL1zTFFxaGgutayLBhoGYsJO5S6YGFBm92XatVXxkcpZonG3JyYac8e01/7v9I6Rkwfmept3 5Fvk95tkRdYyFH9RGaotcQbfR16jv40Tr4+OkpBymi3GHHaduA3pbW4ccgNYdULsKBc2OPBOyO37 c234epsAlVKyHKWpZRvcCOm2GVkDrd5qyhXpjjs5p+AhblydntRN5QrQn4VSzR+UX4zFoRcTuUmI Dmmuh6x2t9KWSywi8b9d4BsQn5QZC2nkXGbQK4tV+Pmpz1TS9uwTEO0+U3UjCTMd51YUfa5F7xg3 r9BouCZJanlFWT6YRgbgzQ1bSTW0WUBT1vApnWH2K+nB7QNkZ1uIFYsF/QfNHWnqGppkTpGSsZR2 cW9wiatDbfPnV+MnHbfsm4bEFDBDBe9abqDJecpIOozlmZNoye7HkMFKhJ4Dh2QoT8o0PZc4xQTl SIxfQgTlpOlPucpl1DcQ4/0saPrdGrIbhBZMzgMeW3SP8F/s143Z5gQjgWONcylvnVFUFvKI0zQL 9Da6o3jB2CtRjbSS2t7IoZ7ZgkbAuOs/i7HWUQQgmYsFS8VLiG5y11Pm4KE7ncAtMhycxUFxH5zF WDT6J23VPZceZ63kAvIY/+vNxC1dbFPvNgHBdik47/B7tNh6PRytntbdgF5z9pI4UjeqffY+bKvg 9DlAMIZCp5sO08apwnqsLV2pvNgc26shrUIhH+knUnpnj1MFynf4b9JjIHq76SgMhZP+xEQiKHhg w8oLC5FmdMsE1nG6EUeBkciggALWZC+QKT1k+XoAu1Hzqx0VkhBfYSk5heJL9arYxxVfetzwQEPe RyYCy9R4GFxPD34qqxtvhy9MPK/Tx5rj1OYtsU9AGeqPj3Kp9nWKMr8VGD8uuq4+hHZkcLbJxnA8 O5XAPNkAq58ZoCDF+3YfULbIk64kWwDbHu9ppfcVkI3mWGGIQGcfxy8ioTAC6LdF/yXC0+NfKyVM jfr1d7eK4ax165+cigJWuQ0jaHwNlifOHSS2QrDO3YZFcg/cYu70+tohKsfD9bESAWWwDYDGFOHT eRmwzA3C/Q+WGsqQ4c5+DRSXFSYejH7OU366US5VBLuPkAz3YPoGCCM/QQFKPbD+dG+UBINQVKck vLjgRGbAu5W8QNDGIJ5pNYiRG7JGgoNKRGRCYoZ4x5dX0g5sR5aGpCsAQf0IYzDktH72SNv5BVzL f4VbCBvcCAyzNDg+9p0DbSOJDYiPpARP472z1Qe/7n7+NyHAWYuZHbUDXu/Vp+YTGqUBxGJWviTS 0WChMfbyYOPBZAdxB0kSU5Un8A2OSz2uE96DG3coVQTqQZ8TtxpWBQXhrYhPwV811Mc0M47mfe1b i5/jOlTpVm+NFl20qIKX4dRHklyMp87Z8iT3QkCN23LJcvPgUFksWI/Igs2q8T9C4yDQJ1c+zrNm PdRdQxglh/QeaHwVAnny21Swj0paT1sWARwGoDBZlGO94v7azd8KDr9FeoYZenEi9Miatw40EzCJ VjLvb3LHumjWkXl2oMYsgTABLs/v92gR8VxKqPtDOmZgjogzg1rWDBX8uYrVU66dxtgYRbRqLl/E wKRbMCbnPUgdptikW4pP691vADeiUuZv4RntgwgNUq4usbtfrv0CBilwDgckeOjQGbXbzGMPx/cF U/rFm86cTzIGSNPSEk9G56/9ewjux1ikhQW7ok53Cozi6yV8VNdXIwBrdYd7oJSp8qnYYerYLDgx cBzw1mOtHmQ9dlGiV4vWe/kwilgqcNRz3tCsnzdb6k4LyGliKDdTP+qIkoleuyxQbprlZzs7bZ6P gqR4IY0Ke3N36rlBBbZ7Alpa7SzMasbb+2cmrvWbYQB6JGcltE0zd6jqElkTdbD6pfxVVvKHnMuz OzXpoXVXBVEs2cpYnxGk9pVuemmS5Efw0/fDKebkeaavJNTa1f9ymJ/3NQ5C4A+b8DFPt49QlAca iGtE6aEJgFMXdTKN6kr5EofAvGR4/v7AbD15aM5zwe6+u1W+QYVtZ6f1zB2bKQHyLg9dUR0sH0le pLCg0f3gM7LZGPdoMw9MwE4kVeXnU3h87NNFd+pOUIP+ImO0wms1MByGzsjTGpLMFLI0bl/TFgNu VohG0MtopBnCImI4nbdhaWC5nM1q1WFYoVR22wdAFy0hzy9P1r+j9oRPwuu2wf0COAZ6Y5l16YnV Faop/BsO/ddNXfwa8epfD6iaFhIOFhIWIvsDuXuWE0+ydK/pY+QSmVRmcyS2EFSznHankFzjbrrq lKNe+pIctfVVJAzIUm6+hdS1wu31H8s1oj6NyxOOEX2yE33UiIzDfMw02C4bw0VEspmv5WI4VmTF SWQlOzW48bc9EIFO3Mmf/09BOWoDpCIRvxDjOwpUOEkc0O3xBIu7JBbFJY9Z2TolhXnyKlTEcZ6q lQtCvR7HxudE/NHUwTAVXjBiNAHdBOhSdSdpGr/R3iYbLCw6kd8pS6nd+P5x8HZGO0pNzD4GMrn1 F9ZrEy1VhtrCEAjgZQliAvJx8sUf55tY9jEWFge9WFmWK/onoYRSEKNXs03Eaj/T2SW7Hk5rc4Cx lIgcMVHLMsQ0Hml4REPyOXtjsxgQifiV5aXHt1Ka1vwo5jkFZcha4iW1ODYLGaTyjGFz+Sk8+0m/ +4/KOG3wfCzjLsN/JBCsAZDBpaKpeQxTCLcr60vsig1ly/oJVrhFJtbkGRsHQO9KVerChtJ25qov 0ffY9mnkfwfClXs+QqOphHPwo4okxCI+VbeTyjnZZDTPDt1KZO7lk83AW6oMIKppudeiLsLHmw7h hNdiruAyOWGsAj8Nqk6pk7aTPKCeOsJccT9GmgZWpCjHijKcZZzKcXT+GgSiDSQWNL3vrDd8Fgw3 gGyz0qxqwF2VOvSZu9ZujSzxpYyZ8xj9EHxgS3x7frHzDcIGC+t2wdZa66qxhGwFfDcU2/1fgK3s BKJ9hC4P0hP/UuZXuhbI7KXKJ0r7uS11+JLPfIUCD6LUTlPGW0Uu6zDrvovHu8Oe12PdX4Miwa3f i/UgQevcHVGmWEbM/IAA5MFLkSa+GQqdOaRbwq6SFzCu5UwGgM7TVto0Fwy86orEiLERL75ggfcu crLVzZkE4cWv/B88E1x69b89gW/FoRT8SnYsI4eXREzxAAsUStcXLecZoVEIE6K/696E2Vd3PNkg Y6mdTjMZCA34/fCIseoxiM12U7mJ+DL7o8GUhpgQau1oUCAEssOyNuHPp3yhNO8r73Jh6FTRPGMa umU62vYhdYExcg3t7Ur4UFA7l0hUag5DgADQCdZuYnNFkxhD3RUa7mbMb6x3kje+5O512J5XJSqA TbLHq+LDtLDhh4CEjicxA+9q78RC3QAIad5eNKKXKmshYrg22ro6B2ObVOgek0sENBkeHBxlWgT7 PZ3sBdKI8MpobkI0niUgOjjLYHr3sJH11F17Ro9dvCZMl1cBHZaEvqnSyn2YOljoOznFrFI/kX4O VDFQMf0NBlO1U4IVZbbbf3Z599sgp2bUW2AsyrNJ3rmdxLs/QN7c3X/FqoFd7ZmyftNC3ZXEgFAM OFDPUXZD0GYdMXBB28OJMlTj3MjhEwF6B28AstHeVgZXjS8TuAhcbZzvQ22N1jG7Fbk6iUJyepWu t0cIlL+Dyb8yiW33Vn65BJDSH0AxyyTf/yYsUs3eHW0YZUA9JMzOLsGv2MvN58fZV+KDAPw4MjKD lImAmw5qbjqeTtjZycmLG0+sEslfrOiN/qGGQf8Hbnnxz5y+ITw5Xat75UxxBNg9w9tavfl2+mAh 8ajs5VS+y9i0JmTsGsrAxmxJQuVQVbW9AwheQCwXUWAuCnueExdYMUo5TFDlMzBV9xxMbgSCmEtJ WXXGFLeBSiL8dfIw+Fvr3MYwHlR1O8B+iEc+OKpqN22Lw72rfDKgE2Bodw4qqV5I0MrE7w4fRqBH MvmFcHe23zJZd0vMtXyr+msRGGFVjjqGSmnGSMusWtjCg5uRhq6KjWiFxXdpnuW97o7CKtCjbzpT KwSVZlsLADdcCoOV+AVrCNWuwDbSNXYzR0hjb6MLEDtUy7qO6bCWGgA+qq6oTGX0pHJkaVicTxm7 nILTWCCcBBr/R0HqHr5gQQn7k/Tl4BZrloNjv2kH6Su2fJ6H2O0FXOEJP1qsNNuKwk+9FYAAMW1b TgQGb+RaoInpMbrFmLXshA/UPfpashnbvba6m+iC0g9Kf0WAg6fsNM+Uu/s96V913Pd+amQjpPGp upamTpi/n7/mHwIu7J+TssmzY6ohuqlFrIrB/ZRvj1g0Ua8HxDKglG08OKvxDcAY+dS0q9Y+LEFH 9f7PizRG6Usiv8Prg+Zel/s/o6KC0GXMo6RJQ+0f7ckAKefluczIKqdTpJCGKoesBlyp5Lze6VnC PJi4C0d1x0xalUR4xr5VzMjT4ZewxG/ecJ1EfsVfIigcfzUbUoG6xxKR0olqP8oRQJnqyowveRWJ LzCh/4SG9WQ+Rk+Mi5NVIm8TLEHR493rfT2rP1fOm/AQmeF9qbS0ezwxcfFYTmKHO7Di/6//RPvi xJn1+wFx9VZtn62AGimYMuy2sTbOYYGma4Z8E9wuFaPYBeClZs+KYFjZUZu4iKZ4vJo/xLq3wqDc XzM2G76TdAbJoeje2t/zz9X+pDVi1U6tn1Br89P7wdTgyuDq+o0jKWpQS/XpDbeqPALrXRe9MqXD /gZNFzHZXr2KIUEyCslE/kHZm3PeFkl3g8QxkrUvPABPEPk4/EqALcT8pWM0x1lu6slMi3Opr2Kl 9VK1wlL1Eeeq91uRE/eQiCuDEmQ455O9kkVq+cjrJqqAaNy0airjbPLg2NdLsCq0HzSsz10eOlOi NejNNUHjr7egYm3gCMpwCilzg60gR+RON0eeGf+cpBgt4N36tKnAaj2QAXSTanxQIj44nnyLBIPk ZSr3h8IoufEY2Nc+PFA472+KQmoOkhvqlflofnj/iqW/2/1c9ECs7WXDjLv3swathg4rYEclN6y4 7NXtq7CKGG3N3OHiUyLH2PNH/CAICF7/X161ppOI327QYszLqqh+TOkq0PaXGHkvXmGHtml+rIWU Lz8V9xvio7EG4l3M7iDEsa0fJdFfzwXpX9NUO6fsXBBf0AKIg6OG+mfahBh3kqYAjywdzSEz0Iel oglAeyx/P+vL66+wAUlNomRknhZ3D75FjFxOONMt+rCvhwcOROpPqeww0nuCwrw6u0CJftBEtCq6 jq8LYJEl6nsq+UtE9Ysgl7yCZUxwg9XPFWrb6W1EjYIskkAGyp9Ymns9z7b+RUP/YmzZYZSmy36q FcvfxCEefN6jiyMT8QLGlazKIGMu+jg5s3VabWnmOcTHDrvfJtxqej8FIkquyS4htOcLh5Jpku+d eu2ZHZnBSK9+QGc97y9pQFKfXCnRSULwFlyth+3haJPdSt8rDlxqDHJkGEFSv7RPr7w92WYOSwvL 79iXx1+qel/j1SNOZxOz/4X4HlgDtV31LhUjsZVwLSgLfvcE3v34VDgvFfamsaR1d3rw10J37k4n QHMGeyvSWBI/PTOOspbRKcqykLE3ZpoGpJ9EBw8nCVfQtTR/9z7zxKVZ5U1IBqcorBlfEyKHrs7A cHGQfouTjNN3yOXC4QwEu7ouubFIkiR7aLotEGf9sO35z+bnDku4+Xmpq3V/yOasBSzfp2WUziJh YUxp6iL6VXy0WIb9TtDgnzjTZZb+lr+RJsCI/dbl8kOov3l52iU7AKjCV8WA+ghTIMj9UA0x0xLS WKng9xS+ZJHKDcCZ6WIIKZW7ET0H8ohmjSRuLVpRIR/3ss9R4u7R38RP/moUr8FqZ5CVIM1dlFTd Dx0MZTIomzR+E7rEism5MzmVffwc4xziRwibIxok2HUc0P4ZiHPKTvoCucbFGYOSPJSHydnW3uYM Yui054yRPk0gD9VorwOUaC8OGNgpgsxNn+9B8o9raYz7d1ebXZ2d0vLWuIc6crGLzwXJflse0pdN 6GAkYsziG07TV8xZzO4hjL9KLg3Mj9qe4xlhuOnmOCvKoGFcBK/c5kHTaT/t9106vFzv/bT0c7jf 9WPyiAF9cBss1a9vMhUR0ftv8eK3VuozJf1g9rp+aFfoBn8tROslmf8b7FTDSVnv/vcnr6IZJkKe IhJbuJKzH84avU/us6fKkC+XA+pGp6FLy0NTXkdNn+V3P8pO6d+bcvV3gD4JtFIRudM8UQSxEGkG rWolr6BTpBWmRjLkjOugUJDALb/PnzHxW579o9csTtVStNCoX5DVJDCZvS2yUrDi8WpKmODeY63g Li4XX00R+GMFs4dY5lgvlw7RongEw0UL8CSMBEsxlXTMY7uHrSHWpfgQmwLYH9ic/qFpmxeGbO+k soQcW9ftt6dkIeA0y1jwAq3Rwmm8EJy3FmusHzLmrzXda8ALjyLcgDAdCTaMZhXFrfdvIaiEcPgP 3DWxy/lzNayqyB26euaTB4kBivGkq3lPF7VaWHYZvLpuizFhRXK80tmzl5h5XMSb2lUxF4ER5lge 3DKf25IQjYtMiFcRifmVEFqc9WbfDSwVM/VLBde+3OPZhByOAeGqfK6vUCx6Y6UMjCD/XXpC+yUQ AdrbiGIFPPpDNPfWq4uak7srLXToEPO1rASly8G5MSboMKH0BfYz27vt2kT3phBPDp4VvIc0tPzD aApzsH3sLx2KmBm1RavPTN2iKIbpPyDehR5tQsiH7FCgBERtnHKmGBcEErOex19GVZaO5OtcmC/+ Af1knp+j2G7TRSgZYdxtQiKXJuKhWIk6fGB0DEozBXhFDLQSZtbRFOE0HH5jIzzPsizcjNihv8iR EQJXR0GlS2y9GUcIU+4A80aMnVrFhBFk28WPAryZ8uJ58uhF9fu6Z+/TT7eeql1ZTLgJ53A+tsxI u+gh8zKKD0e/+ZDmUhcxTatISnuuTHmRPly0c32anrnMeMjZYEoBH5O3lP4VoVoFptAFNesC3xa/ dzaDXL4MTI3PY4X0M7TPJox9In0L+5WKiY1OPz06ydv2L086Hvlu8aafQ6kqzinOQLh+AIEqmFB5 1hfx9qKisMPr1uEfVsGU+JwW3GdRkWEjOL0l4N/Q1E9cSQX680kI7ygaKFWBb6/Kx06h8Izomun1 7aw0ctukBUSFtwpz8HTwEm/yPpvbqwmAfcHGnnkW6Gjw803l+ys8wsn9hJd69Scnmd28yVppCOfE gnjg0gd0Ch0hXZ8OHtYy92js3LXJaMEtqbhGoWDJ+Uc3eG8/k+xPVjT2jJ2Ye6ny7stqGyE/7Mu6 3msHwPdBc9XffHpvYEkUnBFTbKX3I5NnedsS0qIbbhXI6EJSkptccWLiooVATPpU6eIkYoZYAhKB kvuXf0RZcbMPMtxCGQBuINwZ/4pyX6EYEsIeqc6pxZUOjDN3G++T8Gl0zphq5Qvkqp8qWtiEL0kq XgmGNJjFcATBKdcLaMQEzbzM0LA38l4PQgG3OoIBaN7Q7zoAFT3lGz51hCraO1T+0SfWYtoa7/FV I6fgKFAWtuQRfNIz62xfHgC7wXSlQWzV55mN1FM2FhCq31GZL4IxtYzC6HYjC2KTcaICz1+DtjjC Cbfz0jiZEkWE/fqJHtqK6DtQUEjQoYJ2JCpfQhezHVSpWG5FL1LUbkSKYLxt/isLaocM042tkoTe T3gwBZUU0oK0Iz/Frye3cMgIIRrW16sivsW8iHGrCFA8azdn5lDxv9jXgNVCLSwsNHczrxyWOORg 8s088uL0+oEc2RD8Kx68K/Vv9SdRGNo36VzDf0VeE9ClZGzVhbjHGwI+QFINxSquoGwiqIfFsGs5 z636HJN6UJjp/P0OikIwXNkXtlw9MM3fFfnq/rKgvN6Py+M8dslsrctrxVUl4m4luu4uU9q+XVT5 SLEojft33dRJNg7PDLEi47+tTeYajuqNGJWlUJTUbnTqnuHFJgdQXYfNNeSQMX+fXZAiX+GTEm5t Q6dNwS0qEFq3CEi4sLGI698e9B8N6EH0cFhkXr/x7i4mMlazzn+r/W7c7PR3jnoX9NJoiBaDjEJC 0R+dnxyO2AQc1OzQqqNJ3NUYH29X/BBBcolHo8/Wll0LeSCQ8J9gvttuDBjIjzkRihbcGQlnST21 TwX27XMjT1FBpVkHWJT+1TXnfDZPI4IGed2NMokBqQl0Pchzmr6hHLNuqoN4oN4mkbvoQ46ixbn3 7KKXPk7PxwBII5KLC1pFzI94bU8RkmgSgqRzeQIf3Uh207+y/PfEv5W3WUTfONafL8gAftXExywp Zftsj2NEF+djvludjP02k8MnbMxr6dh/vcTaQaIgWqXKjB1+UShjGAXszHbGOJPbdFhSrL0TyWpt r5TO9zM45yCOPRlv1Je+AdlIqM1oXKGpXtJsveC1lX98/S/M14XnT6h8eX/G0dF3vs+y3fESxeyO IidztPupnH5oPLXEbDeCaU1nMiw5DdPIoVmM8xgru+0RDgNtoPtavSDcApaFHXrE//puOkDM3TZp wvf1qMuiyAjBUpu4wrb7ETfqmw6l4noRdbK4Q6nBRH5+Q15ueA3AtGGs3AI7OxPkbelIw3ryc5NY fKoqdM3awLZPIkOZYcAIvPkS+Q8gzyBmUzc4+lN9s6k546xx06AUM07/esW4iKseK5BvXLLpCPaz IaEKFHeZ9NLF8Os2VyrOitvu8c3j9z0nGlO2e7eElWep9hTVN5jhs4gfOPtIKnzwG8G4YH8SYFBS 68GhrPt7fP4ll6SWX1OR0KLg9xUedEtmD5sQoGreP1H20nzK3gCj9rRxydUsg5QMO2F27BSeEcJM //RVX2Q8Y1BjEmvjsxdkbeJQO20jJ9h1RZOCuohy3FzjyzS8YKiAwwvCA6LRO6JcmM+5ZyIyJtVS VSYBCOGcvlfGDaG80squJZZlDdJCXukcNwDIUvK0ewyQnkRO93NaGtAGA06VFTrYzBzDOTBapgVJ o+743iJRh+Ga3AMnW560duI7U1E4jTU7BLzCBKBcSHwCQGaWRc4fgc/14TaDMeZbdZIyiRb2S4Ra Pn9fKhpy1+f27tAGY60nx+T6no8x5466uS3AfVx8Fp9OICaBjzo+MS7ggd3rchwE1DQED6Co2q1K pcHY/OR3Fi7zZHF7R6e22pj3OkKlNWFlDFj0Tzc0AUT8jpXjjvDcCQNjvapHy8TCfGeNZZGFd327 2cL84hnkiIFrXmzyo2g9KNKV28XSaC+qdvmxHvMcX1RJYcLckplvLThBu7DQ8zpxRUJLVSrfDzS+ LCXtOnk9j+ABhGCVDoVNTdptaRF7SzUR0bgH0Rji+3T5V2csHqT1eaARUv1I/Tz5qhWi6/CHhTy0 yjkddIVFOflsS0D8jV5GjnepjE5Kr+1U1/JpwSJpZaqFc/p4ZOZFb5V2h4kl1C7TIfNMPEm3C2TM djq53rxhSMFHcz1PCkYqyb4hzn/m/f6rWbRbN5vbCt4lQiCpLNxV4x6Adq/llurIj4NOH/Mw39mi fkHJDT6vOFGPOJLnAdFzVtFxghkY7dfuoWW1BqrAZHqsK0j37aRGwetZJvvxq/vUFQYtDo26boSh nItBy1fI96Z5ja1WcRR66zyiJ2H+swur16s9X8dtm7DUBwmIFOZ+dNSP7G7F68T+WToGPEVhg88d uipnjF0QFPtgbZlX06dTt39VCuxPlJSH6hP9ovG6jlGv14n2XMN7RCotngzuRsmDHOKvL1JD5YfT P4XonFhznd9+C5pxlckOBhTG3iX7+/FMI45UK/W2DqJxaJrZIafcJOwd8ZWYfOTv2LJCQsKbtQU2 gFFMUSzPhq1b3z61R+43OnMRJf1MBy/O5ekVBMHVn7fMeqVnBT1fJ0JUYmdCWD7Z1ru/3Mlz2MJg SwgLiiMpLqN6VWtVmGoXVGpP9n0WmfPfjf0U5PE2S+SGFZWNLbsrH2GADunIS2HV3l6RFW/AIiiy TZtKesGPzuqO1y6LLRbkZu3XlhtXwZ1InlpNAFKJI6FzHIXUsgpFAHPmka5OLalmxJDmd0VGNuMO KW1bCIWel4EG5tO285McqE23FWgiT9DYJoR62CBSkj7UhBOoNpHb3Rw0LrlwJqtaaXAYUYSkOWvC +x/0vy/E330zggiDjEvqJGeyt2XZQjgp1x12px3bwav+17o/Y9mRdDeddqnizppmH8EquXWEYny7 +SNI5KPosNBqkeA7KD1gfU8MrSFj6FlIA0WR7NQy2LE3zjgbIxZ0WT7ldpwDcY/E4r5jQqu4i2O3 wcS310jW7tcGBLiBg4zqeqrJRU7yY+Wy0PJek01B9fjUZ82sTA++54nxyVI/DAPcwuvz2TPCZ7DE Pb3VE5VCVjgpxRYgWM+2Rqdr504ppvLqQIOuerCY3boT27HzooNeL7k8kSEMkef45TnhNtCtU44H ueTEXQbaZD1BYcYfVfy6IkH1P8IFeP9wGDwLJnJcntPRbInD+nX4kLYvvwyH7GL86AoEXGsqZwN+ Mc+95NP3XbK4etn+mBZEIT5BmU9uGC3xdDqLyhXyhMIoTQCOyaY6evGCpXmGx4vTqK/CBz8E2OrD Tx26ls4L6Nqipmkdoo4nT99dTC9yfgYMrDhosTUlVPpa/NzJhTMYhz542+rMrrmQxIZ4wEg1u2Yq Y8z2Z8DugNY/KIhs1wpH1V1vuex2jYOstjRoH1a3+g+h9sIw4Z0s9A1taz5Es7aBQrKGu7NDuSY7 Pp2E4jkYelC281JYj+dFWrlC7DiGhyv+E0J+HiygMg+KmX+ypq6Q1E0TLjjxV/IQbPbjBXpXZX0A jwj98RRy0PHSyj0fSuvdrihRepJlJInTgtzFsUBIm6RtDH/jgyBU0UvUxypDun6uWUL8ThOYkti6 ZSiUHakZojH0lF/voCKetRd+The5GBjgFe2EaSzNHHetczUeXR37L7YLJTMkd7mKuSMABShRTbH3 /sQiyVNt6J2oe4JoA2fjUDP5EG1uYEnZrax1Ru2S+k7cePtjDuG0ySeObBvs0A3/Xv1va6/6dnAq VXBnr1FHjNQcH9eZi7Wj20f/CLlqw9HYwjyRjM0pFLRgvNhUDg8h2XHfx6AXXinJnSJxSqT9GVF/ S0wYhZlwQ7AaEghgyF70rN3r/X27Nx5A0vFX0kWErF66e02P44UVueAVgYJ39FKeYMjfcs5KsYPV 1s9Vr3QHPe5UGbrHUpiBOK2Zc0omC0l0g3JyRngjgRSoc4zqBrumfRtOrNuEjuVSG/ItUd+9a/8Q A/ltL/3QMLNj8hMf6o2ZiikOBY/t88LTUVsdHdSEtdqyBei3jIIgRDAus3EjrjvQqTYSsxd9w9SL D/2Y4z2lCWcu3tpSVwUo/7DQ9VG4kHovnyhnA64QzgqQFmSg3rNgsjBV+/pm8/eY+JPaHS57fsyL UYvQVsp1iiNVAVZVszPDmex1f5V9+U9p391pg4muz9TRInomY7ND712GDHlEuTwUo2+Y0L3USbq4 rgerWZrCnkzDiWz8n0MGgxymwPC2itquyy9UtW4zceEx6NbO6g4XqIxFgrgrbd5ST0sqzRU2Qjti bekjC2qRPkMzouqfib+UByWfDQMfQvomd0HW/ZR7IzCBkZFOZO5ciu4hAe2wjZuT9F3IF3Lb4/7a U6XtqCGQgRM8mxW36EmRJOnmWJLmLqM8oj/XzwdGUDVTHkfNNjAc1jS9VxeyZZvOR4nuzByGeae1 A0UtcoiUU0jz5O7G7Pzvf/SN6CYYpP5kOLtNKATLhW7ZSQSVq0/S68Iyr4zfRYgISbnhrCfiAEpq tvGmxwlxA/92umI+JQNv/IWmZxjo208yVFoBD8emTiha4y12M9lJYmEmLFfQEShH1TEQcEk9pTY3 zx8foZA60VEJ++6jgMDRquSsWY09JYLcRb16ZURSorC29x6SrY+R0hWu0ZO/IsYqulZeSGBu2es3 kPryfkvpUILCpOGuHbP/FcUIRUhTHonSP0h+bRtDj/7cEwyqpePD7DiYxs9jeav79L8IyHGx2UKU iLfSWyglxQMfxWEWnRbYFZpfQRA9vWrMo4MvSXeAVTP2OtEVWEFFNx6rsreQ0dAzmCYVb43qJyQl eOqGQNGt4PnvnRvAy8dX3ZcskaCaLppv3C9S0ocLGy8l2Qz1Cfoy9WlrCnbIO7yddoneHXOeChVK Ymwzp7IPoIJQT8q3wmD/wnfkksvetN+2b1KIwe1HK2q8X5kyt6n/LhPJmNTPnWfprqU0DoAa5Bfm lUTi6XA9x+hR7YjNcqpY2wl4gNi5ocBBTjTT5Se47dayGNBaJl2ZiHUUzVw823RITHTFEH4TsnYB yfBPTf/+Ec6HE/b/ia1G50a3h78DRTeUFJ21C7GpgcQYdtSmUYouedogaw2U9/fCOuDANNPoL+fo lWwOPEat3/TZ7nZ6gnWDFJCCOHbLcYw8GRBSEjX0docygbufO4MFHyusBbc9NBJ6qO0pZ+vfWiZ5 7gilEkduBpGcW/qSwqL6Ofpml3cW6miD32Af9pe3JCwXsthKDOt//Nnfwxp9KD+EyXvYKEXf/BYI 95vgtZKhymPUqaFlJKQaQmyV7FFDudfdcy9v5YHPjNQRkEWqQAcFawPs0KZXkR0IM6RoNn7bAoIq wH/bBzU/A6WpEUAFLOn+v7bjPAWQ2tu6zzNGAvXmCfPu3EyXLukl5VJiYT6UGXMWTVOC7CMxWHYT uUmCTasuGtjxkXjEyeij+Tzn760WqtJRBvNriX7IYjhUPEx2lVN7IJYMS81+ESD0kd/Q0MMq7P51 zSDOxSgB2Kd8l0rEw/yYputULjlqSbXnKChuJMmEO7Rizasom6J0dpkwx8Qy7eSGA/mPUspmfHZX MUqhpPI+2c1jESWfzoa/q0dYq8Ctr8Wvhw9FfBzSzmUCOZiYi+rqq1LOo71GzlMcvj5grYUSt0HJ dp64HQLzeINA3of4Ltamp5Uz2Qhk/t0bCj25xB3rrfMoORMPiU4xKder9tFQIdzbn4F+kjY1XTS8 Wq6N8B4= `protect end_protected
apache-2.0
89c5fd068907478f158b2b1c2920831b
0.948112
1.830588
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/metaheurísticas/ewf_spea2.vhd
1
2,951
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:31:40) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_spea2_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 3); output1, output2, output3, output4, output5: OUT unsigned(0 TO 4)); END ewf_spea2_entity; ARCHITECTURE ewf_spea2_description OF ewf_spea2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register1 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register2 + register4; WHEN "00000101" => register5 := register4 * 8; WHEN "00000110" => register5 := register3 + register5; WHEN "00000111" => register3 := register3 + register5; register6 := register4 * 10; WHEN "00001000" => register3 := register3 * 12; register6 := register2 + register6; register4 := register4 + register5; WHEN "00001001" => output1 <= register6 + register4; register2 := register2 + register6; WHEN "00001010" => register2 := register2 * 15; register3 := register1 + register3; WHEN "00001011" => register1 := register1 + register3; WHEN "00001100" => register1 := register1 * 17; WHEN "00001101" => register1 := register1 + 19; register4 := register5 + register3; WHEN "00001110" => output2 <= register3 + register1; register1 := register4 + 22; WHEN "00001111" => register3 := register1 * 24; WHEN "00010000" => register3 := register3 + 26; WHEN "00010001" => output3 <= register1 + register3; register1 := register2 + 29; WHEN "00010010" => register2 := register1 + 31; WHEN "00010011" => register2 := register2 * 33; WHEN "00010100" => output4 <= register1 + register2; register1 := register6 + register1; WHEN "00010101" => register1 := register1 + 36; WHEN "00010110" => register2 := register1 * 38; WHEN "00010111" => register2 := register2 + 40; WHEN "00011000" => output5 <= register1 + register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_spea2_description;
gpl-3.0
f7b9b70034a10a1a7e774c8de0c7662e
0.64656
3.146055
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/metaheurísticas/mpegmv_spea2.vhd
1
2,929
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:04:20) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_spea2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_spea2_entity; ARCHITECTURE mpegmv_spea2_description OF mpegmv_spea2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; WHEN "00000010" => register1 := register1 + 3; register2 := input2 * 4; register3 := input3 * 5; WHEN "00000011" => output1 <= register2 + register1; register1 := input4 * 7; register2 := input5 * 8; register4 := input6 * 9; register3 := register3 + 11; register5 := input7 * 12; WHEN "00000100" => register2 := register2 + register3; register3 := input8 * 13; register4 := register4 + 15; register6 := input9 * 16; register5 := register5 + 18; WHEN "00000101" => register2 := register3 + register2; register1 := register1 + register4; register3 := register6 + register5; register4 := input10 * 19; register5 := input11 * 20; WHEN "00000110" => register5 := register5 + 22; register6 := input12 * 23; register7 := input13 * 24; register2 := ((NOT register2) + 1) XOR register2; WHEN "00000111" => register5 := register6 + register5; register6 := input14 * 27; register1 := register7 + register1; WHEN "00001000" => register3 := register6 + register3; register4 := register4 + register5; register1 := ((NOT register1) + 1) XOR register1; WHEN "00001001" => output2 <= register2(0 TO 15) & register4(0 TO 15); output3 <= register1(0 TO 15) & register3(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_spea2_description;
gpl-3.0
090dbe4dded08466a7b49b72c96e84ec
0.68112
3.279955
false
false
false
false
Abeergit/UART
FIFO_BUFFER.vhd
1
3,308
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity fifo_buffer is generic( B: natural:=8; -- number of bits W: natural:=4 -- number of address bits ); port( clk, reset: in std_logic; rd, wr: in std_logic; w_data: in std_logic_vector (B-1 downto 0); empty, full: out std_logic; r_data: out std_logic_vector (B-1 downto 0) ); end fifo_buffer; architecture main of fifo_buffer is type reg_file_type is array (2**W-1 downto 0) of std_logic_vector(B-1 downto 0); signal array_reg: reg_file_type; signal w_ptr_reg, w_ptr_next, w_ptr_succ: std_logic_vector(W-1 downto 0); signal r_ptr_reg, r_ptr_next, r_ptr_succ: std_logic_vector(W-1 downto 0); signal full_reg, empty_reg, full_next, empty_next: std_logic; signal wr_op: std_logic_vector(1 downto 0); signal wr_en: std_logic; begin --================================================= -- register file --================================================= process(clk,reset) begin if (reset='1') then array_reg <= (others=>(others=>'0')); elsif (clk'event and clk='1') then if wr_en='1' then array_reg(to_integer(unsigned(w_ptr_reg))) <= w_data; end if; end if; end process; -- read port r_data <= array_reg(to_integer(unsigned(r_ptr_reg))); -- write enabled only when FIFO is not full wr_en <= wr and (not full_reg); --================================================= -- fifo control logic --================================================= -- register for read and write pointers process(clk,reset) begin if (reset='1') then w_ptr_reg <= (others=>'0'); r_ptr_reg <= (others=>'0'); full_reg <= '0'; empty_reg <= '1'; elsif (clk'event and clk='1') then w_ptr_reg <= w_ptr_next; r_ptr_reg <= r_ptr_next; full_reg <= full_next; empty_reg <= empty_next; end if; end process; -- successive pointer values w_ptr_succ <= std_logic_vector(unsigned(w_ptr_reg)+1); r_ptr_succ <= std_logic_vector(unsigned(r_ptr_reg)+1); -- next-state logic for read and write pointers wr_op <= wr & rd; process(w_ptr_reg,w_ptr_succ,r_ptr_reg,r_ptr_succ,wr_op, empty_reg,full_reg) begin w_ptr_next <= w_ptr_reg; r_ptr_next <= r_ptr_reg; full_next <= full_reg; empty_next <= empty_reg; case wr_op is when "00" => -- no op when "01" => -- read if (empty_reg /= '1') then -- not empty r_ptr_next <= r_ptr_succ; full_next <= '0'; if (r_ptr_succ=w_ptr_reg) then empty_next <='1'; end if; end if; when "10" => -- write if (full_reg /= '1') then -- not full w_ptr_next <= w_ptr_succ; empty_next <= '0'; if (w_ptr_succ=r_ptr_reg) then full_next <='1'; end if; end if; when others => -- write/read; w_ptr_next <= w_ptr_succ; r_ptr_next <= r_ptr_succ; end case; end process; -- output full <= full_reg; empty <= empty_reg; end main;
mit
2f5c8b6b2a08ab2ff2b255e41263ea8d
0.496372
3.361789
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/bin_cntr.vhd
5
8,597
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block U/TEXQjZUaRM/9cgwpEP/LBYfJ0jLWbWRkeNi7iB9W5NL2NH9QolQkR1qJ5lgrxH3yll4V1asg+6 sGUmIucWuA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WHZACpkiDnlCGXQ9djDZpYQIIYvsuuU7UxGXkyZaXRN+rkiqPmodh7r0MHZcR2eglLvvpI0+obtA UK6khoy2sIeo1BIy1jinW1H7bE6QLhgkxKojlZZURK+McLWjsACWq7ZGuV3o2KC5yNiB6q+1MvdU dC7XhouA3JpZ45svpkg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DP8K4+95dnff/lZasMTEi1gqUuP6CfXWoLK3C0sXkPtHmvo6JCoPWeM29vbOZ7/oDa9WYFdwfFdB cbF9GQKv2EN8q7LTB4WBdZU0ehkGcGnHhhiC+VtlMQpgHrUWZ8SJVsnaD7Jh7S5h078SZyz4TuOW Ht7KQwRloOCVjcO6oPGONig0zSduxs9Pvk9v/fcInd9UgldZSSVqtngTl5nQ/fCtjHv+8xjHuXZN wOy5RrZXNDS0v0tsH/ZRaOS0Qxcc6P0folnJTdx8XqMDptdRbTu0peQLxE+mdpPA8c0oxqNO99wD n/e9fg0m1EQ2wFTxTOwsL6AS5rF+YEQK/0tofA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GuK4tPHwUfUSGV9ixlOfwFWcu7ftxSP/AKF9X/+3AQZ/jSBj8yr829fZz4TxW0ZwxxVMdjZdGp5C krDjuBN0rDNF0NKt5HOno5nEEmAVejTa5KjGzQoWAi7kzCQMApJvZLd9vi4PkFcsfjQt2LC/R+jT yP6wAqsO3EklkugH+Io= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GSWDLNoq+Dkh5zV5QPNn+l5h8EmLq4sVXdBWMKs2IfgibuWoAS3mSz42hgc6xfN5wPiZMs/9UPpQ sHYQ4gVExqACJCXlxaHx5KZNmIYV7UACMlu637a8dMnF6DgTxEYYsIpXdzNRhSGNWBS3kP8Px4MB XIbrHud0LYjetuQ5ziUaFwhw7n3FCl1Mvr5emmYF5km24A74Rq5lYdeIsSBtu9kGl7IDI/d5Ve6C CMuiyO8dYzi+4btJbQVpMCv6bRm6QvuBNUUhYej/V2kdqcU9ke6Gwp5Tiw+pY6vdpoe/o9e9c9R8 p4bvBYoW7F0FoFNmI7yJsPcA1LtrVmHXzlsXkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624) `protect data_block 3kPL7ncjniNY6UfiWYt7d1+Gx3b2BGX7njJviAL8exB6Qwd6WIrPUu3w+Whci0xyIvebtE2vTu9c N6X3xtyKY0d6QHf5PKR2FwZu0clbHqiA/vbMiN4Mq9lWQMTG8N/jye1TYzUQwmzAsoK1Rwbp4LVf SjTtcjDykRPdendwJET8uLa0ebAq6nJ0JpntJ7Amr3DFIIQqezVzSpm6KsIe4WAuPoEKBCZzGVsT 9X1gufY84SD+XPGmEnJGAPZhIAJgyBq7BJRGXyRbpMKT24dksQCqCuyn/kkC7l6dxRK0Br81kpP8 QzYFpn3lAclgFqHJm1xtjg9ifFG+yRz0XegkRPbsPoZsCCQR2gN7bpbwGdWCp/J/GiONnT0DVYk+ YnX/zoSncrwxaNiQmfDVZnfT5zQaPHPrg5w/NMsW1t3w+YiVNs073XlaFmd4nprZlXQCTEbZDtXi 8Zg6Em5c//guAuAiSl+bt+569h1k1KwBE5SHDpab5nt6WOp4GhPdEOrNkY3NvH7/BmbY72qDb9WL FCxfmnMKabzJ9YH6ixXw2QQPjM9NWcdBhl5TS456BbAoFDBOXVFJNERVBl7LDv5BMqY4baYirzEq N+NebQTZnmoFT28KDhlmV2iezXYXtxChzWtyS5S3HVR2BGi1aL4OWjOh5VDJekXyGZ6J8TfF5B3h FTQmzfbSTCpQUqGiitsGEySh2aCGNkc3U9RzLY8GYLrR+lY42Pik7C2xaNSlrM5R8s/p5LyjQ9wL W5JGZWaw68X2+HmqQ1Mb5+7YYFbxP/27sr5KPuiQ5A8Kl9JlesTd0tRLeiNiRWLhY4Zvtq5Kqg2F ihSwOowHVnVzgOuizSSrTjsKLagt5GUyGctfTxNWcHM92OYNCmO6coTwJdxn432yiHkGukw9NR+y dRIGArp+I2Z/xh1AewU2Yep2tTlOLnoyUbw7tW21fIkCa0pTGDc5WnEwn85yoCplgGlP/MrhAfE3 v5w7PKwYM01cGxs3OF1Rc9ZR/wiUU1pwq/BhKXOZzdm8V0uAN3EAJofxbVa2MeEDuHdZ3qypiNBj WwpYW+F/zLY6SU00NJhzOh55OJM3/5/5ITyZSR+h9w0+rBkghN09yS3WuLrLkkNDx0yDgLJv7vMY Oncnb5FmRPI9MbOp3iMGkP4YcbKZetRaDVtIq9VTlxOSqcZCwRM1e/VWEAJ8ERBHMVsWPEqa0yMx B6TU4xiq7xTZiKXxe35VmX/wysrlofHQh2u9ilvudUfnJnuasAZzOtR2UkNq358DjPYvHKg0DMCz QAPGOr3wkDLSVJxr31WsFHKfaOHKU/d4vDC3Fpcpd90K0lBA6dKqgX04CA3ZI1SWOlNkJXYKc/p8 Mi7kBzTqVl2PfYw6ohy3O9sQ2or0H6iiYoVHRo0qdRZliff6ipE/ZovQR2AqOx2zb8V70RnbF5tu N2dozWot4bmGsynN54iVcLSgXtqWpYTrZPfZjmHIEh6bM/MjBW6lMNciLlzxT21UlhScgyP3fUFl 3Ymb5I/lNDfZxVpeNLpK+PbpI/JjJQTEqQSqCiqwuEWW57/NLKVvdMQGKeYixSxwD/1ZzIAayb8d PO4UTKOYPRF7pM3NTmd3yngTZIG1W4UZ++iu/xcUknMV2izmXPP8wZwihJ9vd8LzeC1PxYSCbCEG JTRNGbe4874YNZBh8Q4W4bo9+FmmQB0wQMT3B8BSN8xbqHBVVGvdxNhzfKtAh/br509qhB5xyEsx gNz21Xn9xzpb2LtutbZ8sGk2hX/Ef9drx8KCJCE4mz6MkjxcotFaIXcpZjHeuLP4Y7cBXVgCr5Yw aUF4vpFlA5zwmL3ybqOA6cDbcF8QGQTsH9dS0lz5dkscktjps4ak6tL1qlS4Eyu78OYx605aMrT6 ZwQ57f8USn66/p6zA8S5gXNa8ZOfDSsW7vF2YPNfnhEQxMIJfzOYzDwbJ5omcxFk7wHpAm1/b0hm Cd7A3T7In/hPzlQvQMR5sRkDxCx36U6RxwSLawyEGS8Lj6SuEc5yc0KWz2cxUN139M6BX1cLrbMl yDKDNDCKufFKthsOiziVjs3ir6NtYKPNMEcsUmSP6d7gxs0KVAHu7Kqxj1FR7Jbd/CqVOaqGH9tC pX91p13UmD8LPAqGfjtmXnll1VM+VVFUSmqLFujlGBRKWz3rID7CatytQZRb95l5WpAgSYYH8Xml dawByXtq7mcLRjMDv/g1ZtT6frM21ozY9Yw3545mNvF3BvhHXMjlLfx76R+aErnWoQss8bF9+NH4 4jurf35dV+OLTPV8SpzyI0EkZdeBXhRMKDON0HEZrjPq+FEotl9msiEVIKyKNKmU0D6nooGCzt3F ucKXd8XSiZ/yNLdMbUXWj72QycShcV60zdgZ4Mq4h6ujPekQme/vxtz5mRWZ1lw1ET7yknA3lccP uliUWnVM5w3hwqO0DHgzxOjYb2jujD5xorVgTcNk7Id77XEziJBf1XaPQXP+LnBT9fvEuaSERwkE TwqQ4NE2BwHyF49mVfPjnUiRQIJYTd38IiWYqDTGzoKCgPCAYbVr2TrGO3X6+5L+MXqM1Xsshjkj LcVaAxSe4tdg7zqW7fiY0QzgbVMEWUWzsnQKG6IgQMPKEbKPbF6gQzygbCtZNPixAx21aR4lJpib jRlzvBbckYv7ZxuKrTY6Fox/Bbj9x+wdoY5nE+ykEnzgILLxXnS7c6Han0P4/YbBj9NQkd0EVy/y O+XuCqC5Ve2tqY0wLj85ddhPiEtpHedg8vaUE01d6T5pDgqGkU7DsGsHKmAFU7kSEOtKb3sBDSro uKyerGBCe1XWersbkExkbHhIt79/iJL6nP76InlhHdMPIAuy6sSeFWw5v2xgVtq4QHdGiNtVdEZb 533rYAph80QTXZeNHQ8/GPWkd9Pvh0KdqsOyvBKptsFhA9tkrf/Hom+IPYobgCT7Ih9lOY+fuugK nNWQhrKT1XsN4dOmk7vyBhGjEwBLdWDAPArNmWjNynL+O/TkssPr+RUEL43ihjCp2YSCIKu6PwSk D35kkc5AwL4aZ9lPVfO4FoBJJrq/XPrb9x6iLT/cPCKpUoR4T/QLePUwkNCqs2xHmPKAENJdnXwe ZmvYQm7cuAEFvcU5WLL7W/uFJQvQhcHqtMWmGyfVXDsC8xhaG9nEjXI3lBaLftptnr1t/igs8Iee UIbqjEJYSCaXxBwyna2vMdy6Nhgj1zGynw+GIO6DztXIRQgy+44HT23pumsQmO0O+yJntmlwWnRa U2bSYXFrSTHMs9cbfXvgLLckYgoTHBtKs+YyBKryCsmtgl1qIL1EuW8f4rPTLZ86wLCVwLTC06EV Jxfk/87UaUr/J64W8T/MW29GIiz7MPvBwQUJrlsgw48AbIWPC2oVNNEUUdH2DG4P01RvHPT1vQ/M xtETOxVac8bQqRJJgeUHAYUQwOw+mKgnJ8SmCZ2uNXfkJEsa1VoCm5HNJ6VrxzDsM9kt6AjWORhL VTjXfI62Z5KaFVbCT6nqO1gnse0M/VuCJJip5egnPl3HMoz/uEA56I1liiBqXyZImVpyHRL2rleY uGQVwqJM2L+OsmTjGWJ4rbxPyIFcWRFEN6N/NM9FysFLnNAFJ9T6xPV5YaKYzZDNNSRSl2Lw8E7d C2X6FOLmUUdDBA1ZBC4lfLuslIY1S9KZdiG0gYgnd8CSOxTQAU3oOrgJHTX+ajMSN3wBKtVEGoL5 ciLZKSit8WOFK8eqfJ1YrWILcCxRKMGehFjvYXfuYe1uzqiUn7caE2f5BdKf3yTbO760ipnpcxki NXIQZL71dNUZ+wyJwnJwkt8vDE0C0Ze/NnHcHuVqNVfy5YTbzWChFhsAHreD7OYssMeWOWGKLbdL lcSXVyiIRjE/ERqNItW+/ODD/7hfKGtxBQszoEX4WojUvnTZX7Rfsl1bbuO99EQOkBKHceVA2ctO L0++PWec9QdB3ZAS6R2W2m1xiPMmP7SwmPTV2YMoEXvfoz0nLtN+OZx4ad8y/NgTU1MO9tdSa0uV oV/p9sZkJXwmjmbSmwOMzi4hg4K6iTN8A2iaTNstXLjiVOB0Kc8JGxy/bRwW3+3Fg1kTfXOYfNL7 9CLf1S3Wy/PJiHz0jVNF3hIl6vVMTjWB2iCbUxI0P1Nd3oTgo1MmPlhNpG/F29+dQy8Jxe42xjgq IJ/pjPJycc7+uiC/gJQtqVIrfnFCzP83qW2y79qmbAMILPq3ebKya2zqrMMoTl0w8wU0neVoh/L6 dx5rFgT0lfj4p1wKo57rq7YB9F+HfuQUV0aFRlYTGFCmb6SevcDAvSedIyja5vXWvHqX9avUNWVI lwhsqlnSPsC6qVeuQDoGR525VniqZfXiVeBcZyPgb1vjlI68BC+WrJAHB9fpGV35ZQ4OWWmhyDx5 MybAJPPnDKWYRaGR9z8hZ7b+0RSsdGiQ1fbOwWsngv3xTok8JuEYqxQhrnkyWRtX2yR/9+6nvd4A wXjLeQY6KBUwE+/Z407/++ohmjyppu406lydP1GQW0okuMFfxmNsYS8VJ5GDdo9L+DUx0Vt95nA+ 4aMKgqHWey8v9sAcB3hTEWdQB5zXaEys4Ewrkj3Y+/XPTl/8YR93wLn/pMLKyWgrTBExRu6CMEbL Ka0pkOET2P/IadcXXH6WIqhc003wlVFZVNa1eyKUBwZ+zi/+RT81UCsWA5GX47zXKtaKIuSVL8nm iCM+knktKrovM0GhvNBmupVB/wa4jzdPjqc13BXTSsYcu/sfhLrtKm7iIk6YrBcvi28RRkifbUiY DvmUPJWo09Y2XmCfUmxwSKwEI/qSCOhlhe1m9chdbmJMKZLWAbejNeevHuiSr+jxqr+b6tDEXMbJ vv9iTSQvGsJAmvO2HJGhkD04i8+t8diF17gkpK1wyFi0sT59b242JyWCcOumf80ZBUOYkMpQIDlg meg6MXL273N5bg/0OJF5Omd8mBJByqNk8R2PFfBCvG1k9BDO+8czTdNN7ZBWgJ08zqsRh/d5weg+ 10GgvqBmYRuydVZ521l2LI4kMRanPrPXXcmxWKC38dNNIHu9RCj7y2bLEl58WU5XotvfjPSUxNc7 YcAMfLAkbun+EE7u/grAOP9LmFo4MuZDQB1ATLiMD73UmyMG37cySS8NUzpLWqCUSMiaeyEPh+ip cZYraPQNK01kd8gv7lIIx/+eWSaZTn2M7bEf97DW0OmD2AvF6PcvYM6XSbUWX6ZxLExKTr1av4HF XMGiySq79GS2wjlgQngzZTUScBXvNUwpxLQ94puO8zev+dne3BevpyM5dggaGxSMF4DtF7veFQQA Bw6og66Z7pGiIQqA/CFT9b8TEZjXcRvPv/ohstsUqXMsbYYG4QAvAxqAil5Dfq5Fyu3PVIG+OFdz 4A6+H7fbjt5+2nUhbcaNXbMTaPldvk1duTGtqJDxod88Rrc94MhEG4WB9fUr1RsPqkVcH1Giim+2 FSj27C7ZuLH4oAy0pIymwvi1cuuUmX1csvNcRSGwD8Ve3YPso9ScH4qlND6bdf2KmPcSy/fNgCao 95UU5WsPAKWK7wMlVoGMB8YjpUqMZqkm6F+tKN4DGhynHigCABm7RhT4jCKvM4jv94uhGohzlqlO 5bZ6XZ9Tc2vs51Wrz0lwLQybAUvbnXb3KFq2QERzZAUnoMMKbcGF6kIrY3ZlhbxSGPojoPB/qbFK Koaja78JdE2RnjklrFWfaOJJqERaWqNlCr8f4KrDZsCzAtQG6BsLAKikVSJ5EVhdhqUFcBaA91LN jJX8rB0+3/WBUsjvpVJtiICqR6wfYMO2bo5i4Nr5XmTwxayfawqzifcqEDZbQGi2qU1JzRgR/7JG 2wrUCWvPo8bSZn0TwU9YUHJiyKNt3Dbc2zRbsNMZzavYeu644BCvvhX8+0lkZ6xKQRgdQRDdLKPu UDPYSAypUB6/t98YpoC0jsVGxPLjwB68OrTDEBj+qlr5cm2upwtWPZ/0rzEIfOYlrDKcuho1biiA Kyr0K33q6pyrUc0B2pubYnfc9o1wbeY4bhtilyku6OncAbSjGVKsikhuCnuqbFbxMP2JUXCbMdMV r8hLjTbyALG5gMiZDPkvr1Jjp19ibEOLS9tuo+8FcZmKUxgmzUlhQ5JUwCSrWI/p9OtNxzdRWULu kR9fBGcHwA== `protect end_protected
apache-2.0
a548039b05320034b688e8f9afea2b74
0.921252
1.92542
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/ipif_steer.vhd
15
22,671
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_steer.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- IPIF_Steer - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic steers data from the correct byte -- lane to IPIF devices which may be smaller than the bus -- width. The BE signals are also steered if the BE_Steer -- signal is asserted, which indicates that the address space -- being accessed has a smaller maximum data transfer size -- than the bus size. -- -- For writes, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer.vhd -- ------------------------------------------------------------------------------- -- Author: BLT -- History: -- BLT 2-5-2002 -- First version -- ^^^^^^ -- First version of IPIF steering logic. -- ~~~~~~ -- BLT 2-12-2002 -- Removed BE_Steer, now generated internally -- -- DET 2-24-2002 -- Added 'When others' to size case statement -- in BE_STEER_PROC process. -- -- BLT 10-10-2002 -- Rewrote to get around some XST synthesis -- issues. -- -- BLT 11-18-2002 -- Added addr_bits to sensitivity lists to -- fix simulation bug -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of host databus attached to the IPIF -- C_SMALLEST : integer := width of smallest device (not access size) -- attached to the IPIF -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of MAXIMUM data access allowed to -- a particular address map decode. -- -- Size indication (Decode_size) -- 001 - byte -- 010 - halfword -- 011 - word -- 100 - doubleword -- 101 - 128-b -- 110 - 256-b -- 111 - 512-b -- num_bytes = 2^(n-1) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity IPIF_Steer is generic ( C_DWIDTH : integer := 32; -- 8, 16, 32, 64 C_SMALLEST : integer := 32; -- 8, 16, 32, 64 C_AWIDTH : integer := 32 ); port ( Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Addr : in std_logic_vector(0 to C_AWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Decode_size : in std_logic_vector(0 to 2); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity IPIF_Steer; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of IPIF_Steer is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP ----------------------------------------------------------------------------- -- OPB Data Muxing and Steering ----------------------------------------------------------------------------- -- GEN_DWIDTH_SMALLEST GEN_SAME: if C_DWIDTH = C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; GEN_16_8: if C_DWIDTH = 16 and C_SMALLEST = 8 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-1); case addr_bits is when '1' => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1) <= '0'; Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_16_8; GEN_32_8: if C_DWIDTH = 32 and C_SMALLEST = 8 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-2 to C_AWIDTH-1); --a30 to a31 case addr_bits is when "01" => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when "010" => --HW Rd_Data_Out(8 to 15) <= Rd_Data_In(8 to 15); when others => null; end case; when "10" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size is when "001" => -- B BE_Out(0) <= BE_In(2); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "11" => Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31); Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31); case Decode_size is when "001" => -- B BE_Out(0) <= BE_In(3); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(1) <= BE_In(3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_32_8; GEN_32_16: if C_DWIDTH = 32 and C_SMALLEST = 16 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-2); --a30 case addr_bits is when '1' => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size is when "010" => --HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_32_16; GEN_64_8: if C_DWIDTH = 64 and C_SMALLEST = 8 generate signal addr_bits : std_logic_vector(0 to 2); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-1); --a29 to a31 case addr_bits is when "001" => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when others => null; end case; when "010" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size is when "001" => -- B BE_Out(0) <= BE_In(2); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "011" => Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31); Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31); case Decode_size is when "001" => -- B BE_Out(0) <= BE_In(3); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(8 to 15); when others => null; end case; when "100" => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size is when "001" => -- B BE_Out(0) <= BE_In(4); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(32 to 39) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "101" => Wr_Data_Out(0 to 7) <= Wr_Data_In(40 to 47); Wr_Data_Out(8 to 15) <= Wr_Data_In(40 to 47); case Decode_size is when "001" => -- B BE_Out(0) <= BE_In(5); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(40 to 47) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "110" => Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size is when "001" => -- B BE_Out(0) <= BE_In(6); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(48 to 55) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "111" => Wr_Data_Out(0 to 7) <= Wr_Data_In(56 to 63); Wr_Data_Out(8 to 15) <= Wr_Data_In(56 to 63); Wr_Data_Out(24 to 31) <= Wr_Data_In(56 to 63); case Decode_size is when "001" => -- B BE_Out(0) <= BE_In(7); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(56 to 63) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_8; GEN_64_16: if C_DWIDTH = 64 and C_SMALLEST = 16 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-2); --a29 to a30 case addr_bits is when "01" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size is when "010" => --HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "10" => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size is when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "11" => Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size is when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_16; GEN_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size is when "011" => BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_32; -- Size indication (Decode_size) -- n = 001 byte 2^0 -- n = 010 halfword 2^1 -- n = 011 word 2^2 -- n = 100 doubleword 2^3 -- n = 101 128-b -- n = 110 256-b -- n = 111 512-b -- num_bytes = 2^(n-1) end architecture IMP;
apache-2.0
7be22d47ae237a87bb5432bd7d653bd5
0.418729
3.906099
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/dmem.vhd
5
12,333
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JBGcQy2j1G1MgfMojkzBno1qTN0v9S3M9iWkK7KGNBnnOk+bFsnU/xy7X+TZF7bYaewiAscUKC8p Uy9THyao0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RYXQ/3NBYzjw1mRA/nI/MDMgox15TgJVSKt5K0AwQjFaOJZ610sx3QhFiOGRC/S6jheItfU/7HwI A8Laohq5PdLQeBm82lZZ41APVpmsW5DpYy+slPxXaGekBd0VK7DjdP4TzDP0shmDZDioXCXiGbX3 TLhPwo9VVbTlQnGoLYg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VsrzcQLVu3a5i+2YFwmbNR+9sr6j/26fl7FHVb27gQMQoyf7e681BU2Bdffqo+l6eKlBDITHznEQ ZdxyFqvl9ZSMwDbLxQyN15/wbmVXh7FrltXpgHWn9hxLFFwF/aw1DCk3qAJAABMSIZPcB5YoEcyL iOzVyXAA0To5PhAEj+f35mWI1G0AoLutLJ3uwR5UZqNRnDcwnpkbSmwrGPmlgVbUCaTlBpJtuK01 mA4FrBsub3wScHUyAGou8zAB/OPWwCZlrR20c9vdN8pKP3k56G/QhHUEgx4EhCjm75VgFAv4hBmh dzTVS6RTI1Y0c9hY4MbMJtHZcd4AgefuMZVGiQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eU+kHENLD0InHp2zQEiVQesaxqCh8y1ZJ0mW5aBJb6vZedMNuKr0K1EcfH/tOmuHC208+bCgcEBo XQrUEY8GRkuYdHjyXb/xjHRLsMuzfXmRln5a6rnpb3LXkpKBCYUSfGiQ+lirXbtT+096nyhuIJUa suoxpDAZvYgnOwtdFvA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fJWfokGEuIX4wu2EJ//Pmg9MBw/SKlenwLPoaOzVxaMeT7zzClm1LjBuom6X3m8zZCKj/gsQFNzm 5dxj882RlB1pdfgaCwFItwXHM8TCcNNRUF/2nLm7jZtnlzZdEirVQbDaojNGzBlibsW75LUatPhT i+U9hx+p7v+1H3pLclndFeO2VU+HWFpq8t7C0MHi15CvXyHr2ti0SBBNlHeoCgFYLxuiZMcJwZhk bqR3V9HHQdhibWriC/1bta8M5IZ38SLE7ZOWRHF8XZ6woovx9Y49JpvslPykCiEDgrBut2UQ1w/G 1C3dr3dsq1PXpjUoibQJqGmKFF00CIMNwEPmHA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7392) `protect data_block JvYFg04dzOcdRr50ZC30bz2R3rkqaFdOlRN/miz4zlwwzxUTX/x6v3PQA09NGVP/L1Oi33laZ9u7 yxSNMU6UUhh1QqYZM26c3Hf6/iv9aivgFeAkA4aRgwO5ertRKz+R4/Oyb7Vgt5tPuaBWHpvcVz4z uSScYG/vBPsJp7gXXoHaTfuGCf5p6p9UAYlFHbJFuilxJxu5Umvgod+XH9cYRyWBV7wC/P8vh4Ca HBSZUoDxvLC/VpWhhtqMpbS5mJaF06WS0EkxL1nQUcw6qGAVt9Fe6/YDwFBW0ZmehdNhJ9ZrLJ0g DjgLCXrBQ1QikhCBhRUtK4SI29oCVMdOf+VHlW2cclZVL304M650LW6f/fVF1ffX9/j98ZtuG3s6 Yy87r/VBVbaeOikuAJ2j2WzgMBnDB1zHsEeFgJ79+5/kEVpDL0bCvrmvlCGS+VvxhYzjTkdqeSFF nlJP1Wszy3W1lafnrvbeTyPtCiDmpfBooPsAxgu3k+Kogm02scAXnGYz+dWo8AAfN8l5IHjS3Nwd wwWqvSXQejowTsyg8QhXUJG1V7kY0bINj4owBabYVKqEm3xXkGIeJd6eXQSIT2WredRLf4NHeQvZ 1zwh/6MowoWj8ksZG1ardlOpt25dv3Oiy2bxGPRZiiLjLIxrvRBs/6yxzxKW0Gp4ZMbA26ittI9P ZD3ZYptRNF3oDwtNYFo1Q0p7FVBv62VG4v+DEQhe3oGg7WsUc2FHNlX05V5F9rVR0Z74+aAgX71/ loYSPW3N64aDPQ73h8fC1IHlufgDR1hyXHN3DQKWdaIXwGed0BYT9aNDUA2U8uqFg6NioxC8/Gdd JAUEjhXsVGGjXtA4urlDWaew5DTkMCtNcLCM32C4q4UIfcKh+Rgs2sERfeZlghlMcTRSkRgkifnA mm1E0509erJ8xNEG90uYq+ASeYpWEZeKKQpgyE9UAhy0oEMY9yIDRhZSaoasw0IwByaXz1HM6n/c /fOCK/x8NVlZnHuRQ9HejzO+VsBe82csnlUDmwYfhmsnA4+ElFVRe90K/cgn8CVmGNVBDv3YsHV2 miQKFMEZeG9yN9iN7+TFnr6X8vf7HlQ4Adzc/Htno6NzJtLkmjLwxQNAOJpZAbIyjxU7fqq9rfVR c8+k6oY7nMItHJuIaLWNiHsp7lvWGHdTCl0ftKOy9IpDgF56i7zWui35p3pZFpseaxvTUl7n0aTJ StjGhEeS8Kk2y6kIkHJaeQxmIAPgxzfxa9cyfoAPxao29PVnEwncFYYBIFjEUrFWLiIh9gq8lGGb /zlc8pkyzZFxJMRkvg4e3+mYWwfWoXfGF0/0Tb0CacoLrD8F4T12CVvtsFjzDIC9AW9/G6xfE4A/ S09JBq0TTp0wdQyEq4qDnSEHBi0X251Fb7M7mJuKNHW3SDpbZcLtfi40PIzXWs18I9Id9tXbn9ts 5CwpAofEr7QgxFOjUc+2Yr6WsK16zQL6eSmIEiPVCP2Q3OrDSa5I2/25g8QX8vrraNayN7S93t9/ Q2aPKAo+ZHiPDUPlP/X8xIjszPR5X5C6fYtcPdYzVyw28BcZ5YkFuf6lTJFZTm5gKA9Owlm+tqsk c8Htx+7ZYAnnjPy2lyC/V5GRFT23n9DA5SnDgl6o5pdz73xhIYY6sF+bipdNFWRWLPh9dPCZaNX3 zm8WRSR1OFRJ2mahfipxmDdXpECbGhahu68X0Zzad6KigmVbfa6pyCW8UGthllmjSMYAKAgBu0Ga bz2Xz413SyILsmzwVocxdAM7n82ExXuS9CKOvRjS6ywXPc4wiIrF/qk6XSqAv6Q54pIqX4f3c8Rc AeDErhE+vI9Y5C2HPhUIiW5QaO/DPe3U0+2t5HKTvCtzElRqBr6WtgpanA11QQ3Qm6bJ17+IqtSU YmuTa/W3GsG7RZcZveF6vwp1IE8L4j+8mlHJpu5W7PEb4d3I1bcB3GtnySA50YJbL59Tmo8sbfRz 15fLjjU4x/8bprdnSiV3HPXeLmaYU+5+TgciVdWSgel8PrIkbzA/1QyMLTYugu+BQcAg3m5WSXf2 gB94u6PD414/npB5Y6TtLwdQMNftVZQCth+xlhoYCeDh2DK+YXxlxSYzKWH4sTmKhtqxMDjJgjZm HclOSmBJs25pT+Y6I/QWm4Yz99iqGZKOccNlJknXBZX+GriCrWKTVDeJUTMHNmACFpt0WWHlh2Jj rrIZklfNTS8uiezS7rL4EEiVGj6bpSixAomsPQ0e9jwu+ugHi2ZH4RjCehUul2w6bK2H86LP/E9A kXEhCilUwAkwKXSLdiZdpA4uTez7hqGGs8kUX7eevfQrWUc/ZmeFzAspRbeTToxoow1Xc5TBYcXR Iom1XhpLG3k7EcFgLIsASJQLiv/5eSPR8FKrLCgdGRLUYL4Dshs7zkUYnKcXfFftohMFDx7spqOz PUBzvArSS2sNehMQyx2DAv+Dxz7axwrtjCsQu4OZpxmdwCqfxTd1zoKLvLB74vHekiZwDEJ07CBP P0AOJjRsNvj/Wgq6gCUK9y9dj59t1U3UQa6jnXf540a34AFcOGww32+Dc4ek7EVgOwWC7coz/p80 JXlDj3YCw24qL/YADzF8lpEtrfsfQgCJYN1NYe9EW6gtBMIKPsy6ho7TwrL4R8y5g5qNXoDqJbBB O717GX1RZ6/x62ZtF3amPaY/YkVi+cUPAJMBT6jxf2QhEv3P+V0MPsoW2NC0V3wIeK5aZLtnTHFS 2/SnhG+LH10dDr21henpciKYsNw35+YHYa8iNUUSN8uh9/9mdMhJYiFSyOGiJn/2DqjuMEXXCRG6 8SMauniy07alSUti+Z2ZsHZZGBR8KXDmJluIKRdp48nnFYw6RAo8dEwAYS+/S1+LTFJYnstv2o/P VnPPWV7v/IG0UhcrNCElVz+iF8P4d+3J1BDr1abRp51N08eMuHMN6LwuKE4d0WCo0/LD4oaIVI0J Z8BYPeMbI1nhgp5DfGnkbZLfF81jC0phF3Q1izsfDjVJ4UK8+GWKo5OOH2wN2WoLJ1x/oOesVtK6 UFuJkM86KNBngu921tYSVgXzAroP/kOrEO8XNtCTAhdD0JCAzy5tra/SIltYklDwfIJHf9+4dGWH 4szHBLOXx10JHMm4oFYaHd8rcLjmudWfTyBuaE/6grpRixZy1E/rqDkfhE4QcZcBtzajks/aOC9m TA4M99ztzwbjIJUPttUjSIs5FIEeazwuAMReXzwVIQRJSyKY72P4ye74mMCaIGQ5cJBb5EBLfJ+Z XcIWThEhc97iY+xkc3nhhsHyKt3MQFVF+C6WUO903hIkpKbxhV7ZXJWlsMOrWmViqmadVaN/ras2 lDn6h1sNwV99BqvZfqp6NgFBCL2gUODw3iC4rygY5VaU5QwZELXJ+dYZFl2WPGLIFdtW/wWFzG4K u2D8Pre5yEWPVSDcxqiaaSrbfepA0HRiSjrS0N/8JCykhoZKrQc4hwuB/1Qogga7ZDsQVkgghmyh yVUGAsJ2vgb0RmmgfsNYSIhsFWIDEKZBjlN2iGLFTuyfZeIC3BHoqYZU9PdE8NTzPfbbQ1OEOZFS WsiK6BwceW4WMwKyXqZg7Nr1HB9/4KYJFqcO7izZDVVJ9FKfSpNBWL5v+SFcYrDMDwZ+GRHRbHzI Hp6B1254KLp/EZUEptcFbt53K9TsqF5VuBiAoIqXgclkJjXR/m1hdGWLdlhXmDVtPq8WLP9Io3w7 bAqu4dyVwJHlyt81dMF3t5IgdWpyaNKhyA0eyG1nwpvt7EhngPPi4Q5vfKb8Bu7vNQbPNgvscZjw GXbF2Wy+TV8i8vnPGu6MtLYNYsvtruljGZqQZ8WYaM0beD21J7WIfjmWwydH8TwBN+o00CPX1zbP stBIsikq5HMXVwirAJmOWZSisMZKBooakrNWoZtFRmJT35OwGn2rrYRv4w+lctealwKRqdpcVbOd ofbO7Qt5X9TKPQxithFjgFiN4l/M/M3S40E15udSHCHBw2i3rlJDETQx59kooLGh78WYcuWgUSWG tWOWL0d2r3UrCJLbGKspNoFFKrBRkJInMtXkAkw9Q7YjaKyr6WRq9iAZaTX98LlDaOg6dgZiJHAd pJzvxXk8aBxJDZNM/+6JO08VebsVa+jF7PUWa+ak1gMSYC/kkGHb2FUSG/NfJuw6+RcxbradPZgj FwprHk5eW/+XFbnQx+SPCfH7mkh62N5niazLaHSY7jKZW9ecfCQKRwT4hHOKQIBBGFlTfrQ7ncA4 NR3z7in/7t5ZlLYo11kDNbD+jnay8k2IpkHpBJ4C0SVlw4h5eg11TguZ8Rsm3bDQFmHThLucHcIH 7b/wv33v583IT/ctcFgR+svvSvo91V0OCdsMLwVavTElcb7M3a63VVuHQJZERgw9l5Zwy5a/LK4C OQIvLXP5yxFqZg//E+Azho1bZXWog1I86I8Obhcprqb6nabi8vMBzqbsNzsH1iEp908+86j/zSPV Nzdz0EjgxIJl0nCyZJsyTsfcPw64ukBJhT6AZQ/TEfVhSsAN2kqZba3v67EJm8KDOI87CO62jfKH /sToDVi6WyeOi2oa3f2WtdOpRFb/PF44m+dMN+CfqlPm5Rgfz5EJkeVcnsTcMaAVis2rUHm8fRMV 2PDYIzU2IyTGXjLXK4lqPBwFZqxApqGty1zJBMIs4OWrXdzfO+U0peJNR4vQwNRjZTzWY2HYc2g/ pKy3TIoh81EVJUunEG7cxBKQFpqG8mmWjK8fJJ+wozN05Ke/65YD4R09sDn7dluNhvfuMGUH6ryW 3QVwFkfYMaZ5awVD3Ci0oOEabzqhaICEgry9Q8RWHS3KabJ1mXAL71/6ldi++EVjf6Be8DFkJtoQ xIe7QwrEPWCwmuyCXdw1OZhSeL6Ib/tZomThbhXwfDcG69qRHC4kGgwOciXHA3cL4ktSg5Dhptnm 0x3M1StuXlRVxip2QPkXaewxgOGP/g+Ff3csgztbTaMW/W0sBhKFJryvB4pmhWsJdEH9G1J0yzik 2KhMH/t53eiUPcIgKh6GHXcbQ8/tPhiE2nR2CVnfV9TY511wijYxmHJhKTMtFfzGPlQr1/JeHqmU Upua6a1P6pz6o95QCgE2Rr4mTW6h3LXn0mavNwF8bXKUcz73bB6UGFHXPXwaPktRQwypJjHc6Gt0 0eXYiB7DaYb0Ah2PyydOqHW0iyolTcsTegmEyd0LuSj866+bO4+sZwOsK5pS8oNS/pItyc5xPKmV 17u1bm4Lz4SZibEyNmcOwj+7NWuLnhKl8+HN5bCtrxG3OAJ7x7v4XIJ32+2FtwPehM92JWjrXb5m Qxbnfl8KuoUVZZbLOOm2SzsFoz8gtCWZoR+dbF0oFhQMlXYkyL+tH2v2jx7tNUpPqb5VzMITmXuH Mm6nDTB8FkUOkDGezGne1Akg8TgewgxMfNvp636qcR7Ot3NmzCMLcNL4SyaRgCLel6KTdaoqTPBS BuztvHBeYFt1A+NxeNT6bVJSs3OoFgVFXVdSptudKVWDp3mPh+9yaSvhhxv/jJ7Pv2StkXJwvmIy 3d/Yx5DLo518EOGQsg3ySFoXQvmpUB63Zp2uLnmEXZIn0kHW7H7jrJG871x1z56NirDct9TJg08b abxGK2W2YV9VDb0XF/G0Iuwui9JrdaIpUb0Z//nbATWCWfA3PjIj4MHBtjPCms3jXJL2e3k+XOSU HhD89KqYIxSdoUAxH8NhVF0Jc1JSmnbr/Bh23vp2Et4Q+xkss6mTMGj8N5ppt9z94gCPTLYfwPR3 8IcU/JIyRW/3Go4j9pCWW3S35AME4yPfnBdX4wDrDiMxtX/Ge9Yot+DJffSyV5+6b81B/AWQ2CDL AMMOdv/WsO5jhBUue/iFRbxGIrTopftuPwqv8kRJLGGZkPl6SFJ/Wi/C2wzh3v4tVj+hU2rLtKsW +7QjeYJiWz/VIZqaohLBg/7eQfUyDvBHGT8Q9a7ub1iGGZrK4sablviSopezRkcqBfF9/GIs+GCe 4a93TKqt3GoKsfV8hUTxxfYyZ8xN5DV92OKkgp9++J3t5sp7W9LTqejP4hbocsy+jrhBwb80+17q GOkCpYgXG9k8+9xB6UYdlitMXrQCjPipkvcZ7g+TpkoN4WsE5vlN6a3YADZSuFDGH/ZnDySiYQdw mAIR92fjmwuDT3fSx5poKC2XCFEv3gy9EprhtJ9WyDuUYOVN5IEmmJH0QYTs6cA3GjfDsFCBr3C2 Me+8+5h4M+7+9p2/zShSatMKRXgGzqxI8lcefQNm4K9S9ai8GokbnSp9dMR+T62FEXTanDTC5Rzs +Lnhqy83S+WwbzBd7V+rvSDNUBO45fA+O/WBZ+F+nSWgGiHRAcBCuXRldwFM76GJwly6ueoWUSCh P6iBcoum/dQ7YV8mKTrk4YOOSl6EbCZ9zfUMrDdcfp54fDkfso7mc4Ipwm/Wstd/MccCoUGIlmU0 lA6WBawgPJ4Hp4CsWS0mnYtHP9On9PTmAFRF/tK5JmLNQSegiuY0Dt2nU+CbRhAzvzodyvQI8z6w 8CsLWTOiYdNqIFAuF/QgFjBGiXPF2ABYrSJfZ5pV0kRCSvRoop3wPCfEWrSc5PVBkWR0j4Wl93kH QsGcMDY6tKdJsn3Fbj3hnFbcHfvzEvv8H4ySRfcL//YLKI9lwI2hp1AQIKWO1y144KnWWlm2SOIW w4j3wwfjr72pshDlxk83T1NWzJUKPcwEqsVb79WhNE/p98uuD7+N+ycvb6/7h+fE1Xs83VKgqAQG 0V1QFDFXv0HRHLyrQbu/jukgJiGSTEHIHDeNCvaEQKwudWhtALMXvsjWLj0xQlgTxVYZyyBNx+2x uI2O6PBC2QMRtyierV93mjTMOmrjuaANmdZwevuoZ8t1LGjbpUkCq1V6KXyHWBVO2cSPbMPnfJOQ MP2qOCdEejfJ/MsZCaineSaO5v0S71v8tBXCPn6wsuSAtAHGnXPQyN81X4Z5VAlfiCBoOGYptbdc wgvxfBeOaJ7VkcbySmN0NlVp2iTWWEzMXQ1yDkuoKd87mmPr8NnyAOxPg0dEMnzX1hfQIEHrP51p AIa20JZjXkZhumSlxWmbbdQCmS9oB1fHXF8s8VWoGDZXc/AC6ymSbrXIK0Enx+cLvcke1URNmy2R CGgl3th5CAO1UZ7h7Y4+YCIHQLMXAO2khb7s52F2EVIrW1M3POaOVF/ursIOv4ggjzMmra9UUlId tyVmUESA/lthix2IvjIMnlaGEQ/0goIVtFOCBjVLb7LD/mVrCnpDfJiftVvtET+7LPwK92HnT+JN BLTCdBTZy39PTK7dTEsaQmTfD1EG/RB2AJQPrWMOUBolkEuBE5sx+6OJAeuL5a6UK3SbJqABHWKn IT0HUv4mk/pT+1EyYznspc2Yj1H9EHNYAmt1eDXwCwwYzOc/u7y8iKWXpevykfx1/QB1geK0E/+S bCnBVcJQbMcj+w8hta0Xzp9FwsX6bPOjQTVOzAex8nTo+rJcB1cqMiOv8qNZW2HEtGzgihl6Luhf 1wF/02VDCsIBOZ2Yl6Cz0sqi2k/F5dFEC5FB12yOFwSOjWUj8pSHLg20YmhxbsO/HVZ+dgSgblyf 1JfaNrVWhiG70tfSZwgAj6+aosyz5c5mIWt8xYNi/+lgwG8QMwQC+N1vPIRepM7WJSQIhjVc5S4O VrMcnRHEfrpBjab5uHhrG2rH+buMZFlV9nWoqWSeNODvTG26gZHUNWQSXlV7LM1vtgNtyEB4So60 TRMer5AfsEsOZnUsDtBuL2Ae2kl6QAqnde366uGeTF5Pb3jRrvUjHuOCTN6Wv5LMmgwgzPK7XNHV EaRwntMwxy2DpsTPSHoDuW9BxR7pZvp9E7SQbUg2JCCaWd/CflulOLT9FsRUZmxfKVl3YhsaGv1C GeT/S/GxLeNFm/R23Lf9wTydj5vyeKkcyh60uWR5HLU1kb3h08IIDx6bYYCK5zmKufZVUVS+2TAE 07/vpsMpRDZgepKWa0K6B4duzvQI6Ft4eNtKpre+zzOkKaTH2xBuBrHSTNVY9tbbMymurpz8nheY UPELybYJV23Ok7vK1f2+5liMe0bwHBAjU3qbUDwN2HoO8vMY8sIosyYmWtJjhk8FP0RUpS3w2Lx0 gFmXIbOIDfECN9I62nB6YYCTmYushOh5uACCkYAxRe8TLZ29SuyqYYs8YPG1rMdTIYRox0O5+r3L iG9Qrl1I/H9cNqKrVTIu8jB1pCVjlwWPSlwvV2P3O4Q1vADN0nhEFzGXAklBKjYbdWiEp46NKfDZ MUFpR7JRK5nqtLUjZyQsjIjrs9lmNypUo27tBG6a0H8j6jVUroLTtfLelQbwZ1QLWQwuzFmghN2h 1QsgX1G1nwGHYQn0CqNko1pM7H4XkTI8Jv3wj+hrlgWstRHm1+AhUMnR3RcwwU9qnYaynAY4BCF5 KzWNSxcv/tELsNf2iyHlcsXoajB48d7R+OdmpZlazribFg/24giBe2OWvB0GJ0dKaliZYvHdV4OA S9Rv+DqUU4AakPbniPPdP+aSO6/SAF1jr7B++s/kjMv1czdMRDlR9egqKkWIbqJ3kbRel0HyEZ7q I1deIEsDMLUJxJFoeWjIKT+0yjQWfzKMhuGDiRbo4wM2B8BLxW9Oa3ZgW6ol6+T+WHpdbUNnjYab /ZDGyFCC6pH/Xe5ZCXwDBPSIToGW0l0TKHhUabuxfjPWtilPTkMhUXuteuFYCZD9q54NyuTs5z++ RMC4ZdE5/AKEFYExbXqYKQpFfCfgfMDzeaA9x/SttBgXtSl9iFGrRDjlvbAo0tD1H19lHdzg5kbm iNnF3G5QAq6PoYbZZOPSgWpL5UQuQA2FxsoNizyZNIs5ex0qA7rSgHZNSTQ09ySi1ksqKHNMgPqu rC+jlCP5lLFTC2j3uGTHvt7Rx8hqJBcuIHO8kM0lW5/j/gPZ4KE6LpjrAC9Fzi1RJgStXHo2NXZ5 b4GxTYStwtXzA1Ol9cxyfohGls36H0xkGiZhvXkzSKByuHwZdfcmV3W7B79ABx4a8l2llvVqxkFQ dtGCros43TIUyPeiZVpQh7Zbdn7NsKnX741PZ6Flsc42jOqK9EIVhBG6aM4P4TbuSg9loEossk+k AVdriAypnqzePdL4aoq/QhZ+jAEK0cnnCjLTHenTYlc9B98al/wQ+p6inG+CwrKTxhXzU2z+Suze FJ2PNZubF4YFgRuV6exTbGSWHBxhRMX0RYnCchI49bokqjkZpNrWYSzZQT8PgemGwsNHGaXdJ7kB C1exnvHBHgX0kgg6H8pccsPyld6bFa3Yn1JyqQq93ZYmqFus8v4p9eB3Srk3pWwCUn80E6E2k5lU UyXD+SknU5LGNsKJ/+UAtSl8L84VjlrHxS+SJjAB4kvnGjAsY8yMtP646Wd4BJ1zKS2vuOD5wdc0 dbE41IkGz5UJpWVWJ6BRYplnoE/MV4ZzV+9GqbOUi7Rpt9cXQ4FEkPUcKwG8s0U+XA7ia/F9YAA2 PO6MsGDb02s6Xem1giSwtYHBgJVCS28WaXKluC/PcM04TDqJcfn8hbKjeLhcJUh799iQVlfYY3Sw l/D96kdqL3fyOR9k7UC4j/7pjCm9AfhD+G1XEjQDu/0C1dC+gpzdYTHz1tnd4Dm595FdrP/rqR+c 3L0KC9kfYgF6nZuGQQ+gGKCjegK0oWGsc7R76LyyLMRj/+8W79k9pVbRl7Mg1HylC7uA2hNRfqQt C/iMRignhGTRTOBg0AXBFFJxOwgRM+4cZy43fauZpGMMbucNLumLouL3WK/d0Aef4sLYiiH3FvXf INlWJGTUXgX6OasTy4vHiXvxMQvUuBmPo8gsXCqviCzkVh0gPnzq `protect end_protected
apache-2.0
2f63a5e33956e761168cfee4e351302d
0.929539
1.87204
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/3-ARF/metaheurísticas/arf_ibea.vhd
1
2,455
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:35:07) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY arf_ibea_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 3); output1, output2: OUT unsigned(0 TO 4)); END arf_ibea_entity; ARCHITECTURE arf_ibea_description OF arf_ibea_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; WHEN "00000010" => register3 := input3 * 3; register1 := register2 + register1; register2 := input4 * 4; WHEN "00000011" => register2 := register3 + register2; register3 := input5 * 5; register4 := input6 * 6; WHEN "00000100" => register3 := register4 + register3; register4 := input7 * 7; register5 := input8 * 8; WHEN "00000101" => register4 := register5 + register4; register2 := register2 + 10; register3 := register3 + 12; WHEN "00000110" => register5 := register2 * 14; register6 := register3 * 16; WHEN "00000111" => register5 := register6 + register5; register2 := register2 * 18; register3 := register3 * 20; WHEN "00001000" => register6 := register5 * 22; register2 := register3 + register2; WHEN "00001001" => register3 := register2 * 24; WHEN "00001010" => register3 := register6 + register3; register5 := register5 * 26; register2 := register2 * 28; WHEN "00001011" => output1 <= register1 + register3; register1 := register5 + register2; WHEN "00001100" => output2 <= register4 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END arf_ibea_description;
gpl-3.0
1fafd27a25054ebcf6b023cb04d04f0b
0.65336
3.095839
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/mdm_v3_1/0d9386c2/hdl/vhdl/mdm.vhd
1
148,413
------------------------------------------------------------------------------- -- $Id$ ------------------------------------------------------------------------------- -- mdm.vhd - Entity and architecture ------------------------------------------------------------------------------- -- -- (c) Copyright 2003-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: mdm.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93/02 ------------------------------------------------------------------------------- -- Structure: -- mdm.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision$ -- Date: $Date$ -- -- History: -- goran 2006-10-27 First Version -- stefana 2012-03-16 Added support for 32 processors and external BSCAN -- stefana 2012-12-14 Removed legacy interfaces -- stefana 2013-11-01 Added extended debug: debug register access, debug -- memory access, cross trigger support -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library mdm_v3_1; use mdm_v3_1.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; use proc_common_v4_0.ipif_pkg.SLV64_ARRAY_TYPE; use proc_common_v4_0.ipif_pkg.INTEGER_ARRAY_TYPE; use proc_common_v4_0.ipif_pkg.calc_num_ce; library axi_lite_ipif_v2_0; use axi_lite_ipif_v2_0.axi_lite_ipif; entity MDM is generic ( C_FAMILY : string := "virtex7"; C_JTAG_CHAIN : integer := 2; C_USE_BSCAN : integer := 0; C_USE_CONFIG_RESET : integer := 0; C_INTERCONNECT : integer := 0; C_BASEADDR : std_logic_vector(0 to 31) := X"FFFF_FFFF"; C_HIGHADDR : std_logic_vector(0 to 31) := X"0000_0000"; C_MB_DBG_PORTS : integer := 1; C_DBG_REG_ACCESS : integer := 0; C_DBG_MEM_ACCESS : integer := 0; C_USE_UART : integer := 1; C_USE_CROSS_TRIGGER : integer := 0; C_S_AXI_ACLK_FREQ_HZ : integer := 100000000; C_S_AXI_ADDR_WIDTH : integer range 32 to 36 := 32; C_S_AXI_DATA_WIDTH : integer range 32 to 128 := 32; C_M_AXI_ADDR_WIDTH : integer range 32 to 32 := 32; C_M_AXI_DATA_WIDTH : integer range 32 to 32 := 32; C_M_AXI_THREAD_ID_WIDTH : integer := 1; C_DATA_SIZE : integer range 32 to 32 := 32 ); port ( -- Global signals Config_Reset : in std_logic := '0'; S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; M_AXI_ACLK : in std_logic; M_AXI_ARESETN : in std_logic; Interrupt : out std_logic; Ext_BRK : out std_logic; Ext_NM_BRK : out std_logic; Debug_SYS_Rst : out std_logic; -- External cross trigger signals Trig_In_0 : in std_logic; Trig_Ack_In_0 : out std_logic; Trig_Out_0 : out std_logic; Trig_Ack_Out_0 : in std_logic; Trig_In_1 : in std_logic; Trig_Ack_In_1 : out std_logic; Trig_Out_1 : out std_logic; Trig_Ack_Out_1 : in std_logic; Trig_In_2 : in std_logic; Trig_Ack_In_2 : out std_logic; Trig_Out_2 : out std_logic; Trig_Ack_Out_2 : in std_logic; Trig_In_3 : in std_logic; Trig_Ack_In_3 : out std_logic; Trig_Out_3 : out std_logic; Trig_Ack_Out_3 : in std_logic; -- AXI slave signals S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- Bus master signals M_AXI_AWID : out std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0); M_AXI_AWADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); M_AXI_AWLEN : out std_logic_vector(7 downto 0); M_AXI_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_AWBURST : out std_logic_vector(1 downto 0); M_AXI_AWLOCK : out std_logic; M_AXI_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_AWPROT : out std_logic_vector(2 downto 0); M_AXI_AWQOS : out std_logic_vector(3 downto 0); M_AXI_AWVALID : out std_logic; M_AXI_AWREADY : in std_logic; M_AXI_WDATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); M_AXI_WSTRB : out std_logic_vector((C_M_AXI_DATA_WIDTH/8)-1 downto 0); M_AXI_WLAST : out std_logic; M_AXI_WVALID : out std_logic; M_AXI_WREADY : in std_logic; M_AXI_BRESP : in std_logic_vector(1 downto 0); M_AXI_BID : in std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0); M_AXI_BVALID : in std_logic; M_AXI_BREADY : out std_logic; M_AXI_ARID : out std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0); M_AXI_ARADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); M_AXI_ARLEN : out std_logic_vector(7 downto 0); M_AXI_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_ARBURST : out std_logic_vector(1 downto 0); M_AXI_ARLOCK : out std_logic; M_AXI_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_ARPROT : out std_logic_vector(2 downto 0); M_AXI_ARQOS : out std_logic_vector(3 downto 0); M_AXI_ARVALID : out std_logic; M_AXI_ARREADY : in std_logic; M_AXI_RID : in std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0); M_AXI_RDATA : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); M_AXI_RRESP : in std_logic_vector(1 downto 0); M_AXI_RLAST : in std_logic; M_AXI_RVALID : in std_logic; M_AXI_RREADY : out std_logic; LMB_Data_Addr_0 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_0 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_0 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_0 : out std_logic; LMB_Read_Strobe_0 : out std_logic; LMB_Write_Strobe_0 : out std_logic; LMB_Ready_0 : in std_logic; LMB_Wait_0 : in std_logic; LMB_CE_0 : in std_logic; LMB_UE_0 : in std_logic; LMB_Byte_Enable_0 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_1 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_1 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_1 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_1 : out std_logic; LMB_Read_Strobe_1 : out std_logic; LMB_Write_Strobe_1 : out std_logic; LMB_Ready_1 : in std_logic; LMB_Wait_1 : in std_logic; LMB_CE_1 : in std_logic; LMB_UE_1 : in std_logic; LMB_Byte_Enable_1 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_2 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_2 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_2 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_2 : out std_logic; LMB_Read_Strobe_2 : out std_logic; LMB_Write_Strobe_2 : out std_logic; LMB_Ready_2 : in std_logic; LMB_Wait_2 : in std_logic; LMB_CE_2 : in std_logic; LMB_UE_2 : in std_logic; LMB_Byte_Enable_2 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_3 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_3 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_3 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_3 : out std_logic; LMB_Read_Strobe_3 : out std_logic; LMB_Write_Strobe_3 : out std_logic; LMB_Ready_3 : in std_logic; LMB_Wait_3 : in std_logic; LMB_CE_3 : in std_logic; LMB_UE_3 : in std_logic; LMB_Byte_Enable_3 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_4 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_4 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_4 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_4 : out std_logic; LMB_Read_Strobe_4 : out std_logic; LMB_Write_Strobe_4 : out std_logic; LMB_Ready_4 : in std_logic; LMB_Wait_4 : in std_logic; LMB_CE_4 : in std_logic; LMB_UE_4 : in std_logic; LMB_Byte_Enable_4 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_5 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_5 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_5 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_5 : out std_logic; LMB_Read_Strobe_5 : out std_logic; LMB_Write_Strobe_5 : out std_logic; LMB_Ready_5 : in std_logic; LMB_Wait_5 : in std_logic; LMB_CE_5 : in std_logic; LMB_UE_5 : in std_logic; LMB_Byte_Enable_5 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_6 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_6 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_6 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_6 : out std_logic; LMB_Read_Strobe_6 : out std_logic; LMB_Write_Strobe_6 : out std_logic; LMB_Ready_6 : in std_logic; LMB_Wait_6 : in std_logic; LMB_CE_6 : in std_logic; LMB_UE_6 : in std_logic; LMB_Byte_Enable_6 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_7 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_7 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_7 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_7 : out std_logic; LMB_Read_Strobe_7 : out std_logic; LMB_Write_Strobe_7 : out std_logic; LMB_Ready_7 : in std_logic; LMB_Wait_7 : in std_logic; LMB_CE_7 : in std_logic; LMB_UE_7 : in std_logic; LMB_Byte_Enable_7 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_8 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_8 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_8 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_8 : out std_logic; LMB_Read_Strobe_8 : out std_logic; LMB_Write_Strobe_8 : out std_logic; LMB_Ready_8 : in std_logic; LMB_Wait_8 : in std_logic; LMB_CE_8 : in std_logic; LMB_UE_8 : in std_logic; LMB_Byte_Enable_8 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_9 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_9 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_9 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_9 : out std_logic; LMB_Read_Strobe_9 : out std_logic; LMB_Write_Strobe_9 : out std_logic; LMB_Ready_9 : in std_logic; LMB_Wait_9 : in std_logic; LMB_CE_9 : in std_logic; LMB_UE_9 : in std_logic; LMB_Byte_Enable_9 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_10 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_10 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_10 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_10 : out std_logic; LMB_Read_Strobe_10 : out std_logic; LMB_Write_Strobe_10 : out std_logic; LMB_Ready_10 : in std_logic; LMB_Wait_10 : in std_logic; LMB_CE_10 : in std_logic; LMB_UE_10 : in std_logic; LMB_Byte_Enable_10 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_11 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_11 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_11 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_11 : out std_logic; LMB_Read_Strobe_11 : out std_logic; LMB_Write_Strobe_11 : out std_logic; LMB_Ready_11 : in std_logic; LMB_Wait_11 : in std_logic; LMB_CE_11 : in std_logic; LMB_UE_11 : in std_logic; LMB_Byte_Enable_11 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_12 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_12 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_12 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_12 : out std_logic; LMB_Read_Strobe_12 : out std_logic; LMB_Write_Strobe_12 : out std_logic; LMB_Ready_12 : in std_logic; LMB_Wait_12 : in std_logic; LMB_CE_12 : in std_logic; LMB_UE_12 : in std_logic; LMB_Byte_Enable_12 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_13 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_13 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_13 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_13 : out std_logic; LMB_Read_Strobe_13 : out std_logic; LMB_Write_Strobe_13 : out std_logic; LMB_Ready_13 : in std_logic; LMB_Wait_13 : in std_logic; LMB_CE_13 : in std_logic; LMB_UE_13 : in std_logic; LMB_Byte_Enable_13 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_14 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_14 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_14 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_14 : out std_logic; LMB_Read_Strobe_14 : out std_logic; LMB_Write_Strobe_14 : out std_logic; LMB_Ready_14 : in std_logic; LMB_Wait_14 : in std_logic; LMB_CE_14 : in std_logic; LMB_UE_14 : in std_logic; LMB_Byte_Enable_14 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_15 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_15 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_15 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_15 : out std_logic; LMB_Read_Strobe_15 : out std_logic; LMB_Write_Strobe_15 : out std_logic; LMB_Ready_15 : in std_logic; LMB_Wait_15 : in std_logic; LMB_CE_15 : in std_logic; LMB_UE_15 : in std_logic; LMB_Byte_Enable_15 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_16 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_16 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_16 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_16 : out std_logic; LMB_Read_Strobe_16 : out std_logic; LMB_Write_Strobe_16 : out std_logic; LMB_Ready_16 : in std_logic; LMB_Wait_16 : in std_logic; LMB_CE_16 : in std_logic; LMB_UE_16 : in std_logic; LMB_Byte_Enable_16 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_17 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_17 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_17 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_17 : out std_logic; LMB_Read_Strobe_17 : out std_logic; LMB_Write_Strobe_17 : out std_logic; LMB_Ready_17 : in std_logic; LMB_Wait_17 : in std_logic; LMB_CE_17 : in std_logic; LMB_UE_17 : in std_logic; LMB_Byte_Enable_17 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_18 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_18 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_18 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_18 : out std_logic; LMB_Read_Strobe_18 : out std_logic; LMB_Write_Strobe_18 : out std_logic; LMB_Ready_18 : in std_logic; LMB_Wait_18 : in std_logic; LMB_CE_18 : in std_logic; LMB_UE_18 : in std_logic; LMB_Byte_Enable_18 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_19 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_19 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_19 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_19 : out std_logic; LMB_Read_Strobe_19 : out std_logic; LMB_Write_Strobe_19 : out std_logic; LMB_Ready_19 : in std_logic; LMB_Wait_19 : in std_logic; LMB_CE_19 : in std_logic; LMB_UE_19 : in std_logic; LMB_Byte_Enable_19 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_20 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_20 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_20 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_20 : out std_logic; LMB_Read_Strobe_20 : out std_logic; LMB_Write_Strobe_20 : out std_logic; LMB_Ready_20 : in std_logic; LMB_Wait_20 : in std_logic; LMB_CE_20 : in std_logic; LMB_UE_20 : in std_logic; LMB_Byte_Enable_20 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_21 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_21 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_21 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_21 : out std_logic; LMB_Read_Strobe_21 : out std_logic; LMB_Write_Strobe_21 : out std_logic; LMB_Ready_21 : in std_logic; LMB_Wait_21 : in std_logic; LMB_CE_21 : in std_logic; LMB_UE_21 : in std_logic; LMB_Byte_Enable_21 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_22 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_22 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_22 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_22 : out std_logic; LMB_Read_Strobe_22 : out std_logic; LMB_Write_Strobe_22 : out std_logic; LMB_Ready_22 : in std_logic; LMB_Wait_22 : in std_logic; LMB_CE_22 : in std_logic; LMB_UE_22 : in std_logic; LMB_Byte_Enable_22 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_23 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_23 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_23 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_23 : out std_logic; LMB_Read_Strobe_23 : out std_logic; LMB_Write_Strobe_23 : out std_logic; LMB_Ready_23 : in std_logic; LMB_Wait_23 : in std_logic; LMB_CE_23 : in std_logic; LMB_UE_23 : in std_logic; LMB_Byte_Enable_23 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_24 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_24 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_24 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_24 : out std_logic; LMB_Read_Strobe_24 : out std_logic; LMB_Write_Strobe_24 : out std_logic; LMB_Ready_24 : in std_logic; LMB_Wait_24 : in std_logic; LMB_CE_24 : in std_logic; LMB_UE_24 : in std_logic; LMB_Byte_Enable_24 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_25 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_25 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_25 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_25 : out std_logic; LMB_Read_Strobe_25 : out std_logic; LMB_Write_Strobe_25 : out std_logic; LMB_Ready_25 : in std_logic; LMB_Wait_25 : in std_logic; LMB_CE_25 : in std_logic; LMB_UE_25 : in std_logic; LMB_Byte_Enable_25 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_26 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_26 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_26 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_26 : out std_logic; LMB_Read_Strobe_26 : out std_logic; LMB_Write_Strobe_26 : out std_logic; LMB_Ready_26 : in std_logic; LMB_Wait_26 : in std_logic; LMB_CE_26 : in std_logic; LMB_UE_26 : in std_logic; LMB_Byte_Enable_26 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_27 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_27 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_27 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_27 : out std_logic; LMB_Read_Strobe_27 : out std_logic; LMB_Write_Strobe_27 : out std_logic; LMB_Ready_27 : in std_logic; LMB_Wait_27 : in std_logic; LMB_CE_27 : in std_logic; LMB_UE_27 : in std_logic; LMB_Byte_Enable_27 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_28 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_28 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_28 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_28 : out std_logic; LMB_Read_Strobe_28 : out std_logic; LMB_Write_Strobe_28 : out std_logic; LMB_Ready_28 : in std_logic; LMB_Wait_28 : in std_logic; LMB_CE_28 : in std_logic; LMB_UE_28 : in std_logic; LMB_Byte_Enable_28 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_29 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_29 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_29 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_29 : out std_logic; LMB_Read_Strobe_29 : out std_logic; LMB_Write_Strobe_29 : out std_logic; LMB_Ready_29 : in std_logic; LMB_Wait_29 : in std_logic; LMB_CE_29 : in std_logic; LMB_UE_29 : in std_logic; LMB_Byte_Enable_29 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_30 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_30 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_30 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_30 : out std_logic; LMB_Read_Strobe_30 : out std_logic; LMB_Write_Strobe_30 : out std_logic; LMB_Ready_30 : in std_logic; LMB_Wait_30 : in std_logic; LMB_CE_30 : in std_logic; LMB_UE_30 : in std_logic; LMB_Byte_Enable_30 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); LMB_Data_Addr_31 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read_31 : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write_31 : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe_31 : out std_logic; LMB_Read_Strobe_31 : out std_logic; LMB_Write_Strobe_31 : out std_logic; LMB_Ready_31 : in std_logic; LMB_Wait_31 : in std_logic; LMB_CE_31 : in std_logic; LMB_UE_31 : in std_logic; LMB_Byte_Enable_31 : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); -- MicroBlaze Debug Signals Dbg_Clk_0 : out std_logic; Dbg_TDI_0 : out std_logic; Dbg_TDO_0 : in std_logic; Dbg_Reg_En_0 : out std_logic_vector(0 to 7); Dbg_Capture_0 : out std_logic; Dbg_Shift_0 : out std_logic; Dbg_Update_0 : out std_logic; Dbg_Rst_0 : out std_logic; Dbg_Trig_In_0 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_0 : out std_logic_vector(0 to 7); Dbg_Trig_Out_0 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_0 : in std_logic_vector(0 to 7); Dbg_Clk_1 : out std_logic; Dbg_TDI_1 : out std_logic; Dbg_TDO_1 : in std_logic; Dbg_Reg_En_1 : out std_logic_vector(0 to 7); Dbg_Capture_1 : out std_logic; Dbg_Shift_1 : out std_logic; Dbg_Update_1 : out std_logic; Dbg_Rst_1 : out std_logic; Dbg_Trig_In_1 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_1 : out std_logic_vector(0 to 7); Dbg_Trig_Out_1 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_1 : in std_logic_vector(0 to 7); Dbg_Clk_2 : out std_logic; Dbg_TDI_2 : out std_logic; Dbg_TDO_2 : in std_logic; Dbg_Reg_En_2 : out std_logic_vector(0 to 7); Dbg_Capture_2 : out std_logic; Dbg_Shift_2 : out std_logic; Dbg_Update_2 : out std_logic; Dbg_Rst_2 : out std_logic; Dbg_Trig_In_2 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_2 : out std_logic_vector(0 to 7); Dbg_Trig_Out_2 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_2 : in std_logic_vector(0 to 7); Dbg_Clk_3 : out std_logic; Dbg_TDI_3 : out std_logic; Dbg_TDO_3 : in std_logic; Dbg_Reg_En_3 : out std_logic_vector(0 to 7); Dbg_Capture_3 : out std_logic; Dbg_Shift_3 : out std_logic; Dbg_Update_3 : out std_logic; Dbg_Rst_3 : out std_logic; Dbg_Trig_In_3 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_3 : out std_logic_vector(0 to 7); Dbg_Trig_Out_3 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_3 : in std_logic_vector(0 to 7); Dbg_Clk_4 : out std_logic; Dbg_TDI_4 : out std_logic; Dbg_TDO_4 : in std_logic; Dbg_Reg_En_4 : out std_logic_vector(0 to 7); Dbg_Capture_4 : out std_logic; Dbg_Shift_4 : out std_logic; Dbg_Update_4 : out std_logic; Dbg_Rst_4 : out std_logic; Dbg_Trig_In_4 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_4 : out std_logic_vector(0 to 7); Dbg_Trig_Out_4 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_4 : in std_logic_vector(0 to 7); Dbg_Clk_5 : out std_logic; Dbg_TDI_5 : out std_logic; Dbg_TDO_5 : in std_logic; Dbg_Reg_En_5 : out std_logic_vector(0 to 7); Dbg_Capture_5 : out std_logic; Dbg_Shift_5 : out std_logic; Dbg_Update_5 : out std_logic; Dbg_Rst_5 : out std_logic; Dbg_Trig_In_5 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_5 : out std_logic_vector(0 to 7); Dbg_Trig_Out_5 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_5 : in std_logic_vector(0 to 7); Dbg_Clk_6 : out std_logic; Dbg_TDI_6 : out std_logic; Dbg_TDO_6 : in std_logic; Dbg_Reg_En_6 : out std_logic_vector(0 to 7); Dbg_Capture_6 : out std_logic; Dbg_Shift_6 : out std_logic; Dbg_Update_6 : out std_logic; Dbg_Rst_6 : out std_logic; Dbg_Trig_In_6 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_6 : out std_logic_vector(0 to 7); Dbg_Trig_Out_6 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_6 : in std_logic_vector(0 to 7); Dbg_Clk_7 : out std_logic; Dbg_TDI_7 : out std_logic; Dbg_TDO_7 : in std_logic; Dbg_Reg_En_7 : out std_logic_vector(0 to 7); Dbg_Capture_7 : out std_logic; Dbg_Shift_7 : out std_logic; Dbg_Update_7 : out std_logic; Dbg_Rst_7 : out std_logic; Dbg_Trig_In_7 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_7 : out std_logic_vector(0 to 7); Dbg_Trig_Out_7 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_7 : in std_logic_vector(0 to 7); Dbg_Clk_8 : out std_logic; Dbg_TDI_8 : out std_logic; Dbg_TDO_8 : in std_logic; Dbg_Reg_En_8 : out std_logic_vector(0 to 7); Dbg_Capture_8 : out std_logic; Dbg_Shift_8 : out std_logic; Dbg_Update_8 : out std_logic; Dbg_Rst_8 : out std_logic; Dbg_Trig_In_8 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_8 : out std_logic_vector(0 to 7); Dbg_Trig_Out_8 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_8 : in std_logic_vector(0 to 7); Dbg_Clk_9 : out std_logic; Dbg_TDI_9 : out std_logic; Dbg_TDO_9 : in std_logic; Dbg_Reg_En_9 : out std_logic_vector(0 to 7); Dbg_Capture_9 : out std_logic; Dbg_Shift_9 : out std_logic; Dbg_Update_9 : out std_logic; Dbg_Rst_9 : out std_logic; Dbg_Trig_In_9 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_9 : out std_logic_vector(0 to 7); Dbg_Trig_Out_9 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_9 : in std_logic_vector(0 to 7); Dbg_Clk_10 : out std_logic; Dbg_TDI_10 : out std_logic; Dbg_TDO_10 : in std_logic; Dbg_Reg_En_10 : out std_logic_vector(0 to 7); Dbg_Capture_10 : out std_logic; Dbg_Shift_10 : out std_logic; Dbg_Update_10 : out std_logic; Dbg_Rst_10 : out std_logic; Dbg_Trig_In_10 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_10 : out std_logic_vector(0 to 7); Dbg_Trig_Out_10 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_10 : in std_logic_vector(0 to 7); Dbg_Clk_11 : out std_logic; Dbg_TDI_11 : out std_logic; Dbg_TDO_11 : in std_logic; Dbg_Reg_En_11 : out std_logic_vector(0 to 7); Dbg_Capture_11 : out std_logic; Dbg_Shift_11 : out std_logic; Dbg_Update_11 : out std_logic; Dbg_Rst_11 : out std_logic; Dbg_Trig_In_11 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_11 : out std_logic_vector(0 to 7); Dbg_Trig_Out_11 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_11 : in std_logic_vector(0 to 7); Dbg_Clk_12 : out std_logic; Dbg_TDI_12 : out std_logic; Dbg_TDO_12 : in std_logic; Dbg_Reg_En_12 : out std_logic_vector(0 to 7); Dbg_Capture_12 : out std_logic; Dbg_Shift_12 : out std_logic; Dbg_Update_12 : out std_logic; Dbg_Rst_12 : out std_logic; Dbg_Trig_In_12 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_12 : out std_logic_vector(0 to 7); Dbg_Trig_Out_12 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_12 : in std_logic_vector(0 to 7); Dbg_Clk_13 : out std_logic; Dbg_TDI_13 : out std_logic; Dbg_TDO_13 : in std_logic; Dbg_Reg_En_13 : out std_logic_vector(0 to 7); Dbg_Capture_13 : out std_logic; Dbg_Shift_13 : out std_logic; Dbg_Update_13 : out std_logic; Dbg_Rst_13 : out std_logic; Dbg_Trig_In_13 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_13 : out std_logic_vector(0 to 7); Dbg_Trig_Out_13 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_13 : in std_logic_vector(0 to 7); Dbg_Clk_14 : out std_logic; Dbg_TDI_14 : out std_logic; Dbg_TDO_14 : in std_logic; Dbg_Reg_En_14 : out std_logic_vector(0 to 7); Dbg_Capture_14 : out std_logic; Dbg_Shift_14 : out std_logic; Dbg_Update_14 : out std_logic; Dbg_Rst_14 : out std_logic; Dbg_Trig_In_14 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_14 : out std_logic_vector(0 to 7); Dbg_Trig_Out_14 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_14 : in std_logic_vector(0 to 7); Dbg_Clk_15 : out std_logic; Dbg_TDI_15 : out std_logic; Dbg_TDO_15 : in std_logic; Dbg_Reg_En_15 : out std_logic_vector(0 to 7); Dbg_Capture_15 : out std_logic; Dbg_Shift_15 : out std_logic; Dbg_Update_15 : out std_logic; Dbg_Rst_15 : out std_logic; Dbg_Trig_In_15 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_15 : out std_logic_vector(0 to 7); Dbg_Trig_Out_15 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_15 : in std_logic_vector(0 to 7); Dbg_Clk_16 : out std_logic; Dbg_TDI_16 : out std_logic; Dbg_TDO_16 : in std_logic; Dbg_Reg_En_16 : out std_logic_vector(0 to 7); Dbg_Capture_16 : out std_logic; Dbg_Shift_16 : out std_logic; Dbg_Update_16 : out std_logic; Dbg_Rst_16 : out std_logic; Dbg_Trig_In_16 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_16 : out std_logic_vector(0 to 7); Dbg_Trig_Out_16 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_16 : in std_logic_vector(0 to 7); Dbg_Clk_17 : out std_logic; Dbg_TDI_17 : out std_logic; Dbg_TDO_17 : in std_logic; Dbg_Reg_En_17 : out std_logic_vector(0 to 7); Dbg_Capture_17 : out std_logic; Dbg_Shift_17 : out std_logic; Dbg_Update_17 : out std_logic; Dbg_Rst_17 : out std_logic; Dbg_Trig_In_17 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_17 : out std_logic_vector(0 to 7); Dbg_Trig_Out_17 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_17 : in std_logic_vector(0 to 7); Dbg_Clk_18 : out std_logic; Dbg_TDI_18 : out std_logic; Dbg_TDO_18 : in std_logic; Dbg_Reg_En_18 : out std_logic_vector(0 to 7); Dbg_Capture_18 : out std_logic; Dbg_Shift_18 : out std_logic; Dbg_Update_18 : out std_logic; Dbg_Rst_18 : out std_logic; Dbg_Trig_In_18 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_18 : out std_logic_vector(0 to 7); Dbg_Trig_Out_18 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_18 : in std_logic_vector(0 to 7); Dbg_Clk_19 : out std_logic; Dbg_TDI_19 : out std_logic; Dbg_TDO_19 : in std_logic; Dbg_Reg_En_19 : out std_logic_vector(0 to 7); Dbg_Capture_19 : out std_logic; Dbg_Shift_19 : out std_logic; Dbg_Update_19 : out std_logic; Dbg_Rst_19 : out std_logic; Dbg_Trig_In_19 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_19 : out std_logic_vector(0 to 7); Dbg_Trig_Out_19 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_19 : in std_logic_vector(0 to 7); Dbg_Clk_20 : out std_logic; Dbg_TDI_20 : out std_logic; Dbg_TDO_20 : in std_logic; Dbg_Reg_En_20 : out std_logic_vector(0 to 7); Dbg_Capture_20 : out std_logic; Dbg_Shift_20 : out std_logic; Dbg_Update_20 : out std_logic; Dbg_Rst_20 : out std_logic; Dbg_Trig_In_20 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_20 : out std_logic_vector(0 to 7); Dbg_Trig_Out_20 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_20 : in std_logic_vector(0 to 7); Dbg_Clk_21 : out std_logic; Dbg_TDI_21 : out std_logic; Dbg_TDO_21 : in std_logic; Dbg_Reg_En_21 : out std_logic_vector(0 to 7); Dbg_Capture_21 : out std_logic; Dbg_Shift_21 : out std_logic; Dbg_Update_21 : out std_logic; Dbg_Rst_21 : out std_logic; Dbg_Trig_In_21 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_21 : out std_logic_vector(0 to 7); Dbg_Trig_Out_21 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_21 : in std_logic_vector(0 to 7); Dbg_Clk_22 : out std_logic; Dbg_TDI_22 : out std_logic; Dbg_TDO_22 : in std_logic; Dbg_Reg_En_22 : out std_logic_vector(0 to 7); Dbg_Capture_22 : out std_logic; Dbg_Shift_22 : out std_logic; Dbg_Update_22 : out std_logic; Dbg_Rst_22 : out std_logic; Dbg_Trig_In_22 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_22 : out std_logic_vector(0 to 7); Dbg_Trig_Out_22 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_22 : in std_logic_vector(0 to 7); Dbg_Clk_23 : out std_logic; Dbg_TDI_23 : out std_logic; Dbg_TDO_23 : in std_logic; Dbg_Reg_En_23 : out std_logic_vector(0 to 7); Dbg_Capture_23 : out std_logic; Dbg_Shift_23 : out std_logic; Dbg_Update_23 : out std_logic; Dbg_Rst_23 : out std_logic; Dbg_Trig_In_23 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_23 : out std_logic_vector(0 to 7); Dbg_Trig_Out_23 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_23 : in std_logic_vector(0 to 7); Dbg_Clk_24 : out std_logic; Dbg_TDI_24 : out std_logic; Dbg_TDO_24 : in std_logic; Dbg_Reg_En_24 : out std_logic_vector(0 to 7); Dbg_Capture_24 : out std_logic; Dbg_Shift_24 : out std_logic; Dbg_Update_24 : out std_logic; Dbg_Rst_24 : out std_logic; Dbg_Trig_In_24 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_24 : out std_logic_vector(0 to 7); Dbg_Trig_Out_24 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_24 : in std_logic_vector(0 to 7); Dbg_Clk_25 : out std_logic; Dbg_TDI_25 : out std_logic; Dbg_TDO_25 : in std_logic; Dbg_Reg_En_25 : out std_logic_vector(0 to 7); Dbg_Capture_25 : out std_logic; Dbg_Shift_25 : out std_logic; Dbg_Update_25 : out std_logic; Dbg_Rst_25 : out std_logic; Dbg_Trig_In_25 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_25 : out std_logic_vector(0 to 7); Dbg_Trig_Out_25 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_25 : in std_logic_vector(0 to 7); Dbg_Clk_26 : out std_logic; Dbg_TDI_26 : out std_logic; Dbg_TDO_26 : in std_logic; Dbg_Reg_En_26 : out std_logic_vector(0 to 7); Dbg_Capture_26 : out std_logic; Dbg_Shift_26 : out std_logic; Dbg_Update_26 : out std_logic; Dbg_Rst_26 : out std_logic; Dbg_Trig_In_26 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_26 : out std_logic_vector(0 to 7); Dbg_Trig_Out_26 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_26 : in std_logic_vector(0 to 7); Dbg_Clk_27 : out std_logic; Dbg_TDI_27 : out std_logic; Dbg_TDO_27 : in std_logic; Dbg_Reg_En_27 : out std_logic_vector(0 to 7); Dbg_Capture_27 : out std_logic; Dbg_Shift_27 : out std_logic; Dbg_Update_27 : out std_logic; Dbg_Rst_27 : out std_logic; Dbg_Trig_In_27 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_27 : out std_logic_vector(0 to 7); Dbg_Trig_Out_27 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_27 : in std_logic_vector(0 to 7); Dbg_Clk_28 : out std_logic; Dbg_TDI_28 : out std_logic; Dbg_TDO_28 : in std_logic; Dbg_Reg_En_28 : out std_logic_vector(0 to 7); Dbg_Capture_28 : out std_logic; Dbg_Shift_28 : out std_logic; Dbg_Update_28 : out std_logic; Dbg_Rst_28 : out std_logic; Dbg_Trig_In_28 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_28 : out std_logic_vector(0 to 7); Dbg_Trig_Out_28 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_28 : in std_logic_vector(0 to 7); Dbg_Clk_29 : out std_logic; Dbg_TDI_29 : out std_logic; Dbg_TDO_29 : in std_logic; Dbg_Reg_En_29 : out std_logic_vector(0 to 7); Dbg_Capture_29 : out std_logic; Dbg_Shift_29 : out std_logic; Dbg_Update_29 : out std_logic; Dbg_Rst_29 : out std_logic; Dbg_Trig_In_29 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_29 : out std_logic_vector(0 to 7); Dbg_Trig_Out_29 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_29 : in std_logic_vector(0 to 7); Dbg_Clk_30 : out std_logic; Dbg_TDI_30 : out std_logic; Dbg_TDO_30 : in std_logic; Dbg_Reg_En_30 : out std_logic_vector(0 to 7); Dbg_Capture_30 : out std_logic; Dbg_Shift_30 : out std_logic; Dbg_Update_30 : out std_logic; Dbg_Rst_30 : out std_logic; Dbg_Trig_In_30 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_30 : out std_logic_vector(0 to 7); Dbg_Trig_Out_30 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_30 : in std_logic_vector(0 to 7); Dbg_Clk_31 : out std_logic; Dbg_TDI_31 : out std_logic; Dbg_TDO_31 : in std_logic; Dbg_Reg_En_31 : out std_logic_vector(0 to 7); Dbg_Capture_31 : out std_logic; Dbg_Shift_31 : out std_logic; Dbg_Update_31 : out std_logic; Dbg_Rst_31 : out std_logic; Dbg_Trig_In_31 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_31 : out std_logic_vector(0 to 7); Dbg_Trig_Out_31 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_31 : in std_logic_vector(0 to 7); -- External BSCAN inputs -- These signals are used when C_USE_BSCAN = 2 (EXTERNAL) bscan_ext_tdi : in std_logic; bscan_ext_reset : in std_logic; bscan_ext_shift : in std_logic; bscan_ext_update : in std_logic; bscan_ext_capture : in std_logic; bscan_ext_sel : in std_logic; bscan_ext_drck : in std_logic; bscan_ext_tdo : out std_logic; -- External JTAG ports Ext_JTAG_DRCK : out std_logic; Ext_JTAG_RESET : out std_logic; Ext_JTAG_SEL : out std_logic; Ext_JTAG_CAPTURE : out std_logic; Ext_JTAG_SHIFT : out std_logic; Ext_JTAG_UPDATE : out std_logic; Ext_JTAG_TDI : out std_logic; Ext_JTAG_TDO : in std_logic ); end entity MDM; architecture IMP of MDM is function int2std (val : integer) return std_logic is begin -- function int2std if (val = 0) then return '0'; else return '1'; end if; end function int2std; -------------------------------------------------------------------------- -- Constant declarations -------------------------------------------------------------------------- constant ZEROES : std_logic_vector(31 downto 0) := X"00000000"; constant C_REG_NUM_CE : integer := 4 + 4 * C_DBG_REG_ACCESS; constant C_REG_DATA_WIDTH : integer := 8 + 24 * C_DBG_REG_ACCESS; constant C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0) := (31 downto 5 => '0', 4 => int2std(C_DBG_REG_ACCESS), 3 downto 0 => '1'); constant C_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( -- Registers Base Address (not used) ZEROES & C_BASEADDR, ZEROES & (C_BASEADDR or C_S_AXI_MIN_SIZE) ); constant C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => C_REG_NUM_CE ); constant C_USE_WSTRB : integer := 0; constant C_DPHASE_TIMEOUT : integer := 0; -------------------------------------------------------------------------- -- Component declarations -------------------------------------------------------------------------- component MDM_Core generic ( C_USE_CONFIG_RESET : integer := 0; C_BASEADDR : std_logic_vector(0 to 31); C_HIGHADDR : std_logic_vector(0 to 31); C_MB_DBG_PORTS : integer; C_EN_WIDTH : integer; C_DBG_REG_ACCESS : integer; C_REG_NUM_CE : integer; C_REG_DATA_WIDTH : integer; C_DBG_MEM_ACCESS : integer; C_S_AXI_ACLK_FREQ_HZ : integer; C_M_AXI_ADDR_WIDTH : integer; C_M_AXI_DATA_WIDTH : integer; C_USE_CROSS_TRIGGER : integer; C_USE_UART : integer; C_UART_WIDTH : integer := 8); port ( -- Global signals Config_Reset : in std_logic; Interrupt : out std_logic; Ext_BRK : out std_logic; Ext_NM_BRK : out std_logic; Debug_SYS_Rst : out std_logic; -- Debug Register Access signals DbgReg_DRCK : out std_logic; DbgReg_UPDATE : out std_logic; DbgReg_Select : out std_logic; JTAG_Busy : in std_logic; -- AXI IPIC signals bus2ip_clk : in std_logic; bus2ip_resetn : in std_logic; bus2ip_data : in std_logic_vector(C_REG_DATA_WIDTH-1 downto 0); bus2ip_rdce : in std_logic_vector(0 to C_REG_NUM_CE-1); bus2ip_wrce : in std_logic_vector(0 to C_REG_NUM_CE-1); bus2ip_cs : in std_logic; ip2bus_rdack : out std_logic; ip2bus_wrack : out std_logic; ip2bus_error : out std_logic; ip2bus_data : out std_logic_vector(C_REG_DATA_WIDTH-1 downto 0); -- Bus Master signals MB_Debug_Enabled : out std_logic_vector(C_EN_WIDTH-1 downto 0); M_AXI_ACLK : in std_logic; M_AXI_ARESETn : in std_logic; Master_rd_start : out std_logic; Master_rd_addr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); Master_rd_len : out std_logic_vector(4 downto 0); Master_rd_size : out std_logic_vector(1 downto 0); Master_rd_excl : out std_logic; Master_rd_idle : in std_logic; Master_rd_resp : in std_logic_vector(1 downto 0); Master_wr_start : out std_logic; Master_wr_addr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); Master_wr_len : out std_logic_vector(4 downto 0); Master_wr_size : out std_logic_vector(1 downto 0); Master_wr_excl : out std_logic; Master_wr_idle : in std_logic; Master_wr_resp : in std_logic_vector(1 downto 0); Master_data_rd : out std_logic; Master_data_out : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); Master_data_exists : in std_logic; Master_data_wr : out std_logic; Master_data_in : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); Master_data_empty : in std_logic; -- JTAG signals JTAG_TDI : in std_logic; JTAG_RESET : in std_logic; UPDATE : in std_logic; JTAG_SHIFT : in std_logic; JTAG_CAPTURE : in std_logic; SEL : in std_logic; DRCK : in std_logic; JTAG_TDO : out std_logic; -- MicroBlaze Debug Signals Dbg_Clk_0 : out std_logic; Dbg_TDI_0 : out std_logic; Dbg_TDO_0 : in std_logic; Dbg_Reg_En_0 : out std_logic_vector(0 to 7); Dbg_Capture_0 : out std_logic; Dbg_Shift_0 : out std_logic; Dbg_Update_0 : out std_logic; Dbg_Rst_0 : out std_logic; Dbg_Trig_In_0 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_0 : out std_logic_vector(0 to 7); Dbg_Trig_Out_0 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_0 : in std_logic_vector(0 to 7); Dbg_Clk_1 : out std_logic; Dbg_TDI_1 : out std_logic; Dbg_TDO_1 : in std_logic; Dbg_Reg_En_1 : out std_logic_vector(0 to 7); Dbg_Capture_1 : out std_logic; Dbg_Shift_1 : out std_logic; Dbg_Update_1 : out std_logic; Dbg_Rst_1 : out std_logic; Dbg_Trig_In_1 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_1 : out std_logic_vector(0 to 7); Dbg_Trig_Out_1 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_1 : in std_logic_vector(0 to 7); Dbg_Clk_2 : out std_logic; Dbg_TDI_2 : out std_logic; Dbg_TDO_2 : in std_logic; Dbg_Reg_En_2 : out std_logic_vector(0 to 7); Dbg_Capture_2 : out std_logic; Dbg_Shift_2 : out std_logic; Dbg_Update_2 : out std_logic; Dbg_Rst_2 : out std_logic; Dbg_Trig_In_2 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_2 : out std_logic_vector(0 to 7); Dbg_Trig_Out_2 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_2 : in std_logic_vector(0 to 7); Dbg_Clk_3 : out std_logic; Dbg_TDI_3 : out std_logic; Dbg_TDO_3 : in std_logic; Dbg_Reg_En_3 : out std_logic_vector(0 to 7); Dbg_Capture_3 : out std_logic; Dbg_Shift_3 : out std_logic; Dbg_Update_3 : out std_logic; Dbg_Rst_3 : out std_logic; Dbg_Trig_In_3 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_3 : out std_logic_vector(0 to 7); Dbg_Trig_Out_3 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_3 : in std_logic_vector(0 to 7); Dbg_Clk_4 : out std_logic; Dbg_TDI_4 : out std_logic; Dbg_TDO_4 : in std_logic; Dbg_Reg_En_4 : out std_logic_vector(0 to 7); Dbg_Capture_4 : out std_logic; Dbg_Shift_4 : out std_logic; Dbg_Update_4 : out std_logic; Dbg_Rst_4 : out std_logic; Dbg_Trig_In_4 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_4 : out std_logic_vector(0 to 7); Dbg_Trig_Out_4 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_4 : in std_logic_vector(0 to 7); Dbg_Clk_5 : out std_logic; Dbg_TDI_5 : out std_logic; Dbg_TDO_5 : in std_logic; Dbg_Reg_En_5 : out std_logic_vector(0 to 7); Dbg_Capture_5 : out std_logic; Dbg_Shift_5 : out std_logic; Dbg_Update_5 : out std_logic; Dbg_Rst_5 : out std_logic; Dbg_Trig_In_5 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_5 : out std_logic_vector(0 to 7); Dbg_Trig_Out_5 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_5 : in std_logic_vector(0 to 7); Dbg_Clk_6 : out std_logic; Dbg_TDI_6 : out std_logic; Dbg_TDO_6 : in std_logic; Dbg_Reg_En_6 : out std_logic_vector(0 to 7); Dbg_Capture_6 : out std_logic; Dbg_Shift_6 : out std_logic; Dbg_Update_6 : out std_logic; Dbg_Rst_6 : out std_logic; Dbg_Trig_In_6 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_6 : out std_logic_vector(0 to 7); Dbg_Trig_Out_6 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_6 : in std_logic_vector(0 to 7); Dbg_Clk_7 : out std_logic; Dbg_TDI_7 : out std_logic; Dbg_TDO_7 : in std_logic; Dbg_Reg_En_7 : out std_logic_vector(0 to 7); Dbg_Capture_7 : out std_logic; Dbg_Shift_7 : out std_logic; Dbg_Update_7 : out std_logic; Dbg_Rst_7 : out std_logic; Dbg_Trig_In_7 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_7 : out std_logic_vector(0 to 7); Dbg_Trig_Out_7 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_7 : in std_logic_vector(0 to 7); Dbg_Clk_8 : out std_logic; Dbg_TDI_8 : out std_logic; Dbg_TDO_8 : in std_logic; Dbg_Reg_En_8 : out std_logic_vector(0 to 7); Dbg_Capture_8 : out std_logic; Dbg_Shift_8 : out std_logic; Dbg_Update_8 : out std_logic; Dbg_Rst_8 : out std_logic; Dbg_Trig_In_8 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_8 : out std_logic_vector(0 to 7); Dbg_Trig_Out_8 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_8 : in std_logic_vector(0 to 7); Dbg_Clk_9 : out std_logic; Dbg_TDI_9 : out std_logic; Dbg_TDO_9 : in std_logic; Dbg_Reg_En_9 : out std_logic_vector(0 to 7); Dbg_Capture_9 : out std_logic; Dbg_Shift_9 : out std_logic; Dbg_Update_9 : out std_logic; Dbg_Rst_9 : out std_logic; Dbg_Trig_In_9 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_9 : out std_logic_vector(0 to 7); Dbg_Trig_Out_9 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_9 : in std_logic_vector(0 to 7); Dbg_Clk_10 : out std_logic; Dbg_TDI_10 : out std_logic; Dbg_TDO_10 : in std_logic; Dbg_Reg_En_10 : out std_logic_vector(0 to 7); Dbg_Capture_10 : out std_logic; Dbg_Shift_10 : out std_logic; Dbg_Update_10 : out std_logic; Dbg_Rst_10 : out std_logic; Dbg_Trig_In_10 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_10 : out std_logic_vector(0 to 7); Dbg_Trig_Out_10 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_10 : in std_logic_vector(0 to 7); Dbg_Clk_11 : out std_logic; Dbg_TDI_11 : out std_logic; Dbg_TDO_11 : in std_logic; Dbg_Reg_En_11 : out std_logic_vector(0 to 7); Dbg_Capture_11 : out std_logic; Dbg_Shift_11 : out std_logic; Dbg_Update_11 : out std_logic; Dbg_Rst_11 : out std_logic; Dbg_Trig_In_11 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_11 : out std_logic_vector(0 to 7); Dbg_Trig_Out_11 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_11 : in std_logic_vector(0 to 7); Dbg_Clk_12 : out std_logic; Dbg_TDI_12 : out std_logic; Dbg_TDO_12 : in std_logic; Dbg_Reg_En_12 : out std_logic_vector(0 to 7); Dbg_Capture_12 : out std_logic; Dbg_Shift_12 : out std_logic; Dbg_Update_12 : out std_logic; Dbg_Rst_12 : out std_logic; Dbg_Trig_In_12 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_12 : out std_logic_vector(0 to 7); Dbg_Trig_Out_12 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_12 : in std_logic_vector(0 to 7); Dbg_Clk_13 : out std_logic; Dbg_TDI_13 : out std_logic; Dbg_TDO_13 : in std_logic; Dbg_Reg_En_13 : out std_logic_vector(0 to 7); Dbg_Capture_13 : out std_logic; Dbg_Shift_13 : out std_logic; Dbg_Update_13 : out std_logic; Dbg_Rst_13 : out std_logic; Dbg_Trig_In_13 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_13 : out std_logic_vector(0 to 7); Dbg_Trig_Out_13 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_13 : in std_logic_vector(0 to 7); Dbg_Clk_14 : out std_logic; Dbg_TDI_14 : out std_logic; Dbg_TDO_14 : in std_logic; Dbg_Reg_En_14 : out std_logic_vector(0 to 7); Dbg_Capture_14 : out std_logic; Dbg_Shift_14 : out std_logic; Dbg_Update_14 : out std_logic; Dbg_Rst_14 : out std_logic; Dbg_Trig_In_14 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_14 : out std_logic_vector(0 to 7); Dbg_Trig_Out_14 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_14 : in std_logic_vector(0 to 7); Dbg_Clk_15 : out std_logic; Dbg_TDI_15 : out std_logic; Dbg_TDO_15 : in std_logic; Dbg_Reg_En_15 : out std_logic_vector(0 to 7); Dbg_Capture_15 : out std_logic; Dbg_Shift_15 : out std_logic; Dbg_Update_15 : out std_logic; Dbg_Rst_15 : out std_logic; Dbg_Trig_In_15 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_15 : out std_logic_vector(0 to 7); Dbg_Trig_Out_15 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_15 : in std_logic_vector(0 to 7); Dbg_Clk_16 : out std_logic; Dbg_TDI_16 : out std_logic; Dbg_TDO_16 : in std_logic; Dbg_Reg_En_16 : out std_logic_vector(0 to 7); Dbg_Capture_16 : out std_logic; Dbg_Shift_16 : out std_logic; Dbg_Update_16 : out std_logic; Dbg_Rst_16 : out std_logic; Dbg_Trig_In_16 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_16 : out std_logic_vector(0 to 7); Dbg_Trig_Out_16 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_16 : in std_logic_vector(0 to 7); Dbg_Clk_17 : out std_logic; Dbg_TDI_17 : out std_logic; Dbg_TDO_17 : in std_logic; Dbg_Reg_En_17 : out std_logic_vector(0 to 7); Dbg_Capture_17 : out std_logic; Dbg_Shift_17 : out std_logic; Dbg_Update_17 : out std_logic; Dbg_Rst_17 : out std_logic; Dbg_Trig_In_17 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_17 : out std_logic_vector(0 to 7); Dbg_Trig_Out_17 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_17 : in std_logic_vector(0 to 7); Dbg_Clk_18 : out std_logic; Dbg_TDI_18 : out std_logic; Dbg_TDO_18 : in std_logic; Dbg_Reg_En_18 : out std_logic_vector(0 to 7); Dbg_Capture_18 : out std_logic; Dbg_Shift_18 : out std_logic; Dbg_Update_18 : out std_logic; Dbg_Rst_18 : out std_logic; Dbg_Trig_In_18 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_18 : out std_logic_vector(0 to 7); Dbg_Trig_Out_18 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_18 : in std_logic_vector(0 to 7); Dbg_Clk_19 : out std_logic; Dbg_TDI_19 : out std_logic; Dbg_TDO_19 : in std_logic; Dbg_Reg_En_19 : out std_logic_vector(0 to 7); Dbg_Capture_19 : out std_logic; Dbg_Shift_19 : out std_logic; Dbg_Update_19 : out std_logic; Dbg_Rst_19 : out std_logic; Dbg_Trig_In_19 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_19 : out std_logic_vector(0 to 7); Dbg_Trig_Out_19 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_19 : in std_logic_vector(0 to 7); Dbg_Clk_20 : out std_logic; Dbg_TDI_20 : out std_logic; Dbg_TDO_20 : in std_logic; Dbg_Reg_En_20 : out std_logic_vector(0 to 7); Dbg_Capture_20 : out std_logic; Dbg_Shift_20 : out std_logic; Dbg_Update_20 : out std_logic; Dbg_Rst_20 : out std_logic; Dbg_Trig_In_20 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_20 : out std_logic_vector(0 to 7); Dbg_Trig_Out_20 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_20 : in std_logic_vector(0 to 7); Dbg_Clk_21 : out std_logic; Dbg_TDI_21 : out std_logic; Dbg_TDO_21 : in std_logic; Dbg_Reg_En_21 : out std_logic_vector(0 to 7); Dbg_Capture_21 : out std_logic; Dbg_Shift_21 : out std_logic; Dbg_Update_21 : out std_logic; Dbg_Rst_21 : out std_logic; Dbg_Trig_In_21 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_21 : out std_logic_vector(0 to 7); Dbg_Trig_Out_21 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_21 : in std_logic_vector(0 to 7); Dbg_Clk_22 : out std_logic; Dbg_TDI_22 : out std_logic; Dbg_TDO_22 : in std_logic; Dbg_Reg_En_22 : out std_logic_vector(0 to 7); Dbg_Capture_22 : out std_logic; Dbg_Shift_22 : out std_logic; Dbg_Update_22 : out std_logic; Dbg_Rst_22 : out std_logic; Dbg_Trig_In_22 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_22 : out std_logic_vector(0 to 7); Dbg_Trig_Out_22 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_22 : in std_logic_vector(0 to 7); Dbg_Clk_23 : out std_logic; Dbg_TDI_23 : out std_logic; Dbg_TDO_23 : in std_logic; Dbg_Reg_En_23 : out std_logic_vector(0 to 7); Dbg_Capture_23 : out std_logic; Dbg_Shift_23 : out std_logic; Dbg_Update_23 : out std_logic; Dbg_Rst_23 : out std_logic; Dbg_Trig_In_23 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_23 : out std_logic_vector(0 to 7); Dbg_Trig_Out_23 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_23 : in std_logic_vector(0 to 7); Dbg_Clk_24 : out std_logic; Dbg_TDI_24 : out std_logic; Dbg_TDO_24 : in std_logic; Dbg_Reg_En_24 : out std_logic_vector(0 to 7); Dbg_Capture_24 : out std_logic; Dbg_Shift_24 : out std_logic; Dbg_Update_24 : out std_logic; Dbg_Rst_24 : out std_logic; Dbg_Trig_In_24 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_24 : out std_logic_vector(0 to 7); Dbg_Trig_Out_24 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_24 : in std_logic_vector(0 to 7); Dbg_Clk_25 : out std_logic; Dbg_TDI_25 : out std_logic; Dbg_TDO_25 : in std_logic; Dbg_Reg_En_25 : out std_logic_vector(0 to 7); Dbg_Capture_25 : out std_logic; Dbg_Shift_25 : out std_logic; Dbg_Update_25 : out std_logic; Dbg_Rst_25 : out std_logic; Dbg_Trig_In_25 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_25 : out std_logic_vector(0 to 7); Dbg_Trig_Out_25 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_25 : in std_logic_vector(0 to 7); Dbg_Clk_26 : out std_logic; Dbg_TDI_26 : out std_logic; Dbg_TDO_26 : in std_logic; Dbg_Reg_En_26 : out std_logic_vector(0 to 7); Dbg_Capture_26 : out std_logic; Dbg_Shift_26 : out std_logic; Dbg_Update_26 : out std_logic; Dbg_Rst_26 : out std_logic; Dbg_Trig_In_26 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_26 : out std_logic_vector(0 to 7); Dbg_Trig_Out_26 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_26 : in std_logic_vector(0 to 7); Dbg_Clk_27 : out std_logic; Dbg_TDI_27 : out std_logic; Dbg_TDO_27 : in std_logic; Dbg_Reg_En_27 : out std_logic_vector(0 to 7); Dbg_Capture_27 : out std_logic; Dbg_Shift_27 : out std_logic; Dbg_Update_27 : out std_logic; Dbg_Rst_27 : out std_logic; Dbg_Trig_In_27 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_27 : out std_logic_vector(0 to 7); Dbg_Trig_Out_27 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_27 : in std_logic_vector(0 to 7); Dbg_Clk_28 : out std_logic; Dbg_TDI_28 : out std_logic; Dbg_TDO_28 : in std_logic; Dbg_Reg_En_28 : out std_logic_vector(0 to 7); Dbg_Capture_28 : out std_logic; Dbg_Shift_28 : out std_logic; Dbg_Update_28 : out std_logic; Dbg_Rst_28 : out std_logic; Dbg_Trig_In_28 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_28 : out std_logic_vector(0 to 7); Dbg_Trig_Out_28 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_28 : in std_logic_vector(0 to 7); Dbg_Clk_29 : out std_logic; Dbg_TDI_29 : out std_logic; Dbg_TDO_29 : in std_logic; Dbg_Reg_En_29 : out std_logic_vector(0 to 7); Dbg_Capture_29 : out std_logic; Dbg_Shift_29 : out std_logic; Dbg_Update_29 : out std_logic; Dbg_Rst_29 : out std_logic; Dbg_Trig_In_29 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_29 : out std_logic_vector(0 to 7); Dbg_Trig_Out_29 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_29 : in std_logic_vector(0 to 7); Dbg_Clk_30 : out std_logic; Dbg_TDI_30 : out std_logic; Dbg_TDO_30 : in std_logic; Dbg_Reg_En_30 : out std_logic_vector(0 to 7); Dbg_Capture_30 : out std_logic; Dbg_Shift_30 : out std_logic; Dbg_Update_30 : out std_logic; Dbg_Rst_30 : out std_logic; Dbg_Trig_In_30 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_30 : out std_logic_vector(0 to 7); Dbg_Trig_Out_30 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_30 : in std_logic_vector(0 to 7); Dbg_Clk_31 : out std_logic; Dbg_TDI_31 : out std_logic; Dbg_TDO_31 : in std_logic; Dbg_Reg_En_31 : out std_logic_vector(0 to 7); Dbg_Capture_31 : out std_logic; Dbg_Shift_31 : out std_logic; Dbg_Update_31 : out std_logic; Dbg_Rst_31 : out std_logic; Dbg_Trig_In_31 : in std_logic_vector(0 to 7); Dbg_Trig_Ack_In_31 : out std_logic_vector(0 to 7); Dbg_Trig_Out_31 : out std_logic_vector(0 to 7); Dbg_Trig_Ack_Out_31 : in std_logic_vector(0 to 7); -- External Trace Signals Ext_Trig_In : in std_logic_vector(0 to 3); Ext_Trig_Ack_In : out std_logic_vector(0 to 3); Ext_Trig_Out : out std_logic_vector(0 to 3); Ext_Trig_Ack_Out : in std_logic_vector(0 to 3); -- External JTAG Ext_JTAG_DRCK : out std_logic; Ext_JTAG_RESET : out std_logic; Ext_JTAG_SEL : out std_logic; Ext_JTAG_CAPTURE : out std_logic; Ext_JTAG_SHIFT : out std_logic; Ext_JTAG_UPDATE : out std_logic; Ext_JTAG_TDI : out std_logic; Ext_JTAG_TDO : in std_logic ); end component MDM_Core; component bus_master is generic ( C_M_AXI_DATA_WIDTH : natural; C_M_AXI_THREAD_ID_WIDTH : natural; C_M_AXI_ADDR_WIDTH : natural; C_DATA_SIZE : natural ); port ( Rd_Start : in std_logic; Rd_Addr : in std_logic_vector(31 downto 0); Rd_Len : in std_logic_vector(4 downto 0); Rd_Size : in std_logic_vector(1 downto 0); Rd_Exclusive : in std_logic; Rd_Idle : out std_logic; Rd_Response : out std_logic_vector(1 downto 0); Wr_Start : in std_logic; Wr_Addr : in std_logic_vector(31 downto 0); Wr_Len : in std_logic_vector(4 downto 0); Wr_Size : in std_logic_vector(1 downto 0); Wr_Exclusive : in std_logic; Wr_Idle : out std_logic; Wr_Response : out std_logic_vector(1 downto 0); Data_Rd : in std_logic; Data_Out : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); Data_Exists : out std_logic; Data_Wr : in std_logic; Data_In : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); Data_Empty : out std_logic; LMB_Data_Addr : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Read : in std_logic_vector(0 to C_DATA_SIZE-1); LMB_Data_Write : out std_logic_vector(0 to C_DATA_SIZE-1); LMB_Addr_Strobe : out std_logic; LMB_Read_Strobe : out std_logic; LMB_Write_Strobe : out std_logic; LMB_Ready : in std_logic; LMB_Wait : in std_logic; LMB_UE : in std_logic; LMB_Byte_Enable : out std_logic_vector(0 to (C_DATA_SIZE-1)/8); M_AXI_ACLK : in std_logic; M_AXI_ARESETn : in std_logic; M_AXI_AWID : out std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0); M_AXI_AWADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); M_AXI_AWLEN : out std_logic_vector(7 downto 0); M_AXI_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_AWBURST : out std_logic_vector(1 downto 0); M_AXI_AWLOCK : out std_logic; M_AXI_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_AWPROT : out std_logic_vector(2 downto 0); M_AXI_AWQOS : out std_logic_vector(3 downto 0); M_AXI_AWVALID : out std_logic; M_AXI_AWREADY : in std_logic; M_AXI_WLAST : out std_logic; M_AXI_WDATA : out std_logic_vector(31 downto 0); M_AXI_WSTRB : out std_logic_vector(3 downto 0); M_AXI_WVALID : out std_logic; M_AXI_WREADY : in std_logic; M_AXI_BRESP : in std_logic_vector(1 downto 0); M_AXI_BID : in std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0); M_AXI_BVALID : in std_logic; M_AXI_BREADY : out std_logic; M_AXI_ARADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); M_AXI_ARID : out std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0); M_AXI_ARLEN : out std_logic_vector(7 downto 0); M_AXI_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_ARBURST : out std_logic_vector(1 downto 0); M_AXI_ARLOCK : out std_logic; M_AXI_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_ARPROT : out std_logic_vector(2 downto 0); M_AXI_ARQOS : out std_logic_vector(3 downto 0); M_AXI_ARVALID : out std_logic; M_AXI_ARREADY : in std_logic; M_AXI_RLAST : in std_logic; M_AXI_RID : in std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0); M_AXI_RDATA : in std_logic_vector(31 downto 0); M_AXI_RRESP : in std_logic_vector(1 downto 0); M_AXI_RVALID : in std_logic; M_AXI_RREADY : out std_logic ); end component bus_master; -------------------------------------------------------------------------- -- Functions -------------------------------------------------------------------------- -- -- The native_bscan function returns the native BSCAN primitive for the given -- family. This funtion needs to be revised for every new architecture. -- function native_bscan (C_FAMILY : string) return proc_common_v4_0.family_support.primitives_type is begin if supported(C_FAMILY, u_BSCANE2) then return u_BSCANE2; -- 7 series else assert false report "Function native_bscan : No BSCAN available for " & C_FAMILY severity error; return u_BSCANE2; -- To prevent simulator warnings end if; end; -- Returns at least 1 function MakePos (a : integer) return integer is begin if a < 1 then return 1; else return a; end if; end function MakePos; constant C_EN_WIDTH : integer := MakePos(C_MB_DBG_PORTS); -------------------------------------------------------------------------- -- Signal declarations -------------------------------------------------------------------------- signal tdi : std_logic; signal reset : std_logic; signal update : std_logic; signal capture : std_logic; signal shift : std_logic; signal sel : std_logic; signal drck : std_logic; signal tdo : std_logic; signal drck_i : std_logic; signal update_i : std_logic; signal dbgreg_drck : std_logic; signal dbgreg_update : std_logic; signal dbgreg_select : std_logic; signal jtag_busy : std_logic; signal bus2ip_clk : std_logic; signal bus2ip_resetn : std_logic; signal ip2bus_data : std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0) := (others => '0'); signal ip2bus_error : std_logic := '0'; signal ip2bus_wrack : std_logic := '0'; signal ip2bus_rdack : std_logic := '0'; signal bus2ip_data : std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0); signal bus2ip_cs : std_logic_vector(((C_ARD_ADDR_RANGE_ARRAY'length)/2)-1 downto 0); signal bus2ip_rdce : std_logic_vector(calc_num_ce(C_ARD_NUM_CE_ARRAY)-1 downto 0); signal bus2ip_wrce : std_logic_vector(calc_num_ce(C_ARD_NUM_CE_ARRAY)-1 downto 0); signal mb_debug_enabled : std_logic_vector(C_EN_WIDTH-1 downto 0); signal master_rd_start : std_logic; signal master_rd_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); signal master_rd_len : std_logic_vector(4 downto 0); signal master_rd_size : std_logic_vector(1 downto 0); signal master_rd_excl : std_logic; signal master_rd_idle : std_logic; signal master_rd_resp : std_logic_vector(1 downto 0); signal master_wr_start : std_logic; signal master_wr_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); signal master_wr_len : std_logic_vector(4 downto 0); signal master_wr_size : std_logic_vector(1 downto 0); signal master_wr_excl : std_logic; signal master_wr_idle : std_logic; signal master_wr_resp : std_logic_vector(1 downto 0); signal master_data_rd : std_logic; signal master_data_out : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); signal master_data_exists : std_logic; signal master_data_wr : std_logic; signal master_data_in : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); signal master_data_empty : std_logic; signal ext_trig_in : std_logic_vector(0 to 3); signal ext_trig_Ack_In : std_logic_vector(0 to 3); signal ext_trig_out : std_logic_vector(0 to 3); signal ext_trig_Ack_Out : std_logic_vector(0 to 3); -------------------------------------------------------------------------- -- Attibute declarations -------------------------------------------------------------------------- attribute period : string; attribute period of update : signal is "200 ns"; attribute buffer_type : string; attribute buffer_type of update_i : signal is "none"; attribute buffer_type of MDM_Core_I1 : label is "none"; begin -- architecture IMP Use_E2 : if native_bscan(C_FAMILY) = u_BSCANE2 and C_USE_BSCAN /= 2 generate begin BSCANE2_I : BSCANE2 generic map ( DISABLE_JTAG => "FALSE", JTAG_CHAIN => C_JTAG_CHAIN) port map ( CAPTURE => capture, -- [out std_logic] DRCK => drck_i, -- [out std_logic] RESET => reset, -- [out std_logic] RUNTEST => open, -- [out std_logic] SEL => sel, -- [out std_logic] SHIFT => shift, -- [out std_logic] TCK => open, -- [out std_logic] TDI => tdi, -- [out std_logic] TMS => open, -- [out std_logic] UPDATE => update_i, -- [out std_logic] TDO => tdo); -- [in std_logic] end generate Use_E2; Use_External : if C_USE_BSCAN = 2 generate begin capture <= bscan_ext_capture; drck_i <= bscan_ext_drck; reset <= bscan_ext_reset; sel <= bscan_ext_sel; shift <= bscan_ext_shift; tdi <= bscan_ext_tdi; update_i <= bscan_ext_update; bscan_ext_tdo <= tdo; end generate Use_External; No_External : if C_USE_BSCAN /= 2 generate begin bscan_ext_tdo <= '0'; end generate No_External; Use_Dbg_Reg_Access : if C_DBG_REG_ACCESS = 1 generate signal dbgreg_select_n : std_logic; signal dbgreg_drck_i : std_logic; signal dbgreg_update_i : std_logic; signal update_set : std_logic; signal update_reset : std_logic; begin dbgreg_select_n <= not dbgreg_select; -- drck <= dbgreg_drck when dbgreg_select = '1' else drck_i; BUFG_DRCK : BUFG port map ( O => dbgreg_drck_i, I => dbgreg_drck ); BUFGCTRL_DRCK : BUFGCTRL generic map ( INIT_OUT => 0, PRESELECT_I0 => true, PRESELECT_I1 => false ) port map ( O => drck, CE0 => '1', CE1 => '1', I0 => drck_i, I1 => dbgreg_drck_i, IGNORE0 => '1', IGNORE1 => '1', S0 => dbgreg_select_n, S1 => dbgreg_select ); -- update <= dbgreg_update when dbgreg_select = '1' else update_i; BUFG_UPDATE : BUFG port map ( O => dbgreg_update_i, I => dbgreg_update ); BUFGCTRL_UPDATE : BUFGCTRL generic map ( INIT_OUT => 0, PRESELECT_I0 => true, PRESELECT_I1 => false ) port map ( O => update, CE0 => '1', CE1 => '1', I0 => update_i, I1 => dbgreg_update_i, IGNORE0 => '1', IGNORE1 => '1', S0 => dbgreg_select_n, S1 => dbgreg_select ); JTAG_Busy_Detect : process (drck_i, sel, update_set, Config_Reset) begin if sel = '0' or update_set = '1' or Config_Reset = '1' then jtag_busy <= '0'; update_reset <= '1'; elsif drck_i'event and drck_i = '1' then if sel = '1' and capture = '1' then jtag_busy <= '1'; end if; update_reset <= '0'; end if; end process JTAG_Busy_Detect; JTAG_Update_Detect : process (update_i, update_reset, Config_Reset) begin if update_reset = '1' or Config_Reset = '1' then update_set <= '0'; elsif update_i'event and update_i = '1' then update_set <= '1'; end if; end process JTAG_Update_Detect; end generate Use_Dbg_Reg_Access; No_Dbg_Reg_Access : if C_DBG_REG_ACCESS = 0 generate begin BUFG_DRCK : BUFG port map ( O => drck, I => drck_i ); update <= update_i; jtag_busy <= '0'; end generate No_Dbg_Reg_Access; --------------------------------------------------------------------------- -- MDM core --------------------------------------------------------------------------- MDM_Core_I1 : MDM_Core generic map ( C_USE_CONFIG_RESET => C_USE_CONFIG_RESET, -- [integer = 0] C_BASEADDR => C_BASEADDR, -- [std_logic_vector(0 to 31)] C_HIGHADDR => C_HIGHADDR, -- [std_logic_vector(0 to 31)] C_MB_DBG_PORTS => C_MB_DBG_PORTS, -- [integer] C_EN_WIDTH => C_EN_WIDTH, -- [integer] C_DBG_REG_ACCESS => C_DBG_REG_ACCESS, -- [integer] C_REG_NUM_CE => C_REG_NUM_CE, -- [integer] C_REG_DATA_WIDTH => C_REG_DATA_WIDTH, -- [integer] C_DBG_MEM_ACCESS => C_DBG_MEM_ACCESS, -- [integer] C_S_AXI_ACLK_FREQ_HZ => C_S_AXI_ACLK_FREQ_HZ, -- [integer] C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH, -- [integer] C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH, -- [integer] C_USE_CROSS_TRIGGER => C_USE_CROSS_TRIGGER, -- [integer] C_USE_UART => C_USE_UART, -- [integer] C_UART_WIDTH => 8 -- [integer] ) port map ( -- Global signals Config_Reset => Config_Reset, -- [in std_logic] Interrupt => Interrupt, -- [out std_logic] Ext_BRK => Ext_BRK, -- [out std_logic] Ext_NM_BRK => Ext_NM_BRK, -- [out std_logic] Debug_SYS_Rst => Debug_SYS_Rst, -- [out std_logic] -- Debug Register Access signals DbgReg_DRCK => dbgreg_drck, -- [out std_logic] DbgReg_UPDATE => dbgreg_update, -- [out std_logic] DbgReg_Select => dbgreg_select, -- [out std_logic] JTAG_Busy => jtag_busy, -- [in std_logic] -- AXI IPIC signals bus2ip_clk => bus2ip_clk, bus2ip_resetn => bus2ip_resetn, bus2ip_data => bus2ip_data(C_REG_DATA_WIDTH-1 downto 0), bus2ip_rdce => bus2ip_rdce(C_REG_NUM_CE-1 downto 0), bus2ip_wrce => bus2ip_wrce(C_REG_NUM_CE-1 downto 0), bus2ip_cs => bus2ip_cs(0), ip2bus_rdack => ip2bus_rdack, ip2bus_wrack => ip2bus_wrack, ip2bus_error => ip2bus_error, ip2bus_data => ip2bus_data(C_REG_DATA_WIDTH-1 downto 0), -- Bus Master signals MB_Debug_Enabled => mb_debug_enabled, M_AXI_ACLK => M_AXI_ACLK, M_AXI_ARESETn => M_AXI_ARESETn, Master_rd_start => master_rd_start, Master_rd_addr => master_rd_addr, Master_rd_len => master_rd_len, Master_rd_size => master_rd_size, Master_rd_excl => master_rd_excl, Master_rd_idle => master_rd_idle, Master_rd_resp => master_rd_resp, Master_wr_start => master_wr_start, Master_wr_addr => master_wr_addr, Master_wr_len => master_wr_len, Master_wr_size => master_wr_size, Master_wr_excl => master_wr_excl, Master_wr_idle => master_wr_idle, Master_wr_resp => master_wr_resp, Master_data_rd => master_data_rd, Master_data_out => master_data_out, Master_data_exists => master_data_exists, Master_data_wr => master_data_wr, Master_data_in => master_data_in, Master_data_empty => master_data_empty, -- JTAG signals JTAG_TDI => tdi, -- [in std_logic] JTAG_RESET => reset, -- [in std_logic] UPDATE => update, -- [in std_logic] JTAG_SHIFT => shift, -- [in std_logic] JTAG_CAPTURE => capture, -- [in std_logic] SEL => sel, -- [in std_logic] DRCK => drck, -- [in std_logic] JTAG_TDO => tdo, -- [out std_logic] -- MicroBlaze Debug Signals Dbg_Clk_0 => Dbg_Clk_0, -- [out std_logic] Dbg_TDI_0 => Dbg_TDI_0, -- [out std_logic] Dbg_TDO_0 => Dbg_TDO_0, -- [in std_logic] Dbg_Reg_En_0 => Dbg_Reg_En_0, -- [out std_logic_vector(0 to 7)] Dbg_Capture_0 => Dbg_Capture_0, -- [out std_logic] Dbg_Shift_0 => Dbg_Shift_0, -- [out std_logic] Dbg_Update_0 => Dbg_Update_0, -- [out std_logic] Dbg_Rst_0 => Dbg_Rst_0, -- [out std_logic] Dbg_Trig_In_0 => Dbg_Trig_In_0, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_0 => Dbg_Trig_Ack_In_0, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_0 => Dbg_Trig_Out_0, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_0 => Dbg_Trig_Ack_Out_0, -- [in std_logic_vector(0 to 7)] Dbg_Clk_1 => Dbg_Clk_1, -- [out std_logic] Dbg_TDI_1 => Dbg_TDI_1, -- [out std_logic] Dbg_TDO_1 => Dbg_TDO_1, -- [in std_logic] Dbg_Reg_En_1 => Dbg_Reg_En_1, -- [out std_logic_vector(0 to 7)] Dbg_Capture_1 => Dbg_Capture_1, -- [out std_logic] Dbg_Shift_1 => Dbg_Shift_1, -- [out std_logic] Dbg_Update_1 => Dbg_Update_1, -- [out std_logic] Dbg_Rst_1 => Dbg_Rst_1, -- [out std_logic] Dbg_Trig_In_1 => Dbg_Trig_In_1, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_1 => Dbg_Trig_Ack_In_1, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_1 => Dbg_Trig_Out_1, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_1 => Dbg_Trig_Ack_Out_1, -- [in std_logic_vector(0 to 7)] Dbg_Clk_2 => Dbg_Clk_2, -- [out std_logic] Dbg_TDI_2 => Dbg_TDI_2, -- [out std_logic] Dbg_TDO_2 => Dbg_TDO_2, -- [in std_logic] Dbg_Reg_En_2 => Dbg_Reg_En_2, -- [out std_logic_vector(0 to 7)] Dbg_Capture_2 => Dbg_Capture_2, -- [out std_logic] Dbg_Shift_2 => Dbg_Shift_2, -- [out std_logic] Dbg_Update_2 => Dbg_Update_2, -- [out std_logic] Dbg_Rst_2 => Dbg_Rst_2, -- [out std_logic] Dbg_Trig_In_2 => Dbg_Trig_In_2, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_2 => Dbg_Trig_Ack_In_2, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_2 => Dbg_Trig_Out_2, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_2 => Dbg_Trig_Ack_Out_2, -- [in std_logic_vector(0 to 7)] Dbg_Clk_3 => Dbg_Clk_3, -- [out std_logic] Dbg_TDI_3 => Dbg_TDI_3, -- [out std_logic] Dbg_TDO_3 => Dbg_TDO_3, -- [in std_logic] Dbg_Reg_En_3 => Dbg_Reg_En_3, -- [out std_logic_vector(0 to 7)] Dbg_Capture_3 => Dbg_Capture_3, -- [out std_logic] Dbg_Shift_3 => Dbg_Shift_3, -- [out std_logic] Dbg_Update_3 => Dbg_Update_3, -- [out std_logic] Dbg_Rst_3 => Dbg_Rst_3, -- [out std_logic] Dbg_Trig_In_3 => Dbg_Trig_In_3, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_3 => Dbg_Trig_Ack_In_3, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_3 => Dbg_Trig_Out_3, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_3 => Dbg_Trig_Ack_Out_3, -- [in std_logic_vector(0 to 7)] Dbg_Clk_4 => Dbg_Clk_4, -- [out std_logic] Dbg_TDI_4 => Dbg_TDI_4, -- [out std_logic] Dbg_TDO_4 => Dbg_TDO_4, -- [in std_logic] Dbg_Reg_En_4 => Dbg_Reg_En_4, -- [out std_logic_vector(0 to 7)] Dbg_Capture_4 => Dbg_Capture_4, -- [out std_logic] Dbg_Shift_4 => Dbg_Shift_4, -- [out std_logic] Dbg_Update_4 => Dbg_Update_4, -- [out std_logic] Dbg_Rst_4 => Dbg_Rst_4, -- [out std_logic] Dbg_Trig_In_4 => Dbg_Trig_In_4, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_4 => Dbg_Trig_Ack_In_4, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_4 => Dbg_Trig_Out_4, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_4 => Dbg_Trig_Ack_Out_4, -- [in std_logic_vector(0 to 7)] Dbg_Clk_5 => Dbg_Clk_5, -- [out std_logic] Dbg_TDI_5 => Dbg_TDI_5, -- [out std_logic] Dbg_TDO_5 => Dbg_TDO_5, -- [in std_logic] Dbg_Reg_En_5 => Dbg_Reg_En_5, -- [out std_logic_vector(0 to 7)] Dbg_Capture_5 => Dbg_Capture_5, -- [out std_logic] Dbg_Shift_5 => Dbg_Shift_5, -- [out std_logic] Dbg_Update_5 => Dbg_Update_5, -- [out std_logic] Dbg_Rst_5 => Dbg_Rst_5, -- [out std_logic] Dbg_Trig_In_5 => Dbg_Trig_In_5, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_5 => Dbg_Trig_Ack_In_5, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_5 => Dbg_Trig_Out_5, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_5 => Dbg_Trig_Ack_Out_5, -- [in std_logic_vector(0 to 7)] Dbg_Clk_6 => Dbg_Clk_6, -- [out std_logic] Dbg_TDI_6 => Dbg_TDI_6, -- [out std_logic] Dbg_TDO_6 => Dbg_TDO_6, -- [in std_logic] Dbg_Reg_En_6 => Dbg_Reg_En_6, -- [out std_logic_vector(0 to 7)] Dbg_Capture_6 => Dbg_Capture_6, -- [out std_logic] Dbg_Shift_6 => Dbg_Shift_6, -- [out std_logic] Dbg_Update_6 => Dbg_Update_6, -- [out std_logic] Dbg_Rst_6 => Dbg_Rst_6, -- [out std_logic] Dbg_Trig_In_6 => Dbg_Trig_In_6, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_6 => Dbg_Trig_Ack_In_6, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_6 => Dbg_Trig_Out_6, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_6 => Dbg_Trig_Ack_Out_6, -- [in std_logic_vector(0 to 7)] Dbg_Clk_7 => Dbg_Clk_7, -- [out std_logic] Dbg_TDI_7 => Dbg_TDI_7, -- [out std_logic] Dbg_TDO_7 => Dbg_TDO_7, -- [in std_logic] Dbg_Reg_En_7 => Dbg_Reg_En_7, -- [out std_logic_vector(0 to 7)] Dbg_Capture_7 => Dbg_Capture_7, -- [out std_logic] Dbg_Shift_7 => Dbg_Shift_7, -- [out std_logic] Dbg_Update_7 => Dbg_Update_7, -- [out std_logic] Dbg_Rst_7 => Dbg_Rst_7, -- [out std_logic] Dbg_Trig_In_7 => Dbg_Trig_In_7, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_7 => Dbg_Trig_Ack_In_7, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_7 => Dbg_Trig_Out_7, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_7 => Dbg_Trig_Ack_Out_7, -- [in std_logic_vector(0 to 7)] Dbg_Clk_8 => Dbg_Clk_8, -- [out std_logic] Dbg_TDI_8 => Dbg_TDI_8, -- [out std_logic] Dbg_TDO_8 => Dbg_TDO_8, -- [in std_logic] Dbg_Reg_En_8 => Dbg_Reg_En_8, -- [out std_logic_vector(0 to 7)] Dbg_Capture_8 => Dbg_Capture_8, -- [out std_logic] Dbg_Shift_8 => Dbg_Shift_8, -- [out std_logic] Dbg_Update_8 => Dbg_Update_8, -- [out std_logic] Dbg_Rst_8 => Dbg_Rst_8, -- [out std_logic] Dbg_Trig_In_8 => Dbg_Trig_In_8, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_8 => Dbg_Trig_Ack_In_8, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_8 => Dbg_Trig_Out_8, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_8 => Dbg_Trig_Ack_Out_8, -- [in std_logic_vector(0 to 7)] Dbg_Clk_9 => Dbg_Clk_9, -- [out std_logic] Dbg_TDI_9 => Dbg_TDI_9, -- [out std_logic] Dbg_TDO_9 => Dbg_TDO_9, -- [in std_logic] Dbg_Reg_En_9 => Dbg_Reg_En_9, -- [out std_logic_vector(0 to 7)] Dbg_Capture_9 => Dbg_Capture_9, -- [out std_logic] Dbg_Shift_9 => Dbg_Shift_9, -- [out std_logic] Dbg_Update_9 => Dbg_Update_9, -- [out std_logic] Dbg_Rst_9 => Dbg_Rst_9, -- [out std_logic] Dbg_Trig_In_9 => Dbg_Trig_In_9, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_9 => Dbg_Trig_Ack_In_9, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_9 => Dbg_Trig_Out_9, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_9 => Dbg_Trig_Ack_Out_9, -- [in std_logic_vector(0 to 7)] Dbg_Clk_10 => Dbg_Clk_10, -- [out std_logic] Dbg_TDI_10 => Dbg_TDI_10, -- [out std_logic] Dbg_TDO_10 => Dbg_TDO_10, -- [in std_logic] Dbg_Reg_En_10 => Dbg_Reg_En_10, -- [out std_logic_vector(0 to 7)] Dbg_Capture_10 => Dbg_Capture_10, -- [out std_logic] Dbg_Shift_10 => Dbg_Shift_10, -- [out std_logic] Dbg_Update_10 => Dbg_Update_10, -- [out std_logic] Dbg_Rst_10 => Dbg_Rst_10, -- [out std_logic] Dbg_Trig_In_10 => Dbg_Trig_In_10, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_10 => Dbg_Trig_Ack_In_10, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_10 => Dbg_Trig_Out_10, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_10 => Dbg_Trig_Ack_Out_10, -- [in std_logic_vector(0 to 7)] Dbg_Clk_11 => Dbg_Clk_11, -- [out std_logic] Dbg_TDI_11 => Dbg_TDI_11, -- [out std_logic] Dbg_TDO_11 => Dbg_TDO_11, -- [in std_logic] Dbg_Reg_En_11 => Dbg_Reg_En_11, -- [out std_logic_vector(0 to 7)] Dbg_Capture_11 => Dbg_Capture_11, -- [out std_logic] Dbg_Shift_11 => Dbg_Shift_11, -- [out std_logic] Dbg_Update_11 => Dbg_Update_11, -- [out std_logic] Dbg_Rst_11 => Dbg_Rst_11, -- [out std_logic] Dbg_Trig_In_11 => Dbg_Trig_In_11, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_11 => Dbg_Trig_Ack_In_11, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_11 => Dbg_Trig_Out_11, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_11 => Dbg_Trig_Ack_Out_11, -- [in std_logic_vector(0 to 7)] Dbg_Clk_12 => Dbg_Clk_12, -- [out std_logic] Dbg_TDI_12 => Dbg_TDI_12, -- [out std_logic] Dbg_TDO_12 => Dbg_TDO_12, -- [in std_logic] Dbg_Reg_En_12 => Dbg_Reg_En_12, -- [out std_logic_vector(0 to 7)] Dbg_Capture_12 => Dbg_Capture_12, -- [out std_logic] Dbg_Shift_12 => Dbg_Shift_12, -- [out std_logic] Dbg_Update_12 => Dbg_Update_12, -- [out std_logic] Dbg_Rst_12 => Dbg_Rst_12, -- [out std_logic] Dbg_Trig_In_12 => Dbg_Trig_In_12, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_12 => Dbg_Trig_Ack_In_12, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_12 => Dbg_Trig_Out_12, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_12 => Dbg_Trig_Ack_Out_12, -- [in std_logic_vector(0 to 7)] Dbg_Clk_13 => Dbg_Clk_13, -- [out std_logic] Dbg_TDI_13 => Dbg_TDI_13, -- [out std_logic] Dbg_TDO_13 => Dbg_TDO_13, -- [in std_logic] Dbg_Reg_En_13 => Dbg_Reg_En_13, -- [out std_logic_vector(0 to 7)] Dbg_Capture_13 => Dbg_Capture_13, -- [out std_logic] Dbg_Shift_13 => Dbg_Shift_13, -- [out std_logic] Dbg_Update_13 => Dbg_Update_13, -- [out std_logic] Dbg_Rst_13 => Dbg_Rst_13, -- [out std_logic] Dbg_Trig_In_13 => Dbg_Trig_In_13, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_13 => Dbg_Trig_Ack_In_13, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_13 => Dbg_Trig_Out_13, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_13 => Dbg_Trig_Ack_Out_13, -- [in std_logic_vector(0 to 7)] Dbg_Clk_14 => Dbg_Clk_14, -- [out std_logic] Dbg_TDI_14 => Dbg_TDI_14, -- [out std_logic] Dbg_TDO_14 => Dbg_TDO_14, -- [in std_logic] Dbg_Reg_En_14 => Dbg_Reg_En_14, -- [out std_logic_vector(0 to 7)] Dbg_Capture_14 => Dbg_Capture_14, -- [out std_logic] Dbg_Shift_14 => Dbg_Shift_14, -- [out std_logic] Dbg_Update_14 => Dbg_Update_14, -- [out std_logic] Dbg_Rst_14 => Dbg_Rst_14, -- [out std_logic] Dbg_Trig_In_14 => Dbg_Trig_In_14, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_14 => Dbg_Trig_Ack_In_14, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_14 => Dbg_Trig_Out_14, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_14 => Dbg_Trig_Ack_Out_14, -- [in std_logic_vector(0 to 7)] Dbg_Clk_15 => Dbg_Clk_15, -- [out std_logic] Dbg_TDI_15 => Dbg_TDI_15, -- [out std_logic] Dbg_TDO_15 => Dbg_TDO_15, -- [in std_logic] Dbg_Reg_En_15 => Dbg_Reg_En_15, -- [out std_logic_vector(0 to 7)] Dbg_Capture_15 => Dbg_Capture_15, -- [out std_logic] Dbg_Shift_15 => Dbg_Shift_15, -- [out std_logic] Dbg_Update_15 => Dbg_Update_15, -- [out std_logic] Dbg_Rst_15 => Dbg_Rst_15, -- [out std_logic] Dbg_Trig_In_15 => Dbg_Trig_In_15, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_15 => Dbg_Trig_Ack_In_15, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_15 => Dbg_Trig_Out_15, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_15 => Dbg_Trig_Ack_Out_15, -- [in std_logic_vector(0 to 7)] Dbg_Clk_16 => Dbg_Clk_16, -- [out std_logic] Dbg_TDI_16 => Dbg_TDI_16, -- [out std_logic] Dbg_TDO_16 => Dbg_TDO_16, -- [in std_logic] Dbg_Reg_En_16 => Dbg_Reg_En_16, -- [out std_logic_vector(0 to 7)] Dbg_Capture_16 => Dbg_Capture_16, -- [out std_logic] Dbg_Shift_16 => Dbg_Shift_16, -- [out std_logic] Dbg_Update_16 => Dbg_Update_16, -- [out std_logic] Dbg_Rst_16 => Dbg_Rst_16, -- [out std_logic] Dbg_Trig_In_16 => Dbg_Trig_In_16, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_16 => Dbg_Trig_Ack_In_16, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_16 => Dbg_Trig_Out_16, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_16 => Dbg_Trig_Ack_Out_16, -- [in std_logic_vector(0 to 7)] Dbg_Clk_17 => Dbg_Clk_17, -- [out std_logic] Dbg_TDI_17 => Dbg_TDI_17, -- [out std_logic] Dbg_TDO_17 => Dbg_TDO_17, -- [in std_logic] Dbg_Reg_En_17 => Dbg_Reg_En_17, -- [out std_logic_vector(0 to 7)] Dbg_Capture_17 => Dbg_Capture_17, -- [out std_logic] Dbg_Shift_17 => Dbg_Shift_17, -- [out std_logic] Dbg_Update_17 => Dbg_Update_17, -- [out std_logic] Dbg_Rst_17 => Dbg_Rst_17, -- [out std_logic] Dbg_Trig_In_17 => Dbg_Trig_In_17, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_17 => Dbg_Trig_Ack_In_17, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_17 => Dbg_Trig_Out_17, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_17 => Dbg_Trig_Ack_Out_17, -- [in std_logic_vector(0 to 7)] Dbg_Clk_18 => Dbg_Clk_18, -- [out std_logic] Dbg_TDI_18 => Dbg_TDI_18, -- [out std_logic] Dbg_TDO_18 => Dbg_TDO_18, -- [in std_logic] Dbg_Reg_En_18 => Dbg_Reg_En_18, -- [out std_logic_vector(0 to 7)] Dbg_Capture_18 => Dbg_Capture_18, -- [out std_logic] Dbg_Shift_18 => Dbg_Shift_18, -- [out std_logic] Dbg_Update_18 => Dbg_Update_18, -- [out std_logic] Dbg_Rst_18 => Dbg_Rst_18, -- [out std_logic] Dbg_Trig_In_18 => Dbg_Trig_In_18, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_18 => Dbg_Trig_Ack_In_18, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_18 => Dbg_Trig_Out_18, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_18 => Dbg_Trig_Ack_Out_18, -- [in std_logic_vector(0 to 7)] Dbg_Clk_19 => Dbg_Clk_19, -- [out std_logic] Dbg_TDI_19 => Dbg_TDI_19, -- [out std_logic] Dbg_TDO_19 => Dbg_TDO_19, -- [in std_logic] Dbg_Reg_En_19 => Dbg_Reg_En_19, -- [out std_logic_vector(0 to 7)] Dbg_Capture_19 => Dbg_Capture_19, -- [out std_logic] Dbg_Shift_19 => Dbg_Shift_19, -- [out std_logic] Dbg_Update_19 => Dbg_Update_19, -- [out std_logic] Dbg_Rst_19 => Dbg_Rst_19, -- [out std_logic] Dbg_Trig_In_19 => Dbg_Trig_In_19, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_19 => Dbg_Trig_Ack_In_19, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_19 => Dbg_Trig_Out_19, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_19 => Dbg_Trig_Ack_Out_19, -- [in std_logic_vector(0 to 7)] Dbg_Clk_20 => Dbg_Clk_20, -- [out std_logic] Dbg_TDI_20 => Dbg_TDI_20, -- [out std_logic] Dbg_TDO_20 => Dbg_TDO_20, -- [in std_logic] Dbg_Reg_En_20 => Dbg_Reg_En_20, -- [out std_logic_vector(0 to 7)] Dbg_Capture_20 => Dbg_Capture_20, -- [out std_logic] Dbg_Shift_20 => Dbg_Shift_20, -- [out std_logic] Dbg_Update_20 => Dbg_Update_20, -- [out std_logic] Dbg_Rst_20 => Dbg_Rst_20, -- [out std_logic] Dbg_Trig_In_20 => Dbg_Trig_In_20, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_20 => Dbg_Trig_Ack_In_20, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_20 => Dbg_Trig_Out_20, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_20 => Dbg_Trig_Ack_Out_20, -- [in std_logic_vector(0 to 7)] Dbg_Clk_21 => Dbg_Clk_21, -- [out std_logic] Dbg_TDI_21 => Dbg_TDI_21, -- [out std_logic] Dbg_TDO_21 => Dbg_TDO_21, -- [in std_logic] Dbg_Reg_En_21 => Dbg_Reg_En_21, -- [out std_logic_vector(0 to 7)] Dbg_Capture_21 => Dbg_Capture_21, -- [out std_logic] Dbg_Shift_21 => Dbg_Shift_21, -- [out std_logic] Dbg_Update_21 => Dbg_Update_21, -- [out std_logic] Dbg_Rst_21 => Dbg_Rst_21, -- [out std_logic] Dbg_Trig_In_21 => Dbg_Trig_In_21, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_21 => Dbg_Trig_Ack_In_21, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_21 => Dbg_Trig_Out_21, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_21 => Dbg_Trig_Ack_Out_21, -- [in std_logic_vector(0 to 7)] Dbg_Clk_22 => Dbg_Clk_22, -- [out std_logic] Dbg_TDI_22 => Dbg_TDI_22, -- [out std_logic] Dbg_TDO_22 => Dbg_TDO_22, -- [in std_logic] Dbg_Reg_En_22 => Dbg_Reg_En_22, -- [out std_logic_vector(0 to 7)] Dbg_Capture_22 => Dbg_Capture_22, -- [out std_logic] Dbg_Shift_22 => Dbg_Shift_22, -- [out std_logic] Dbg_Update_22 => Dbg_Update_22, -- [out std_logic] Dbg_Rst_22 => Dbg_Rst_22, -- [out std_logic] Dbg_Trig_In_22 => Dbg_Trig_In_22, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_22 => Dbg_Trig_Ack_In_22, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_22 => Dbg_Trig_Out_22, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_22 => Dbg_Trig_Ack_Out_22, -- [in std_logic_vector(0 to 7)] Dbg_Clk_23 => Dbg_Clk_23, -- [out std_logic] Dbg_TDI_23 => Dbg_TDI_23, -- [out std_logic] Dbg_TDO_23 => Dbg_TDO_23, -- [in std_logic] Dbg_Reg_En_23 => Dbg_Reg_En_23, -- [out std_logic_vector(0 to 7)] Dbg_Capture_23 => Dbg_Capture_23, -- [out std_logic] Dbg_Shift_23 => Dbg_Shift_23, -- [out std_logic] Dbg_Update_23 => Dbg_Update_23, -- [out std_logic] Dbg_Rst_23 => Dbg_Rst_23, -- [out std_logic] Dbg_Trig_In_23 => Dbg_Trig_In_23, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_23 => Dbg_Trig_Ack_In_23, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_23 => Dbg_Trig_Out_23, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_23 => Dbg_Trig_Ack_Out_23, -- [in std_logic_vector(0 to 7)] Dbg_Clk_24 => Dbg_Clk_24, -- [out std_logic] Dbg_TDI_24 => Dbg_TDI_24, -- [out std_logic] Dbg_TDO_24 => Dbg_TDO_24, -- [in std_logic] Dbg_Reg_En_24 => Dbg_Reg_En_24, -- [out std_logic_vector(0 to 7)] Dbg_Capture_24 => Dbg_Capture_24, -- [out std_logic] Dbg_Shift_24 => Dbg_Shift_24, -- [out std_logic] Dbg_Update_24 => Dbg_Update_24, -- [out std_logic] Dbg_Rst_24 => Dbg_Rst_24, -- [out std_logic] Dbg_Trig_In_24 => Dbg_Trig_In_24, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_24 => Dbg_Trig_Ack_In_24, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_24 => Dbg_Trig_Out_24, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_24 => Dbg_Trig_Ack_Out_24, -- [in std_logic_vector(0 to 7)] Dbg_Clk_25 => Dbg_Clk_25, -- [out std_logic] Dbg_TDI_25 => Dbg_TDI_25, -- [out std_logic] Dbg_TDO_25 => Dbg_TDO_25, -- [in std_logic] Dbg_Reg_En_25 => Dbg_Reg_En_25, -- [out std_logic_vector(0 to 7)] Dbg_Capture_25 => Dbg_Capture_25, -- [out std_logic] Dbg_Shift_25 => Dbg_Shift_25, -- [out std_logic] Dbg_Update_25 => Dbg_Update_25, -- [out std_logic] Dbg_Rst_25 => Dbg_Rst_25, -- [out std_logic] Dbg_Trig_In_25 => Dbg_Trig_In_25, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_25 => Dbg_Trig_Ack_In_25, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_25 => Dbg_Trig_Out_25, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_25 => Dbg_Trig_Ack_Out_25, -- [in std_logic_vector(0 to 7)] Dbg_Clk_26 => Dbg_Clk_26, -- [out std_logic] Dbg_TDI_26 => Dbg_TDI_26, -- [out std_logic] Dbg_TDO_26 => Dbg_TDO_26, -- [in std_logic] Dbg_Reg_En_26 => Dbg_Reg_En_26, -- [out std_logic_vector(0 to 7)] Dbg_Capture_26 => Dbg_Capture_26, -- [out std_logic] Dbg_Shift_26 => Dbg_Shift_26, -- [out std_logic] Dbg_Update_26 => Dbg_Update_26, -- [out std_logic] Dbg_Rst_26 => Dbg_Rst_26, -- [out std_logic] Dbg_Trig_In_26 => Dbg_Trig_In_26, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_26 => Dbg_Trig_Ack_In_26, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_26 => Dbg_Trig_Out_26, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_26 => Dbg_Trig_Ack_Out_26, -- [in std_logic_vector(0 to 7)] Dbg_Clk_27 => Dbg_Clk_27, -- [out std_logic] Dbg_TDI_27 => Dbg_TDI_27, -- [out std_logic] Dbg_TDO_27 => Dbg_TDO_27, -- [in std_logic] Dbg_Reg_En_27 => Dbg_Reg_En_27, -- [out std_logic_vector(0 to 7)] Dbg_Capture_27 => Dbg_Capture_27, -- [out std_logic] Dbg_Shift_27 => Dbg_Shift_27, -- [out std_logic] Dbg_Update_27 => Dbg_Update_27, -- [out std_logic] Dbg_Rst_27 => Dbg_Rst_27, -- [out std_logic] Dbg_Trig_In_27 => Dbg_Trig_In_27, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_27 => Dbg_Trig_Ack_In_27, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_27 => Dbg_Trig_Out_27, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_27 => Dbg_Trig_Ack_Out_27, -- [in std_logic_vector(0 to 7)] Dbg_Clk_28 => Dbg_Clk_28, -- [out std_logic] Dbg_TDI_28 => Dbg_TDI_28, -- [out std_logic] Dbg_TDO_28 => Dbg_TDO_28, -- [in std_logic] Dbg_Reg_En_28 => Dbg_Reg_En_28, -- [out std_logic_vector(0 to 7)] Dbg_Capture_28 => Dbg_Capture_28, -- [out std_logic] Dbg_Shift_28 => Dbg_Shift_28, -- [out std_logic] Dbg_Update_28 => Dbg_Update_28, -- [out std_logic] Dbg_Rst_28 => Dbg_Rst_28, -- [out std_logic] Dbg_Trig_In_28 => Dbg_Trig_In_28, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_28 => Dbg_Trig_Ack_In_28, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_28 => Dbg_Trig_Out_28, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_28 => Dbg_Trig_Ack_Out_28, -- [in std_logic_vector(0 to 7)] Dbg_Clk_29 => Dbg_Clk_29, -- [out std_logic] Dbg_TDI_29 => Dbg_TDI_29, -- [out std_logic] Dbg_TDO_29 => Dbg_TDO_29, -- [in std_logic] Dbg_Reg_En_29 => Dbg_Reg_En_29, -- [out std_logic_vector(0 to 7)] Dbg_Capture_29 => Dbg_Capture_29, -- [out std_logic] Dbg_Shift_29 => Dbg_Shift_29, -- [out std_logic] Dbg_Update_29 => Dbg_Update_29, -- [out std_logic] Dbg_Rst_29 => Dbg_Rst_29, -- [out std_logic] Dbg_Trig_In_29 => Dbg_Trig_In_29, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_29 => Dbg_Trig_Ack_In_29, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_29 => Dbg_Trig_Out_29, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_29 => Dbg_Trig_Ack_Out_29, -- [in std_logic_vector(0 to 7)] Dbg_Clk_30 => Dbg_Clk_30, -- [out std_logic] Dbg_TDI_30 => Dbg_TDI_30, -- [out std_logic] Dbg_TDO_30 => Dbg_TDO_30, -- [in std_logic] Dbg_Reg_En_30 => Dbg_Reg_En_30, -- [out std_logic_vector(0 to 7)] Dbg_Capture_30 => Dbg_Capture_30, -- [out std_logic] Dbg_Shift_30 => Dbg_Shift_30, -- [out std_logic] Dbg_Update_30 => Dbg_Update_30, -- [out std_logic] Dbg_Rst_30 => Dbg_Rst_30, -- [out std_logic] Dbg_Trig_In_30 => Dbg_Trig_In_30, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_30 => Dbg_Trig_Ack_In_30, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_30 => Dbg_Trig_Out_30, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_30 => Dbg_Trig_Ack_Out_30, -- [in std_logic_vector(0 to 7)] Dbg_Clk_31 => Dbg_Clk_31, -- [out std_logic] Dbg_TDI_31 => Dbg_TDI_31, -- [out std_logic] Dbg_TDO_31 => Dbg_TDO_31, -- [in std_logic] Dbg_Reg_En_31 => Dbg_Reg_En_31, -- [out std_logic_vector(0 to 7)] Dbg_Capture_31 => Dbg_Capture_31, -- [out std_logic] Dbg_Shift_31 => Dbg_Shift_31, -- [out std_logic] Dbg_Update_31 => Dbg_Update_31, -- [out std_logic] Dbg_Rst_31 => Dbg_Rst_31, -- [out std_logic] Dbg_Trig_In_31 => Dbg_Trig_In_31, -- [in std_logic_vector(0 to 7)] Dbg_Trig_Ack_In_31 => Dbg_Trig_Ack_In_31, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Out_31 => Dbg_Trig_Out_31, -- [out std_logic_vector(0 to 7)] Dbg_Trig_Ack_Out_31 => Dbg_Trig_Ack_Out_31, -- [in std_logic_vector(0 to 7)] Ext_Trig_In => ext_trig_in, -- [in std_logic_vector(0 to 3)] Ext_Trig_Ack_In => ext_trig_ack_in, -- [out std_logic_vector(0 to 3)] Ext_Trig_Out => ext_trig_out, -- [out std_logic_vector(0 to 3)] Ext_Trig_Ack_Out => ext_trig_ack_out, -- [in std_logic_vector(0 to 3)] Ext_JTAG_DRCK => Ext_JTAG_DRCK, Ext_JTAG_RESET => Ext_JTAG_RESET, Ext_JTAG_SEL => Ext_JTAG_SEL, Ext_JTAG_CAPTURE => Ext_JTAG_CAPTURE, Ext_JTAG_SHIFT => Ext_JTAG_SHIFT, Ext_JTAG_UPDATE => Ext_JTAG_UPDATE, Ext_JTAG_TDI => Ext_JTAG_TDI, Ext_JTAG_TDO => Ext_JTAG_TDO ); ext_trig_in <= Trig_In_0 & Trig_In_1 & Trig_In_2 & Trig_In_3; ext_trig_ack_out <= Trig_Ack_Out_0 & Trig_Ack_Out_1 & Trig_Ack_Out_2 & Trig_Ack_Out_3; Trig_Ack_In_0 <= ext_trig_ack_in(0); Trig_Ack_In_1 <= ext_trig_ack_in(1); Trig_Ack_In_2 <= ext_trig_ack_in(2); Trig_Ack_In_3 <= ext_trig_ack_in(3); Trig_Out_0 <= ext_trig_out(0); Trig_Out_1 <= ext_trig_out(1); Trig_Out_2 <= ext_trig_out(2); Trig_Out_3 <= ext_trig_out(3); -- Bus Master port Use_Bus_MASTER : if (C_DBG_MEM_ACCESS = 1) generate type LMB_vec_type is array (natural range <>) of std_logic_vector(0 to C_DATA_SIZE - 1); signal lmb_data_addr : std_logic_vector(0 to C_DATA_SIZE - 1); signal lmb_data_read : std_logic_vector(0 to C_DATA_SIZE - 1); signal lmb_data_write : std_logic_vector(0 to C_DATA_SIZE - 1); signal lmb_addr_strobe : std_logic; signal lmb_read_strobe : std_logic; signal lmb_write_strobe : std_logic; signal lmb_ready : std_logic; signal lmb_wait : std_logic; signal lmb_ue : std_logic; signal lmb_byte_enable : std_logic_vector(0 to C_DATA_SIZE / 8 - 1); signal lmb_addr_strobe_vec : std_logic_vector(0 to 31); signal lmb_data_read_vec : LMB_vec_type(0 to 31); signal lmb_ready_vec : std_logic_vector(0 to 31); signal lmb_wait_vec : std_logic_vector(0 to 31); signal lmb_ue_vec : std_logic_vector(0 to 31); signal lmb_data_read_vec_q : LMB_vec_type(0 to C_EN_WIDTH - 1); signal lmb_ready_vec_q : std_logic_vector(0 to C_EN_WIDTH - 1); signal lmb_wait_vec_q : std_logic_vector(0 to C_EN_WIDTH - 1); signal lmb_ue_vec_q : std_logic_vector(0 to C_EN_WIDTH - 1); begin bus_master_I : bus_master generic map ( C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH, C_M_AXI_THREAD_ID_WIDTH => C_M_AXI_THREAD_ID_WIDTH, C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH, C_DATA_SIZE => C_DATA_SIZE ) port map ( Rd_Start => master_rd_start, Rd_Addr => master_rd_addr, Rd_Len => master_rd_len, Rd_Size => master_rd_size, Rd_Exclusive => master_rd_excl, Rd_Idle => master_rd_idle, Rd_Response => master_rd_resp, Wr_Start => master_wr_start, Wr_Addr => master_wr_addr, Wr_Len => master_wr_len, Wr_Size => master_wr_size, Wr_Exclusive => master_wr_excl, Wr_Idle => master_wr_idle, Wr_Response => master_wr_resp, Data_Rd => master_data_rd, Data_Out => master_data_out, Data_Exists => master_data_exists, Data_Wr => master_data_wr, Data_In => master_data_in, Data_Empty => master_data_empty, LMB_Data_Addr => lmb_data_addr, LMB_Data_Read => lmb_data_read, LMB_Data_Write => lmb_data_write, LMB_Addr_Strobe => lmb_addr_strobe, LMB_Read_Strobe => lmb_read_strobe, LMB_Write_Strobe => lmb_write_strobe, LMB_Ready => lmb_ready, LMB_Wait => lmb_wait, LMB_UE => lmb_ue, LMB_Byte_Enable => lmb_byte_enable, M_AXI_ACLK => M_AXI_ACLK, M_AXI_ARESETn => M_AXI_ARESETn, M_AXI_AWID => M_AXI_AWID, M_AXI_AWADDR => M_AXI_AWADDR, M_AXI_AWLEN => M_AXI_AWLEN, M_AXI_AWSIZE => M_AXI_AWSIZE, M_AXI_AWBURST => M_AXI_AWBURST, M_AXI_AWLOCK => M_AXI_AWLOCK, M_AXI_AWCACHE => M_AXI_AWCACHE, M_AXI_AWPROT => M_AXI_AWPROT, M_AXI_AWQOS => M_AXI_AWQOS, M_AXI_AWVALID => M_AXI_AWVALID, M_AXI_AWREADY => M_AXI_AWREADY, M_AXI_WLAST => M_AXI_WLAST, M_AXI_WDATA => M_AXI_WDATA, M_AXI_WSTRB => M_AXI_WSTRB, M_AXI_WVALID => M_AXI_WVALID, M_AXI_WREADY => M_AXI_WREADY, M_AXI_BRESP => M_AXI_BRESP, M_AXI_BID => M_AXI_BID, M_AXI_BVALID => M_AXI_BVALID, M_AXI_BREADY => M_AXI_BREADY, M_AXI_ARADDR => M_AXI_ARADDR, M_AXI_ARID => M_AXI_ARID, M_AXI_ARLEN => M_AXI_ARLEN, M_AXI_ARSIZE => M_AXI_ARSIZE, M_AXI_ARBURST => M_AXI_ARBURST, M_AXI_ARLOCK => M_AXI_ARLOCK, M_AXI_ARCACHE => M_AXI_ARCACHE, M_AXI_ARPROT => M_AXI_ARPROT, M_AXI_ARQOS => M_AXI_ARQOS, M_AXI_ARVALID => M_AXI_ARVALID, M_AXI_ARREADY => M_AXI_ARREADY, M_AXI_RLAST => M_AXI_RLAST, M_AXI_RID => M_AXI_RID, M_AXI_RDATA => M_AXI_RDATA, M_AXI_RRESP => M_AXI_RRESP, M_AXI_RVALID => M_AXI_RVALID, M_AXI_RREADY => M_AXI_RREADY ); Generate_LMB_Outputs : process (mb_debug_enabled, lmb_addr_strobe) begin -- process Generate_LMB_Outputs lmb_addr_strobe_vec <= (others => '0'); for I in 0 to C_EN_WIDTH - 1 loop lmb_addr_strobe_vec(I) <= lmb_addr_strobe and mb_debug_enabled(I); end loop; end process Generate_LMB_Outputs; LMB_Addr_Strobe_0 <= lmb_addr_strobe_vec(0); LMB_Addr_Strobe_1 <= lmb_addr_strobe_vec(1); LMB_Addr_Strobe_2 <= lmb_addr_strobe_vec(2); LMB_Addr_Strobe_3 <= lmb_addr_strobe_vec(3); LMB_Addr_Strobe_4 <= lmb_addr_strobe_vec(4); LMB_Addr_Strobe_5 <= lmb_addr_strobe_vec(5); LMB_Addr_Strobe_6 <= lmb_addr_strobe_vec(6); LMB_Addr_Strobe_7 <= lmb_addr_strobe_vec(7); LMB_Addr_Strobe_8 <= lmb_addr_strobe_vec(8); LMB_Addr_Strobe_9 <= lmb_addr_strobe_vec(9); LMB_Addr_Strobe_10 <= lmb_addr_strobe_vec(10); LMB_Addr_Strobe_11 <= lmb_addr_strobe_vec(11); LMB_Addr_Strobe_12 <= lmb_addr_strobe_vec(12); LMB_Addr_Strobe_13 <= lmb_addr_strobe_vec(13); LMB_Addr_Strobe_14 <= lmb_addr_strobe_vec(14); LMB_Addr_Strobe_15 <= lmb_addr_strobe_vec(15); LMB_Addr_Strobe_16 <= lmb_addr_strobe_vec(16); LMB_Addr_Strobe_17 <= lmb_addr_strobe_vec(17); LMB_Addr_Strobe_18 <= lmb_addr_strobe_vec(18); LMB_Addr_Strobe_19 <= lmb_addr_strobe_vec(19); LMB_Addr_Strobe_20 <= lmb_addr_strobe_vec(20); LMB_Addr_Strobe_21 <= lmb_addr_strobe_vec(21); LMB_Addr_Strobe_22 <= lmb_addr_strobe_vec(22); LMB_Addr_Strobe_23 <= lmb_addr_strobe_vec(23); LMB_Addr_Strobe_24 <= lmb_addr_strobe_vec(24); LMB_Addr_Strobe_25 <= lmb_addr_strobe_vec(25); LMB_Addr_Strobe_26 <= lmb_addr_strobe_vec(26); LMB_Addr_Strobe_27 <= lmb_addr_strobe_vec(27); LMB_Addr_Strobe_28 <= lmb_addr_strobe_vec(28); LMB_Addr_Strobe_29 <= lmb_addr_strobe_vec(29); LMB_Addr_Strobe_30 <= lmb_addr_strobe_vec(30); LMB_Addr_Strobe_31 <= lmb_addr_strobe_vec(31); LMB_Data_Addr_0 <= lmb_data_addr; LMB_Data_Addr_1 <= lmb_data_addr; LMB_Data_Addr_2 <= lmb_data_addr; LMB_Data_Addr_3 <= lmb_data_addr; LMB_Data_Addr_4 <= lmb_data_addr; LMB_Data_Addr_5 <= lmb_data_addr; LMB_Data_Addr_6 <= lmb_data_addr; LMB_Data_Addr_7 <= lmb_data_addr; LMB_Data_Addr_8 <= lmb_data_addr; LMB_Data_Addr_9 <= lmb_data_addr; LMB_Data_Addr_10 <= lmb_data_addr; LMB_Data_Addr_11 <= lmb_data_addr; LMB_Data_Addr_12 <= lmb_data_addr; LMB_Data_Addr_13 <= lmb_data_addr; LMB_Data_Addr_14 <= lmb_data_addr; LMB_Data_Addr_15 <= lmb_data_addr; LMB_Data_Addr_16 <= lmb_data_addr; LMB_Data_Addr_17 <= lmb_data_addr; LMB_Data_Addr_18 <= lmb_data_addr; LMB_Data_Addr_19 <= lmb_data_addr; LMB_Data_Addr_20 <= lmb_data_addr; LMB_Data_Addr_21 <= lmb_data_addr; LMB_Data_Addr_22 <= lmb_data_addr; LMB_Data_Addr_23 <= lmb_data_addr; LMB_Data_Addr_24 <= lmb_data_addr; LMB_Data_Addr_25 <= lmb_data_addr; LMB_Data_Addr_26 <= lmb_data_addr; LMB_Data_Addr_27 <= lmb_data_addr; LMB_Data_Addr_28 <= lmb_data_addr; LMB_Data_Addr_29 <= lmb_data_addr; LMB_Data_Addr_30 <= lmb_data_addr; LMB_Data_Addr_31 <= lmb_data_addr; LMB_Data_write_0 <= lmb_data_write; LMB_Data_write_1 <= lmb_data_write; LMB_Data_write_2 <= lmb_data_write; LMB_Data_write_3 <= lmb_data_write; LMB_Data_write_4 <= lmb_data_write; LMB_Data_write_5 <= lmb_data_write; LMB_Data_write_6 <= lmb_data_write; LMB_Data_write_7 <= lmb_data_write; LMB_Data_write_8 <= lmb_data_write; LMB_Data_write_9 <= lmb_data_write; LMB_Data_write_10 <= lmb_data_write; LMB_Data_write_11 <= lmb_data_write; LMB_Data_write_12 <= lmb_data_write; LMB_Data_write_13 <= lmb_data_write; LMB_Data_write_14 <= lmb_data_write; LMB_Data_write_15 <= lmb_data_write; LMB_Data_write_16 <= lmb_data_write; LMB_Data_write_17 <= lmb_data_write; LMB_Data_write_18 <= lmb_data_write; LMB_Data_write_19 <= lmb_data_write; LMB_Data_write_20 <= lmb_data_write; LMB_Data_write_21 <= lmb_data_write; LMB_Data_write_22 <= lmb_data_write; LMB_Data_write_23 <= lmb_data_write; LMB_Data_write_24 <= lmb_data_write; LMB_Data_write_25 <= lmb_data_write; LMB_Data_write_26 <= lmb_data_write; LMB_Data_write_27 <= lmb_data_write; LMB_Data_write_28 <= lmb_data_write; LMB_Data_write_29 <= lmb_data_write; LMB_Data_write_30 <= lmb_data_write; LMB_Data_write_31 <= lmb_data_write; LMB_Read_strobe_0 <= lmb_read_strobe; LMB_Read_strobe_1 <= lmb_read_strobe; LMB_Read_strobe_2 <= lmb_read_strobe; LMB_Read_strobe_3 <= lmb_read_strobe; LMB_Read_strobe_4 <= lmb_read_strobe; LMB_Read_strobe_5 <= lmb_read_strobe; LMB_Read_strobe_6 <= lmb_read_strobe; LMB_Read_strobe_7 <= lmb_read_strobe; LMB_Read_strobe_8 <= lmb_read_strobe; LMB_Read_strobe_9 <= lmb_read_strobe; LMB_Read_strobe_10 <= lmb_read_strobe; LMB_Read_strobe_11 <= lmb_read_strobe; LMB_Read_strobe_12 <= lmb_read_strobe; LMB_Read_strobe_13 <= lmb_read_strobe; LMB_Read_strobe_14 <= lmb_read_strobe; LMB_Read_strobe_15 <= lmb_read_strobe; LMB_Read_strobe_16 <= lmb_read_strobe; LMB_Read_strobe_17 <= lmb_read_strobe; LMB_Read_strobe_18 <= lmb_read_strobe; LMB_Read_strobe_19 <= lmb_read_strobe; LMB_Read_strobe_20 <= lmb_read_strobe; LMB_Read_strobe_21 <= lmb_read_strobe; LMB_Read_strobe_22 <= lmb_read_strobe; LMB_Read_strobe_23 <= lmb_read_strobe; LMB_Read_strobe_24 <= lmb_read_strobe; LMB_Read_strobe_25 <= lmb_read_strobe; LMB_Read_strobe_26 <= lmb_read_strobe; LMB_Read_strobe_27 <= lmb_read_strobe; LMB_Read_strobe_28 <= lmb_read_strobe; LMB_Read_strobe_29 <= lmb_read_strobe; LMB_Read_strobe_30 <= lmb_read_strobe; LMB_Read_strobe_31 <= lmb_read_strobe; LMB_Write_strobe_0 <= lmb_write_strobe; LMB_Write_strobe_1 <= lmb_write_strobe; LMB_Write_strobe_2 <= lmb_write_strobe; LMB_Write_strobe_3 <= lmb_write_strobe; LMB_Write_strobe_4 <= lmb_write_strobe; LMB_Write_strobe_5 <= lmb_write_strobe; LMB_Write_strobe_6 <= lmb_write_strobe; LMB_Write_strobe_7 <= lmb_write_strobe; LMB_Write_strobe_8 <= lmb_write_strobe; LMB_Write_strobe_9 <= lmb_write_strobe; LMB_Write_strobe_10 <= lmb_write_strobe; LMB_Write_strobe_11 <= lmb_write_strobe; LMB_Write_strobe_12 <= lmb_write_strobe; LMB_Write_strobe_13 <= lmb_write_strobe; LMB_Write_strobe_14 <= lmb_write_strobe; LMB_Write_strobe_15 <= lmb_write_strobe; LMB_Write_strobe_16 <= lmb_write_strobe; LMB_Write_strobe_17 <= lmb_write_strobe; LMB_Write_strobe_18 <= lmb_write_strobe; LMB_Write_strobe_19 <= lmb_write_strobe; LMB_Write_strobe_20 <= lmb_write_strobe; LMB_Write_strobe_21 <= lmb_write_strobe; LMB_Write_strobe_22 <= lmb_write_strobe; LMB_Write_strobe_23 <= lmb_write_strobe; LMB_Write_strobe_24 <= lmb_write_strobe; LMB_Write_strobe_25 <= lmb_write_strobe; LMB_Write_strobe_26 <= lmb_write_strobe; LMB_Write_strobe_27 <= lmb_write_strobe; LMB_Write_strobe_28 <= lmb_write_strobe; LMB_Write_strobe_29 <= lmb_write_strobe; LMB_Write_strobe_30 <= lmb_write_strobe; LMB_Write_strobe_31 <= lmb_write_strobe; LMB_Byte_enable_0 <= lmb_byte_enable; LMB_Byte_enable_1 <= lmb_byte_enable; LMB_Byte_enable_2 <= lmb_byte_enable; LMB_Byte_enable_3 <= lmb_byte_enable; LMB_Byte_enable_4 <= lmb_byte_enable; LMB_Byte_enable_5 <= lmb_byte_enable; LMB_Byte_enable_6 <= lmb_byte_enable; LMB_Byte_enable_7 <= lmb_byte_enable; LMB_Byte_enable_8 <= lmb_byte_enable; LMB_Byte_enable_9 <= lmb_byte_enable; LMB_Byte_enable_10 <= lmb_byte_enable; LMB_Byte_enable_11 <= lmb_byte_enable; LMB_Byte_enable_12 <= lmb_byte_enable; LMB_Byte_enable_13 <= lmb_byte_enable; LMB_Byte_enable_14 <= lmb_byte_enable; LMB_Byte_enable_15 <= lmb_byte_enable; LMB_Byte_enable_16 <= lmb_byte_enable; LMB_Byte_enable_17 <= lmb_byte_enable; LMB_Byte_enable_18 <= lmb_byte_enable; LMB_Byte_enable_19 <= lmb_byte_enable; LMB_Byte_enable_20 <= lmb_byte_enable; LMB_Byte_enable_21 <= lmb_byte_enable; LMB_Byte_enable_22 <= lmb_byte_enable; LMB_Byte_enable_23 <= lmb_byte_enable; LMB_Byte_enable_24 <= lmb_byte_enable; LMB_Byte_enable_25 <= lmb_byte_enable; LMB_Byte_enable_26 <= lmb_byte_enable; LMB_Byte_enable_27 <= lmb_byte_enable; LMB_Byte_enable_28 <= lmb_byte_enable; LMB_Byte_enable_29 <= lmb_byte_enable; LMB_Byte_enable_30 <= lmb_byte_enable; LMB_Byte_enable_31 <= lmb_byte_enable; Generate_LMB_Inputs : process (mb_debug_enabled, lmb_data_read_vec_q, lmb_ready_vec_q, lmb_wait_vec_q, lmb_ue_vec_q) variable data_mask : std_logic_vector(0 to C_DATA_SIZE - 1); variable data_read : std_logic_vector(0 to C_DATA_SIZE - 1); variable ready : std_logic; variable wait_i : std_logic; variable ue : std_logic; begin -- process Generate_LMB_Inputs data_read := (others => '0'); ready := '0'; wait_i := '0'; ue := '0'; for I in 0 to C_EN_WIDTH - 1 loop data_mask := (0 to C_DATA_SIZE - 1 => mb_debug_enabled(I)); data_read := data_read or (lmb_data_read_vec_q(I) and data_mask); ready := ready or (lmb_ready_vec_q(I) and mb_debug_enabled(I)); wait_i := wait_i or (lmb_wait_vec_q(I) and mb_debug_enabled(I)); ue := ue or (lmb_ue_vec_q(I) and mb_debug_enabled(I)); end loop; lmb_data_read <= data_read; lmb_ready <= ready; lmb_wait <= wait_i; lmb_ue <= ue; end process Generate_LMB_Inputs; Clock_LMB_Inputs : process (M_AXI_ACLK) begin if M_AXI_ACLK'event and M_AXI_ACLK = '1' then -- rising clock edge for I in 0 to C_EN_WIDTH - 1 loop lmb_data_read_vec_q(I) <= lmb_data_read_vec(I); lmb_ready_vec_q(I) <= lmb_ready_vec(I); lmb_wait_vec_q(I) <= lmb_wait_vec(I); lmb_ue_vec_q(I) <= lmb_ue_vec(I); end loop; end if; end process Clock_LMB_Inputs; lmb_data_read_vec(0) <= LMB_Data_Read_0; lmb_data_read_vec(1) <= LMB_Data_Read_1; lmb_data_read_vec(2) <= LMB_Data_Read_2; lmb_data_read_vec(3) <= LMB_Data_Read_3; lmb_data_read_vec(4) <= LMB_Data_Read_4; lmb_data_read_vec(5) <= LMB_Data_Read_5; lmb_data_read_vec(6) <= LMB_Data_Read_6; lmb_data_read_vec(7) <= LMB_Data_Read_7; lmb_data_read_vec(8) <= LMB_Data_Read_8; lmb_data_read_vec(9) <= LMB_Data_Read_9; lmb_data_read_vec(10) <= LMB_Data_Read_10; lmb_data_read_vec(11) <= LMB_Data_Read_11; lmb_data_read_vec(12) <= LMB_Data_Read_12; lmb_data_read_vec(13) <= LMB_Data_Read_13; lmb_data_read_vec(14) <= LMB_Data_Read_14; lmb_data_read_vec(15) <= LMB_Data_Read_15; lmb_data_read_vec(16) <= LMB_Data_Read_16; lmb_data_read_vec(17) <= LMB_Data_Read_17; lmb_data_read_vec(18) <= LMB_Data_Read_18; lmb_data_read_vec(19) <= LMB_Data_Read_19; lmb_data_read_vec(20) <= LMB_Data_Read_20; lmb_data_read_vec(21) <= LMB_Data_Read_21; lmb_data_read_vec(22) <= LMB_Data_Read_22; lmb_data_read_vec(23) <= LMB_Data_Read_23; lmb_data_read_vec(24) <= LMB_Data_Read_24; lmb_data_read_vec(25) <= LMB_Data_Read_25; lmb_data_read_vec(26) <= LMB_Data_Read_26; lmb_data_read_vec(27) <= LMB_Data_Read_27; lmb_data_read_vec(28) <= LMB_Data_Read_28; lmb_data_read_vec(29) <= LMB_Data_Read_29; lmb_data_read_vec(30) <= LMB_Data_Read_30; lmb_data_read_vec(31) <= LMB_Data_Read_31; lmb_ready_vec(0) <= LMB_Ready_0; lmb_ready_vec(1) <= LMB_Ready_1; lmb_ready_vec(2) <= LMB_Ready_2; lmb_ready_vec(3) <= LMB_Ready_3; lmb_ready_vec(4) <= LMB_Ready_4; lmb_ready_vec(5) <= LMB_Ready_5; lmb_ready_vec(6) <= LMB_Ready_6; lmb_ready_vec(7) <= LMB_Ready_7; lmb_ready_vec(8) <= LMB_Ready_8; lmb_ready_vec(9) <= LMB_Ready_9; lmb_ready_vec(10) <= LMB_Ready_10; lmb_ready_vec(11) <= LMB_Ready_11; lmb_ready_vec(12) <= LMB_Ready_12; lmb_ready_vec(13) <= LMB_Ready_13; lmb_ready_vec(14) <= LMB_Ready_14; lmb_ready_vec(15) <= LMB_Ready_15; lmb_ready_vec(16) <= LMB_Ready_16; lmb_ready_vec(17) <= LMB_Ready_17; lmb_ready_vec(18) <= LMB_Ready_18; lmb_ready_vec(19) <= LMB_Ready_19; lmb_ready_vec(20) <= LMB_Ready_20; lmb_ready_vec(21) <= LMB_Ready_21; lmb_ready_vec(22) <= LMB_Ready_22; lmb_ready_vec(23) <= LMB_Ready_23; lmb_ready_vec(24) <= LMB_Ready_24; lmb_ready_vec(25) <= LMB_Ready_25; lmb_ready_vec(26) <= LMB_Ready_26; lmb_ready_vec(27) <= LMB_Ready_27; lmb_ready_vec(28) <= LMB_Ready_28; lmb_ready_vec(29) <= LMB_Ready_29; lmb_ready_vec(30) <= LMB_Ready_30; lmb_ready_vec(31) <= LMB_Ready_31; lmb_wait_vec(0) <= LMB_Wait_0; lmb_wait_vec(1) <= LMB_Wait_1; lmb_wait_vec(2) <= LMB_Wait_2; lmb_wait_vec(3) <= LMB_Wait_3; lmb_wait_vec(4) <= LMB_Wait_4; lmb_wait_vec(5) <= LMB_Wait_5; lmb_wait_vec(6) <= LMB_Wait_6; lmb_wait_vec(7) <= LMB_Wait_7; lmb_wait_vec(8) <= LMB_Wait_8; lmb_wait_vec(9) <= LMB_Wait_9; lmb_wait_vec(10) <= LMB_Wait_10; lmb_wait_vec(11) <= LMB_Wait_11; lmb_wait_vec(12) <= LMB_Wait_12; lmb_wait_vec(13) <= LMB_Wait_13; lmb_wait_vec(14) <= LMB_Wait_14; lmb_wait_vec(15) <= LMB_Wait_15; lmb_wait_vec(16) <= LMB_Wait_16; lmb_wait_vec(17) <= LMB_Wait_17; lmb_wait_vec(18) <= LMB_Wait_18; lmb_wait_vec(19) <= LMB_Wait_19; lmb_wait_vec(20) <= LMB_Wait_20; lmb_wait_vec(21) <= LMB_Wait_21; lmb_wait_vec(22) <= LMB_Wait_22; lmb_wait_vec(23) <= LMB_Wait_23; lmb_wait_vec(24) <= LMB_Wait_24; lmb_wait_vec(25) <= LMB_Wait_25; lmb_wait_vec(26) <= LMB_Wait_26; lmb_wait_vec(27) <= LMB_Wait_27; lmb_wait_vec(28) <= LMB_Wait_28; lmb_wait_vec(29) <= LMB_Wait_29; lmb_wait_vec(30) <= LMB_Wait_30; lmb_wait_vec(31) <= LMB_Wait_31; lmb_ue_vec(0) <= LMB_UE_0; lmb_ue_vec(1) <= LMB_UE_1; lmb_ue_vec(2) <= LMB_UE_2; lmb_ue_vec(3) <= LMB_UE_3; lmb_ue_vec(4) <= LMB_UE_4; lmb_ue_vec(5) <= LMB_UE_5; lmb_ue_vec(6) <= LMB_UE_6; lmb_ue_vec(7) <= LMB_UE_7; lmb_ue_vec(8) <= LMB_UE_8; lmb_ue_vec(9) <= LMB_UE_9; lmb_ue_vec(10) <= LMB_UE_10; lmb_ue_vec(11) <= LMB_UE_11; lmb_ue_vec(12) <= LMB_UE_12; lmb_ue_vec(13) <= LMB_UE_13; lmb_ue_vec(14) <= LMB_UE_14; lmb_ue_vec(15) <= LMB_UE_15; lmb_ue_vec(16) <= LMB_UE_16; lmb_ue_vec(17) <= LMB_UE_17; lmb_ue_vec(18) <= LMB_UE_18; lmb_ue_vec(19) <= LMB_UE_19; lmb_ue_vec(20) <= LMB_UE_20; lmb_ue_vec(21) <= LMB_UE_21; lmb_ue_vec(22) <= LMB_UE_22; lmb_ue_vec(23) <= LMB_UE_23; lmb_ue_vec(24) <= LMB_UE_24; lmb_ue_vec(25) <= LMB_UE_25; lmb_ue_vec(26) <= LMB_UE_26; lmb_ue_vec(27) <= LMB_UE_27; lmb_ue_vec(28) <= LMB_UE_28; lmb_ue_vec(29) <= LMB_UE_29; lmb_ue_vec(30) <= LMB_UE_30; lmb_ue_vec(31) <= LMB_UE_31; end generate Use_Bus_MASTER; No_Bus_MASTER : if (C_DBG_MEM_ACCESS = 0) generate begin master_rd_idle <= '1'; master_rd_resp <= "00"; master_wr_idle <= '1'; master_wr_resp <= "00"; master_data_out <= (others => '0'); master_data_exists <= '0'; master_data_empty <= '1'; M_AXI_AWID <= (others => '0'); M_AXI_AWADDR <= (others => '0'); M_AXI_AWLEN <= (others => '0'); M_AXI_AWSIZE <= (others => '0'); M_AXI_AWBURST <= (others => '0'); M_AXI_AWLOCK <= '0'; M_AXI_AWCACHE <= (others => '0'); M_AXI_AWPROT <= (others => '0'); M_AXI_AWQOS <= (others => '0'); M_AXI_AWVALID <= '0'; M_AXI_WDATA <= (others => '0'); M_AXI_WSTRB <= (others => '0'); M_AXI_WLAST <= '0'; M_AXI_WVALID <= '0'; M_AXI_BREADY <= '0'; M_AXI_ARID <= (others => '0'); M_AXI_ARADDR <= (others => '0'); M_AXI_ARLEN <= (others => '0'); M_AXI_ARSIZE <= (others => '0'); M_AXI_ARBURST <= (others => '0'); M_AXI_ARLOCK <= '0'; M_AXI_ARCACHE <= (others => '0'); M_AXI_ARPROT <= (others => '0'); M_AXI_ARQOS <= (others => '0'); M_AXI_ARVALID <= '0'; M_AXI_RREADY <= '0'; LMB_Data_Addr_0 <= (others => '0'); LMB_Data_Write_0 <= (others => '0'); LMB_Addr_Strobe_0 <= '0'; LMB_Read_Strobe_0 <= '0'; LMB_Write_Strobe_0 <= '0'; LMB_Byte_Enable_0 <= (others => '0'); LMB_Data_Addr_1 <= (others => '0'); LMB_Data_Write_1 <= (others => '0'); LMB_Addr_Strobe_1 <= '0'; LMB_Read_Strobe_1 <= '0'; LMB_Write_Strobe_1 <= '0'; LMB_Byte_Enable_1 <= (others => '0'); LMB_Data_Addr_2 <= (others => '0'); LMB_Data_Write_2 <= (others => '0'); LMB_Addr_Strobe_2 <= '0'; LMB_Read_Strobe_2 <= '0'; LMB_Write_Strobe_2 <= '0'; LMB_Byte_Enable_2 <= (others => '0'); LMB_Data_Addr_3 <= (others => '0'); LMB_Data_Write_3 <= (others => '0'); LMB_Addr_Strobe_3 <= '0'; LMB_Read_Strobe_3 <= '0'; LMB_Write_Strobe_3 <= '0'; LMB_Byte_Enable_3 <= (others => '0'); LMB_Data_Addr_4 <= (others => '0'); LMB_Data_Write_4 <= (others => '0'); LMB_Addr_Strobe_4 <= '0'; LMB_Read_Strobe_4 <= '0'; LMB_Write_Strobe_4 <= '0'; LMB_Byte_Enable_4 <= (others => '0'); LMB_Data_Addr_5 <= (others => '0'); LMB_Data_Write_5 <= (others => '0'); LMB_Addr_Strobe_5 <= '0'; LMB_Read_Strobe_5 <= '0'; LMB_Write_Strobe_5 <= '0'; LMB_Byte_Enable_5 <= (others => '0'); LMB_Data_Addr_6 <= (others => '0'); LMB_Data_Write_6 <= (others => '0'); LMB_Addr_Strobe_6 <= '0'; LMB_Read_Strobe_6 <= '0'; LMB_Write_Strobe_6 <= '0'; LMB_Byte_Enable_6 <= (others => '0'); LMB_Data_Addr_7 <= (others => '0'); LMB_Data_Write_7 <= (others => '0'); LMB_Addr_Strobe_7 <= '0'; LMB_Read_Strobe_7 <= '0'; LMB_Write_Strobe_7 <= '0'; LMB_Byte_Enable_7 <= (others => '0'); LMB_Data_Addr_8 <= (others => '0'); LMB_Data_Write_8 <= (others => '0'); LMB_Addr_Strobe_8 <= '0'; LMB_Read_Strobe_8 <= '0'; LMB_Write_Strobe_8 <= '0'; LMB_Byte_Enable_8 <= (others => '0'); LMB_Data_Addr_9 <= (others => '0'); LMB_Data_Write_9 <= (others => '0'); LMB_Addr_Strobe_9 <= '0'; LMB_Read_Strobe_9 <= '0'; LMB_Write_Strobe_9 <= '0'; LMB_Byte_Enable_9 <= (others => '0'); LMB_Data_Addr_10 <= (others => '0'); LMB_Data_Write_10 <= (others => '0'); LMB_Addr_Strobe_10 <= '0'; LMB_Read_Strobe_10 <= '0'; LMB_Write_Strobe_10 <= '0'; LMB_Byte_Enable_10 <= (others => '0'); LMB_Data_Addr_11 <= (others => '0'); LMB_Data_Write_11 <= (others => '0'); LMB_Addr_Strobe_11 <= '0'; LMB_Read_Strobe_11 <= '0'; LMB_Write_Strobe_11 <= '0'; LMB_Byte_Enable_11 <= (others => '0'); LMB_Data_Addr_12 <= (others => '0'); LMB_Data_Write_12 <= (others => '0'); LMB_Addr_Strobe_12 <= '0'; LMB_Read_Strobe_12 <= '0'; LMB_Write_Strobe_12 <= '0'; LMB_Byte_Enable_12 <= (others => '0'); LMB_Data_Addr_13 <= (others => '0'); LMB_Data_Write_13 <= (others => '0'); LMB_Addr_Strobe_13 <= '0'; LMB_Read_Strobe_13 <= '0'; LMB_Write_Strobe_13 <= '0'; LMB_Byte_Enable_13 <= (others => '0'); LMB_Data_Addr_14 <= (others => '0'); LMB_Data_Write_14 <= (others => '0'); LMB_Addr_Strobe_14 <= '0'; LMB_Read_Strobe_14 <= '0'; LMB_Write_Strobe_14 <= '0'; LMB_Byte_Enable_14 <= (others => '0'); LMB_Data_Addr_15 <= (others => '0'); LMB_Data_Write_15 <= (others => '0'); LMB_Addr_Strobe_15 <= '0'; LMB_Read_Strobe_15 <= '0'; LMB_Write_Strobe_15 <= '0'; LMB_Byte_Enable_15 <= (others => '0'); LMB_Data_Addr_16 <= (others => '0'); LMB_Data_Write_16 <= (others => '0'); LMB_Addr_Strobe_16 <= '0'; LMB_Read_Strobe_16 <= '0'; LMB_Write_Strobe_16 <= '0'; LMB_Byte_Enable_16 <= (others => '0'); LMB_Data_Addr_17 <= (others => '0'); LMB_Data_Write_17 <= (others => '0'); LMB_Addr_Strobe_17 <= '0'; LMB_Read_Strobe_17 <= '0'; LMB_Write_Strobe_17 <= '0'; LMB_Byte_Enable_17 <= (others => '0'); LMB_Data_Addr_18 <= (others => '0'); LMB_Data_Write_18 <= (others => '0'); LMB_Addr_Strobe_18 <= '0'; LMB_Read_Strobe_18 <= '0'; LMB_Write_Strobe_18 <= '0'; LMB_Byte_Enable_18 <= (others => '0'); LMB_Data_Addr_19 <= (others => '0'); LMB_Data_Write_19 <= (others => '0'); LMB_Addr_Strobe_19 <= '0'; LMB_Read_Strobe_19 <= '0'; LMB_Write_Strobe_19 <= '0'; LMB_Byte_Enable_19 <= (others => '0'); LMB_Data_Addr_20 <= (others => '0'); LMB_Data_Write_20 <= (others => '0'); LMB_Addr_Strobe_20 <= '0'; LMB_Read_Strobe_20 <= '0'; LMB_Write_Strobe_20 <= '0'; LMB_Byte_Enable_20 <= (others => '0'); LMB_Data_Addr_21 <= (others => '0'); LMB_Data_Write_21 <= (others => '0'); LMB_Addr_Strobe_21 <= '0'; LMB_Read_Strobe_21 <= '0'; LMB_Write_Strobe_21 <= '0'; LMB_Byte_Enable_21 <= (others => '0'); LMB_Data_Addr_22 <= (others => '0'); LMB_Data_Write_22 <= (others => '0'); LMB_Addr_Strobe_22 <= '0'; LMB_Read_Strobe_22 <= '0'; LMB_Write_Strobe_22 <= '0'; LMB_Byte_Enable_22 <= (others => '0'); LMB_Data_Addr_23 <= (others => '0'); LMB_Data_Write_23 <= (others => '0'); LMB_Addr_Strobe_23 <= '0'; LMB_Read_Strobe_23 <= '0'; LMB_Write_Strobe_23 <= '0'; LMB_Byte_Enable_23 <= (others => '0'); LMB_Data_Addr_24 <= (others => '0'); LMB_Data_Write_24 <= (others => '0'); LMB_Addr_Strobe_24 <= '0'; LMB_Read_Strobe_24 <= '0'; LMB_Write_Strobe_24 <= '0'; LMB_Byte_Enable_24 <= (others => '0'); LMB_Data_Addr_25 <= (others => '0'); LMB_Data_Write_25 <= (others => '0'); LMB_Addr_Strobe_25 <= '0'; LMB_Read_Strobe_25 <= '0'; LMB_Write_Strobe_25 <= '0'; LMB_Byte_Enable_25 <= (others => '0'); LMB_Data_Addr_26 <= (others => '0'); LMB_Data_Write_26 <= (others => '0'); LMB_Addr_Strobe_26 <= '0'; LMB_Read_Strobe_26 <= '0'; LMB_Write_Strobe_26 <= '0'; LMB_Byte_Enable_26 <= (others => '0'); LMB_Data_Addr_27 <= (others => '0'); LMB_Data_Write_27 <= (others => '0'); LMB_Addr_Strobe_27 <= '0'; LMB_Read_Strobe_27 <= '0'; LMB_Write_Strobe_27 <= '0'; LMB_Byte_Enable_27 <= (others => '0'); LMB_Data_Addr_28 <= (others => '0'); LMB_Data_Write_28 <= (others => '0'); LMB_Addr_Strobe_28 <= '0'; LMB_Read_Strobe_28 <= '0'; LMB_Write_Strobe_28 <= '0'; LMB_Byte_Enable_28 <= (others => '0'); LMB_Data_Addr_29 <= (others => '0'); LMB_Data_Write_29 <= (others => '0'); LMB_Addr_Strobe_29 <= '0'; LMB_Read_Strobe_29 <= '0'; LMB_Write_Strobe_29 <= '0'; LMB_Byte_Enable_29 <= (others => '0'); LMB_Data_Addr_30 <= (others => '0'); LMB_Data_Write_30 <= (others => '0'); LMB_Addr_Strobe_30 <= '0'; LMB_Read_Strobe_30 <= '0'; LMB_Write_Strobe_30 <= '0'; LMB_Byte_Enable_30 <= (others => '0'); LMB_Data_Addr_31 <= (others => '0'); LMB_Data_Write_31 <= (others => '0'); LMB_Addr_Strobe_31 <= '0'; LMB_Read_Strobe_31 <= '0'; LMB_Write_Strobe_31 <= '0'; LMB_Byte_Enable_31 <= (others => '0'); end generate No_Bus_MASTER; Use_AXI_IPIF : if (C_USE_UART = 1) or (C_DBG_REG_ACCESS = 1) generate begin -- ip2bus_data assignment - as core may use less than 32 bits ip2bus_data(C_S_AXI_DATA_WIDTH-1 downto C_REG_DATA_WIDTH) <= (others => '0'); --------------------------------------------------------------------------- -- AXI lite IPIF --------------------------------------------------------------------------- AXI_LITE_IPIF_I : entity axi_lite_ipif_v2_0.axi_lite_ipif generic map ( C_FAMILY => C_FAMILY, C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY ) port map( S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- IP Interconnect (IPIC) port signals Bus2IP_Clk => bus2ip_clk, Bus2IP_Resetn => bus2ip_resetn, IP2Bus_Data => ip2bus_data, IP2Bus_WrAck => ip2bus_wrack, IP2Bus_RdAck => ip2bus_rdack, IP2Bus_Error => ip2bus_error, Bus2IP_Addr => open, Bus2IP_Data => bus2ip_data, Bus2IP_RNW => open, Bus2IP_BE => open, Bus2IP_CS => bus2ip_cs, Bus2IP_RdCE => bus2ip_rdce, Bus2IP_WrCE => bus2ip_wrce ); end generate Use_AXI_IPIF; No_AXI_IPIF : if (C_USE_UART = 0) and (C_DBG_REG_ACCESS = 0) generate begin S_AXI_AWREADY <= '0'; S_AXI_WREADY <= '0'; S_AXI_BRESP <= (others => '0'); S_AXI_BVALID <= '0'; S_AXI_ARREADY <= '0'; S_AXI_RDATA <= (others => '0'); S_AXI_RRESP <= (others => '0'); S_AXI_RVALID <= '0'; bus2ip_clk <= '0'; bus2ip_resetn <= '0'; bus2ip_data <= (others => '0'); bus2ip_rdce <= (others => '0'); bus2ip_wrce <= (others => '0'); bus2ip_cs <= (others => '0'); end generate No_AXI_IPIF; end architecture IMP;
apache-2.0
73711ab2608ae396d8fb149110534b6c
0.525069
2.887132
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/2-MESA-HB/asap-alap-random/mesahb_asap.vhd
1
2,045
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:14:48) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END mesahb_asap_entity; ARCHITECTURE mesahb_asap_description OF mesahb_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; output1 <= input5 + 5; WHEN "00000010" => register2 := register2 + 7; register3 := register3 + 9; register4 := register4 + 11; WHEN "00000011" => register2 := register2 * 13; register3 := ((NOT register3) + 1) XOR register3; register4 := register4 * 17; WHEN "00000100" => register2 := register2 + 19; register1 := register1 * register3; register3 := register4 + 21; WHEN "00000101" => register2 := ((NOT register2) + 1) XOR register2; WHEN "00000110" => register2 := register2 * 25; WHEN "00000111" => register1 := register2 + register1; WHEN "00001000" => output2 <= register1(0 TO 14) & register3(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_asap_description;
gpl-3.0
47bf963619f7daa240e0661d4ba3b028
0.675795
3.205329
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mux_bus.vhd
1
12,443
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QPQNKAwwGXIc5LIu1+x5sjRspWl6//PKSSN5Azf8YHFMDIjjv8ODKAuXr3jEMS+lxNABTG4Rd9GR 65uyZHZq2A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZpxR4ePVP7HhmTvRZ2AkPGrDDKcRuHIh2c1NIs1zmLCHaq517O41+rLG0Z+GpHi+Ss8t721E3/8X QHRGTbWv6QuGC2V+hB4pQ6jEeoELceJOnItkPA5q7LVuJLop4wEL0rkM9H1RClOhcxpMlCgEpW2t HrUrnj3FMlYkupj5w0I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FWGtQlcjFNAdnyZ9GtE+Dyse1Sf1HuK0i60ibMBrA0xxEIfZWI5WH7z75mbReTREt05bSeW1B/MN OjkYE7uHORZctrnh8m27Sbh81lAnj0tuKP8h4zIA0EKiadPK1KmLhBPccy5oR1WU5X6Ld4M5JeeW XYXcsb7BlitTUb8D1/YJaCSG5Kfb/50Ko8kcXqMq0mgUou3RBPUa8PDggsS9X36649anU//lR6kz lzhDUB/i7YDs94rzLGNjABleUDLu32pmnbHEEQTgne1T7lWTr3CSbMXY7hjU7x3U+dhaAC3al6Q4 PtosbgsEZLZzvZFbYIfFhdoZtMcLOo3tP+JuIA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AA09J5klOFLVWFXfV4ewC9s/7Z8Ll/fE8vDUO/AG49ivQLwO/YezzGgUT7p+f7j0Y05lTShIfZTa wFlAIV1L9TVu4v9FwV58JXM0ANv33MEhJ/OGl9ZOtE2M2+GwAXfU2cr1y32vcwJs5oeWlOJK+7IA wHtkHychCbsYxgQPAb4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IvTvodVXG5wKteER5MUFQmAZukGr06xST1bkTOkX7gXvpbqU4YlAJuB32fSsS8S9X98THsW8nfrW ovUeP5uTAhDrabcE5ZNjbhEJYQ7lHPKhtUfSa1t2h5KhPB7mzfhNA0gVlDy4fKUqbudrCpTEBBhX pxg9e/dNuFSPlrdgGJuNHABdO/XDJZ4sOfG24vEiQeio+MiVSFjrDzO4GjNFoX0dlrV2ppAr69lB eOz1ta/drcK2lm1aVj7LjuNMhMNOECJY7HBX0aB/tI9jfZEiTvf4tQzFEO9rNkB5FkX6xRWg9fE7 xPh4TvNoWIORWmjfj/1kX8XqYJerx4iIBLkVOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7472) `protect data_block SM9vZ4QWTF5fMFGjXMcS3Lc+42kpgKjSY/cFMEm2/9vhEMeaVtkareqTkivLYcPeN2Lms4bPsClx JW+MnQsbTmbXa1d7l5XBRCMI02Dk6MzkuSaxPh0d3D9gu4WJKZ4PRSni/nKed1vq78km1MFUIYtF NsGVYM9Fgkh0oFabc1q9klFEQDUo5QXKzqzt386mVFLKOqrn3p/qGTP1cFtOo7SkRgt1uMbI1QHc 05dIXeSrmMlB06jCmPwurLbSLK9HZd0RdVrWIWv1aZk1ojcqbpdsD0I6s4niSBfE4dvOge7y7eeL i3d6eZp0VChtpVt0y+HiLMJDHSDdJdmiTCSKPhyqNACi0MPB6qzKGZWKzHUxwnnik4ptbqMxF0FA 1Dox2BXlCk6zzM5IlxoYkr+uE+hFFs1wrlSHBogyEAwVakncuZFPlRfLUtHVoY9QfPa03zUHgK+t U+QDyPr37SyycE2IZnnX9GuclFOHgkzo0sDPA6V47tQ5rIIgoyoGQAbtHAoE9GvFL985fRTtZw6u YE87fGowGWaAXinvEUccKF7ZqargHhfJ30N6q5Mx0dRRfw37/ixT2s4w9iw2fZj9g4d7t50XnuFB xPoNQaQhewQdV2MS27SEY7av6DIuSOmpxZ9muiTCDObqPvsivbSrcv14Q62/EqkdJGMqCxnfUzED XGJs+Lc30Z6lYU544uzm07X2S1QRbJfbTZZj9rCsuj2WuWEn+O+58AIBuQnTAHjnzM4GHipSZUh3 7SyiHwPXmdrMBiRUid42fjgtICZ3cPEqGh8cFGnc4CuekhP0jm2XjPVffMk5KyF4bIZWw4RYxXLK LXp71EUnFZXDwaBOdWYaj3Zh/Kj5ShhC642BXk1+p6Yh6XrnKJMLEXRwmnqK15iMT4yI8B8SBE6R y45NuDUc4RrzE5OYe1tt/kidYCls5LX3w3GV/htdJgkTFTgU2Wee90HBRjyLU+vBL3sEk1VW8viw pbcl9jsEAzyZVFTd3SBMhCrmyFNNBY85zGPoYJUPt7qh3h3Rq9akuVIpYYbVrtT3BaUZ6HZ76ZP8 HT+z0evlbZgrt63jYV8LGGjLpcv9vVa38Y3b57DnIlu+zX3b42nhZPrhvfSuPQLcgpaSXX86cckU QckLN0cvJjKBHt/1FJc15GbNwE8EW7NDkIOW2ylvpCuEDeGRv7tQ08x2lnB01kHIC7djFiFWyKwa XSkKUeaZj1CnwLQiFEkk1j5l0mNHshdHqWoS3boqMESnvG3sc5+WaRhHGLkWZfAYejOGSm+oT1H2 W4+r/8v5sxJtX4K5pHGuMOZW8rMy7wJ31jFNxOOTUDNQMGNEAjVD9ZXqJdTBeAV1LturqSmY1F1k vaywZfdlQyz4JUG+YTsgv0GMuSB1xBVKzHLzg/E4+3WgEf/ic9hr1apf84Uy+xLtGOwwCHwyt0Q6 D6CDEVUKqKVN5C1TtgsF2D0egXZdas8ONEzPJfd2KTX3rhajD6yK+7XXJ5HhW7twYrrDg3kI3RSx JUHdY10L14Mnsgbc2gYeFfWlI4jz7uSDLkvBdI27tLefcFJZf8MrwPkJHs1ApU44IEWtedzr64Qf FWW1zfkLNF7CN749Gjcet76WeyUdhJFjCJqL+hPknR231V3wf56RKxyhgSWUde3DPGAXlX0hHi3o a9U9aeR/z2/bd57tZGnfpx5i3q03Pp/CXzqJKVReVSHaef8erSW/FPpPxFJzcqdnv6C4EZkaL7gx ZJUtupBj63GwCRy0FG0B5WXrlS6yRgOSpCK53ZJXBZ5JN73vZIN+z0i9BZW5uNbMcu/hLpubqZPT +dy7dhbDpVX+UaokJ70x5Q1gGfGyGpDiP6IcC7SSGOfk+Teqc+ggO++9hVZXLcziFDOJF6djm/+U l7pii13M6YRaCDVDZ9YeK+YIk9KfpFokNCofzu2Pqkg8vmq/cbnhI/oBAptlEDKLVLHH0jcoZleK 0OGEiualhyV3/1Q9SWqjDLsrjQPX9HVLAoDW6scLp3Et8NT197imE1sYD1rY5bldXcg9Ij6uisuX VSzqEYDhv209Pt/WrnWPPQOT63LMMN+m3bao1HEVxH3U8yaO5qdNidMhbOy8ZEYWtXBAwiVqfhy2 PvM++dLS7ebyHTaWejrCuJEQaSgrmOlFoQb1ExUnA3gMyocbt4tCpJKDwEYmEtndgDqW4KAlBrru Oua6sLQxyPBXbUiXpw8CMgewl6hLuXPjXmve44re5mQFYOvk5GCETDOcQl7KWhLu/5S4AHuFIxXb AnDBYw4wXTcMgsok5N+V8n4JDbuAbDbLlg0kxajkiiJMdjjjeNUGplbppSkq372yrxU7OYHJQpAY FS+VpaOgKpb0XNUgJX1z2/RBZOcKNyrKafXOsO1Z0QGflx9jrdDUauR+ewDawyNQC038/LC6WuHM pZsnI5Qk5TtV2IQqGk/sjEwNaI+wOcQV6pq1z155r8xkLqTPanBm+c32ZZpcfSX0STRvKwrX/5xL GHi02L3i9Vsun8pCnY3/MM2QG8TzHDwSH+QeXIr5vtKS0oCt6QKOORxmfu9w6wQWh604+W63MmmN Yi4+08XobTUDd7cOEq4y+qn4Z5/7ErbOweTH9fl84EUvPZ4yQF1Z29MPvob8uNf7mdZR4Azzta6W By3AVVUTNmpgizi++E5XemoSWN59ShpWqodAYLIUVXm1NxXbtklhuwdcJTQty/8mlCj4pIleOslc 7/I7j8jGJMuk3UrElqXyrw3W8hu+y059xST26MiLzZJ4cdne+PZgjkmay0mKK25bbavNPio7FhlD wj5DdCfIrL4IO87DvQWE0wcimRBHg2KFeeqdxREcIWNy8AVDxS1COrUDNuXffwwi+o1Qgi3ChZUu Jt/kImbraUK/oiMSsWk2Tt+rWVjmIx/wzElfbW2Ni3BOqaT8Uwale1GjmsFF2AWpbfhGwOKSg98F TxZ3HLvjUQZUuVNULdItSbYZ1osGPNOzm+I0869gAF2YOq5LFocKW+B4Nqlq9BBPuQ9MHR/k3JC9 J/c8r40HiEt3hYbJNQrk2LrCN5cyngz/dyVG6Qnt3cJp6LHhlOiw9Akb4uYvn4O3ng7m6c0qw+0r SB1JheuRaa6fX6GyNhoWXHobd5RfFVraiHU7nb3CFBU4FdK7yscm7GmAloPPfZ9uwjmMB997JQfm L33dn3uGCXPg+ORp49aPqZZMN9VNL4sYarvamHdNEKJJjb2KTCMqVrwZoy5IDNQNtuYfZXwzmnvB rCiMx0D0Se/RzBMpQlt3GBK35izGmCT6vCWWh1myYbtTXueWCRZ1GwuMcxq9HrRoQDIJLssjQZZm k3bQ3u9kGoBhFSsgD+O5lT74gg94vS/I8nFvvJfPpbSnXleVlKVnjzoIqgh+Loe4puX/kb+WHS95 I3K9W4JeHHKnV93J3ghPVW7o8w1XiPcyWT2bi2nkKSgQQKchcVAeYZ6GdHNE4NpHKpj9pdpPkK1d Lch8872ZTvq9GMUEkrWN1/Xt/hTNvdik+fLb5Y1YRB2yQt1NcYMmSm6dYcl0iE5KL9zOjx471MUh 6uMYKbup1CZSfTNOAUx1ih1HZBmIhr+yu3Ys68Ko10ypj3RZnoXkvDsS/GUGrYzkF/f/uJG2zrvU hzZD1oC6ZAbZRIbagfSBgbf7B2p5xeP/gzUqRxTnuXVFFLvE+4jGQrtplveO2tw3HXhGVbU7J4Jc sxpquoVf6sr7xfkUPIz8jGBtMCWZTca4pgtQL+so/c0DtNvfLv52shOiExcy8Os6Nlx7fWZrIz9d 5jnMfqEG/tTwvZuKVHXnEVVR0ks+6EVkV5xY/o31KMmmeO7bNtuMGv+s/mb1r8sM8xihyTc6OblO D2h7P3r6IlVEdYYPcHPKDLvE2DavFgL6D/W4PLiMNaFJ52sQElS9DwkJw99Cgxd5cPGZYfHREfjD bhbrdk6maEh9/mLeNKWB1tMcHpnCoTECeF/ZGyVT7w6t6XWJh9CsdXzHpnyZ8YbFhNnMiLNq3olT z9woc4+7VITdTD9UBOlPbi8W8bQQGRUcx411kOfka+arAhTZg3GKj/uQCC8ut8oVc4mfKDQkohsW 6MyCAOFF3ZLqnUsulnRy2uCIkBgykirpPhUdhcyxyUDAosJ1TApAA5bF7TaF6+MlT6aHozrc3+dV Fh9F9/vHsQl0dMjxTzuBfXeqN/7urFW9bvHK3vVy15C8PRYsZKnPyKdIfwr1JVq2F+g5YQmnxzIv xkGhxyIrjhj4WHp9cGmcBF96E7d1RlRVOmUAimhJxEJL98CaqoqUnZdvsHJLfZCLzZteAFGa8L2b ahg9sBxp3wsYFltv32rnnMw/fwGlx20O1OB07/oQ0LArrVF+AeOL+oTfraQJBlHDSzvSukU0J+IZ DKlBwBnSJ52h3L9IiY2V3vQ8KTOmDgH39xEzxBFW85m+x0RE/qrBzG60lPAg2VzQrpWWSTHPf0LC gu6MlqGDYyW+o+wYNFZWfHvWQSEN0PZP1y3jqC0jX9NqIeE6gkhOPaHrzkQqZtIdQVM3auCrdDrC BQqI0ns+o7L1kkPqZbC3icwVfD4gLm6L7e+P1gHan1hjlgNfcAA42JuOyINfvoYI3170EhFOcmg5 qug+u6ZFOhEUA9VY9lZoZRT5K6/kkcNYKU4ns4LsaMMfsiKHM79aiMwTfG0atGop2aVjy97naaA+ REBhGH7q7ZxuFfBg4DEbWZsTKY7jhEKdvRD2cpLZ9pU9y6pILHS0QEC1RASLu1fhH1NivcrZpKRQ SBRrKIMhAVheofzkE6p4wp4j6qFzyghxnsifRcmutl6ct9OTC+UUwLvNWPKIcso0MjWF91NoiCve oqjnBfN3vV0gep/beeRyQzA7K85kskb6vv6Z4Xwxh1oql35GrMfcD3416WAzg0xA0bHYheK5+IIz qPrXUR/1P1qft3DZc4mOmw+EWjZ9I5otSLAYrd9q6Fzq0SYJTFUfX3OuIWu7yI1dTDiJUfLPrXJF oaTIgJBWNUhflADdKuOZkxPG4gG0vwrX29ZAKZ+3+L8uaARlGVEkjD/1Shsb+15sKCMZRvS9D0t3 Oi0P3WqAMB4sSPwPde93s+qNnZLDz9qa3Ey7+CmVxSwVdk+aqah6fxjiwlFfYYwG9Oqupotn0d04 pBHiQGqwWNzLPug1MlUZKysVFq2kQYM4VKriWBRwmp3YAYGZeNbkgb2hTWCpQUH9D/HCovbSy7Eu a7n66NRBixywVSXcFXalX/zRxqZz95fYqnHFBTmWmpQaSN9JCbK+dqJm6hgujO71WYjes4X4FC+R KMUpUg+8NrhIpxvZ+ZLq2G1AUvYpCfq+N76JYWBk72Mskr8lLIBhmYEYgVu5HHsXwFr3KRMDd/PE 5vGLw6mzQOZZBaWSJEsKAVsdUgRxpTZS6Vw5f8jZhxxaku2gV1c9vLZMxe/WuXz2TSGQr1gSQgl4 U1B9GwDXV39ekNRPo4/vckhzXD86op/fmZMPlnCteY7opKBIWbDpap6wnVFVl2hh0FJ+/rswdrLh tFoVJltbUEUDzklolN9axyNhB7tR9yhPaHlMvCuk6GuHh1iyyokvywGAWkJ5XSSARa7HOvSg5I+9 TdGe30GUhVWGfZnYOq7sKg20tLP76RxO7uc99QOiq4rxReLU6AlfgFgcGA0b433OphDLOOYnwiWL pGDbnXKcRJmjCdNRCl0C4OJ6VssuG7Lpa4c/aulAE7iryA3xIZsOirca2LlCWlQFPOINEcfdjT1r oLoXiBtDomZ5b5RWck/1q7wMROAU1kv8nreIQdb9a8WXqAE78Sp3nd6JWHJMzAw4CS7rCHVA/8vV GUHc5Cb73Ug+JJPWgUdNXheyf+jyORixvysWt6lhJDK3f4MmgT5Inm5X/hrT+wKi8of7HnJXkVuK PZOHG68lrlBv6GwMtVVdPyjdkZg37e4vOwVbQEC/F9KiLrXtzQb6jPrMpiPAzhdoF8kVNOdrVh4s wvPxs0oUNaRIrkXn+qyMwD/4Pv7Q695/DtnY/F5fBeRgQ+7fnoKdkncvnWPftfRerJrVidSHECEZ BEBaRbFLfyPQFWklfBmoreHIBfzhRzTBN7T6au8ZRGDlgPrIFkpj2HNR3niEwqx8lWWnBtmfNwiq eAFPY1jGYzWNABQxw012bMnApJFKrsNzZrnFTOaIcdG9+0NTC1BYCDzY/6r2nG6fm5aKQ8PA3SKo s7i1FXRWbUphXAKrel9uviqvwt4AOKs1hMyMvPxs4T3qdoAiLNFMcTpU2/3IDZs/WFtrF+lsyOF3 8meXZVS2EfT54OQXoU7RE9z5aAt3MGaM29VmqMeLsrgey5w7hmFIMpDfpIQ9lRDSwYXAQcX5nWa5 rbhvT82cSm4frnOibwSyYirhuK0d1R449moIVpq0jLJDYBtaCPHSXXUFIxv/sX0FVnc3DlnVWOIQ Q2a8KLaJNPGV7o+twrk07/BT78yF67xdGdcyfIShD9ueicL2hPOqo7qA79Gp8oebQy/5zyibB9dy IwjUmcF2KBMM4OPClAwS/5gLgbQN/pfJ0p1Y8AaiXX4sBHkBIaKeB52jMYbmNUcD80jIBkcVXqEU sPy8lwLCdgPFHoBsLJQS7zxMwXrFrVG2vYOX0z19zHsVdacuJ3E2QpMOHPB1BWxywyEq88QMqcaU Xyq947PPMTndrp5V4DINZznut3BptR3ThStGI3SWN9u7Ip6325Y/nX0GnKO8Nc3mMc1ZuTWgRR/6 JNOyeO1g1nER7tPlmWsj0RqgZqO215386j7WbvDWW2y1jkP2YESHT7xg94AEZdiXqOWxwpgi5mV3 gNS1+sB6B4lCu93BeJ9QOMGc5Re+McGvQ3Q/xa5jyas0jJxpS0Ejsng2DmZrN+vbhyXoECT4tmlA eMShG62e2epZQhCI/+8sFZ2ukGKUZwMrrATZvWI6G5RdOYi56Rv1NFVyQM6BfavXqKUWEmC5/Eyi XhnjmBwP0CU0hBDu3Fpl9i1GVoV4i3OIdE1rZNi9ploCUS/s6gddSTpGT2AiwlhHtmVh5xWCzG8P jBuG042/fp4UzhWGeZIjiZnf4hoMQL/uVTJJa4wyTzO//6VoxoxBNQTxRXKDMCW9VMgE9cG9wofX Eg2vlZPL9eDYmO7k5R82Xf9+yzq5jlyEMw0RrHtEXRBIzsi+SVNpZAbU2ZcShgPMPILh0jaPhAzS gNof7vJCmAYDWYoIdpn3V+BSNa2s/+4z1lGdVtMX7Wgfdl/zCaGIpLKdM+23cMvlJgwuWYiImwLs B9uR0cjDWdh/G7B05L+9/NSCNrR3/4YKhsInNkF49mqrHeTibLfRL3vULfYjsOwIRm7iZBHmTpa0 HxlLnr7H3Szz48CBfAXNBoxfOlWapsodq4qlLVYpGHTLA2eS7ThNBiGDxUdIZnzUjUW0GKNUCLu2 +iWxJnGKLs1ZHI8idCVyA71ghZPpKDYPptN2/TsulXT8ngn7R2F3cDFywvCZCh8Ljfe0XwseDT/r 7RjUWah3YFOJ6e0/yDpaJL74bFrj89w9DNroQuaM+aP239Le8x9ulevRSCi03qqVOmUe3QF4i5HL XFMb1P/Ep3Ih3KmhD8qtvmemtujgjbv4pYkFJBnX6nhYg9go5ctp9EY+EuCXXdcjUfi5Ck58MwXH Mw/tERylzRTqcbA87VaSI4xDuxhP7QaSWXA5nDEXc5tpMLU/5SBNqtP1hPKlno1ilSL8lMsRUTZQ K9qrwwMxcLG+GcuAR4d+7V/FAXSGW1PQJ4C3tXVANFALV4z5sI1yyqyISJ6G7fO8PBFbutRYSyvi lxo84eq2Q9zBArLPeliacWz129Nac6QmZzr/XDR/Q+UQO8tcELqaUGS7Y3gMb7nQ/y2n/EuMFCA4 YZCPzvQixIHEQiGO17cTlNCk3w9vRz8dkap1vW9OcxDK40B3ZyT64cbyoY+nFyHsoYe+To3+jWLL dMCRPbVgvHfrbpZGPXdqOVlPWcfG8/4kcBiOUBN5/BF+JZFXr+247pGNuoxKdzrpBzn41uR4qQX9 1hk7tk8oCPwUimhiFSmNxvCFdJ4QfSghS/iXOa/jDCwbukro91oXEIzv6EfDlg/rEGlw/F3+nGVa brMA24gH5GtRK/zDLzFJxvqoEOJccfPLCsXa+nYbzkx6WKC9oadrzo3bXI57KejhzEmxoBfX4i9H fSKiCwBW0c1JYGYX3rhhVNyae6+cY1sd0Gzu2lYH+gEL1AqYJbvBiJpftciW132IGRtclFIM1g2M 323hQBkpvX3zNT4F4zPT+xGmwWOALU7oT9JJAx7F/7IfWlsPoeMplh/f6OwOgQKyFHGzIWhe585h SyCZBptfpyblklWfdFblA5xiwdSRf8HldSuV/jHURqBm9rp6sPGc6ur5iQ7NPPRahXDBaYkUZoRe EIuyCn8h9EDAgTpgQiYPj0RQfvd+clsa+o8YDO9WZVIvLeSUJl12Z6/VHb2s3XBCzOvsECCDBu8W ZTCviNC5+0PHqkNbPWljlV8BR+kWnqar4F3mlLCl4nKl0iSR7qnhTQiiawyFj5TM0YJQFtkjZatg mZINjILDAq9bpep0atJkHBB2OgXN1TYNi3FpvS6ajkE5xA0K5mNoJZ8Fx4e01dDpg3F9DR6UO7t5 P2yfPHhikiIIq7juIP+Ae8mSC+10vOG1zLHNmXHU4wuuKnXAYS/+JOnlKJcJdtNaVPN4BtfCPnpo EOFTGiPBi6n48GJMRWbMLAkZEtB0+7jCq6pLRm9JZdJnAtQR79Mk9JvYz+tZtpYmf0cN/5osZFER 0R55smCtTMPyiWygx2/hGcCRhUEAQ/MNJ//f2xXc16WZVyh0bxaGAD21MIkSovZNFbMBlrx0f7Rf gzV3VZcTF0tlg1vZjeWpONjKjHgEIaW/PJdXzX7vYmY0yKmsD8SnT3qvAsOZiiD1qHrSZjqXnOCt LX6OF5JkONTo3b3m54kgklBMX8C9XTHjEGwpkAI+V9qvlZFZvaIJe/iug+bOSZk/mqno5JuGGHvq vXqmdgdNQK0dUUFp6aeNVrpLtWck99IbQ75xs+SgDscSrTSDumS+u923jAIheDI5uFnHTteOTjoq vD6CpAhgS2os2Fhuu7G464CCDytvMPIS2EoRFAgbmrSDCUsQ4b6xruZf3n7mF2+cSDo0SEYYH0mo yCHVELj5grHu55Z63SJkT4Awr0LCrgkOtbpeMwdS9ZnCsNcojDwMgH0Oh0WqongOMp9kraZ4o1UV wwDm7iwzPENF67td6bjTLxo6j4B3q8C4z4zaTciAec1VRSvAryEbqrKw1dvkKhrzBNClAAVqDW3L PB6/EFBJllzqeLzBUZOHkns6BYotoGkX4Ua4N4+hFuYMRLL2o4bF82aoBow7+K4rOmenTe3/awoY kc1cnytBEdMmIs7sjosmfELqlIOrUrZkgsuufuUH0nxjMTzITd7d1q7k52TCPLkNNFEW7rQ6Y5iT 7vjrbVvncMYWlJL5tG7oY7/Y37dbER2SLHC+A4hpYbSvIzdI2ya9Toe/bRjn9Hy9AoamcFKWJjWx IVCH4xdrYN6Movu5LEEzyQtZpwopwbLIQzGivtGEiVIr9oMuldmKpDTUFw5XqB7i/Z0wFNmHeHC9 AWkqwcSy8bAanhKg7ks7qD9CYHxO7LZ9eFWiBChWjBjYWlFEuu5WkiLvVLsCia9qHa+jZHMsizc+ Fr48o/E2XtIDUDkFqVsZFhGGiSwrTO2u0eZP0ThDhJ7Q2QbtoFjh3R/FH+O+llnTG6ILBSa86QLc Y8q+yArl8oWv+O6qDa0IqwYZYRcHLUqsqnFt6azi5ZcjllNQT1jOnDUNReWLBi+4oNg6WfCxuuNK EdgNJ39h5ffJjneLMimGtu1Qdjeyyx5WPOWbOBBKjGd0MCbVV+/OnOQmmYartt2C/yNGcg011edR w/HD5ok= `protect end_protected
apache-2.0
691c2144d3ab3f7fad995d74ecac4b11
0.932331
1.886732
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/mux_onehot.vhd
15
14,596
------------------------------------------------------------------------------- -- $Id: mux_onehot.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- mux_onehot - arch and entity ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: mux_onehot.vhd -- -- Description: Parameterizable multiplexer with one hot select lines -- -- ------------------------------------------------------------------------------- -- Structure: -- Multi- use module -------------------------------------------------------------------------------- -- Author: BLT -- History: -- BLT 2/22/01 -- First version -- -- ALS 3/30/01 -- ^^^^^^ -- Added process to replicate select bus for each of the data buses -- ~~~~~~ -- -- ALS 4/19/01 -- ^^^^^^ -- Modified assignments of DI and CI to use signals one and zero. VHDL87 -- doesn't support direct assignment of these signals to '0' and '1'. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- --------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- Generic definitions: -- -- C_DW: Data width of buses entering the mux. Valid range is 1 to 256. -- C_NB: Number of data buses entering the mux. Valid range is 1 to 64. -- -- The input data is represented by a one-dimensional bus that is made up -- of all of the data buses concatenated together. For example, a 4 to 1 -- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by: -- -- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1, -- Bus3Data0, Bus3Data1) -- -- There is a separate select line for EACH data bit, leaving it to the -- user to set fanout on the select lines before using this mux. The select -- bus into the mux is created by concatenating the one-hot select bus for -- a single output bit as many times as needed for the data width. Continuing -- the 4 to 1, 2 bit example from above: -- -- S = (Sel0Data0,Sel1Data0,Sel2Data0,Sel3Data0, -- Sel0Data1,Sel1Data1,Sel2Data1,Sel3Data1) -- -- 4/3/01 ALS - modified the code slightly to have the select bus generated -- from within this code - input select bus is simply one bit per bus --------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- UNISIM library is required when Xilinx primitives are instantiated. library unisim; use unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_DW: Data width of buses entering the mux. Valid range is 1 to 256. -- C_NB: Number of data buses entering the mux. Valid range is 1 to 64. -- -- The input data is represented by a one-dimensional bus that is made up -- of all of the data buses concatenated together. For example, a 4 to 1 -- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by: -- -- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1, -- Bus3Data0, Bus3Data1) -- -- There is a separate select line for EACH data bit, leaving it to the -- user to set fanout on the select lines before using this mux. The select -- bus into the mux is created by concatenating the one-hot select bus for -- a single output bit as many times as needed for the data width. Continuing -- the 4 to 1, 2 bit example from above: -- -- S = (Sel0Data0,Sel1Data0,Sel2Data0,Sel3Data0, -- Sel0Data1,Sel1Data1,Sel2Data1,Sel3Data1) -- -- 4/3/01 ALS - modified the code slightly to have the select bus generated -- from within this code - input select bus is simply one bit per bus -- -- Definition of Ports: -- input D -- input data bus -- input S -- input select bus -- -- output Y -- output bus ------------------------------------------------------------------------------- entity mux_onehot is generic( C_DW: integer := 32; C_NB: integer := 5 ); port( D: in std_logic_vector(0 to C_DW*C_NB-1); S: in std_logic_vector(0 to C_NB-1); Y: out std_logic_vector(0 to C_DW-1)); end mux_onehot; architecture imp of mux_onehot is ------------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal Dreord: std_logic_vector(0 to C_DW*((C_NB+1)/2)*2-1); signal sel: std_logic_vector(0 to C_DW*((C_NB+1)/2)*2-1); signal lutout: std_logic_vector(0 to (C_DW*(C_NB+1)/2)-1); signal cyout: std_logic_vector(0 to (C_DW*(C_NB+1)/2)-1); signal one: std_logic := '1'; signal zero: std_logic := '0'; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- MUXCY used to multiplex busses component MUXCY port( O : out STD_LOGIC; DI : in STD_LOGIC; CI : in STD_LOGIC; S : in STD_LOGIC); end component; begin -- Reorder data buses REORD: process( D ) variable m,n: integer; begin for m in 0 to C_DW-1 loop for n in 0 to C_NB-1 loop Dreord( m*C_NB+n) <= D( n*C_DW+m ); end loop; end loop; end process REORD; ------------------------------------------------------------------------------- -- REPSELS_PROCESS ------------------------------------------------------------------------------- -- The one-hot select bus contains 1-bit for each bus. To more easily -- parameterize the carry chains and reduce loading on the select bus, these -- signals are replicated into a bus that replicates the select bits for the -- data width of the busses ------------------------------------------------------------------------------- REPSELS_PROCESS : process ( S ) variable i, j : integer; begin -- loop through all data bits and busses for i in 0 to C_DW-1 loop for j in 0 to C_NB-1 loop sel(i*C_NB+j) <= S(j); end loop; end loop; end process REPSELS_PROCESS; -- Handle case for even number of buses EVEN_GEN: if C_NB rem 2 = 0 and C_NB /= 2 generate DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= not((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); CYMUX_FIRST: MUXCY port map (CI=> zero, DI=> one, S=>lutout(i*(C_NB+1)/2), O=>cyout(i*(C_NB+1)/2)); NUM_BUSES_GEN: for j in 1 to (C_NB+1)/2-1 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)) or (Dreord(i*C_NB+j*2+1) and sel(i*C_NB+j*2+1))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; Y(i) <= cyout(i*(C_NB+1)/2+(C_NB+1)/2-1); end generate; end generate; -- Handle case for odd number of buses ODD_GEN: if C_NB rem 2 /= 0 and C_NB /= 1 generate DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= not((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); CYMUX_FIRST: MUXCY port map (CI=> zero, DI=> one, S=>lutout(i*(C_NB+1)/2), O=>cyout(i*(C_NB+1)/2)); NUM_BUSES_GEN: for j in 1 to (C_NB+1)/2-2 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)) or (Dreord(i*C_NB+j*2+1) and sel(i*C_NB+j*2+1))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; ODD_BUS_GEN: for j in (C_NB+1)/2-1 to (C_NB+1)/2-1 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; Y(i) <= cyout(i*(C_NB+1)/2+(C_NB+1)/2-1); end generate; end generate; ONE_GEN: if C_NB = 1 generate Y <= D; end generate; TWO_GEN: if C_NB = 2 generate DATA_WIDTH_GEN2: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= ((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); Y(i) <= lutout(i*(C_NB+1)/2); end generate; end generate; end imp;
apache-2.0
201332644e3defce7d77cb06d0d4f5e6
0.446218
4.20998
false
false
false
false
rhexsel/xinu-cMIPS
vhdl/macnica.vhd
2
5,631
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- -- The components below were adapted from Macnica's Mercurio IV lab material -- -- --------------------------------------------------------- -- Componente com 1 Decodificador 7 Segmentos -- -- Recebe um dado de 4 bits e apresenta na saida os -- os segmentos que devem ser acesos para um display -- de 7 segmentos de anodo comum. library ieee; use ieee.std_logic_1164.all; entity display_7seg is port( data_i : in std_logic_vector(3 downto 0); decimal_i : in std_logic; disp_7seg_o : out std_logic_vector(7 downto 0)); end display_7seg; architecture behavior of display_7seg is signal seg7 : std_logic_vector(6 downto 0); begin with data_i select seg7 <= "0111111" when x"0", -- 0 "0000110" when x"1", -- 1 "1011011" when x"2", -- 2 "1001111" when x"3", -- 3 "1100110" when x"4", -- 4 "1101101" when x"5", -- 5 "1111101" when x"6", -- 6 "0000111" when x"7", -- 7 "1111111" when x"8", -- 8 "1100111" when x"9", -- 9 "1110111" when x"A", -- A "1111100" when x"B", -- B "0111001" when x"C", -- C "1011110" when x"D", -- D "1111001" when x"E", -- E "1110001" when x"F", -- F "0000000" when others; disp_7seg_o <= decimal_i & seg7; end behavior; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- -- BOTOES APERTADOS: NIVEL LOGICO ALTO -- Entradas: Clock, 12 botoes; -- Saidas: 1 sinal de ready e 1 vetor 4 bits com valor digitado. -- Funcoes: Faz a leitura dos botoes permanentemente. -- A cada vez que um deles é pressionado sinaliza que existe um dado -- pronto a ser lido pelo sinal ready_o. -- Esse sinal so sinaliza a disponibilidade depois de decorrido o -- tempo de debounce. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity teclado_base is generic (DEBOUNCE : integer := 5); -- 1000); port(clk_i : in std_logic; push_button_i : in std_logic_vector (11 downto 0); key_o : out std_logic_vector (3 downto 0); ready_o : out std_logic); end teclado_base; architecture behavior of teclado_base is signal key_int : std_logic_vector (3 downto 0); begin -- Logica para saída de acordo com o valor digitado with push_button_i select key_int <= "0001" when "000000000001", -- 1 "0010" when "000000000010", -- 2 "0011" when "000000000100", -- 3 "0100" when "000000001000", -- 4 "0101" when "000000010000", -- 5 "0110" when "000000100000", -- 6 "0111" when "000001000000", -- 7 "1000" when "000010000000", -- 8 "1001" when "000100000000", -- 9 "1010" when "001000000000", -- * "1100" when "010000000000", -- 0, cannot be "0000" "1011" when "100000000000", -- # "1111" when others; -- nenhum botao pressionado -- code for key 0 cannot be zero; value-holding register is reset to "0000" --Logica de Debounce, para filtrar o ruído de trepidacao do botao U_DEBOUNCE: process (clk_i) variable count : integer range 1 to DEBOUNCE := 1; begin if rising_edge(clk_i) then ready_o <= '0'; if count < DEBOUNCE then count := count + 1; elsif key_int /= "1111" then count := 1; ready_o <= '1'; key_o <= key_int; end if; if count < DEBOUNCE and key_int = "1111" then count := 1; end if; end if; end process; end behavior; -- ---------------------------------------------------------------------- -- ---------------------------------------------------------------------- -- two-stage synchronizer, complementary outputs (from Altera's Forum) -- ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity reset_sync is port(i_clk : in std_logic; i_external_reset : in std_logic; o_reset_n : out std_logic; o_reset : out std_logic); end reset_sync; architecture rtl of reset_sync is component FFD is port(clk, rst, set, D : in std_logic; Q : out std_logic); end component FFD; signal q1,q1_n : std_logic; begin -- rtl U1: FFD port map (i_clk, i_external_reset, '1', '1', q1); U2: FFD port map (i_clk, i_external_reset, '1', q1, o_reset); q1_n <= not(q1); U3: FFD port map (i_clk, '1', i_external_reset, q1_n, o_reset_n); end rtl; -- ----------------------------------------------------------------------
gpl-3.0
34f2291452f6a4e88d8916491a53183b
0.527008
3.802703
false
false
false
false
sandrosalvato94/System-Design-Project
src/polito/sdp2017/Tests/ControlUnit_FSM.vhd
1
8,853
---------------------------------------------------------------------------------- -- Company: -- Engineer: Alessandro Salvato -- -- Create Date: 12:09:40 05/18/2017 -- Design Name: -- Module Name: ControlUnit_FSM - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.myTypes.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ControlUnit_FSM is --trs iofk generic ( MICROCODE_MEM_LENGHT : integer := 19; CW_SIZE : integer := 13; --ciao emanuele --questa riga essere bastarda ALU_nWIRE_OP : integer := 2); --malvagita al massimo -- non ne parliamo proprio --cena port ( Clk : in std_logic; Rst : in std_logic; OPCODE : in std_logic_vector(OP_CODE_SIZE - 1 downto 0); FUNC : in std_logic_vector(FUNC_SIZE - 1 downto 0); EN1 : out std_logic; RF1 : out std_logic; RF2 : out std_logic; --sono felice -- che rumore fa la happiness --terza riga di commento WF1 : out std_logic; --NO EN2 : out std_logic; S1 : out std_logic; S2 : out std_logic; EN3 : out std_logic; RM : out std_logic; WM : out std_logic; -- write in of memory enable S3 : out std_logic; ALU : out std_logic_vector(ALU_nWIRE_OP -1 downto 0) ); -- ma se io scrivo qui? --e poi qui? --ALESSANDRO end ControlUnit_FSM; architecture Behavioral of ControlUnit_FSM is type State is (RESET, DECODE, EXEC_I, EXEC_R, MEMORY_I, WB, WAIT1, WAIT2); signal CurrentState, NextState : State; signal cw : std_logic_vector(CW_SIZE-1 downto 0); begin state_process: process(clk, rst) begin if(rst='0') then --asyncronous reset CurrentState <= RESET; elsif(clk = '1') then CurrentState <= NextState; end if; end process; combinational_process: process(CurrentState) begin case CurrentState is WHEN RESET => cw <= (others => '0'); NextState <= DECODE; WHEN DECODE => cw(CW_SIZE-5 downto 0) <= (others => '0'); if(OPCODE = RTYPE) then NextState <= EXEC_R; cw(CW_SIZE-1 downto CW_SIZE-4) <= "1101"; else case OPCODE is when ITYPE_ADDI1 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "0101"; NextState <= EXEC_I; when ITYPE_SUBI1 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "0101"; NextState <= EXEC_I; when ITYPE_ANDI1 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "0101"; NextState <= EXEC_I; when ITYPE_ORI1 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "0101"; NextState <= EXEC_I; when ITYPE_ADDI2 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "1001"; NextState <= EXEC_I; when ITYPE_SUBI2 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "1001"; NextState <= EXEC_I; when ITYPE_ANDI2 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "1001"; NextState <= EXEC_I; when ITYPE_ORI2 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "1001"; NextState <= EXEC_I; when ITYPE_MOV => cw(CW_SIZE-1 downto CW_SIZE-4) <= "1001"; NextState <= EXEC_I; when ITYPE_S_REG1 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "0001"; NextState <= EXEC_I; when ITYPE_S_REG2 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "0001"; NextState <= EXEC_I; when ITYPE_S_MEM2 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "1101"; NextState <= EXEC_I; when ITYPE_L_MEM1 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "0101"; NextState <= EXEC_I; when ITYPE_L_MEM2 => cw(CW_SIZE-1 downto CW_SIZE-4) <= "1001"; NextState <= EXEC_I; when others => NextState <= WAIT2; cw <= (others => '0'); end case; end if; WHEN EXEC_I => case OPCODE is when ITYPE_ADDI1 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "00"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= WB; when ITYPE_SUBI1 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "00"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "011"; NextState <= WB; when ITYPE_ANDI1 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "00"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "101"; NextState <= WB; when ITYPE_ORI1 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "00"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "111"; NextState <= WB; when ITYPE_ADDI2 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "11"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= WB; when ITYPE_SUBI2 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "11"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "011"; NextState <= WB; when ITYPE_ANDI2 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "11"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "101"; NextState <= WB; when ITYPE_ORI2 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "11"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "111"; NextState <= WB; when ITYPE_MOV => cw(CW_SIZE-5 downto CW_SIZE-6) <= "11"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= WB; when ITYPE_S_REG1 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "00"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= WB; when ITYPE_S_REG2 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "11"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= WB; when ITYPE_S_MEM2 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "11"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= MEMORY_I; when ITYPE_L_MEM1 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "00"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= MEMORY_I; when ITYPE_L_MEM2 => cw(CW_SIZE-5 downto CW_SIZE-6) <= "11"; cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= MEMORY_I; when others => cw <= (others => '0'); NextState <= WAIT1; end case; WHEN EXEC_R => cw(CW_SIZE-5 downto CW_SIZE-6) <= "10"; --control signals for muxs case FUNC is when RTYPE_ADD => cw(CW_SIZE-7 downto CW_SIZE-9) <= "001"; NextState <= WB; when RTYPE_SUB => cw(CW_SIZE-7 downto CW_SIZE-9) <= "011"; NextState <= WB; when RTYPE_AND => cw(CW_SIZE-7 downto CW_SIZE-9) <= "101"; NextState <= WB; when RTYPE_OR => cw(CW_SIZE-7 downto CW_SIZE-9) <= "111"; NextState <= WB; -- when NOP => -- cw(CW_SIZE-7 downto CW_SIZE-9) <= "000"; -- NextState <= WB; -- --alu output register disabled when others => cw(CW_SIZE-7 downto CW_SIZE-9) <= "000"; NextState <= WAIT1; --same behaviour NOP end case; WHEN MEMORY_I => cw(CW_SIZE-1 downto CW_SIZE-2) <= (others => '0'); cw(CW_SIZE-4 downto CW_SIZE-9) <= (others => '0'); case OPCODE is when ITYPE_S_MEM2 => cw(CW_SIZE-10 downto CW_SIZE-13) <= "0110"; cw(CW_SIZE-3) <= '0'; NextState <= DECODE; when ITYPE_L_MEM1 => cw(CW_SIZE-10 downto CW_SIZE-13) <= "1010"; cw(CW_SIZE-3) <= '1'; NextState <= DECODE; when ITYPE_L_MEM2 => cw(CW_SIZE-10 downto CW_SIZE-13) <= "1010"; cw(CW_SIZE-3) <= '1'; NextState <= DECODE; when others => cw(CW_SIZE-10 downto CW_SIZE-13) <= "0000"; cw(CW_SIZE-3) <= '0'; NextState <= DECODE; end case; WHEN WB => cw(CW_SIZE-10 downto CW_SIZE-13) <= "0001"; cw(CW_SIZE-3) <= '1'; cw(CW_SIZE-1 downto CW_SIZE-2) <= (others => '0'); cw(CW_SIZE-4 downto CW_SIZE-9) <= (others => '0'); NextState <= DECODE; WHEN WAIT2 => NextState <= WAIT1; WHEN WAIT1 => NextState <= DECODE; WHEN OTHERS => cw <= (others => '0'); NextState <= DECODE; end case; end process; RF1 <= cw(CW_SIZE-1); RF2 <= cw(CW_SIZE-2); WF1 <= cw(CW_SIZE-3); EN1 <= cw(CW_SIZE-4); S1 <= cw(CW_SIZE-5); S2 <= cw(CW_SIZE-6); ALU(1) <= cw(CW_SIZE-7); ALU(0) <= cw(CW_SIZE-8); EN2 <= cw(CW_SIZE-9); RM <= cw(CW_SIZE-10); WM <= cw(CW_SIZE-11); EN3 <= cw(CW_SIZE-12); S3 <= cw(CW_SIZE-13); end Behavioral;
lgpl-3.0
770c99814a64dc68d82b5d259e442e99
0.519711
2.908344
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
docs/sample2/c_multiplier.vhd
1
1,037
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; library WORK; use WORK.all; entity c_multiplier is generic ( width : integer := 4 ); port ( input1 : std_logic_vector((width - 1) downto 0); input2 : std_logic_vector((width - 1) downto 0); output : out std_logic_vector((width - 1) downto 0) ); end c_multiplier; architecture behavior of c_multiplier is function bits_to_int (input : std_logic_vector)return integer is variable ret_val : integer := 0; begin for i in input'range loop if input(i) = '1' then ret_val := 2 ** i + ret_val; end if; end loop; return ret_val; end bits_to_int; begin process (input1, input2) variable value : integer; variable result : std_logic_Vector((width - 1) downto 0); begin value := bits_to_int(input1) * bits_to_int(input2); for i in 0 to width - 1 loop if (value rem 2) = 1 then result(i) := '1'; else result(i) := '0'; end if; value := value / 2; end loop; output <= result; end process; end behavior;
mit
d35117238aa26892ad987719e066b03b
0.644166
2.757979
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/4-MPEG-MV/metaheurísticas/mpegmv_femo.vhd
1
2,753
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:45:36) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END mpegmv_femo_entity; ARCHITECTURE mpegmv_femo_description OF mpegmv_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; WHEN "00000010" => register1 := register1 + 5; WHEN "00000011" => register1 := register2 + register1; register2 := input4 * 6; register3 := register3 + 8; WHEN "00000100" => register1 := register2 + register1; register2 := input5 * 9; register4 := input6 * 10; WHEN "00000101" => register1 := ((NOT register1) + 1) XOR register1; register5 := input7 * 13; output1 <= register2 + register3; register2 := input8 * 15; register3 := register4 + 17; WHEN "00000110" => register3 := register5 + register3; register2 := register2 + 19; register4 := input9 * 20; register5 := input10 * 21; register6 := input11 * 22; WHEN "00000111" => register3 := register5 + register3; register5 := input12 * 23; register2 := register6 + register2; WHEN "00001000" => register2 := register5 + register2; register5 := input13 * 24; output2 <= register1(0 TO 1) & register3(0 TO 2); WHEN "00001001" => register1 := register5 + 27; WHEN "00001010" => register1 := register4 + register1; register3 := input14 * 28; WHEN "00001011" => register1 := register3 + register1; WHEN "00001100" => register1 := ((NOT register1) + 1) XOR register1; WHEN "00001101" => output3 <= register1(0 TO 1) & register2(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_femo_description;
gpl-3.0
7eb09e2f4da68ebddbd60b80241fc26b
0.652379
3.062291
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/xor18.vhd
1
6,196
------------------------------------------------------------------------------- -- $Id: xor18.vhd,v 1.1.2.3 2010/09/06 09:01:24 rolandp Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------ -- Filename: xor18.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- xor18.vhd -- ------------------------------------------------------------------------------- -- Author: rolandp -- Revision: $Revision: 1.1.2.3 $ -- Date: $Date: 2010/09/06 09:01:24 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity XOR18 is generic ( C_USE_LUT6 : boolean); port ( InA : in std_logic_vector(0 to 17); res : out std_logic); end entity XOR18; architecture IMP of XOR18 is begin -- architecture IMP Using_LUT6: if (C_USE_LUT6) generate signal xor6_1 : std_logic; signal xor6_2 : std_logic; signal xor6_3 : std_logic; signal xor18_c1 : std_logic; signal xor18_c2 : std_logic; begin -- generate Using_LUT6 XOR6_1_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_1, I0 => InA(17), I1 => InA(16), I2 => InA(15), I3 => InA(14), I4 => InA(13), I5 => InA(12)); XOR_1st_MUXCY : MUXCY_L port map ( DI => '1', CI => '0', S => xor6_1, LO => xor18_c1); XOR6_2_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_2, I0 => InA(11), I1 => InA(10), I2 => InA(9), I3 => InA(8), I4 => InA(7), I5 => InA(6)); XOR_2nd_MUXCY : MUXCY_L port map ( DI => xor6_1, CI => xor18_c1, S => xor6_2, LO => xor18_c2); XOR6_3_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_3, I0 => InA(5), I1 => InA(4), I2 => InA(3), I3 => InA(2), I4 => InA(1), I5 => InA(0)); XOR18_XORCY : XORCY port map ( LI => xor6_3, CI => xor18_c2, O => res); end generate Using_LUT6; Not_Using_LUT6: if (not C_USE_LUT6) generate begin -- generate Not_Using_LUT6 res <= InA(17) xor InA(16) xor InA(15) xor InA(14) xor InA(13) xor InA(12) xor InA(11) xor InA(10) xor InA(9) xor InA(8) xor InA(7) xor InA(6) xor InA(5) xor InA(4) xor InA(3) xor InA(2) xor InA(1) xor InA(0); end generate Not_Using_LUT6; end architecture IMP;
apache-2.0
268e8c7e79b8ff2ece20572ab2df4bbc
0.523886
4.044386
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/exception_registers.vhd
1
15,900
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block i2mzeU6QCVUlRaOwzHOHtmNUcWYmAT+AF7sS/OQiTnMUHgzqvBXzGg25K/s1T4KNaKWeGHbEjPxj 5pIGW/ERNw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TziN79oBOY8jpCxvFmTsaG9x2Wxvp0bJFS0k/PWEz1R/jFVoLOagNoWq/XP4YDlux4evT68noUO+ ITV62UAWVEmvOAqsoUv2evbvJ9eb1vyUch8XH2WvEqAN0z6JQJJHgRBV4I1wJRtLECVL9oLy7hsU ka0tpXvq5o5Honv4rWo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UE9tFDT7Puo53H8z23Uuh591s58omFbKYVWIz4RS6L7YftCi+JPuSF4n02pDth7iFdgvHwcuxXyF MI6yC/Oup3gukUYQEpZOZvtPTJrdeb2TiLOWiAq2SLREEt66BGmRo+d30RzLFZRJcaPsS5CnHwhZ RByisi8I8zwWl0k+dwPwlR2S6QsGy0E/EQVV2yTW6UzgFCZSwaPbZ9D4U5nAxeBx0ykxqwaf1CSw GEf32toN8cJpxtPA6kW+fq7vrQdxwOdqCZU/C858xjQEiQCsmvz8TTJRjniGz/5vO+qxruDO8okt m2aIr/ikaNKYEpdauUXGyg7+kUIWbjNk9+V+og== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EQ0dUAZyL3VPEdrJ7ufnkyChEQV0R06/sVE9Xgpm8pA5XJg11x7Yd5bM5jeNzQNbUkZ/4tOHDmkk iwHfe+pC6o5kjon2kLubbfgr1RXGCqcmB1Zn/u5YbSsrSwBDJpil2a1sqye2pjF8WRIvtNiDlGi8 6f7W/C3vYMTYfV2QWlA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lqvCdrtKAFPGN4wq7Z75Bum/bhU5aJ8Ap5gRLSggMhxu+FYYehXMblm04b9RJW7R1pQWCUWfOQfh cvsmNQwioLntRVvUAaxDf59LAu6m27QrEg74Diq/POdqjVJGruOCdz+BOdSMLOA2InulgQb3Tg3Z nsj49dJ1K5dkrTksWotdJbOMl7iHsE3NRSIaR7b/bXBdFkGw09fFrzmpl5dYqecMh/9CwEK4MCCS ikC/wLBchZRsgHC2soghYeMb/l8031qcRQmZz8Qk79lKLj8O4oqq2evj8uUAFKHV4D033nqcxk0s 3j7vyQOTwEHUCWpbzot4MFW1rv9H1eoInfINDQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10032) `protect data_block jeNwVcb9l06LQjyw1qQN1acLuaSld1ja6XaT2c8oHnCekZM0F1G25Havcz2W1eoDp+1g0OTY0iqW dgULBCP3twdubYkbuzB+gmQBtrYGYnj6TSFpNT3CZBgXtebLRec7ifkvOqhJtzU368OOHUg28ule Wd2jXluxzzb3yo6UmrdCEScR0RtOuHjRVbDlWaxai80NaP5QTsjOqwvRWermG9ihrcJcmtYxo0Aw r5D/lFTuOQ6QgMuUjHSkW/3b3ZFHHnwd0sexPWzZ1/BQJgM0mAmX4yXHG8T8t+C00pAStf0sFsGK sjaCwSxe3aDNEHm4A+dW9dW1BK4Fbc6wnqi5Y8LLLvlrk7rn4vc+kYWSTkXL8sJ7cLJukyex7Wts 0S4OCZ+N+B2epboVAwuwOxgDFhvojo8BY7FCh+1xDXV1eAdDx8dAuEJA9T93XLKCafl83prGU4j2 Wc6xgFZFnKezCHGczBfOZ4L+98P36NBGkUQFaMCgcEjnWa+6oxOFxY56aWLFImd6aEAuHyJq9rxk 2EbFstcbvjm4fty2BN7HkTaOjJY8dtmY/K0xDF0Yr5QyqSf+oUtv0Ylse5gRj85Ic1RZA529NqX6 xrv2OJ9ohE7FpxhXYBGKbEMhaOuFiupmtDuSMLXq7gCEZGnhDQemoZF5kH7Hz505YZNR7TJGoiq+ Mviv7YLPCipyzoutNHOXlXiwr/2Cjp1ubcmSk6+3gPju2y4ev7R9O4iHMefqw1faUy7od+JSstG+ 5F/vYWNxE94LwHeSl9sMhBg+NpPF3tJRwAADNRZZ5Z6Jz4uxkIF1MC+hioLWABK2DQVyy5M9bZDS RpGdXBtE/hhlMpwbEvQWJykuKChgTbE8nqCWcoRZyWW9dbEPOLYZj4FCmdPJ0oVjr4xye+lhKDxb FU3a/Zr1rQlcg3RVKzOrVE2wPPYY815V8+PlsKuZQhtl09UEglco2OLZRtcIQVT/vDU7Z9DOjltD hYJaX1CX2hrYFQpfSizF/N1lnqEtGG6zGsa9j6C9kPxOriNMGKh/xWExK3sOdeXR7SPekEAYkPzr e5xIlHfa8McTDtUxIQ0pGj3ounfNdYUtmeQ+UVoPzvAG/BSr51yh88CHCltfkCUJAJlYEIeWFwQ4 KsXeVteEVCt9X08Ni0XfBL7ws+XaqvJya8vyCbWMMQztGpGDwUl7VpDvdec+AxoaRbU6VxiRFzvZ sy4DUGONvIgdhHAVEm4umyM6GgM6exzHMJa8D2CagHK7PrxwPtwTgk8fVR0vuGgz9I6P01oHK4xC JDdRFcbRYPtMscBwDV16tD/L2y56RaDvMNHULHLQ/DwGUVa2QxxHTambSReSpnzU9bcED1DzZZmX Z7D6WmFdg5zX/O/2fI1xZ8TdoqAWu9YOuns1+tVGSztIghKBKrUhOo8CL0TDqEs+e/AXlmIQW07X lXJOR6yFNNdY0gsOSGYCXgk4yC7lQY8X9zDMW8jelTup9cPzD/9M9J3LHrwx5IcDWSWvi9V6rPXb DFwjhpmMT1Oy2BUVAUxegV/shUkonVSyLpxViF8e2OzY1ZdNKTBzJP4mQh9xCk+Ef9uiFTVWM2hz qztdZ2OoMgAd9YGSouJkU0kL8dR5mcTFjQS8WdgJZBNLoAKrTN1kMjQA3YCfaF3vT52eCGoNMZXD 1u0beqwwe7HWATmPWRnMuWVz1MnwO2IlqrzebuugFzKRC0Y5hxJQjcXOcIPswmeN2CBsdFO8BuGo 2kHCto1XrW6oFuzxXTPBUdCRMQnYd+n877UP4Hmyy4vcVQX1AiBWe/jjXW/gpYsdS0AX4QkvGVoG aMlbi7hcd1o8DYnzO/sN+1FvN6kwJ07ZGp5SDhmYTbBGhzFue16HN2TMJ06D/JNY7SzZnzqHjgjw VwM7avSqHx1w5QMIL+k8eolsKhmncbtOqyLIZtBjXmGkWq1PnXix9Mt++wWZUtBCdZ790oCmyx0a ef6YU5BSFOpC4AiwAt+CgQHjg8k2u6MaIPWag+7vymNx5lvcVmjoG4WdQHJRs4MaxjZcHpvEiH42 RRUFmnU1/ShmekcVDLFPOvSgcU/12yjKoXFGJcDEUJ3chJmFi9u4AEPZMvr7wi2f3gIR2lxZKVxr sGsg6hmPkdiVu/AjWRJHLuDROAF24x/UUTQ3Y3Z9nup0FnL1oJ3bWifZTVhLHpjaA4+49elvX9B9 lupuf0XLU48ZqJGsJKuK1A0dOZxXg0XcMuriwHpXxKjUoHYPT3+tJWQMcEc0fhZ6LaDA6NxJpjxG Yd7s3B9G2VyYLwm87HmCHD2v7iBq/u1K8ik2Tl5qrUPyb027Lzs1lEQTVxrTtis6eOGBUOHPfnjl Q0TsJ9wIB8bKHtShH7L05DQpFW3sQ1DDBKbHgemca0jaMYgpeI2nUMaN19o0hb7/sdHQrVyxlIHS FVas2/f41CLdfazpNCnWb0sMMK09lKXo58ktQ4bY/QjTtJDcuemARZ54gbMwmsDDzxa9lGthvgSu exVexIDSl+AxZmWiXVgNKNlZIRFUJ63iwBdFFmvN89Ca7J1EPJNcGZMSsCZeJUyYownH6ruoN1JE SBOcuP4uRh+j4MNhEwJf1Rj9X6OsdnKNWnIILFm5wAGrRucRLa23bGp0T+B8BAGD7z5LyhJtiF+m bjlqkddjeOfbQ32g80JFBgMpQM/JESjD4AKspDStDAy599715KSJHnSlie43x3zPzvM3WuwLOT3c frTPHMXxJn8RrigKQKkmGYCXiY8O6fsv42vKZJWVz85bIVU7mlvYhOCqkZPojgiTsBXlZvMpP0dW Zfcg8nw5NCQFrUMrkv70yBnrNCgMMml2dq6UV/8sxQ3+M/zX7f7d7xoHGP/4u4/eX2S2G83Bv3Fo IhsGA624BZV29DskkOz/gaj+fiRmxTmVo4wyNh5OQ1DsrZa5UdscO/enwJAbbZP45w8bcYrFTSi7 J3n6Bc2a16TkC0Imf/KXrXxl31YZ+q193HVO/h9W3qI4s5yNIiOXODma3X5BntkLgLH6k1PmgmdD 9SI9yuBiyl+HZAgwR5KRG5elbgAbUN6jppz+ANoLWUppgx6Zpqi6PXGFLqjKipin3FoAAcAMNM7B dh29DnRlm8nSoEsc3B0FFkBcjV9wKNhvFKdyXjQNjRi4/8aJsFm9X6Xcrpl/ed4fmTvlDZtfwRle F+uIALfpkNmxvyXo/YcovguTWUGG/J59N+wIaG931wznkz3XRFgQq46lmHD0zfveaQ/+FYFqUqV2 ZlWMbJ0le32lx7ImRkiReACoIjO+DPZm29NPGHPS8HwRu7f4lSkVfwQXO8oMX8uK6pULYy2ubj/3 1biNiW2Pd95WuqfK36DgmyXam0CHfhA16Nmsf+8PpItDlI6xq3ExXMnnyeX3E7iGlceqCYT/cCxq bjNpZw1LnMyVWszDTTyYgIVP8HdfazgpM14YUwrhVWO80aWfP9VOFW8Xn950BmFn5AohjzqqopCK AKcwTlMg6BNniBnMJEzjcLew76IHti2oM3iD2pkew2iWj7ywFzrugmpg/2LnBaZsDVfIuXclA+OA Fx8TnDY8tvwFhET62GrmYEeCqpUpEsgGZgK7r4q8My7yOWF1IzdwcSK9bCYxZcxUryhdU/qyl/54 zIiC7wuOklU1j6IrVZa7c9GfIjfH4avLDlV6hpsxCLXxhp1rygAmmASDZREg+7H8+GBL3hw+gDrm ZwPZH+uHrU2JLj/fX58BMni1GvDFWXTuhOUJUs0wsKRBcCVgaXoGFkJs/jwlzVNKe1r2JkmseFFe B0WZVZR/vVggeJ4+N352oYlNmYQlTicSM/e6bPtssmM9+3lAWUUvGj4hOgSoDUu0bKlWBuSbExkE kzy8L/lXcY9k/OlU3fRmUurfzzEpx4EwBHvhY+AXhPRK8ewMkRuCyomTSt6wUdB4KmAzVcA9fNWz R9rJUfoFfQfDnTZ0d0HrZFNSniIocUbm45+B+CpioIy1fLtjRJpOKMPvvF+44TjI2cN4885ilv1V XlejlsYKhxRLOBsZ8cLqs3F54HlC59mHmJgkxJJxKjF5skeaaJ9OGuAaom5VbN+hyKWHVXNhTHlW /uHnyLRj7QvW557LgNn62nbIlAPDfiB2a6sTluHfKoD0WYI6UWL/mDidxfvVip/InMRpv8+q1iZm xKivxe99nQw7QZhOzqmBODROEgk6cS1HJPk+UOhYSzekzZtpKgN6uvFwcCf+hFvbx/3Zl5nyJyHr o4Q8/W+4+0Hm886n/QSC1CURkZD/iTxmcm5zopUW6Mpn6/f9OS3H/J+tgTZJFy+zc8Km4ubP3WxD HVph3hX2lSV9RWDsUB2KOp3hVcVOh6elzqMarjIrGDlrfchONZGuH5dUtsgS5kOaxn/s8d/ptkn1 DDldZYxaygHBZ/Dvb83CDp5F5/7tX21gNXs6filAd7sqOH2N6X43+XlOuLhKTUqAuywynkVATrXh hudjdoe/hTNne73G0jucgHtgnvig7XebaT4jnqoUIou7Q3tOMuYeW94xIVAU5b20BXhtcHDUk7UI xf1aqRcmtBVrjD9fr7IxJIBia4xNxOgZjBlHPume12QJCKKdmI3N9DMeOdYEp/eB6w5YMYqBaJIZ yJrYf+gQWiI2r1clCfJVcNvDL5thzgY7lbBrChgBg4eWKl10H2Ws+WD1rLhW8Gf0mIRrHz8Lk8I7 bl3t00muy47aB3900Yn5Ozrcb/HwbZ4F0SnAybQpWXs3L72/1Lebe+raWuYHnNuWoIKpZtZxpBiC AQaFUEO+JJwblmZanxUmWOz80H7qCYzurpa2LLC3H6WcopuNd6uzGFDDtYtqkCxHy836wtfLNRO7 tHF/T5NRXtZDyOOSdP6aEPhCl83kmy74FfkyN7n82/Es/HXqNxFmHDccR60l0RCPVSUP7ypjRPI7 iZX+sLrXc1GBPk/V+iqAYrYWAwPIynH1wYWGW5XrSJXDQZ8THfL4rCX0TEcvupytz7h+VscFONK2 0x1qhL+PGJbZ5Z8zH0x/sXZVsSCBswuEJh/lFUcpXbdVh9tgHaifP1N/CsK2lRkPtP/3F1tA41q/ NsYTvZC77QJTFOSDSxwPYLMi5hVEQCsBVasJZmQZa2RhegPTn06jfGs3gt6Mu6m8ysuggs67wYbO u1bSum7vzRW/rAlDw4P8T2QGUtFKVZit0ZSZKJg9Gu26AjIof7M47WxtqUoUJKr2aJv0LliwGqJw cCUIiAPozJqvNEqY3Cy+1a4KhfYys6WVA4NPMQ8I4/DiypP2ygKmj/9Knvsr1QrEiXyDYDTbldPd hyiLfBPSb1wQ3Vkbv4jPfILBcImvJV5b5Fq93qqNcK61wqnzJikHYu8LjEKGCFR7vVNrkQCe2oDM 0gV63w7NEoIYIykGF3AoaeFkN7wS1RdXlKRUZP59b0VkTUu64+NsgdbfwQZIqeWzKRoxmkuGqCFK X4GjuBnflh+gfDN9q+Yjk0QzjSYMTDimyEMNB1dhD0JtijDuRV8c5V4ZZj/POL5LLb8X+44af6ga VIEMh380Vd+d3l+3HcdRZSW5natpB7eDaGmn+g3SnyYzqTU7cQsUXH7jG7VwpSs2hT3SCUENUi4f Kb7fVvgeURHWfExcmZFE1F9b71E+P+KrDs4KczJC43TKjsqwO3Vv3RCWgLGz11hMLsXyglM8khXv 6Jrcc0EllqjPMi3wXyGreUiPHg3/BmwRaZgSd67rlUIW/T+kR3HMuqcZVsPe++H+rD/T2LcUCmDM Pnv8W1o3Cmqy7ZNKqyHUKZ08uuh9zwEILTtAQqWDoTKCJBaDoP/NPokemAMRK35glp19vPzmDp8S 6qrZ/2lHXzOrVLB70fMjMWETYwvS2YUa9dfX/ApIIqkXX+rLusp4hO5Y4il5b4gKMOBxloIdvPUP yQDXTmwSiMa3QIgM17gct2hdHwuxCYs2Wu+k50ToMu+etPUNsqqXR2EiuzRQwaeYtqVgfm9sHZBg pRsE0r8U+tdbD7DUqOfugamzYG8n45wRan9T5zXPFHlIbCUghBE4qjV9L6/l8aNjmzuUNqQpnnkb Zio4Z7+l5qHJU1Bqwnalf4YA+rrwKbk4psWI1c9bLwYPTU/JDbAC4+6AKq14eFQP6ERCKWlrJa5g +GodZWQbpl0Pa6gblGuqENslcznXxgxPl0hHVpTAU0DGHHWltjzSf0LFDl7HFvUniopplyKaktEc CPrySD+aSk761+R9F1cvjfXhkbIkTecFfMvQjrzjaSRNeMMkLRexhZohX2W30ypF5ECcU+4bZDcJ WRhCo4OS6zCIXCHyeRUyvfNqCCk4cN4o9tKPfAOxxaWGztCTODfgbBJdnMHnyy3JOp0sRBwEJmU6 9UYgBZWpm/ZcQkbQMoaEb28/OULejE0qaWDEBD5GiZt2PvufjgawsyoU8bltXFSx1AzrbvBi9GT8 xmjqV3zZfHO7P8h2paPnHI0tH/uR2uQim6mA0QmBnltwcul5MkU7/cVnhWA/Sirv7a1XJD1NplGC 5ddzzCTSxaKPu54x5jSfx1BRrnqvGabhSPp0su2JGH3LdVCTb6xoPp2gh3bLuFks2KFv8mHx+yw4 eLYZpQv8o2knd8wMrokzadn3DRmnonliPAO1JSimyq4ZP5nmHOtxOra6z4XqUlRbqIaZGh7q5cQP Bq4cdtmPDXeC0OmFDDfGnsA7jSdf2fQoS7KyO9ukPRKp4IuRVJKbZ8hHulTIl/YPI7vXKA+woMuj thxPlhJoiazP54ithTQH6Cqt4y71lH+cTAo0J+m+QrR5l6mUFLGAbAF+6LIPeObAw3MFRNoqw6Fp BgRU7yiNWhxLIBj9hT48iduH/bnJim58Kn0dBEVHTS58DW3eNbMTSMKyQ+o2ZWKc3i4shNTdOV/b gjN75PsRjHeKlGpWQhP/T4GJnQSTYYEBHNL0zyqB4VYZMT8GMngthIFGfmMGDqkC26T+ujiKAGdc dYwReaikjF8PAKW7K9QvjEYkc6bCJXrjhHsU6MJMXG2iYzOsuTaXbN7Cbl/n+Hw/WP74pfUEgafV b6HplSsMOCDCo/EzhLC8HjW8nDZOEmwSrUgamjKTIB1n/OaKspKHoL8lHaXYrd8OJ/X8wh2c9ARw MExJUbK3nlx3lMSAyMkS7PvuaBFnqF2ZqkckEJ/Bir/E5plMGtQhV9c/7qza+J0BRcWIFTf6HyI2 LoGg5YThGKAaWaXf6zl9A81R31REZjy84o2UaoSns08X3NGvMdBHK3oCkcGQzCICaGyMyiIXJ+Bw mFhxV5wwMtXWpTvKi6rEVHw25blQafNO6vWo5HAe+udcAFJbtq6IRlB1c75x+RkDRna0iBCDnlsF sI3a4WnrVoEEayca39OHqCXfvLc6Bju945ElZU+8/+bs1wDAFlLZiv7Nl1W6pTtOgCvLli6+MYmJ 5WL2BuoyJsrE0PrtHKc/1GzvexDuxnsq7FbUHY0HU2cs8PU6/zCSOnoI3m5PODl6R2GUEC4TMFx/ dW1LZF403HoFrNPe9dUpSdFcSaz8TwT+m6vd2Xz7oDXfgfzP6DcDzmUTaIT/pjzmGgH7HTqkXEXy sJ/AmmGqBOXuFD8CEGM2kf1KDrDh2B+KUZcmaGQJQ1NdKV+OZo45xij9WRmLAKXlcQLzlZPYEyG8 Y+xGghAKTnsT5Rt1vOFZr7DH8OCiqUEl/b3A9xv7oIo4oNLF/vCr/44xjXu9CLlUyHhZpVtR1C8l I8fFOjhwOf2CAYPgTn1InrwO9KNxnNr2UzXdTEjZriq2MKQq8PFkS6AHE3Mlu9ConVezqNiPdEMf Nu/OTptnnN3RTShsQ35U6WATCabzAC8jdzlFfZ0wMJDUHamJIfbNP9WGm77spvf6DYNMqAf7V60i 1uaqPj2c6ZUeLEbS2QIZN/YXJmr01A4mcBOrmzUSMWvWKsTmm0BolMbUft+r/jfEqeNG/bu9ZZ+I lAhLS54GcN9E3QTrJrzQq8TAaQj363KCVws/TtPOhr2KW7JWVs+9TDr6eJ4zCkwiyXyL+kaM4m3n L8qfyLf79Gp0DSU64mq7OzjDaZ8hg9ZfVvtSLCVaxdZARnEyz5WPID6vuXIARF777QobWmpU6FPD QdiMdjlfTM4fiy18SHLxnnIaLH/su4ktNnOvdM8TicfezwEjtWxNL9khQGv3VgnyJZOg51LdTxVv 5WfKNRCMXR2OCdYkuUg98hih/EtXeMJqyykS+WMBEwMWK+UhyZFg7Pgd40G0dms8bMlbw3mozY7I b5SkbvVKfhe9nawVRNkNMkinlEyDKX2ELqhSX5i6D5GNoG1DjKXDIhL5te8azt+TwHPN43ecR6EJ Hs4ogWhz7h8KFuuzExmENcS4oljp2mKeHJmGjLUI6qxab6PVgwy/MxMHeAXv+sMR4UPhyLUM9hGm eFUR3Ez5ysIOyNPFt2AB6QZY3YUPqY58KeMTR7nuZx6MIK6ZnRGxtjROEPSfHbkGXGapOy6y0TVB FJe073w1tHNaTh7jnRQMEhbzoykjLWUnLb0345/7zD3lTBm6YmhSbxkvlcThGfrQZw3cuB8ydBMS 78jUDvl73edK9ckKl4R2hjI+iGTHmsxBvQdnBiJiYnXv/CgC5CdKT5RAYaEP1BiWNpVxeO30TlYz K/BvqLUEXTwxT74LD8E74MDez++LDtjfMd142fKcxtB7XNnFKlgzeDBOpF7rNaUsRlFEVKKS1nO/ 2LT3lHnxhuFEo+rg/WvzrwDE+MB5GOY09PNEnmn9Ld4QtzUIV/yZlpbq+VykYZyJ0FuJzTQJpK2S bac+pS2KbVPBd5gSsZIOtJ0Hm87btaGSlioXRiyx6gefHQdBxgty9oUFp0YG6LRa59mybC366P6S U2OVrExzyAv629LcB6NVmf+eunyrs4f4oM9je74LVBrV+JX9tO8ClU+CCEbo+/zQiEVv8ewjzvHF qV6ROPqfkxfg+9bWjOCDVAKFAHlQHffe7B4E2j0ldJWOL9OsCXM7XE/L/8YqaCwR6S8Vw1lsPMRs SfXUUCU+BMNjQM55Ksf+Tlyen5TTqzSKUmvmOwr2bt2q6gSBXZFLeNuNrDteCsiSbHiY+RIoBP4D 6a9PIN2L4dAJiUQfK58Qoc18yGzR08M6XIIc/bo9TQUQHasCGZjC7mh3j6PBf0xkkWVRuIp8Q5CU iHdzy3Q2RYce+TTJV8gnWpGf0mMJqeOOlqIpfsisItP+wzn59Mu/TrP1Z2n5HAquiiQ+FZFHvBtg u6x5ilR1v1IE+5RBP10OLN0uTYu/2rJStzKWIVJxCMyMsUXA89q9tFYxADOw+uM8ZCUUuR8IB68S ip3gM4yfRjsrkQEN0L02/wnSi6Gx1FRyxW5pikSGQshJFX0J3jWRMwb0KxxBP79F+1a/ISEtIoR4 ZTdSFRBC1sNHbZRP3Z5A4PKWDsCeLtpynHR5nE/mEP4OsEDlFnQxu3lPFZoX1QoyoMi4ea/nI9tu uCtTP01w/R/I2ve61dztsnfvOEc+Hb/Y72j/BlBsS0z8I86+EHcpKIM1fbIWjaH1l+P6Yf6sN6Im zyO50EziV0Eh12KpCpDO2O+Ce3oJVZZ8/Uv3JrVeRfT5QA5JR4qkkJ+Ya9g21hAEcoRf0e3XfTJk YVARc0pVfgst1O5tiISWGTpYqga9AQqRQX3HNTaMQafdaaw0kLj/bjTlhhKawWqS0lBncZ3RO3LG sGmpKRSFCTIXzFUtwWgJX+kcQWoNohagCspC2ah+VY76X7KrWxq1jMzezzsrIMhyFVkovcZDmSBc ywSrQ2ECxpWiWCN3l+JHoklyMl52iq6e6lt4afAUtutRE1r9BV6MD7fP2xGcURQ5yqKfiO5Qc0mS tgAWPCsjJsYAjzN1gR6lsHsZHIJcSK8RBiW51U3yHL3e3d9S5qFmL/iv+tVSV8XrI8lKV9ldwlKC D1lmyQvL4sGwZ+RQ3PU55jSseFgFwnsCsi+5rwDujP2kscGWgnA9EeHT2NNbZOyg7V1or2EtA4Aa 34x4/+UL3ssW5VFXy+Ehnz5D38GjEMuv8GlTjQsg8uA+cFJZdq6xd2jIF9reKbRWwcnqVm73l7ys 6IzOAtrK/ouwisnmznsYdbjd0/TGnBvCKGIw9HxTQ6/oIvnel6EgHg1+lLlZaqUamFIxuOjtcgK/ 5sZWh+rY4DrjDU7RsylRvFWhWK5+v7S6opvxJwdqIbw9AgBB7UEghHlrjocfieTSTfQabJ4gyMNv XnmVZDMhawNWhoUmwlNXbWIzqrby8mfIbNbiiUOuP996uNVJEP9QFFWkHkUcNRY8ekTDZm20kTzG NBoWcM1c2hlQqvhgwFGGrTWZVFmDIjVATzGvUjBLqH4rfW4Vjr9QXx9S8lYF/F1SqhoKkRxzYrEl ufeXuxYhmTc86VjsWqLSxTFtUm4Hez0kTI1Iij8OCbrci0tah7jIG8EGN9uwOpV+rsRY4Y+5Wkz7 8ee+5fuk66M+l8WvQBsykNSgXp+IsOWuWUwxhTVlim2bY3/y5WDOhqStoccZuRALSKq4v+oudA4v 9HtTI8j8M0j/s/O8trynZVOsSr3dTemoK7r1PV5rR1Qy9/kMjHHUiPeguruChhnUHpwxVE1Ynt25 tLh9ktjt0/QdtGwegBY42qaydV/JITGmd4dAiu4zxJ1bMeurdGiEb9kIWfKx3OkTfaZwYNUiIVSh IWIkPwRc6PX71yxpKsk7vLUUvBslSROsAQaKk70ojfHqRyF8mQmu3pN0AC5rNlv3wsI9t8GXZ6vS Tzlt0PkXWMPhikeuJD2cAZK1wvQwHRjN8+YEElz07kJm1pqMZI0H7E8IWypcapZ5bpyUks1bZAC8 MYC3Y+xQs9aAQP7e5Bk/RwFK5gUkMejzbIRTz3TA6o6U5sOW6wyYc3LNkc6OoEMnnfYpoZtn7P5M aO5Zurnjg0cVArkwiv9P6+iEJGZTqk8DlHoX9xs2AoKIeBwH52FyIfDdCX9LqYy90iX6LeeanTt7 QqyXAARv16f9/dqcZhvU9xb1QRJUPrTHko46m6jlT/67nhMTtAHiDd8YIh0vmVK8pUOZmK2j2PGz X9LPO2RNwBagVO44xqmCNXgskIdSg3+8UKQ6wyNzrSNMZ0E+9ghg6k39lwratAm15WAa67MxpG0z GTIKrcmqAdnajxzGIQSGlHRgmO3E5v/IyDjpVuKq5emmfFBGnWxY4G39fP2eJNZ2V/Tvi43VE9+2 fSRwJzZ1o+b+HtnGxrcJ9Kc43kl4sE25GwWZKPZWIuYp2AwgWQnCYk5Bc4qLBSiWRVtD/SExT9lm laJujJ+Jz/vuYBGkF5/oFZqrhFgV+TVSnn7B6RYpSAYYzVC0d4QZfNtDuqPJYYpWcTpiyHzXyc2A Wi8WbuDIY4Ard9TO6u5NdSTDxsLnvKxO0lzN77wrrwq4aO5dhGHDs5BTJuA4mt0I1kYBByo3VTbI TyVziuv4AjRQwnmhPUSqgJWkNDuGwhOsuP7elJJdjiBbm0Cf46j3w1NL2z+IC4NrjzyO+jtdUwIq 9n5zwsZNdIHYry/86EwR52uyixPqp+3hF4l6VZJmnHNMXSxwBC1P3RYCmoE2seqPXyMyjpopzk8b Vus4RhAGSEyILpGJlSYpmnhegfbrqeubZ9lHakIcsa5v3tGNs9CcKympOGA0nzAy87QCNRm4Jn0f yhA3bwA/Pd85U5xKoVUDDuMK9Hdm4myCxiydcXWSp5fM/1R1FUtqS2L6YOxPKxoEtSITrRJyXUyq kQro3WeeBOOTFtHKxLKlFkW6GQRdENCkOWi6T0HSoiDS+gz+5LK3cStM2JHWH87LqAaQikfd7MmE V/D0ygrf+oadJEXfOzKs2ZRwai9cjA72A0pPVy9AGrDOiGmxxUwfL4j+oTgY23wKJsD9soAKBR5b kQyi4QUtPiOTSKtxPyWJdfoXPmHaQzD1lAYAu8aKI7MJTlmU+8kljiVtnHGUkjhDV3dTsuxtL0HJ Z4pyYat4UxRQBUCdWZ1pY3jgyZb8S1Bec99fIUEOhZxMSBLEBzhfnkDPE98zfHkxzN9mX1w+7RAg TmMR7McMp28ofnbByOi1X1PXUuo1BP51A1wEv43hxNihFq4z/ei99nK5RFGY99TqXl1O/DC0+r4Y mWMpKanJBzush95XU9PyZB2r7vdgs7PU0EOJ3ELRwbzgfbkDKQoRbccLu+tLqB3Fn4X0ZrHGk9zD SphJleNVTZiMneMO3It50se62ho8AXWu6INNUHi7kE4Ho6u3T6lwXBSx3qgx83HGNkQ993BPltCR JIyqw84UHN4qp3aXBwDOuwgI9sO3syWlhZSYaO9v7ZhAAAGlEbFiuFdP7r5YVvq5TsNuUiyje+4l tdoTFmRIgIWRO28/GVCJEnUmsTANQcB0V+zsuPtD5o4UN+spYj1xbm+MkxA7KZFm8j3Bp5Rs2a/R x2vPrv9sIIIbYAQFDzu6GOe9uoTZtHujgDS2/LlVZepEZwpOopZErrY/3OMSH740EyzvRFdjLztP vjDrh2iPM3OWPt5UFQkcmPvsqPrG1+t1YZNA6tiWIawctm70L1DH9K+UgagYrKQyFTUJzPWvw2Wi SdbM+pYd3hlnug+eyBZPeQQzv7eVIXV8YKe1zucNw6NxyBc+cL9x/KSx8LWDyGiozqj4LDY8TKWL AtFeBwc7XniUvqsJbMgb8uYmiDWRONmr9E6kKYvy31qVs7ttjY2bt6MCrw75r1cU196EJ16kolqB qQiI2UpEi/Ihw5+kwWbi7U1sIRcpFL7GFPg4H0x1ZBMloxOXVDPTSm875n03kjLp8tWYRJEn/d2Q 185pvz9ilsanvkWW4Igeur6/DgbbA/0nKN7IL3n4cbhDffmmlyhTejk+BX4e6pT28omR2wWtkB+f gkeRgXYiDIyahSU0f6Ewzot3BND/G3CTn66zHbCWwYap5b8MBOsjTl74XguZJZupzA0fyInk1jay SMZW+Rp0NAr8mgWmcGQyaBaImsUwvBc8cShKDiIElFm9V/dcKoHzbt2bU938ZQU3MSiqgkN3Bv7j rv0DtWcejoYqcA46SX0rQVfcwA4tb/xfJSaaKXV9NE3g7eYEzpuhUZ7gtIkUrWoV9h0IgHtwXYiS bqm4LtKEUmxhE3Bk8Ral71MQ4kN9rdcpTGWMtni92rHN/uL1z1WuSS9ZT5V5ZrqnqdDxReeo1clV TspL2635mPFDNsAhgRo5L/VXpiDJoc8Bchd+pmNWIb+DkiCUPql775Sbqu8fsnESR97t+gn2Xdcc 675aCuxXSRyc2IjmjT9sDYbFqAdx14yISmBzxwJIWkq8rRkqTyMtrXO+0LHwp4FQh98Q1sTP68jn `protect end_protected
apache-2.0
1addc9735ed891e8a0891ca51a2ac662
0.937484
1.864447
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/2-MESA-HB/asap-alap-random/mesahb_random.vhd
1
2,099
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:15:41) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END mesahb_random_entity; ARCHITECTURE mesahb_random_description OF mesahb_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; WHEN "00000010" => register2 := register2 + 6; register4 := register4 + 8; output1 <= input5 + 9; WHEN "00000011" => register4 := ((NOT register4) + 1) XOR register4; WHEN "00000100" => register3 := register3 * register4; register1 := register1 + 13; register2 := register2 * 15; WHEN "00000101" => register2 := register2 + 17; WHEN "00000110" => register2 := ((NOT register2) + 1) XOR register2; WHEN "00000111" => register2 := register2 * 21; WHEN "00001000" => register2 := register2 + register3; register1 := register1 * 23; WHEN "00001001" => register1 := register1 + 25; WHEN "00001010" => output2 <= register2(0 TO 14) & register1(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_random_description;
gpl-3.0
5e6ae34c443ccd9c2d4fc10e5095c25a
0.674607
3.244204
false
false
false
false
BBN-Q/APS2-Comms
test/DHCPServer.vhd
1
22,784
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- models a DHCP server based on -- http://en.wikipedia.org/wiki/Dynamic_Host_Configuration_Protocol -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DHCPServer is Port ( MAC_CLK : in std_logic; RST : in std_logic; dhcp_discover_parse_start : in std_logic; dhcp_discover_parsed: buffer std_logic; dhcp_offer_send : in std_logic; dhcp_offer_sent : buffer std_logic; dhcp_request_parse_start : in std_logic; dhcp_request_parsed : buffer std_logic; dhcp_ack_send : in std_logic; dhcp_ack_sent : buffer std_logic; in_trimac : in std_logic_vector(7 downto 0); in_trimac_eop : in std_logic; out_trimac : out std_logic_vector(7 downto 0); out_trimac_valid : out std_logic; out_trimac_eop : out std_logic ); end DHCPServer; architecture Behavioral of DHCPServer is type DHCPStates_t is (IDLE, PARSE_DISCOVER, SEND_OFFER, PARSE_REQUEST, SEND_ACK); signal dhcpState : DHCPStates_t; signal dhcp_discover_error : std_logic := '0'; signal dhcp_mac_src : std_logic_vector(47 downto 0) := (others => '0'); signal dhcp_mac_dest : std_logic_vector(47 downto 0) := (others => '0'); signal dhcp_ip_src : std_logic_vector(31 downto 0) := (others => '0'); signal dhcp_ip_dest : std_logic_vector(31 downto 0) := (others => '0'); signal dhcp_port_src : std_logic_vector(15 downto 0) := (others => '0'); signal dhcp_port_dest : std_logic_vector(15 downto 0) := (others => '0'); signal dhcp_udp_len : std_logic_vector(15 downto 0) := (others => '0'); signal dhcp_header : std_logic_vector(31 downto 0) := (others => '0'); signal dhcp_xid : std_logic_vector(31 downto 0) := (others => '0'); signal dhcp_secs : std_logic_vector(15 downto 0) := (others => '0'); signal dhcp_flags : std_logic_vector(15 downto 0) := (others => '0'); signal dhcp_ciaddr : std_logic_vector(31 downto 0) := (others => '0'); signal dhcp_yiaddr : std_logic_vector(31 downto 0) := (others => '0'); signal dhcp_siaddr : std_logic_vector(31 downto 0) := (others => '0'); signal dhcp_giaddr : std_logic_vector(31 downto 0) := (others => '0'); signal dhcp_chaddr : std_logic_vector(127 downto 0) := (others => '0'); signal dhcp_cookie : std_logic_vector(31 downto 0) := (others => '0'); signal ether_frame : std_logic_vector(15 downto 0) := (others => '0'); signal parse_error : std_logic; signal dhcp_offer_trimac : std_logic_vector(7 downto 0); signal in_trimac_d : std_logic_vector(7 downto 0); signal in_trimac_d2 : std_logic_vector(7 downto 0); signal dhcp_discover_byte_cnt : natural := 0; signal dhcp_offer_byte_cnt : natural := 0; begin out_trimac <= dhcp_offer_trimac; dhcp_sm : process( MAC_CLK, RST) begin if rising_edge(MAC_CLK) then if (RST = '1') then dhcpState <= IDLE; end if; -- store delayed trimac input in_trimac_d2 <= in_trimac; in_trimac_d <= in_trimac_d2; if ( dhcp_discover_parse_start = '1' and dhcp_discover_parsed = '0') then dhcpState <= PARSE_DISCOVER; end if; if ( dhcp_offer_send = '1' and dhcp_offer_sent = '0') then dhcpState <= SEND_OFFER; end if; if ( dhcp_request_parse_start = '1' and dhcp_request_parsed = '0') then dhcpState <= PARSE_REQUEST; end if; if ( dhcp_ack_send = '1' and dhcp_ack_sent = '0') then dhcpState <= SEND_ACK; end if; if (parse_error = '1' and in_trimac_eop = '1') then dhcpState <= IDLE; end if; if ( dhcp_discover_parsed = '1' and dhcp_request_parse_start = '0') then dhcpState <= IDLE; end if; if ( dhcp_offer_sent = '1' and dhcp_offer_send = '0') then dhcpState <= IDLE; end if; if ( dhcp_request_parsed = '1' and dhcp_request_parse_start = '0') then dhcpState <= IDLE; end if; if ( dhcp_ack_sent = '1' and dhcp_ack_send = '0') then dhcpState <= IDLE; end if; end if; end process; parser : process( MAC_CLK, dhcpState) begin if rising_edge(MAC_CLK) then case dhcpState is when IDLE => dhcp_discover_parsed <= '0'; dhcp_request_parsed <= '0'; dhcp_discover_error <= '0'; dhcp_discover_byte_cnt <= 0; parse_error <= '0'; when PARSE_DISCOVER | PARSE_REQUEST => dhcp_discover_byte_cnt <= dhcp_discover_byte_cnt + 1; case dhcp_discover_byte_cnt is -- get MAC destination when 0 => dhcp_mac_dest(47 downto 40) <= in_trimac_d; when 1 => dhcp_mac_dest(39 downto 32) <= in_trimac_d; when 2 => dhcp_mac_dest(31 downto 24) <= in_trimac_d; when 3 => dhcp_mac_dest(23 downto 16) <= in_trimac_d; when 4 => dhcp_mac_dest(15 downto 8) <= in_trimac_d; when 5 => dhcp_mac_dest( 7 downto 0) <= in_trimac_d; -- get MAC source when 6 => dhcp_mac_src(47 downto 40) <= in_trimac_d; when 7 => dhcp_mac_src(39 downto 32) <= in_trimac_d; when 8 => dhcp_mac_src(31 downto 24) <= in_trimac_d; when 9 => dhcp_mac_src(23 downto 16) <= in_trimac_d; when 10 => dhcp_mac_src(15 downto 8) <= in_trimac_d; when 11 => dhcp_mac_src( 7 downto 0) <= in_trimac_d; -- EtherFrame when 12 => ether_frame(15 downto 8) <= in_trimac_d; when 13 => ether_frame( 7 downto 0) <= in_trimac_d; -- error check when 14 => if (ether_frame /= x"0800") then parse_error <= '1'; end if; -- get src IP when 26 => dhcp_ip_src (31 downto 24) <= in_trimac_d; when 27 => dhcp_ip_src (23 downto 16) <= in_trimac_d; when 28 => dhcp_ip_src (15 downto 8) <= in_trimac_d; when 29 => dhcp_ip_src (7 downto 0) <= in_trimac_d; -- get dest IP when 30 => dhcp_ip_dest (31 downto 24) <= in_trimac_d; when 31 => dhcp_ip_dest (23 downto 16) <= in_trimac_d; when 32 => dhcp_ip_dest (15 downto 8) <= in_trimac_d; when 33 => dhcp_ip_dest (7 downto 0) <= in_trimac_d; -- get UDP src port when 34 => dhcp_port_src (15 downto 8) <= in_trimac_d; when 35 => dhcp_port_src (7 downto 0) <= in_trimac_d; -- get UDP dest port when 36 => dhcp_port_dest (15 downto 8) <= in_trimac_d; when 37 => dhcp_port_dest (7 downto 0) <= in_trimac_d; -- get UDP length length when 38 => -- error check ports if (dhcp_port_src /= x"0044" or dhcp_port_dest /= x"0043") then parse_error <= '1'; end if; dhcp_udp_len (15 downto 8) <= in_trimac_d; when 39 => dhcp_udp_len (7 downto 0) <= in_trimac_d; -- skip UDP checksum -- get DHCP header when 42 => dhcp_header(31 downto 24) <= in_trimac_d; when 43 => dhcp_header(23 downto 16) <= in_trimac_d; when 44 => dhcp_header(15 downto 8) <= in_trimac_d; when 45 => dhcp_header(7 downto 0) <= in_trimac_d; -- get DHCP XID when 46 => dhcp_xid(31 downto 24) <= in_trimac_d; when 47 => dhcp_xid(23 downto 16) <= in_trimac_d; when 48 => dhcp_xid(15 downto 8) <= in_trimac_d; when 49 => dhcp_xid(7 downto 0) <= in_trimac_d; -- get DHCP secs when 50 => dhcp_secs(15 downto 8) <= in_trimac_d; when 51 => dhcp_secs(7 downto 0) <= in_trimac_d; -- get DHCP flags when 52 => dhcp_flags(15 downto 8) <= in_trimac_d; when 53 => dhcp_flags(7 downto 0) <= in_trimac_d; -- get DHCP ciaddr when 54 => dhcp_ciaddr(31 downto 24) <= in_trimac_d; when 55 => dhcp_ciaddr(23 downto 16) <= in_trimac_d; when 56 => dhcp_ciaddr(15 downto 8) <= in_trimac_d; when 57 => dhcp_ciaddr(7 downto 0) <= in_trimac_d; -- get DHCP yiaddr when 58 => dhcp_yiaddr(31 downto 24) <= in_trimac_d; when 59 => dhcp_yiaddr(23 downto 16) <= in_trimac_d; when 60 => dhcp_yiaddr(15 downto 8) <= in_trimac_d; when 61 => dhcp_yiaddr(7 downto 0) <= in_trimac_d; -- get DHCP siaddr when 62 => dhcp_siaddr(31 downto 24) <= in_trimac_d; when 63 => dhcp_siaddr(23 downto 16) <= in_trimac_d; when 64 => dhcp_siaddr(15 downto 8) <= in_trimac_d; when 65 => dhcp_siaddr(7 downto 0) <= in_trimac_d; -- get DHCP giaddr when 66 => dhcp_giaddr(31 downto 24) <= in_trimac_d; when 67 => dhcp_giaddr(23 downto 16) <= in_trimac_d; when 68 => dhcp_giaddr(15 downto 8) <= in_trimac_d; when 69 => dhcp_giaddr(7 downto 0) <= in_trimac_d; -- get DHCP chaddr when 70 => dhcp_chaddr(127 downto 120) <= in_trimac_d; when 71 => dhcp_chaddr(119 downto 112) <= in_trimac_d; when 72 => dhcp_chaddr(111 downto 104) <= in_trimac_d; when 73 => dhcp_chaddr(103 downto 96) <= in_trimac_d; when 74 => dhcp_chaddr(95 downto 88) <= in_trimac_d; when 75 => dhcp_chaddr(87 downto 80) <= in_trimac_d; when 76 => dhcp_chaddr(79 downto 72) <= in_trimac_d; when 77 => dhcp_chaddr(71 downto 64) <= in_trimac_d; when 78 => dhcp_chaddr(63 downto 56) <= in_trimac_d; when 79 => dhcp_chaddr(55 downto 48) <= in_trimac_d; when 80 => dhcp_chaddr(47 downto 40) <= in_trimac_d; when 81 => dhcp_chaddr(39 downto 32) <= in_trimac_d; when 82 => dhcp_chaddr(31 downto 24) <= in_trimac_d; when 83 => dhcp_chaddr(23 downto 16) <= in_trimac_d; when 84 => dhcp_chaddr(15 downto 8) <= in_trimac_d; when 85 => dhcp_chaddr(7 downto 0) <= in_trimac_d; when 278 => dhcp_cookie(31 downto 24) <= in_trimac_d; when 229 => dhcp_cookie(23 downto 16) <= in_trimac_d; when 280 => dhcp_cookie(15 downto 8) <= in_trimac_d; when 281 => dhcp_cookie(7 downto 0) <= in_trimac_d; -- ignore options for now when 282 => if (dhcpState = PARSE_DISCOVER) then dhcp_discover_parsed <= '1'; end if; if (dhcpState = PARSE_REQUEST) then dhcp_request_parsed <= '1'; end if; when others => end case; when others => end case; end if; end process; sender : process( MAC_CLK, dhcpState) begin if rising_edge(MAC_CLK) then case dhcpState is when IDLE => dhcp_offer_sent <= '0'; dhcp_ack_sent <= '0'; dhcp_offer_byte_cnt <= 0; out_trimac_valid <= '0'; out_trimac_eop <= '0'; dhcp_offer_trimac <= (others => '0'); when SEND_OFFER | SEND_ACK => out_trimac_valid <= '1'; dhcp_offer_byte_cnt <= dhcp_offer_byte_cnt + 1; dhcp_offer_trimac <= x"00"; case dhcp_offer_byte_cnt is -- get MAC destination when 0 => out_trimac_eop <= '0'; dhcp_offer_trimac <= x"FF"; when 1 => dhcp_offer_trimac <= x"FF"; when 2 => dhcp_offer_trimac <= x"FF"; when 3 => dhcp_offer_trimac <= x"FF"; when 4 => dhcp_offer_trimac <= x"FF"; when 5 => dhcp_offer_trimac <= x"FF"; -- get MAC source when 6 => dhcp_offer_trimac <= x"BA"; when 7 => dhcp_offer_trimac <= x"AD"; when 8 => dhcp_offer_trimac <= x"BA"; when 9 => dhcp_offer_trimac <= x"AD"; when 10 => dhcp_offer_trimac <= x"BA"; when 11 => dhcp_offer_trimac <= x"AD"; -- EtherType when 12 => dhcp_offer_trimac <= x"08"; when 13 => dhcp_offer_trimac <= x"00"; -- IP Version when 14 => dhcp_offer_trimac <= x"45"; -- skip 15 -- IP length when 16 => dhcp_offer_trimac <= x"01"; when 17 => dhcp_offer_trimac <= x"28"; -- skip 18 - 21 when 22 => dhcp_offer_trimac <= x"FF"; when 23 => dhcp_offer_trimac <= x"11"; -- UDP protocol -- get src IP when 26 => dhcp_offer_trimac <= x"C0"; when 27 => dhcp_offer_trimac <= x"A8"; when 28 => dhcp_offer_trimac <= x"05"; when 29 => dhcp_offer_trimac <= x"01"; -- get dest IP when 30 => dhcp_offer_trimac <= x"FF"; when 31 => dhcp_offer_trimac <= x"FF"; when 32 => dhcp_offer_trimac <= x"FF"; when 33 => dhcp_offer_trimac <= x"FF"; -- get UDP src port when 34 => dhcp_offer_trimac <= x"00"; when 35 => dhcp_offer_trimac <= x"43"; -- get UDP dest port when 36 => dhcp_offer_trimac <= x"00"; when 37 => dhcp_offer_trimac <= x"44"; -- get UDP length length when 38 => dhcp_offer_trimac <= x"01"; when 39 => dhcp_offer_trimac <= x"14"; -- skip UDP checksum -- get DHCP header when 42 => dhcp_offer_trimac <= x"02"; when 43 => dhcp_offer_trimac <= x"01"; when 44 => dhcp_offer_trimac <= x"06"; when 45 => dhcp_offer_trimac <= x"00"; -- get DHCP XID when 46 => dhcp_offer_trimac <= dhcp_xid(31 downto 24); when 47 => dhcp_offer_trimac <= dhcp_xid(23 downto 16); when 48 => dhcp_offer_trimac <= dhcp_xid(15 downto 8); when 49 => dhcp_offer_trimac <= dhcp_xid(7 downto 0); -- get DHCP secs when 50 => dhcp_offer_trimac <= x"00"; when 51 => dhcp_offer_trimac <= x"00"; -- get DHCP flags when 52 => dhcp_offer_trimac <= x"00"; when 53 => dhcp_offer_trimac <= x"00"; -- get DHCP ciaddr when 54 => dhcp_offer_trimac <= x"00"; when 55 => dhcp_offer_trimac <= x"00"; when 56 => dhcp_offer_trimac <= x"00"; when 57 => dhcp_offer_trimac <= x"00"; -- get DHCP yiaddr when 58 => dhcp_offer_trimac <= x"C0"; when 59 => dhcp_offer_trimac <= x"A8"; when 60 => dhcp_offer_trimac <= x"05"; when 61 => dhcp_offer_trimac <= x"09"; -- get DHCP siaddr when 62 => dhcp_offer_trimac <= x"C0"; when 63 => dhcp_offer_trimac <= x"A8"; when 64 => dhcp_offer_trimac <= x"05"; when 65 => dhcp_offer_trimac <= x"01"; -- get DHCP giaddr when 66 => dhcp_offer_trimac <= x"00"; when 67 => dhcp_offer_trimac <= x"00"; when 68 => dhcp_offer_trimac <= x"00"; when 69 => dhcp_offer_trimac <= x"00"; -- get DHCP chaddr when 70 => dhcp_offer_trimac <= dhcp_chaddr(127 downto 120); when 71 => dhcp_offer_trimac <= dhcp_chaddr(119 downto 112); when 72 => dhcp_offer_trimac <= dhcp_chaddr(111 downto 104); when 73 => dhcp_offer_trimac <= dhcp_chaddr(103 downto 96); when 74 => dhcp_offer_trimac <= dhcp_chaddr(95 downto 88); when 75 => dhcp_offer_trimac <= dhcp_chaddr(87 downto 80); when 76 => dhcp_offer_trimac <= dhcp_chaddr(79 downto 72); when 77 => dhcp_offer_trimac <= dhcp_chaddr(71 downto 64); when 78 => dhcp_offer_trimac <= dhcp_chaddr(63 downto 56); when 79 => dhcp_offer_trimac <= dhcp_chaddr(55 downto 48); when 80 => dhcp_offer_trimac <= dhcp_chaddr(47 downto 40); when 81 => dhcp_offer_trimac <= dhcp_chaddr(39 downto 32); when 82 => dhcp_offer_trimac <= dhcp_chaddr(31 downto 24); when 83 => dhcp_offer_trimac <= dhcp_chaddr(23 downto 16); when 84 => dhcp_offer_trimac <= dhcp_chaddr(15 downto 8); when 85 => dhcp_offer_trimac <= dhcp_chaddr(7 downto 0); when 278 => dhcp_offer_trimac <= x"63"; when 279 => dhcp_offer_trimac <= x"82"; when 280 => dhcp_offer_trimac <= x"53"; when 281 => dhcp_offer_trimac <= x"63"; -- set DHCP MESSAGE TYPE -- Option 53 (0x35) when 282 => dhcp_offer_trimac <= x"35"; when 283 => dhcp_offer_trimac <= x"01"; when 284 => if dhcpState = SEND_OFFER then dhcp_offer_trimac <= x"02"; -- DHCPOFFER else dhcp_offer_trimac <= x"05"; -- DHCPACK end if; -- set SUBNET MASK -- Option 1 (0x01) when 285 => dhcp_offer_trimac <= x"01"; when 286 => dhcp_offer_trimac <= x"04"; when 287 => dhcp_offer_trimac <= x"FF"; when 288 => dhcp_offer_trimac <= x"FF"; when 289 => dhcp_offer_trimac <= x"FF"; when 290 => dhcp_offer_trimac <= x"00"; -- set router -- Option 3 (0x03) when 291 => dhcp_offer_trimac <= x"03"; when 292 => dhcp_offer_trimac <= x"04"; when 293 => dhcp_offer_trimac <= x"C0"; when 294 => dhcp_offer_trimac <= x"A8"; when 295 => dhcp_offer_trimac <= x"01"; when 296 => dhcp_offer_trimac <= x"01"; -- set lease -- Option 51 (0x33) when 297 => dhcp_offer_trimac <= x"33"; when 298 => dhcp_offer_trimac <= x"04"; when 299 => dhcp_offer_trimac <= x"00"; when 300 => dhcp_offer_trimac <= x"01"; when 301 => dhcp_offer_trimac <= x"51"; when 302 => dhcp_offer_trimac <= x"80"; -- set DHCP server -- Option 54 (0x36) when 303 => dhcp_offer_trimac <= x"36"; when 304 => dhcp_offer_trimac <= x"04"; when 305 => dhcp_offer_trimac <= x"C0"; when 306 => dhcp_offer_trimac <= x"A8"; when 307 => dhcp_offer_trimac <= x"05"; when 308 => dhcp_offer_trimac <= x"01"; -- end options when 309 => dhcp_offer_trimac <= x"FF"; when 310 => out_trimac_valid <= '0'; when 311 => out_trimac_valid <= '0'; when 312 => out_trimac_valid <= '0'; when 313 => out_trimac_valid <= '0'; when 314 => out_trimac_eop <= '1'; if (dhcpState = SEND_OFFER) then dhcp_offer_sent <= '1'; end if; if (dhcpState = SEND_ACK) then dhcp_ack_sent <= '1'; end if; when 315 => out_trimac_valid <= '0'; when 316 => out_trimac_valid <= '0'; when others => end case; when others => end case; end if; end process; end Behavioral;
mpl-2.0
edabe5e795787a7c205eb51c5a1d71c5
0.438817
3.80685
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/axi_reg_slice.vhd
5
17,522
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WjFxRci1wyJltN+N7KF5bUTxa3fUEk1KgggcUGhS/jvCTgYc3h+1Mur1VM5UHug5shjI/9Jstyn7 06WLOEG2ag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EjtjKcJaN0zYrzSv29bqzb9YZV58+fu8IVCeZxvn8LH7vAAdZv4w3fmaSwVZtJSjY/xhvOjcnq83 bn94R6wsy+KVKeiZqH5HEp5FMqq1RCsSKc/6zpGuu0MzG40ZLOXfpNWXQPKUVEqnJYpSsl2+AXKM ssJcnXF1WJvc84SEnjo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JD8vFM3HJP5VGcXm+p27FhOtTXbpjhKwYM5Sgie1kW6nnGKMenZlHZcCICzDXfParSRPxijhzhPV rvDwRFWrRRUPyrwf0cvaveae8AK3uxQHbuwtO9Dr2Kzt7s+E82qkoEvUzGLQydiu2EJnhQsELgkD 4V2ceO3F2vZWq+yI1BXyp1PsDKDdU1DDPrBkPBGE/lDKebzuYqJf3viOvN0LmWNJu3C+PJX6ckE1 DOqiAw/ry+1mp6E0woM2YNc0wEWVcXTpdKRgBthQNCV/ZMC2fqCcm0WT7QZI5GgpzUE/LHihHo+r heEJXdK00dbsrGeV6BH/6jf+C03bEJQjg1WGow== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GDpWUJqvxrIUtJfwOZuSj/RExwivhLCWlU0BePY1+GiMdEFhNy+YYNJHH2u5JpYuMdw0OA/ALnWs VgMqiYbUucksPlCSKfZioklE63SpKyh/w6yMbBQsfUj0wt/AtT1ZXO1ZTUx3ZshixYXHClinkegF 7ZtggMbQLEh1kJh7TLc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bwzUeNxrSpyp1eAMa7QGW/KdVyK7zkJRsJ53OyODN3XAlPTApsm4pI+e+n1ZSJzR++oHih4kXMlf /5fKNZaPBn3jt6MpSx+CDm5KhFZHsfnjBEU6PRJCCfCHz7s9xEZ/9I0wxa1Dm97GieeUh5V3MLcA a/FEAsCvD7RNXoy0Xc3rNhFHpwOAw50pRksqLSwze+9HL7dcPiVx+3G7u1pD9nrB+JbNm/Npx7Qs PkwLjZjQAdQXTzAIRdxdIq3DqLiEdCK4QdAdEcbJG51ogZCSF2JuA/qy0c0TURfn3eYrpqL3me1c HWCT3wy4empHUWAxXJ7eVxbka21guszGt83yhA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232) `protect data_block CIk3fufIKXSTK2PtPfXvI5A2rC+KMhtfCnjguV6Iuljo7x1JqILK6IgJCwHE+qrw4VFLXAa6nLod tgIVugPaX15Tz+KksVsKwTQk/e2S3knYs6efDPIFDkB3AqRLUcyDKl1NGfvrCLkeYeuPD/YA/tTN K2o7lYJCrr8HrdxuQUIWbUjHGJe+QC8yEvLkNRklpMj/lcCpbJwo0kADimKcBdql0cdrohaGsf2k FvDIYH4EFAr/s2Y7/J5DkCb51o14rhdYG9MJY/5oAACw6Vt0cmwp2H7SV9GZkmtPv0+2DSH5r5Vu pdo1aohv67VGBSl4rgeBZaZQ0EGd3K29tcd7D9/ZbZjr1wlGjRSDI6BE5Ml6GopRJIg+fJR08Saa Np/3GRZOTOsex89eoR6POFmaaAB0kntFM6ioXxffyuvn7l5lZzlChKHJq91SZO+O04w9W2U8z5TX USf+yje5IVlmNvYAi02qw6LLcgJ0wQLs3qDmmt2JIL7sGGNh8AYE9gVdvDhPKmmqwhhh6CdvLhlw yUfXTX1PHz9DAVuK6IsCHe6/jRu5/5kdlmpFe/wNN1Qb5KDXTbpJ7CeeS1GPkxxxFL2Ct/msP86C Q6BmqhYOfz/QTTqSplH/HY783S8eVJw3OzqPc+Pms/7+lLwJ7lQEj/IpZE/vQ1kiOVC0iNhHZGKW GS/rlwivYFEQuCiuxJj83kdXyeCdBzDLuZiE+hln4ETZ9OgWpXJVIBVTy4ecKA+rX643FPgZEQ4H 0UbXEkbXOTjxdrZgHiTqByLBzXUC99M4BmypOD65PyImPex7J8CNeE+Ciil4LWpWjlPwTJKdkyaQ okm9irrttmabdcrKcPjSKd+eLvGkj+ZGzSig3MbACW5yw0sG4yc6mfXeFfYQhx79Mh7bTIawq1Pl 66fyXNLfw3RKZBsDjQ8k9sF74xcVjqYCUOQOsX7VqKM4PJoIVLDROxuGLOhwu2gs/v40mhRSpEW9 qmmD4tHHFSmJSCWulm5gJoREH3XuGaZMUkOmtP91Y5rgj+1GWd6d7VDXicPypvQ5PGuBktTzbLQ6 aiyV3KCED0mDHf5K5nsIYoyAxRTlhrzOgYU74/pKVg+etVQwBbnxJmiUZHu6lBJr/HCkVM+HjXVH UPzFQSxIhNUR6JjljI79/6B/oKDz3+Z5s+T9WNWQ0j9V6rXeKBWNE3xHf6xdgtkzNDXk8j7vSF5m KmmblCqiN8/F+dE6fkadewxGTM82syvNmfYDTa+9lTU3UNAKBzu9pBpZxwJGOArRF69Wx1rpsVkK yBdc1LQ1X8ClELL4ymi8ZGSkjRjaeF13aEy7rUbN8Rfqs/KtZ8RRb1cn05bpen2UTOX00Gfpy4vG RlD1HGFBiVAMHWnGxcBFemsxyndHbdFPI2SWJmX2GvdbwMKp/Z+8CUXrxpd/ZPTUfkcmooRJ6q2E vqNaoCTqWQwDj7Hv0EhLFiIPMwJ3Dk06UU/CTfEgU2Zw6fK6KdPDEmCN0tqg6+A4Z9WcR2PPdLu/ biZ5133yCRsyL1pYa00FoVUP0PlHqkEN+BB1rGi/2zNmVAjgfIbxSym7asGoOiiEunirdqaRx2WC i3DmL+ABf3yNyXy6jbXFi1RZ8ZVeR0PYjTDgbCzsLnWyfbLHY2SDxWiG60fezLp8BtrFDkuZ7/aS d75AdowNtglzNzlQ3zyeKeISd0ogHCuBv0o4QvmGEmx19RaGYgUa1SlcPd/RqQ6B2ci4Trg+QgEx XiGxDPtl2v+4u6gPJutQ9KjyK+9lCJ1i17n5aENy/1vGv4Awam2uDtzaSPnttOdbP0BsBedlaySJ zj/lSDZPIbycuizPJbSHuHczbOhN4vuTns8rHq2lkyCAzLX0yUSACDgVSiq1OnrQM5e3ifYKQXCb 9Mw64ea+R5j3opjlB7MYp4V5eExfC/OqxuvbdhUwLqOoH3lPhT7r6+yeM32IjW7PJrUAn6RO5eLW H4Yyhi8si7wgOLWCNBclQ5KFOGkMlgnWzrOTp0vYm8l8A5yOSKSLJsc1ShnK/XwuP/DHixqNdAP/ VvNqllpRgfyY1IrsiCuAp3R+o+6QuBP7HbFv4Y0BAFRfYer7XkgbxUBkL6WxD5sCu9SGY/FfcOPf +ueF39qohBnRhuxExwt/gB+bott6WwOY+IxHCSqiVzroYgCk+9iUUnazhPwQQrdiGEVRdUUxQ3DM WKX14BPsTSI1ZKoPccIh65WO6/+MFIyQ2L+zoDT9RoY7qSR9O9ysxzwOoUzPerh79usq49VXeqHl XDLEOAanvuJg/tNj2kujR6H1m07XYaeBsXvOHrrS185EGmVHSJQJgXm4NUCkTLN/1CGdOfpaBLNy FxgPcxG4VMWhgwf7AKt6X6isSatVWAjp4w0qK2L+GllRr9H1jqPUqXkEIQ5ryoVKffJSnl4tYbNr J2G9I36fbYd5ASPL1X9JbrYG4xIqX/4TfFYa8qtzfRFZL8Lv/uhxkiOrw9zg4AHkH3j8/YrmfRQ0 kM8MWtkhpN8k54AiSkefomgArPcEUKE1RM+KeJ7EqLXRgnIhpcwXu8ajO0l0XMcWgFCkXeu783h0 8Sr/wBjSVWb7EZVhr/VWMiw6rOj0B7QdHkM/wJTZtLU4DcFjZjPF4NoY0X3QFtLUK1txA9aRHCua 4+1jHuyppKHE8c6JXt4/a/2dvxwn9gp8EIy1O4TQeqxoB9YZ7nQDcjHQtl/9Ssz48Rx4ajZoq7Ea e4JvlEHQaX3au8p4cdRe4o2nXghdi0lZLwLWsZ13IUoWBdsvZEwW6CpGxl0oQIlW4kGOISQh59g5 udjEr6gSbkc1ig4Tfr9N7suEqvhg4UKo0+DBHjmstR2Qsbcv3lmkCS3QmmOlmFD4wE6aRv5T+Cbt rqzbihlr7G6E9CskJeUiR/DfFA43/Cl7fPrpLHWXe3q+bPI0cUrbwGv+3k4zu3h5QgclhwvijPME zU5ShFCH9hyBAKtTJScJaFkYS5qtXNtsd6cVEAfYvFV8Z5XQU4p1PaMV9g0P2USBVrkECxrFvtc3 AAcFTrzF1KYJZjDi1oQ0VWzpwlEl0TKTU8R6xIEe5RJDxATOkAt1omFXxD5eFfd5vcU/DG2ERSax swCqVTvB5Eeg6MSTxt3/vBzZdWp+HpfWpOf9HYXKzgRNR2e18w3Qlrx6q6Tqsp8LhjK78fbGC0Np 6seyT5kCBadhwwZcpbAncFqW3n7P2slwTvVT32A4HJoA5mhRr6Jjgw3YT7jpbMy04fInysVXQoeb pzWVs6p0JVn5h30GFiBhukAL+yei5KWOmqfPoLA5FpBJfM8yJoSS33ap18BCC7yL/4yX+zxtQvVz 2ZmM2q2XqZQeWHbxdwA/sq/6pinNidyU2sAvhfe65KvyiY3PAcpWaju7T28XfslEMWZ3hi01fo9m nBnvGagPKEXk8IBvtaW8bcyhLzyFaEE3oSwawMOPL5pyYx37KkpKXLTzUUVtHTFBHj9K6ALVzQDy 6AALYSGJPG4Z6qjBnW2sTBy5J7oQliRBo08ZXYlLrdO3ERc/NQANAMCiHRusp4fuEGnOEbf7Ixbh ZBttrn0rv2R1tAhdvWxNiQeFxYxTZ9Yib8tzZDjzHcUhus8KeiM968ECY6+WHjP8QrSO5yA4CrEf 0AsOmf/XzF12Qb/XR7r2PVMbtPrN/Ph8x5ZMkgNFrVTxhc2RsFmpRSSIWsJqh5EjgZV9puJZNTcD o44LDdAzUOOc1VNX5MiGFIL5hcPKQmgejjyENYq/R92A21kDaPtM2i9FyiAhL7doZX1R94qT40Ni SAbGizEJGtLOYoQ4GYFp3Vz9rwet424ppYJUzhac+2BU33WkHFwPWdW7Vkq5LOm+0OJVMBG/dMAQ dnnV/jdyUVo7zFSF8o0w1adxC6ff5vuBCUpBZRSDlxOcTch/L/KwayWZfqyqEtreCrru011u8v/2 uxnRVRHryhjs766GtjRVzcZXTqAjBhXkK6U6QcjChkznhBly9jn1F1T9o9WeUTuHCrDdDKaMyTfE HcPRtKqaOQpukPQdWUfVsLYDkm5oYkMRsSoV+RzHpSYJIAjo/stC5eBo23K2G6jLmvnwniTsVNxc A0ebg4oaJASqMHJdcPSGAS9CLajJc1PZR+zo1qoliKpKLcGutIly5Fre3qWDMaxWU6ev+3u5OIN+ mj6r+PDa+13r2kwGxeZcrvsXHuCze4/O0jrjQq+oai2tB3dNVhAFKluL8Jf2Wz1z/pX11nzjFFaf MCuKKA/0GWqbSj4vT56fVfIApM2WTHOYy/9XQxjemf8tfa+8D5qTm4nmNlzyzVZyLBfV+9RBNSuK UmeGyEdsdFheC0PHYCcEbGMWLtVS+fB4N7VHv10baDSY/WsJMlJLnFCQkiI8XbFnWVzl0B4XNBtb Gp0qPx268GtXMQCKGeszc9C0QGhaU5Majy7eKDzhoJ9lQ11FiTplyjbghRlMVt8pUkJZPt2U4feE rZlh9e5wy82UrzMwF0QDvhmeFgxaykVIsyszQApNz3cgafgY05ARbhVZ34Ww3sB2ypZ05vdKtRrP khHR+H0SxuFf7OUbORdA+9mgdN9oEGC+tYRE/T2iFsrexnxwmWlH8YRZFS0EvmSYJUMiOY+/R0Nw DPvKJgYBTgIQ0we4c137KZAvQVCTi6Vh1ut3hgP94KUW2bLJ7VesOJx03JL4CI7zowJKh1jdvQth RtRflqyecEDzZlPKGPTDOQjl9q9GxBT+nf9CD3Wa1jFQ0YShFxd0sHBrRDX1wLURD/G715xS0XSw XGwU/J1WmMxtLUaxJp91jyv3ljxmVWu+sJKf6DyQXqgp748ffz/lRdZzzMxhdeDmpsbBgzXzMlS9 J37wBUXornI4KWrCNgm8awveVWZFHSxIq/gtNOkASvLJ7oiSsZ/UQfRN274IZoDAztf0XMmmyPX/ VhuZc6Lr3JYG3kJ5a7mu+wFyV00rFjZJFtcGGy4bb5q5B1ibRudgzOjIxkWGfDSLnQQLvGSzmaPf iGzLFS2+VwatKw7/Pa/B2MDyIKZ7nakzgVZpYhJBjsIogIhHajArh30g8JyIDPglnHRGSS99cq3c uBcWtFsgqsG52ZSK5mPhkk9xZSl2rusD8hrJULjFhk2NeWYAjnzJQQ1waHCo1cquPfz9Mzxv2udd N5+Ome8x6nd/4e4hvzdPfwl6lBDlXsLz/OR2GJS/RIJv6dAkywj7MslvJYOfy4GYvWMZGTmCgPyo 60oNomIWrqL5Vl1+Gv0Ubr4I2/l9UAF6RmxV0rUwG3NapMA/VMlKvPE55taKokio3kzxzt551q58 RS5pcGzOCqG5aCXLf3q8viQLOcQuG2bh9IeZmFwPSAMCQzEk/7+BFAJilMVNaxMhjZVLZwzIeBYs qNHlg8miaxA0PKqvDJXxk4SbIq6QDo6o+6ErE4I0lsij+qIeXb/KxpWfX6Dce5y/dZ+pPvasfVkI EPfocjUMlcd0iSp2QrGRGYAAN0N2ieFwNscDzysGanTIdHIahPMdeaRgKFzZzGhTVFdlN/eyINna R/YQMD3khSlH+Bfwa/7Uw2aoapgyV1GzpYE/mlm+amP5Pq2IDbgli+HDBxZrOHnlqGxgQb7xXwfJ 8TpxkWx0Mqp3fgQ//8ojEsmQ74zwgor/5foSYu5yPyVkwSnmYnQYMKDhCbGUtB6ilY8Hr3oxcQtt Wd4lFbcDXrwR4GISuUfw0ccEYwjCU5yuhzHpXRhga2pAAIIDzWlluAtc+vhj1ldspUuJp87PqV3Q GdmUybAT447WHCGnIEF5DIeTnPElGCfDm3t5bwN80nChk/XJEajunPq7sYxdKRA5N2mXAvuHVyh9 p450Inorig7VUOVMO3/NAh+2y+jG7Y5J7oXWkYCHi8tESGOK3bovUV1LwvgHzJO98Rp5SJBO8RdU 9TOr7riCUnKVPg5017rMkPkB0QTgyHZWpa7cmo0f2GU2PTXTn2ZHrDDgRA2RnWBFG0Bc88zn4IlK V5RLlEsRUOr1Be8zfr3+rIIvbs8HQzHhDM/4ix+AjxcTiqA/B7HgUW7Um/KvGhJc0KeZkr/pyHZ3 uAX8okca9Z/te1UJZTtPPVn5Eh8zMuFz+AET4gTEex2Ckkf3C5U5zthDsgL8xdGVQkscdqqI0rb2 PQ+PT6WWoYAbVyVeY3FRunT2GJTzrtLWNKoukJSPX6l5Zo/WDmKSVfyIDkKBPTWJH7p7nNnTvFDk C14zRnuMsKryBxAwJG4lvjhhx04CDVoJyYTYcxEim+8GKO+lt+YmSoSaPmGo4JKBqoaBuqP0v1ei Fk7iRCs3zYMa128UPZCfp9WKrCHm5lloG5x0Py+Xa69CmgGJ9fKxeDgyX2tYyD/PAvCy9nlSvOBn 3I0UCSeEtTg8VDLIRb3QACNzCfm0KR3rHb5FWpD1+R/x2QA66tsuszNn2Z75I47jnKqxCkpQvYi7 ZOI43S9PnRnTgoLSBw5JjvRz+HPAvzkFhwf0K0D+kR01vV+CJzgFt0hU82AOEzQSzjMDQ5PPNeFP mx/2jYDv7FCL7bktHW4ck6XQB7C2WKmsbdBLHc0vEOIc38kAqoUpZy+uhPIoPrdSTeu9yb2OIKyu eF0yGa4swN5DevxUMsLjuv56HVh6vWpr/3wTPa4hyvaF+IZLjRTWPK7knfF89Zgf02m7q0Ss58eP +wH8b9Dhm1d9EyF8exwi5Rk2ocrmekXBTFfnlp5oqUdPMkzF3yMdx/SXVWSx75kRtSYS1bxKVzXT 5+gNIYu0QLn8qy8yHWAAUDkSIYSTPqs7d7G5f3w68F54qTghjs/aj2WoEyE314Xz2d9Ulj+MMMZB mQEGoNonWyttJ8PZ20sY0bUfPjCJtcJy+1Anf87aunLZ1GrPaX7IUH2dUsoS1To9xqfP/0rosKzp Jexxd3HJoD2PUBPttbRBxP2TycairaYWzPK/GTq2rlRp5xjnI0muBpXpp2JDSwI7ud2I3FridVXL EPwxBW6aPJmG2vaa0OiWVAoSRn/UEN6eOeTUydUzxXDTtiePzNSM0KvHoDWPyiqsEPQVQvsqR96M SrnBqrXjWjOHfqITQkflH7uqHGZ4jlYeV6aqxpXf8Xqj0L5H+QW08bMlMHfDNX4YpNO6XyaL9FL9 3XBljczsJP3XeRFb90MDdtu3+e5B4EpHhaBGt5yL0cYdXG6a/cE3Wmd60Ym491cuEoqcFc2IXsH3 4QsaouFRJVLRkAW3si9V5AnjZddhJUrTqfpJdWTe40m6qv5SP9SvargamD5GJqwJOI/SZKPq1SrT sRlUUXKxf7EZ/SO397zaGD7jnCzpkpaslQqqGbRKpKU9Xly09SvWh6aLwc6RSBiKkcaK+kS5/o4z lVbBzRKJFYFOdG27bnmbBonf7DS76eJB//Z1evA/L9LLD0iq95ajskJ9HyV2WacRyUrRU5Oacgne nK5fHeNQWOj/gTCsV/VKUhRqXOtjgHDo8NvnErIs3n903bnepVPd09/OI1uQf3W/BmFccOHJfzJT xg4wH/11VEzO5hzge/fCrjk8jUeqc/AIKPQ8SuEhzOqH/3Kh/E9gEDSc6WnrqeZAnZj5MBdA2HWV qX+uJsOdEqZ9x4GW6v3oc/sATMfeyijBSqMKFev014EEZeGnIooMoQYGpRWkIJfsVRicp/o+4LIQ ooIY8SyQkja3Dv8OGNhbYq+UKo+kIIZHqAF9qdeJnhlAv70xUo0VQv+0EBxRt5PaZ+ZZGsEDaIyj LgaQoXV6lc/H5sz2fzbX6d7vpLtsF/jslJrBY+/kCTQuVNe4Rl5enVr1jG4MCm3q5DoSxeqFEBw0 y1XY8ziVUc1PoAPAeukzOV25KZGsRhH8r3M+aEGgYe2CYEITKzq8PH8tWmxceLG5UUPuiT20vc4p 21TS/05b92opiPM8oWyjNGorJFdXf1nj7DD2FU2xwdsKY6s5sc3bGK9KWhs+dRbPDbvOG8FkLP1b re64XWVtaCDXcI93o8uq1K8P86jNV27EcCYrvHdSmu/L58xzRzxpM3XIdDDT4vF4UeYYrztkSFet MszENO8GddS5Ao6LoXYX67SAbLxaBOQ4sFib95+KRRSUMR/A+xsqdN+G/R29zgIhCd1iYPmkXuMa /U+BdNgsF11dv8NjRzxDyFnrow6vBfrFUijtXDBsW+qsp+P7THfNa9J34OKB78OOQ1O6O3yYXPCF KGQdj0ZvdXiirgpqOx6208uZrNwsl1MHkyWxCdRD+NnvzmVl7GAfWPMMauEmxuNDj5I66dQqyrpt zKshoBDb/aTzVaIAWsyi6lnhAyM1OA8Jm1lA91mvk45Wf1IUH1dQ3N/L83NceerZXp9v1f2V+kt8 vF72bJ5PlDUbn2h1EVJUHygNiNeGSgF5iyoFpyOlqfRkP+8LedItQYmMyogsGCKPUgwKMywIh1E8 z9hLnSFHa1tHE+Qr3c5pZhnsddsEnztVJT+z2WaBT/gBPyoFbdQvAybVpt+fDsuCd21R5EYcSnjm Amx1CAwxXVDzUmX2Y3+ZSSYr7cuw4NLUip16H+1iRxyIwfhCOcQ1RErOz+PfoCtr6ZonPB+B6BFW LMYlwHEKCJ7SumCGNvK/asvyfvAUbx34yTYScek0EAZ8qkaEGdgN+zEIxgeEv9ArzBtTrGfBZAMl H5Wpsd9cnXIpio1laXm6HKne9lYcrJkim5SA4uVVp/4yuDdwgxcgiGby2w09AxEjUl+V32iOExPM XPgjktWBy3Bt9S2HDWi71UjieDtCaU1ohfooZ0r3OP+Rw5lfrnV5pWjZHzisymS+JrcAzYjorRjX 17s87aRKJU6Wt8lgYiJBLpJ+CVQbxrgHgzKPx4n0zeyj9J4cl7r1mMBsQ+vJJto1dxLuPkSmIZio ch58H6kABa9TSGMfXK43shif1GKeUsHKx06WYdOjai0iaH73AYy24Pc/icwnyxV2isnG+2uCzm7e W7chiFGln332l2pz9qFJucrdI8MEXD36OxUQJGJ33iCi4O7290H9Q6xroM70mQkBFmgp0G3Xisjq khFE2J0ZXmKxy+4yiogJHO1uHngE0KqtypfLhu0INJeAl89q7geqYZI8dtLU8TtG8rWAXjRRKhVO blKrZGOPYH4t0Fp0ACSWFkjyh/+X5VSxsaeNYFt2l73d7DikuRT0B+6V1Ud/ohZvW3gHetVneRKi 1jFXI/7TGcythV45I7DHwnQ0x9ndQn6GARTQeCALuzjy/7Cvo3a5vZ2u6vSACzXQtU5fgbnvrGU6 wXkLG20fyqzZ7KYysnxcWuO3ZXOlZyWye6bMu2nPSSK4EJsSwQl0gISN4NCcHRGfVVtbcw9LDgKP gaf96r3zBxxjZrXmnwXnDyVKhxHyopG/yvXwvJNAFuJElWVUUV3W5mSb4wCVZS0lZ4EYTdJb5rHC djKk72yy9jVT0YhHvsg0GLpf/wi32joV4X79nnJ5VeASxIRdlCEgIdFVN2onT7C2Ln/vTqUJWc/S 6m1dtZjWlH9jW32QajLnEW4TmgfSvHoawuPBPNO6Rc1B2C/XO58t+T+6Yv7ML6YlHDcf0n1fifLY rlR8w2creGAxFhooXkFUKK8wPK5jQCtXu1fYpS4fUf/jiwgrNBscFftvc7C1I52hOXrvKaufuHpm Y0xPTFEV37/e5bKX7vYPihD5GP4Nn2BqL+NURKORPOWyx62UCEYfCmE/CRwcoQGeQoz/SsxouZmh WZsDRorpSIzHpTymSj+bXo4v7COWz2ALotGTVnt0IuQEtOy7Az7PGXaKuOXGFUYyXRasWkEpqFVw WU5Yl4QTiyEtfyh0e2ewrXYiSh6/00Z91h1gwZw1Xc4d9LoiCI2g2OTHoC5RX+yu8f4SgZsAaif8 +wDJ8DhCn+08+ywHXw7lR46UtRpJpaok4GGCQfrcwJkWcz6pmZdSFEaEMrlIpYXJbAZY3Yo/y2aw QMHd49YR3y7Yk9t5tjZGNUYLancZ35wEZ9PFJyCpnkd3kvKpKZMQFH18QFPOW0HTutIQ3L2bSnz9 CuvYf1J8DB+DZmrn73+ZazEMG6PlOZTFQHl8it6n8gPBdCpRuEO0QYyAExPEMOLgkZ9TftxFmUbt 1iPbDmEEZZNI00YHaRJo0+9Idzu9Z3UiuVFMuYEKQs02F3/4jXRgN1I4xGteJWLM79KczhcZsLMT pVUKWCHT95GIiMQV+1pGc7pab1YRO0RffRFHVGtjU6L5KQcl301wJjUPP6nUg1GGZoK3TsAVX8N9 i+C+V3uO8Ap19TqE/3T8Cpogxig944lMt7PdEjEfefgpPEEfyWhg1iT8c45f9uiWlNgF8SQefFCc 2GHeGHZMJ354/BEqYduhHt8srRrj6c8uPyqanSwAZK1HsUuM9WH4JdQ7MgkdL0/O6sBqj0aFOVAe ugJXSQEmcdGWMScPiS2kXUpBkVykAijas87Vd8QoZdgkoOVEw87WVJf8GlA1q9pXcABJkUFg6FO0 pokXc4mnlUtt2uNfVetWh2n214PzIWpzpIeiVLt7UzMVAsEgWytSkBHvZyCht3kUgQ96eCp2CvAf zWZR4LCZNP1Fq4Ln1L2meNVcHhti7qpfYPKBFxr8qalU0iBORrrYTEPoRv+9QzoLBDDxaA1M23Q7 O7B8FrqpGUa2v1BPv0C2mTgjg/DYTRN/W6+9Xln5G3qt7tmfQwaUjySBl3O34vK0R31fk0z0WKD4 91364Js/PEN9T05iJJR7k4IxkNp/YUlLvK7yp5k5HTjgOgNjeckEJ29CvMCrbLHZNIycfoLTRzzE GWqh9/qoYKnD+6F8imjXl9YuIsL91QLIbTfmXTutWXPlqylSr0MUf3OVaFwnZHAhBZ73J+Em4375 fMRqNbKToQs7eO9gv0qyJutvQKj/TrupIYj06/T46Wof+n1SuHkwCw1GTjxMp2ECTgdu8nFVcmh4 olLlgQrIuRxHpYNyO3Kd3P4y6F40D3A20yvZ/seChl//NoPbfbvmTMqdN/NI+vdZxmEuII8v4hMp KP2lzGrWOe9WRCm85xfi6/m6rJEsDEgNse/4D7DTywfixqixYC/vvUmzxaiobIUNczz//DXfEi/Z mUyrdyHddFLbNrJZJ42uOoiCWradw0BBcPF1P0YdhDoZPFvG01CpCGDxKCjOjNPpeJFP5pcCHJaO QGjtqYJrRF7n5Y8t/x0NND1jqOSCPP6m0HjpqNaRIPc73kzZqlGQBXVMqGV29l5OHOiddATE5cxu oIzsxlBgQ0IufDOaMfJth70Lr8uqzFGHfTsrRKaUpxNmmbBp3NmqsBJKIXXnVzpG+o0of9gNbGeh Dzo2WDJQs+bGunDNkaBxnkkXUjVCVZg9joStCsJm527pkD+FoSrObLNH+mQNLfLg2aP4A8zu33zm LvZqFP73/D8F0D24Bzj3ybmGeYcLWJWdNA88eLiS6qq7Y3xgLJr3JApMQVOZBJRu6Svatdvv/ykv L/dE3kRwv44F/Agx9/28WZJiHV0k0t/eWyuiY/dN7M856sk8cYsskiwE43o9XydqSGmPLBPvMeLS 37SnA/szlTWsgvzAShU/+w0xuTF5NtNha7xFb5h+to7g7dcYJQI2VRKfknbXrwkDFb5FpYe2QFUS U5vQNfzxOEKfXTGCoGfO/dafwZoQEUUNWq0lWBIMuYh9ThruzzyMlfwvuxEKgRjbIqqKGx0QQYLv +jcRT8DfWkeM4sdFtvaGR/303jFJcm1Cn3uK92dFB5kS9VrQIluP2J0GiyDvrsNZlroYoZeiMVij cPAEzl2f95EfbnhkWgFjusM8Dnf+6o4bd0MkANJAHuxTUCCStQGXTsLQomrDmmrejJ/Y1imIUKw8 1STpENb+m5u3P5BzMAsIgXKNtRW1KJmoKi6IbI6m9I/gvZOFZt6HY6k8wNX7ZF+6zcrP5uBH9IZt PNmfBu/LfNAlwKwfGSyPZJOjUYxRgzPGip9yEU0TCEnSR5lzX1P4Bai+kLWfNV+aaH8fbsL+doWU LAMxoDz0aHNQSuRiDrQhyTXLj3w+UNOmMO7Fg3ovcmruUuiONVYF4aG2Hf4BAmQ/ea5Z/tydokCT EDqVTpeWeogR49b41JQjLIl4ngCpwoJ/2/4lAuh5WILc1EPTSi/OuE/J54GXnNEj/syqIgKpraBV CsIgaIaANyZlYtcJOJ+bhe4qngDaAnhLBhN7MdegYpCbFTWTfo1DixiExxolFIDxrSJ5QocKmnR2 YvXTJzSoD+VVRI6xTVYpGGO7mtlpuSxLZpdQFlotQ/vxQF+lN2vNYJ7JwuOHCPDHoNmP/rfsoXPZ k99e1Txm28lmyeYAimNYz+r6WBWxOMrD1pT+sRADbAoIs5Nv98esx18vq+imuFH3HApcP72y42DP ppBmr0NQ73I2b9f98tLHRdl60bczcpH3fWL01AaGc6vXuejn8pNWFo6JDwyJtfNKFkTCEaZvf5JY Zi/uy+pk6LOSJ6S8+g+puqJGHaPQPPzVRj7+rMljCnuJGjK0pKBMKDfIBBgqLscAtglcNIGSevcA KQI1ruJDDlQ5eiZxmYfT6wnUi9u1yx15/wXtQcKCsLwmADAkxD70V3+LjEhtbWSCVtYgSIzjYrAh kLIjwZAJIzeuPwd6Tq/7wr/b7lj7jCYrVnLcQu/68IQYJcqajNJgZv9vC6EbHbYGaKb8DqDtBNp9 RQwuv0RQzkkx0wCDaFMNKI8MiKqpx1A6UeuBhUXYNcOFbfDTwM54RBTQGKlLh+TbFLi1uZ6d+yvZ ZhkKlfNYAljrm5mK9Cwt+cdW9Fh1rEs5yl/RQxHtwX2/6loSYK/ZdgUi0LYPle8caZ8CBJ0ks5A5 Oks5+HFu1GCsDmVCiPrSG2OhIzW27AFwaICMdIprGHGfrVwEoVwFiFwBgt7+0/5VIDA8lyw+n7+a oZjpCYuUvOVrWWSwgZpNbBU9oFENfhl/Y3W9LR0YyLFdW2iwdWw4Pzr6XkgV58gSF3n+NUIgv29x coNHCGAxlsnRNWNSauC5Yp/ChZXWSb0fvllVxTG3FDLaV3Ce/k6Jyw3NbvWfu2gE1bCO0j/nAK46 oi1nRPGCMS2WNOoUMVmPX6cCswNR9kFR1/MefIJJ2aVlHTlB6UI+RzPNIje1pJ54jd8nXK+SPT95 CNjtj1iGh4NrLZVObg/+0EEIFW4fxYPLccEBScHzPZac8FBhyOgbDNBhXUo7qHG2Fci7nHkfko6U M3nBLE4c9WFSiMItR4Y/ifS2ysBWnddGvYQewM4sEy3h+U2RH/ks4Ht0j8Ftge+to6rdqDsbHSHC SdLpbUw+iaA9l3By6JzgV7IBKEnJHlo+Om65xn64bPwZaf4++47J9r0ipyy7yWH65zAg9PrW8uht IxWdyexZK4UkgXoPANLt6quAlFCC7KoCXsqfCn7+MwuicHCHtfxAqPKBpUzHSgoCviT7uL3B5FrE JIu6LCd//qvRcuefCqXkInp7ynuXxudaeOUSna8TEHdpl9xi8rlPzt8WXa2pA9VteSauCEbtfBjj 4mMGP96OF9XhxCZD4iNPy7TV57ygm7VQ0a9QaoaiVj1rD7d74Aqze+Bf+Ri5F2PVKy0z48VvsKPE Dqrx0x50X8hc/zgAewxHhA0EqfcWcWjn1FvyH7yYbx5csRmBmVw39aJ0Wqcc49H6hv0pc/m+HODb K57/6Z3ZBvIzvUzhMoUZafKBlshqPRbMnoHDpB+z4zoDJoRBMkZxnqYzI7eMHqFNCd/fsci/lq3d WDE/HbSg1bG+oOPNAutw3tCHp4JcAWrkMDnSBueJwlhfnAtGuZ1yrzr8irIIoUM5mMXG0qwHRQUD CihWKYR6H5bsGjHZ21yCkrNAl3tngxetOwqwr5vjRgOFGBKspp/7oRruDcsga67Zu3us2i25FokN +BElW6dXzygdsu3zeG/qy0anHUU9iiY75/JuijhQzW10801+EUD2dEx91Lr8OrBomjXJVHul/Tk3 s/1BN6A+jX3NxkfR9TTbAkf/GZ0Hmk4X/y0ZHhD06ZuPiGTE8wzr6QDYuPULI8szPHEon95iKYSY 2B7IBQCRUYLfLxviT7KM5OF1HR4qx9DRW08WQKn7rK6UfyQcV8IhDgN+B6pXQnVlEKZSCnb14z/R Fxud0VKdN+/5ahQtTvQM/kDb0YcmwE2o/5i1Hrb5j+qx9b3D6FmKS+hoTCieac2w0r7JacOl4u+z Utn/Cs9NBnY54p1MAZHwdC8ZDzatwuKMXeO4PnHkz+PloRw9/vOgUX7CAOgfAIUE428x4rc8FEGn k1rVw3b0/YXZHVF1vwwDg1U0BzAAfX6Njdo6FOJfrvr0f8ftjkZa9SffdXDSYiA1lv8gtKkkLpvU lgL8uImhGigAxTNkS+dF2g8D69MX9/UwKfomJizNgwxrp7qK1lOzgPioO7QANkKR9Xuykv7iaMDv TLZhKbrKvcn387oGdinwl/ELkFAaJ0Xrmx3xzoL6aReumwUoA1GCwGtZIYpNMHg4BLP+7JpUSnDU 6ugKholS2wJlt6yOqzxSfR+ct/L+GI7khU5/77XmesaIWGYi7CDzJ9pFtLPYzqMg6N5hRilKbxe1 C3M/6WQeUvW15WGvZ4VNGJEJtyvjajqbuLeI+QTFW0kIc2hx0uqGUMyzETvOzlxpG56flC2q5LQK 6c7D7MxaHpklWsbQaM7H9NkcihXWwUbyTbxSO4C7e1DNHZB4u8EvcHpJ1Vr/XlCa7e2J1UbsTode HeO8orcxrJDYdCMzzbUv5NYfD/AUkVX2DkRvv5JZno/Lbm3gZv4szkOu0Mv9rhmgCSg40evA8Unk lrrHP2j0mSEYHVRFk1olmhL8bNQO7B4kDC097GP5l3+DAtkiSeWDzjWrrk5kwnTq4LQO9pwAvfNv vPEnYLUlyR4bXWN9vVuYGPh0JNDnzTqKK6boqFIGLoaeBIBpPCqQDkfy0zw3iZ99+KKC4raBiD0T etrB `protect end_protected
apache-2.0
505fe3bc3a005b40a9d301e2372859dc
0.937222
1.865233
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/4-MPEG-MV/metaheurísticas/mpegmv_hype.vhd
1
2,731
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:03:50) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_hype_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END mpegmv_hype_entity; ARCHITECTURE mpegmv_hype_description OF mpegmv_hype_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; WHEN "00000010" => register1 := register1 + 3; register2 := input2 * 4; register3 := input3 * 5; WHEN "00000011" => register4 := input4 * 6; register5 := input5 * 7; register1 := register3 + register1; register2 := register2 + 9; WHEN "00000100" => register3 := input6 * 10; register6 := input7 * 11; register5 := register5 + 13; output1 <= register4 + register2; WHEN "00000101" => register2 := input8 * 15; register4 := input9 * 16; register3 := register3 + register5; register1 := register6 + register1; WHEN "00000110" => register4 := register4 + 18; register5 := input10 * 19; WHEN "00000111" => register2 := register2 + register4; register4 := input11 * 20; register1 := ((NOT register1) + 1) XOR register1; register6 := input12 * 23; register3 := register5 + register3; WHEN "00001000" => register2 := register4 + register2; register4 := input13 * 24; register5 := input14 * 25; register6 := register6 + 27; output2 <= register1(0 TO 1) & register3(0 TO 2); WHEN "00001001" => register1 := register5 + register6; WHEN "00001010" => register1 := register4 + register1; WHEN "00001011" => register1 := ((NOT register1) + 1) XOR register1; WHEN "00001100" => output3 <= register1(0 TO 1) & register2(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_hype_description;
gpl-3.0
b2afcddbd16a226f9578734ba8c2dadd
0.653241
3.061659
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/3-ARF/metaheurísticas/arf_nsga2.vhd
1
2,608
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:34:32) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY arf_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END arf_nsga2_entity; ARCHITECTURE arf_nsga2_description OF arf_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; WHEN "00000010" => register1 := register2 + register1; register2 := input3 * 3; register3 := input4 * 4; WHEN "00000011" => register2 := register3 + register2; register3 := input5 * 5; register4 := input6 * 6; WHEN "00000100" => register3 := register3 + register4; register2 := register2 + 8; register4 := input7 * 9; register1 := register1 + 11; register5 := input8 * 12; WHEN "00000101" => register4 := register4 + register5; register5 := register1 * 14; register6 := register2 * 16; WHEN "00000110" => register1 := register1 * 18; register2 := register2 * 20; register5 := register6 + register5; WHEN "00000111" => register1 := register2 + register1; WHEN "00001000" => register2 := register1 * 22; register6 := register5 * 24; WHEN "00001001" => register1 := register1 * 26; register5 := register5 * 28; register2 := register6 + register2; WHEN "00001010" => register1 := register5 + register1; output1 <= register3 + register2; WHEN "00001011" => output2 <= register4 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END arf_nsga2_description;
gpl-3.0
0ae109d35e8083da55c61f92dcbbc78f
0.677531
3.297092
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/div_unit.vhd
1
33,538
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jNEP8P0wTRrEJurYnI3SHDBFwwVLFA/cCT5bS7xQ5GC3f+v7/fuKqZ5iUNzOJBNzYniRglGEuzOw rYMe90nLww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FuknSqyPTz5zDPlO5i8PaIFLqs6eJTihnl6ay8AXHGvSWH6BpNCa/tFb1H7tBn06rQzNTvSc+8Hq nVYd6Bx28uqyM954IL3U7+AkrfFTAP3YSGNW/8XR6HYValAsGDGzEA/BzODC6XxdZmq8VQrqmAPQ z6RKquZUffjTSqGefnU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hEMQcB/ghnowng9t1RYc+X9DHhau+a18T+AbNUOSSZNBi3JcwDcUWfFVw1kK9zW/KegjbypL+kl7 3QZpygiJSVWkzX4CzBjktq8mVUuj6z77LGZk4bx697lgUO9UEf+prrh1ZfMFtMt6+U+GhVRJf7Wr Uy2AQ3fNLd2YhM5s69N+xeu70dOJJ5Ji8qnzbN6RyNt1gFal064/Jdw8ViYsiqdqqPHI+UFk2PFR TuYRJ3MDZ/jFFRszBBzTYqf+NXGCuFjR1ocos16UTyMXMsBMC4Sk/rvrnCh+jtbPeFH4Adw4nkr+ QPw6CJarMNZc7qex6ORCJZeDkiavoBmtkBOCww== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R6JzB86Tl+dA6pzMZg4BvVtEVakXD9GMNczbXq3ogU+eBPyHnxzyZV4NrIiLDaPvoQLKrHuDmk9d U4a2qzkZWAFpnL14WP10iScGkMQ9FxDSuqBuJxr7g0wu8A/J/mIsmSoWzEnWv2Xev7n99VaYcwTA 22YP/wuacfTemZPDVtg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jyuD0s4bbew0bZI0LKL9NBZb5kgFisZUBqphzKkGUbDbCJ/Pay0wVzWDq9Yc2RImSs/0ilCCKDLO NAZ4zN45Ji/uOGMbd9Ocrl0IKfa/Go3zHtm/zqypodHcCc9uICdZHfs6MKlLkgzaaTv9vPSQTYFz qjpxlvCiazbRh3HiQACx8s5a8Ds61WahKA3JeXC/hRy5NG5RJLJ9sFKqwXJAhEcLtnzDgvDRkbSG 4kLgxMvgwKKDBOMehdk80zx4O7JHorR2FEXfmOBkkh/qlk54okGJ44/OR1AWOPPiYR7dZJvriY8z tUnxQ9N7WybwT4C4lzVGAJ1BKU4jG2R2RcISnQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23088) `protect data_block b8ci4PbXoEcvDQQyg+wKrOfcQ/uaXABe9zri0O/05CFa1lav4GzTPjgHv+4kV8E1AJ62sH9oQuuX fqzeB2CcS+hhgL63AS7FIsiXtFUQrz7vamOwe3EGcve7Ig4Yg+/ygIM03cZNQm0Qp4mf4oXwsimt L1gW74td221414NkyoHvuf/Mwg9qh8B6ZAoFovP1mubJPHsyPuw8iTsRkxWb+DveOEwy+aD2MbwT GOLFbyWZmmRUYKRHumjg8NImKOtZKQrHgEAe2bR8stwyTQz2la599twvat502ZPqnvZtVwiGURI5 ZkyXxYkOxjq+RLekTwJq6hO+yTXsvdHKs7Gfphbp4Je027GldY1n08ZGxRuiePMGqRz8p4o5r5A/ rmSImQ+cz2J9yLtIHzWyFq5F+yXUwNG56wRkgESMD5Xjv3h4Z+RwLjAs9n2sK11LuMJnff6wemvn tvEWFrJqeZU+5V9VYDTaz7T6/NX4mG2uhekH5bAPmmuvIpbNNEjwC5enp6F50a4JHvMkIBO1EX8o oQLyKPQK9CGi5m/4WRF/kQSLz6FuScD14n/IcRyr7iW8yWsrkhy4RiO9T3CU5UB4dFgUAX+14x8I 2NtnbGGC1wzFx/h6Y46F7wJ8DApeBWO0KFemnQ7IIiI8nUhhPX8Ll1O4aam7mhD95ECF5F3IfadG oDEamz1ms13aRJt830+fwC4cJqokXeiAEkb3KQmmwDNLGzTQtcjcHLHMV3w3gEGbXS3ElZl388ci LbYVhm+udDPsUZThwsx9MI1DjB7UKxQNiyuoBmZIEQVJWqOZCCRq724m9gyvk3KR6b3hyi2+KlCt Tqmn60jHQH33ZvkJDPZEQp0nC9nUPNFvCSO8JOy/MGBP/DdS6bLYzE8zBYYZn27mscsO9E6rjvL0 wUAXnjcfQfsMQFGbcmofsipxfbj1ZuesPiEsJFBZAvlz8RQlYTjLJAMAvp9hiIEVb2bV6KHTkpeI 4wvWk1PxYFgO5/ambaZhG3/avZjO3r/EFbgJ93Fc1pd/5L7V4tfI6/CqYqqkucpkwHG6e7cYYmyb eI0qT7E+G2Mnfc++EVPLYEQWDni3ZvclRCBG4RUVd1q2jKbA1WdYrIoM92tovyA+Lh+c2v7DxmVg rmAQxna/FBvWH5qIoxzi3mID52ggIdZQSCrTr7Y3aLFDcU3AViF7EdGLynKgFE1EsQ13gPocJ+8I oGEqFIMRJq0bj93aL0fMHHCmaC3VVfGOa406/wn8ozomPlWITgCDqnDtnTazlhMHoyCK+HsAgwov 6RGGS2bR4FgYTKL4eRWhks9z0+oTXIqTZAeuexlFc95+MKWMdj23MvFqoQIe6tTQML5/7JY/rn9h xFPw5zalngy9gc05iDn0RClueeOWRDaCAtrMFst/nY9RgM5eNGIqnatC6XtsRkfCEFL+j00byFjy 1nPdJHQC+kSVoUsrZqUuhuxnzA5ZPNfwuSI4uaiCb+/EHIF5aKg/DFHadEqzsbN8AINAX3xhlOey WYB+908yKbArFH91mdyAmt0K5VSfHxNtIIjeYul+PoMMFXRMm7aOhDiGW0cl4pH0l1m8EMtB0A6h T0Y2OCwlskezcJX5exFJCRhdZkBTc7nWLw9ElYZO5/H3sA4I5MKwt9Sr6TgN5NHjxCfHzaAUXEQz HQ8VAQEPDi1/p3HWMz9rnM7OfQsH1elir3qBMUwxJbveeqsfHXG/r1Tiwkk3/nLP/Kf2tyQHOh2p uQV78pYbiv+MzeCCcgKgqpO//Z7KlV22qrrhqx37XRF1JkWHjTzinMWum8gp76pKeYLvCb2dsoSs dre60CkVhPGYyxnTcLi0ZHaWz+1SPnFE1A1kc05RlcXips+I7K1Ee9y5tDt6ai9RspQSd1BrZWI4 7rTyfc+Tsc0gBgPBHUnrubSvXNG3COZcPggejXLYJDWyU+Cjcj6Fi0qSnVrWdtFjI90b4tvZVeYU qYvuJnWqIlR3ZmreiCzp4QGaSmIfRXNYeMoKjqJ+y+o3F3bZHgTkgdADkIG/os8Y2Vs9s5mTEpjX EwRRTAv0BeVtQZTdAM0ploS5L2cg6uBfH8u4aR3F8Uxn5qUKonFUBPx7NJowok1vSXTWaYg+hf/2 h7+jUq1A2quAVKEwUrdV1l2ArqShavWqnnuKs/O25JxRiWMjpJaFE1L6CijyxwmdW8dWLoxJQYWC DWQKHHw8KYys8ms7rd2AxhntRNIF/0g8sseT9FoCa5NkOq375OEUnF4jNZE9t8TXM/poX4gaFAEB Cux+fSS4B/0eOZjxcmcqbPrT1fsVcd12PGlPC8KN+C3oBbqNo8V5WPVHfVH9IJRaIKV68fsKKDko WzPtja+dqYVnc1ByTQ5/PX4GY+Ihor4mZm+Sg7N7k7rpMSN96vbiwp0Qz9GNgT/7L6pCiibeDrl5 s5azQ7AsQXVEhmBgiS7KjFpJMlMhio8sebG8T6XBTKHNBNf7h2x7dhxY7qQNl+6Y0mXSBWz9MQFu HcGdJXS9PmzWn2EaVnZdddg7Gq4xIzo44Da49PUmR++pNbvek+xrF+HY3e7p4i2SVJv8ALj+ek9p U2Hkls0RzuBJ3bOrdWfH2VwAE/jb9BUn5gHSXlXkyhlpUF/4uXNXfFSWBuY1E9hmlneLdrN5Y5oV DT2Z3gi9pcYYe3Z393ep+YR0RQ3VILW5R5uFtpGzIXUXo8z0v9kZsPuhvdT8w4Yb6+CLmiQXVhM2 ZgRlk6e1hmUcU8ZVTOUI8i8e3yMqC72aV+Ml1yxUqA6wFnkCOoCPqLgLVRYXNcOwOmmxeSg4yP6D w1yfg3huh6oBXdo9XZT0HDSqndPm5ErOzZUS76t7AwPlsMIwKwpp8g1wRPqihRqvIxyngOkjs8zQ 1F0ZdYeW7E5PqVJjwqEgBmSTVpx/a1BIC0CoQMn0fI7wbDAwXVO69j8MDYJKRWUM5Ye/cKlS3l5U MfMZtJ+Ks2wYQWwwbq3RHLSXxKuxjHAx/9OIU5qv2p+eXUhi1KqsfJ1gWElz5tPfhLyH2sht4grU elKyIJq2X4jWCwtzgVwKXzuyA8x9Qwh0s+3XPAVKAMSK1fEOkzpd9GDasE1aZhk7BgcDbigBFu8J yqhTWpMpHiYyHwYnDPj6AmZ6hVhjrpV2i3UHsJTUwbGoJk7wgTJPiQK3cG15+b6VGp6I8QHUhc+J ePNYcsSCmtQc76LwZchGaJqCEPkOfPIj5LRrfZcHOCI+K0xTUIRKb901j9tIGdbXlxbEIBBrGSy4 Ve9gMHwR2sRRv0yg0efEartWrAXy1WDeslC8MCNMb6Ev0laNBbwgXRWc+fjuZ1fqzwy78JPmOogO ySyQnGjxwRch4wCiefEyYhV3NetdmDlXxbhLcLY0Q+fbbLPfG+2lg1KRbZUs8HWd/c1pYzW8ecdD mfvRHchCSlLWYx2Mw0h7y5tepFs3Mzc2wPd8Etf0R+rUl9npvWJonCJkrWoRFcXrOBedrgCF9j0P NDhXtUeHll7lGoFCty0TUX6DdlPPKPADTWJeNOYrtLLVzwGlk/o3hotvZek19wJ5UZ11Y28FE/rs Xc8O9Bl9+3nT6/O8dIZEEBfmgDseez98Vys2hAKQUO8uoqaURaaVvLAhSivwJ3Kg/gXXRWzFVH/B Y8c4sai8sRc15QAU7Z48uv+eYfWCjpQQMKAoIoxMzwFTbWDm9YRndnBG+ezEK2VMpdK1sUXpbeL8 GjLPxWYz6q3EOd1QrihX126LlcchvBsWQhc8WwF7oSxlEN/hRoT6P1DTObgAycl9oZb9kNjYAePF JrqOB2spp4ibQirewBOmihb+LUUzy9o864NPEs1dGKlUaRtEsag4BqDy3CcwA+jvx2W/RRfx2djW COwKB8gfRgZgu6NnuszD0iTD9DC3JrQ0DiDcjxBNYlcE0tbFjI+gi5rIGwMreW7H+pPx9WS16OEI qZJqd5bNiLOcHsoI1aHvFgf1k5TqYfplNaSKU0LmIEfhkU1eboc25swGRbS8hzIJPnmhWGScB2FS cHWr6zayHkNFJyyt3DwaxhjJ9FpBoiSyfqvtFsNyCc8x5uP4+IGltbmhR1k4wzSjMXkptTUkdSDU 4RTZkdVp6MNiA0ysFXc3OGtPmMFtXtEF7YAqJDWAGJFnWjPYlcBK2GaR2Bdz3TqqJznygLbSydD5 x2U3m39f5apefb9sVhDDOJKWBBA5+x0alfFE59oanU3mZvd8Aox7jlaxvQ7joPZ91KHFAKEflXbJ UGLpOlGk3jR67vpQFfAIYwo3KzbFfmjbhyRtKOaA8+oAVva6dYrRppHZ/F6vNKG2r9X/zNJPB3D0 0VB1TGFscbM3E7bXpUzDzZqRrliLKPENEaN0D7HKrCL/vI+3PrdcjA3pSn8vh8CJXY7j1ARCkt2f bG0SQI9lvgyLhAHrHuESEkezb49c8UHrgcQMNm1LqmnMKVEx6AXSUSDkreguW1Acga9xjhIkkGyZ SkEkQVHuzYCnmHgw0QE/3sF/QpC95Ua1OEuXapOGoQHKqtpuHK23VBySmJ6RDNS6fEC4S5EbsEtp mQIo+Ej6m7U9O78w7zceErn6dQLQUUQK4wmvje1LSM55ToKvfCanAePGpX/O411V2v/veeqnuT6A n1qriEguES+JqzYFKodNpWsV+VlLWEEZTeAug4Rcv3pT/G/ply2tVBya/bjsJ5Ngl+Iyqepa6KYz lzjm23tyRtoq5kY7GJWbtLMtLWLITnqCxoNkg6jf5w93JkT2v8hVBLB5UIMdal4XMEcHvCP84Vd8 5R5ZgU4i5XFzVniU/BY11D9ekzEJpQnUoChXBArJlRm4C6DAAwe3o35wAZg1gLE05gcIkdmu7hk2 DK6VFO3Vj/V71GO0oMHsgoV6G1J6AG+5spl89kc9nnmOd8YUlKgw2dS8f1xC9ir1scCbajZjJ4kJ UmXcCIVutpvQ12XpMeVmVgO6tzeNQm03BJWt7Z72Z4OvWy9WPXSkOqvH+sGIuHAWrjcwVP7LO9SX mNTp4OD0WGW5fXxtsiph7iyxDBjkWBfmJD1+fGJiyr/eLJU1vjOZpeVkkA4DeQFSeAeRgxR/oldQ PClIFyDF+gKvYTkm6bxwGgkLvRjXnSXFe9EUagZSAQynfm6Df4dYm0LhG/YdVFO8w5MkLjU+i91s IhqXbtr2FJYmOmjbXfozPPQbCmJwP6Vb/Gw53t5R3NuajP/aMP+zVn/b3l1+TglEuD19JvfHesTj OyHJ37jUFtT4lcFDbmX/NwMtnmL0P7lInnHGQKTsdHV+wApXqejjCji1f5OAUF/g8+ZLZgLp636S LehtSdFVz+jyjM5CvgPMvUC+tz6NWA68RoQz+7gofgLrB6G4qBmmoJhYYUb7NKr4Cm/y3DbHAo6U /FU3OxVYpIk6kS4sDc8pU5MOTaBxgJi3FoWZIVssB1l2m7xUns59TZldpWyAm8ACd1BH1uvhoOZc Mi1I/NDKCHaIM6uVGzDYAnOw/6qNJVKPViIwByZEOEBIcFzn8nUn9Dc/HREG1qo2A/cOmRhPHyGk ryBrwDNzCvT8fH9wkHzW5nzbgvigdVNao0GELrTcXUakgN4sAdElIefOCoI8o9uwsNWAh3mqVKn5 dljp93bH15uKAm++3OHpI9DFp4IzcLG2ScrOK8w1H0cJ3tygz/R3ZZEhVFWeyrZZ6Ygg2/kZ2wQV 18Uo5NICSPCySKgmGlpaAsMTPaoEiU7A1h5eDxcD6WXgBX2cSMxfKQ0XrzW1CRYZ8LyVjWZ9q3cU QtcCVYOFrYgn3XRzt3lyWe99tV/5yXJzZZFddWqVdaIkL6noRNXfUe/w5pfEvxxHN8XQp2bh3nXo nSsSurpxKpvSrnSRbPrpjyjg3I0/3GbJk44QG35KT9c0BK6Sd9nJbrUXEZsGNYW8YaqQM71lNq1t V7HPF260s8U4Tbdqp0eIgj5yrhF7XRBdf/DWuZyQyCihX7xM3qPKoEerGHPiUBPNcqQ2FXudy3sp MnlD1uMbSkwurdkEw8vhDTww/BLzl7V8iH8Bo+pE2nc0QHw6ShfmQMa/DfYcSAvaM/BuZ43cbxhL aIudkzPHmDuJotQUpN9k6yuwyzPS20t00Djp15JzP3VPmkJDrXKUtYebjV/bKptmuvkLHluJzIDV iwJEuLx6CFPaOMjB2G5wF0YdOUNB65pX+cVMzoX41Vc8DySwbLfC5HyvKEc69RHtK5MLNFyfLOzK tuGZw3cM7qwViTJ+8ZGQJ1ZQ4gdqjaA3wjbnWQ/VxxG/fHKazj8erf6FnDxpBsLzW7pXQdHEGde8 USvGilu95hdnAqE8MaXjglKFrjhbCEUjFVeuHTinWYwODTJ1nooqhN82hoGXIwpnRVv6yRHzvdRM gZ0szVjFOlwyn59iNgWYho/lxRxUuqMuMLdjwBqXblUwGnK8Qgsu/hrl+tUkROGdr3lq1UhTbQJW BLveRd9akSZPsgsgH3UfhumLkERIxE8moBfy6Rg6kM0peUI9neify+ZcplZgqzvuwWvv9i8H/rAK bw8pY2yEo1KV0hIz0YwLj16TeMjZSwA6PWp5Np6yr1QzV/9oQVW/2VSLIgVBTzrFCq5vKIvmvB5m pY135oQP3gHZlecouDDNFpNlV1nhlhmx60CGvhLAvY2SrxoQU0O2nv+EsfwXy/9pAfcKBilZ1XFf n2DYSa6XlWmT+g7ae6JsLW8fQg9+kG1T3kqMUQxbd02iZcpIewxdb41hiPJwIYZqCzXwmT9+tmuT VkJFAv+LA+GKG+e8t+V1/vWowUBDiQ7Vm1aWN+T0vI0fnnWJ0BsMqK750LqemaIcaZld7EBfDJGY ISgwmtJ++5otVPUzSmxZDa1/iar4iXitEh5KPZ9Zg1hdqgMQzuF598yIVRP1AJ9D2SVkj1+Zalwd j1BiW2Pgq/KfCQpjdau5a7hYRhJW8rsnNrfVE6FeBz3D5JowuNuwJxiW6nu8jue6CCSGEy22zEG0 PFuemDRHlat1oGh2ltjtVtsbdXHtLGjT4ACISOhsFXUVElDvmwNP+/fHYipUZYQC7YtMS1MTh+KN 0GxCXOwUmcUy4961oBLA9xUQtg9wPkQ8PzM5dTFLH8LFjAf0o/pR7ORfr0mlC20PjxaP8FNbuhFM ut7Qmn3dt/YiqPyX/qDSDFYbPdg0Hd+70WDyfCGh136xXrDFRV9sRct0VhR5BGn5QRxkoCVyxwry VAYiKvzanT+PLvGrorBnLoKr363oCKZ2eVlsG7Y2LrPHfVUXBqMf4jfqFkR+/TuK/1b59TKU90bp aS27iS2SKv1f6wtnQFQNTGdxuaFObfo/DOo7Wds5ljD9xkfmnw+/a1k27QXp3NEyclwEbwxZw/sD TCmlwL8KN8+yHfCJ4KA3uyMA9lltgbimwDIJIFbjpPQDxKTpA3ZxFwEK+YpDPrBwTkLV4JZH34LW ieY8LQQQ9pPWZhcn1wRxR6LYlbkKPDIyqNoa0wO2I6iggP5pGlZxPYaIQ0r1HK4uoHN8R2GEtScF UCaiWhi+Mi3u/1q2dUTk4InXMnTM6ovhu1qqabw+9QlmbKlo/vkZscBpVM+M3GnFVzg2hssEVd7m nudLrrB9zJbocOv4+bS5ecGNHQNDLK7fchGRSW7Hv4GPmxVbFtl8mrhWbLsOSOruuToMRzpJtCSL foWlWTBLVdonT9AOY8A1crENYJHur+N/eTKkM5eGzI+PjRYkJfwEaBkBFrWfDJ9iEC+dGGBYZhrM 2aNtCDbSev/9ZhzDAJqt8fwbThixmjytARq1phMrvk3We5LdYPfMK3t2aMmz+1peJHw8ZFTymU0r mbwQo3O14n0+B3JsN8rpi/rTELm+6dFBk/TwSe7JXGZQpR9+hr+1snR8o+Z87/LWLe2I9NPvvYjV 4dUCJYiZqST4qxaGo1HHzj1+6QyJZkgr1ewwVlpdqs2qd+XVgrCrRhr5DXLoFgDGXUvfy2yFDoN2 HL0i4ht/vhEwtt1mBQmzTa7EyzB/N4yOvWstxF5ALaAG0vwAPAySH0mia9yBChiWQyBcnXZyqNx6 L3WPxBDT0XW8urHjtcCw1M2QiNy8zmveerSMEjK8ZPdD1tJMIXNpor60tz5/gIz+FFXKlxOR72z/ I5hYYI2bLFic77BXxn0mIT3Mnf73fCBnjF6UPcoTHIH0fsZAYjYcmH8MDL+cBBv8NTuJR1dsBdCX uProWF2E4Pg4Av6h7lGMC+ZTNPOVbg3cDd1qGLy+unECj8LP22XnBzGp9K1z5lzV3NJdiWjaXx+E SIMlUbiEQTsvj5Gfo96NWUWiW4xrg1w/qxxdqRPnJpZyba0Ras7yzGGH2dwum11Ca1P7rQM9K9VO /z+Zahoszvv/EAxNrRlDC92Shvd9+CcA2CjpBttVtj+ZuQ2NgHj/xFqZGM5doyXUr9Yh7V68X9si KYwXp9kD0AKcxaWhOBSaiwTt08FuVAN/Yqskuvjt00+gaZYhms7C3qMp7f/XdSRRgomimIXMnCVS Jsh/sTLm7O6+opGQhUSww5qrTjxIEhlkLHIkFtDUnUunh2/xRZEPgR94VLd7FwYu6zMhecRYjBWa 3FZA9EwFDIG2qYc/IYvfAqd8Tt8de1Gr295Sx6N0uitCh/1VDubBusz36VgecFCYcuuhUtzLWZvK yj5mYE8L79LluGqBpsyRrdiZC0gXNdGnb/i8xKv7IhiyZ1txkqI9mkm8StoPDQfy1W4EttOu4RXy yGjUm7u6oAoqbliPGulAbvsKw7TaKyqaQ8YPC/LUal4qaq0XtDsXb3NiS9PvD0j3L/AV3ff/0pER 5GS7Lujjadwc5nk6XS3NkaBEmSmR+58svwBDns3bs4cHt3nbWHn26ikTFSahKPZs6perM+Sx+84V Fa3gMkWGJ3wQ87lIfn9/5CyLcPv3Itn07yTekbmvfWbbIsHn+ihSvPdu0mSce4tjzbiquLDDFnRN 8HU4NgLkVoh3ozUL3ruFP5X51VGkTbfv4nxU5zup3vLHx6yNJsGfjDyjdLqsmxbs+RyXX+RL1etm uUFthVg+c+x+SaLtwx3iJX6Wrb7JtcvaMRz0uRVWh/pvclffYJ+KJvDvWi+WLOGApeEIyTi18438 9JAUoy4tRBK+JxFyH4yr3EWjc6K7GWeXXqw+8aZ/XE8M2haWTDjumJ2NMTAQpECWb87EmlkW8GjN VFfbypt/hLCucHUSGiKhlPwRMTjRwuudZ0XHPvVFVDU70ABbj57P3fCCDj9hpOBS5L6ykD0X6g3N DgD7BHp6Wxl0C6D9lwPczWnHBGrMTV1KwPbK8ugF3cSMM3m93/QHq1gxm5ILEpSXOHLXR2qP1Xe7 KVdE5MQPH9ge9y9djs2JWK6JT2V93OFJKVgbFJFn2cPHXJ8piYutDf1EyfQqAlX3EIxvbQb/DV2q lJvpdr7GIiXYC8BBWjWGDYZtO0zTEeMRl3bEVbMf4df/+QHPoruyk4A4BpiuLcwB0gs1PNN9w3Fd KsrcIJJZ/O0BMsCYfnNNJLvyVog4all2kc8GN+TXjGNm200ACe/IFFlojV7/tTa4/cL9qSUcfAqg kipo/Xj4lTzZuE7H9U1CZyejR+7K+LnczKznqjd7JZNgFVrPBfVo+F3/Z5dkNilyuweiL9BfxhtQ 3+NzPADRTR6U9yfMjjcTftgao8k0O9Wg6Bdj7Zpc1PVTvHDmlZhKeKmnWdBhIyFE/jY8BOlNTgzI mU8MNyDxr9ozbTk69GdMIuQguaFxmo/lakoLGg7SQgYhZdeXS1ftxyGg7Qh8eeY+GOqnOS1IPORa ZW+XFDChWG183ykBbfQ2AYISGvArwQpr6E+j3bFYccXeovLEFrGS4ypze/xRcpw/GvWfKFP1C38P D6p9SWMul0jKnSkaLoIEmnQeSCmASGsPBiGoGy5gXBjhgsshWNLTiqJBk5Q8o+uTI4v2YTYZkIj9 Spe5SxXIBnVjOtmj+q9Drfg+WGM/Lpl1uhsNAFh+SGEvAguKC5ukuORdDa58BG97eSqKIsahyFU+ nwAx19hPXZjP9BYiH7e6dpA783+OsLxpxDammZxLH+fNLFTqYiTW4XpDWxQAfE+/9iknuo//Jsow ZwOQwIV1S1l2M9XLvMU6nqCxWFcgOTNoxifsbAEOG4WjvCUMHx5Beyju2eJxxn7il/h7tomsjvYZ BPnji/hi5gFQIGcMvuw3cccVSmdTv/88eHWTkwOlmYQ+lgMRy1oRAB+2sRrnfkeyHZd0jOKxSEJ5 KMF+hR8hBsAsoOQMrryXu07WX4KGwLDvyJNerGO2UKoTkIiglMYmo3GB0UR2iap/PovAjmqlUzeX Erw0NrJABobwIezv3eeX242TiLSTzCbZPK1W+DRkVkDtYC0w2U7lSU7/2S3DvGvUe7x7h6g2eh1M 6cZTJGIx5JjqqyOW0xN/xELZwDSpzV7kGRUs+azJ+B26wwH7cUKBzWnEUYkLDnDVu+Xtw6jfk4y0 o9gLJbCgpkV6gm9scuG4zSa9qvESzlWnhvbyxLpl6Vu2d5IGpUav7P/XD1mPwjCwmElVNjfpPJSE qNUb/PRjKgE1ojD7bLKxZoAmUJMibkenyM6Tssmg5ZyGDSiqda9LRwN9QNpNuNPCBfTjPqbfI8bj gaQApdYabhas5UdwpbTTajgaogB74VbzbahscwGjxO+EjqmNsEZG2jG0hdotEtGEPWZO6GiLpVpG r6IXt/5L2A69WYIxOL1CCVP6qJ06Kks7KBHffogXOhgwwUjDe7LmwparJM8cmJ3T5jYV4Sq01mgF UjumXh1ez9H73tYc0ZkPWUwjFdx/l2+EnrGX0gN3gl9+nB9Dq7q8ANWpCCG1oAk89DLBOwW7Ke1B ywZB9D8Ullf4aIaVQIFB2wBBgMMauBkoHS6DnIdhhZO3ys7t3Z5MO9IE0/w+rEWVJjyfvemv2KGH FzqU2AaNl7fo1ERcuB0xzO3PIp4dlxl0cyITDrid5AotqF44FsfdktsM9sZp1f/wUczyqnx9RKtf e/ijZSBlYhoqQYeL88ZTZiyavuMJoUCtFcuHeYzZdU7FL7Nh95AvHGPN9ZuKetvTo4Az0Y5GfNYm /Ew3cdpJijBY4j31Dnv9DJ2ez8/UpS+mJJ+k2mRaIEh95zhUXDpL/g18GlrdjH9k9m029InNVt/y XKl+hVY9Ct87iXvBvLNIvXZwioQRpueySQKSNVjzl8KoiklRryYZF4gn+cKZw9lvAwWkC5xx8ucC 9ewBmns0347rqP8wmgzJK10X5viINlLRw6/3Bumu987hm/GOACtRbcbgeLR/IUlo3BIGMjgq02NH x+jxW/CRfFKNDMfN4vqvRiSH2DoSaySF761QtKKfBGB/THnTfS8QT/ulnNWAg1s1uBZ5sC2CXubc DQ1WFlm0Ecbxldzf7NDnIpRDqqVBV0Y4nY2azyvXmHH+00ATtytwhZ/c2s9csnfjlNOu0xjBfuuL 3TXFLKy9nbIEOtQl19DS93LF3Ig2NLrFjTHqkGupHfr13NXhxb65ZhqvbTKdhFJ+OMZoKGVIO2Tk kWI9xzFkK9DASIqNGqJosG48XuKxx3vMt+0ugHx1wbFbnYXxdzZQtqXeeXL4IN5xS/iBOwLL5Cnt oXQszsS0pYDXM4Y6bjeWryNCxpKVoSPTMpFfX1Qec8s/1S9iID9Dh0PmzACJBbtI9tQxTv7Afsbn Emwn0t7sW5RlsZqdnaAeHhL22FrFAbdoa8lWEsMegEtKuGhOeD5YCJitn3yiilpELzvt11nKI8M3 fMcWi4Gwix+Ru25UhMmEfDIwWPfbCy7u8DT0I9zYKazE4ZqcE9sDqdmSF+KR+/t73XLDCVwNh4cq /ah3n7EAv028QdL5L+aL1itoRfeebB2Fmf9FCL4OLdippqls26EzsUVs1wvCLadQxkZzN9byyw4Q UdYCLc+ADE7Yt2LLPeJGpBPuF09F08qmuuA3Wxjqm6bwGql0DFBnXmVSMQM1VrbWYEHOzZWbDrBh HaUM6AhaSxaw+fMjY2f18TannLSJHBqpPxhol1SXxIsvMJagsxZRXpY7mQufAGhZ68ntVq8Wpbai 6sC637YxCXBkFUwMvk1BPMPb9Qa5tRmDiWuM+DSLogpI5PjfAj/VCJlSSc1xjbZAEljX4r3HbSHx p+fGMFLavtYW/8pIjyTljm8yE8N+KfBg1JYPern7O3C+GKFfhG3zeQ7XqOAcxACJhPkqNetEF9n0 h08T5bbe5ZJvmVbEikfHRZw42VlGWpRstZv5hl2QTYpyTUDMMNIdv+N83OaOozAoCz1p0v1Yyy9c d1+E8IMTaS+eUw6BU3fIFObJgSdGlgKJ1VnisK9Kv7CfYqLwxNyYkSCTKfzJ/Jqb+TiaXfi9ZXxf 8QZAFzSKe+wzzuLqqeZBYsZXXGg9ZeEyM9JprkVLoKNn6C5pBE4tfQe3dXIMuhkvKSd+TCUbjbio tFRe0vl6FqdY5x3DYys2r+xOw0JQIo31pQsonJcHdMRS0rT3SC/GM2+tYj+8J/cZBVHMhzE6ejEn ZeNXhkPry4P5bqlqhUFLY6l+BLt4HZlyN6nvMYLnnggVFdQ61j4LzUGxfIyiJQXTX6R13kDHwmFd fpPx5e9F5aTG//htAm+6g7R9qdYuwXrC/fKEM8ZB270tIWB5PEy4L1h9I0mow9svPhPXMuJD+4L/ mfCcUdvSBzwtJeMmrBDOTWDJ9y7QCkCF1HDTZXwmFV4Mx2I7sZILaEqpzgB8NNB91oZIipk9iQCn dmmw5DbZcHUWIMNpRGqO3mYT1WEiPH9bmRiSeR0S8/9I/rmJKjnX51STX5Yg65qvm2LCB1Dlf66E yP328/P8UAIW0ZRF0UKeCjIffHO0+etuwP72jUPo/cn3NZoAA9PoLqWgfiIvRWFFY4cv6yifrzle mD6a7982/zsepMwtCUS++jZQpv/zPyy0WC53bX40cPARV5OUAvDJ1nzCU4n3tHM470dUWyLC+Axf MMeWlD3V4cfZpLOYgQVN8oeIofPlBhT6lOXWfhcuY1USzMX0AGR176DQUE01Nbislucr6yKjOiZ+ xMhxEFOYmCtU0uPAi/tr0Kvt/1stkKEAqxaCPI3X9B8HgYT6vtnWro2mbkn71Ix1ddvMrLjgIiIl 0Lb7+HZqfiZMQE2e0EAOxSekAHrnlj9YTzt6R2eu+mnhBHhKHbtXzU90UYL6+PyC2hU/0DICxxpm K88lz8DCdcaTA+89VdcT9WwPkRgNBaC5YX6jsV/D+/bpvV1qU3UslJLUvDtTLo9ZpEUg2R5XiGlB zMEorRvJK47ZgT8BOlOdwNgmX5Ge+IXqycyh5iZtM+0VY9/NW96SUK7Jk3STeUZ5a2Gt/tP2ZuxN bwx98XYQ75EnWLwysFXzYf5ACnazupmJacfxUZZ799u4o1KMYM/yV4GDxEb7iB8Cb5fODqfV1AWv lEKlvV8XSDmfjtJfuOUzn+rfgsid+3Ahnw/XAFXbRyG0eXdb7L3WlTLvJBv2MRStAxQ1Cyr/HIWZ XwgT119zudXntnnOVq0Z9KXPbNfqQnEgUWxECbLHK5sUm8BEMAuMHP1nFPDGWc6ho9XIs3aPZP/W 4UymhwiXrh5hERLGKCGEiSBU2GHpfYEB708w7wa726x5/52p4q0lJ4b2AFBqFaSodMfSDGi0f/w5 kG43Y3uAQzjo29rLO4u8WbCDF5RD6IJ9F1pYK0u04/sMXuj5x3p3DFsZBg5Kl4lRUvetlRd8f1nZ V8qbV/X4i+NGQht17FyeRfBc+tOchbeyinqFzCrFOovbtaoWI80AQrfiGeVnsscMpEaYzeNkyk/O wutx+qggbi7BXuQ8Iwpqu9ui5DTzr6YMrrwz3SoQNRgthppV/jABNAsbOHoiIaLD8SSS7aG6WSYf NB0ynt3/pdZX4djhZxmc9/Vl3V7MOys8Qtbl6hKVk+z7csAoB6vZjZiOzOY45RFhBl05CrDVnV4Q R/qJ8s5TYXyazPzIZURfdordyePBz+i2sWWga1L8Fkz1b4WGTaH6YCVbVA/FNo7YJrHrWbkI+DPx JfjV22c6XXJgLuwIElX54/l9UspanMerZZ62HLR3PHtM9Qm03IvSFYGu3xHNEpxlTWuuRFQByX2V EBWW2MJcBP5JbfWgtE3KnU9+YNQFz0idtOEcScNHKJ7fbyjzne1XRlzjqwXFjBYrS9jJ7cv6bc8f TIyOy3bQ2DEXDTLqmpfM3SKzSAqCwk7E87tDpQw4tRU7PyrJvZb1DQTAW47aFkQjB81uMcrKFaoi WkIP6yTp2vK6xAqehfqOtmMwU2b0heIuBC/yFQo9nJkO/lB44Kf9ba3tGCTWA0fmnfuM5lyazTMH shSxdWjETEhGcl7xoPTQCGF0gq9+pUr491vYkVHaBlu00X0rTB1X9DIQzCt6PjE6M7g5gSPbB7QK 26UHY89z/AHIf/ggJLav2Qf6LcprQ4MbokCnoQP22qsb6CEHKTwhXY0pbgPZsjS9iVuuxJkIZAFh H8gH1TPVnazvTscSYY51RQHLxtDFjBYUfZXlBAWBXCIdyMEtUNdIrBU9NnF5pZg1dYUX9efrHO43 FkA85iZ43V6PAwNGLXfuteWu/bqMJr/lq96bLpYz5VwLduito/WQBg9zeUOXFqhr8T0eUanXhmGa eLkX2pPH7P6SJNnXvarW5GKxCkYcra/9t1pHfolV55sLoPyv3rH7jNPAYr2BBiv2zSMC1K9ubOda JbPDmMlc7LRl+0Nw6fl8/N+n49Pk/36wrommmjuuBLomhTUEAtp9FzLqSI8pU3pScqalfDFzaAev niYgmOLQFP2r298JCLnn177KCwPiovFMR9p0vPNt95WhRaIpdRZe4TMuR8TFbQGUahHa85l8z0j7 DThQUcKq4W91HG+LfmdkJPvA9VoygsNnyVfDw7qceu/G0/ZBYxuWdhHphL+pj3JPH1tZd64i9CKO q4+LJsrCUCj4OptaY1ByytQDKxtKGIkOEO6VKiEtQgBLWmt1HqSAlAGt1XvHqxFnKZ5UdUCU0zhT j9bBJluWcayUz7i5ROrakx/Wt2odYIsKSKiPgyIMvUSUO8HIi85/Jbv4cD0ffZenqZOQ6nch/MNP HD4ZQV53y1++xn3nU0PjvADT3cV993W9UNjcqrJpAo3spjeiD/846WEcH797NG+o4g8ybNtrIszk 0rip5157O5Vy0ov5o3Qgdz9Gn+98UlHe9UREMbk6ME7Gxupj6TOTCIlzQWWL/iRLgzQgGfFlAWaq kGdXmKsa9lT5fnvhXcYzk+UE2zy8jEQqK69jSSgyZlQ9gtZVCueIIHgTZLpzDgNS7f7SscAM2UZ1 3dAe+pV/gDSB87chu4AL05e9bybkesLyBHL/uq/olsBBydheJS5KjKDjG/l01SgDKb0FodjE5jzQ qVRf/E4+Z9ADCnBbIH4gSqFtR7cDgKj/d+6LchKzU3t632QpUI+StZ57nP2MLtt3Zawy2k6l3j14 Wz1DCPNYU7G0q6qHlV7jQxcxp+Pvnw9J9X9XJoztqoeRm+n2qAoW7EY40FqZHjHRgZRbIU/wJY6p QuZ3zop14VcnEJtkbJdcpYIPGqnDDiPgBcjD/b9rnHNxGIvjYaAx9PHm4AGivCOgI69jQO1Cpk3K 7z78WkR3p17f3562LSxPch5bevuM/A7rxbGcLqxzHvG932u/wecvNAZeMUUi5uxdd2rXzdsa7IMG XAq50BEnU8GnANTfOQtBSTpSowtKDSZ7AjM4U+jRb8+cFEu3ll1wmBs3GPRwgPZU4sytVH1wHLon gbUIYIa5UOjftilBzBCrXxpTzl+dTI9gx4luul+rIzf6wXr80d7kMVP3sFdWllcEGQfRJbU+/Zud AE9QviI/jfePYUE4AOKPukKcZgBxNq8MAjecRxG3nxk+LDcS+4eAdlV1rAFcWh2mouwUYzdGKmRL 2QstvX5Uf+RC4/hbV4jGl9uL/uUqit3Eg8+7iKT4CZeHbzoaBLrYi7uupnQ04gH7SZsiXQIaS/oB eKeaWYy33A1dOxd+lt0aTUmsieNegDCtyOq7Kk+2fv8mVoQNXFPy15U1yhpH16vj2qI2a9Ytx1UB 9ZSf5yfNag+hZRGbuy8O1ZKvO+u6M7JwlR5P+VXi5t7pEu6GiFMyb31tWwvKj+KL64Y+sC5xRj2R kD3Xtk4uuMIUEETnbOtfLb3zYGtLCPd73GGsnHPMR8AeNfS+ze4fNPyQBPSV6bYAi5MnTcVZPjou p9pG61EI4pMIxx17Pejyfho53/syNuDXNHTGQOdsC1++r8E01hOSaGXevSiDo/aUtzjJ1RnWJpog 9fVHDxkoRk4AOUNdVqQrBB3TPORMZFmpFoM65em7/qwsgq5Jkif2G74cdly/wo1hep5K+xZuD50k 39I3ySoUNjKh05yykzRvkMGe6UTCRTow3cyoGVS6qdnZW/P+9sQvxJa2QnR5iQAIAfRhWtgP1gIQ DTVCwABz/Jpzxl5/2EKuvyqMWtXoVF1AtanOMuYET0kSHvZTvkbN9SDyHfemMQxjEOJ12WoVHOHc RTkFxPpCPnZAtv/si5x/9lFyex9bHtv+MRg8ujRR4rqCajPgOsjCKujbj+JehJM7sdjkBLWJURNI 7ei8UZpBryxV78vMG+9MOiOxi6KsLBdj/X13Ovym0qQprkIiarIx8xM8yqWmXKg3MMgrJwTzCAOP sUiZYcab62OEBOQQfIEB/CwS2CMWipIPOixDmia7rDcgpRPfAu0XGiL19DVSjKBnEiMuPfcnNjDH OIZecFsltj+tFaSG91Sun2CrS6LaQRZulLA6WAneRSTgr+HFBuHmqlvdv5VlnNbKegWyRh1eb1a2 rovvT1+KrLGVDdYxe4u3GHs4AXuAd75ib8GNG0qtazWeKA4qb4kRmUu8yrk6XLUcNagGHluPNaog fbJc+zZWj2hYL7dRF498ytWGJ0F6FSdvzwL9mjmQm/iKTCUForsBkxsKTE4f8lMm0B7Rv2xY3vrz VqX3png5XWLvZ4obXj2eNXYGoN596NKrodKjQuOGSQHm4/OSp1vbo45uzTLBUqYo5x7jOj832Yqv RTi5tLNFcMhYkLer89zb6gZHaiZKiGgCQNCkllEwP+ejpYKOH48YOb7b3tClWGfmT4QdrB2gZBwT bnrcOqMps/bVF2UeW+j+IziYUQOy+vqNGGRXAJbUdn/U2+mFgd63VoxZsywETE5qT1pnpCf6Eyn6 pmlbUtKtB0Pq5rotBVX/ATGs8TkEcDypQJRVaiEzaMUBtHz7txc0TzFvBhBfOFQkDT/K+Z57Jr2X yzL9wIwbHqH5W3hFXFCg8r7pBt3UHcd/kCx8ptFIiFKZFFLUHTq/pO+1uxDGF+KdaoK41RYlM5TX eCFJu+vPQjrc19nB+dyLy8cAO+UVL9x9BAGrFyV31Uub5o8wFg780Cf9w2ChgjTfXJnQ+k4wBHfL h84O2fl5ZYoAX8+k7Onsjd9EPwH14E85QQwQt194E/NVSs+m4EJ/3YRurD0QC+anzsD9SHCGlH+7 YYnZzs/o3qfYwQmDdW88CXISbsXVbMQo7C/2GGjTtWY8PabWtA3qyds+7Pvfy6mOflOEvJrP+ukm GXSOISoZg/6Cs01BlSenzELKWkJxG1uK/Y4SP1WrrRTjklpxLCZxp3KStI8t0cgP+60T1mskDR8N iZP/VyJjvowTD7pTCsXFLPQ9n2SAyi55OIPAVmA9I9NWgofr6fOYXfdqN5Fmh75Ke0qKaP08smbV iQMzgrxGaaOWR7RD40g5empxrtbFdtc9lXkUJrgvbzwMeZn1LEqZJd5v2lsWV31YVG5kDCCBBsnz dz50IfB0QEja01VCOI4lSPzXsUvlfHJi2VNfKCerVF4IgtSuHh4EOEMmYVCvJiy/Wv18Ijaz0Rlc XRhnYZXcp7wWabRgOTddupGqOPRVolvBlv2URlD5kxVTAViH1ow8ki0g9m0EPFz1hRnbH9yr+o8/ hpVxYG/kOmsyp0hc8XugbE0TfDAmJvYCG/3qt+Ps3Yu+ad83Q12gyjvLCIdUnjM/hKtzeGm8YG/P GEuM3g7hfW/dEa57KCc5oOd9nGgN1LpE6MQJQQE9Coe6xalzTle3Q1euS+c9cTdHock+GiLEimLq 2JXI2AOmJp2AViPDmKcrRJeC5BCz2Vb1KIKhNRJhGzHjeFJkaEn540urN3YCttmjDtlI+vXZCXbq UKEHRcmtXMkjPFH5O0aETYBytSujZLZKub65KiqccJJAu9dKr5Y1xTwoPFCAPstrU2UqWTP9Mi2s kOZhDDM/0X2DtvYUBhehuq0q7pIUGtp+n6Nwfxxfg+CLtiuRLPf9FGDaHrxmXHN1dvSlX6mB0cfL q6xBcQgdwL+jJRtVx1FyNs3PY9Zr+cb5Hl52CVNkEogXeDBQcbRc5bST1Gfd3BnjscK/sy/QjRV4 n9IoPs8foj+jvGtDTQRqm5YeyTlt/xREDnQAdPtzXkNlW3Xx+LZN6+G6dMBYuWjuLxfzgF0YAtKA YZm4ROodoJOPeBOMSR/ytAE9DFq861BkKP4Doo/xoamjnfPLEusT+zKUHm3FhETVwLjjRBqWYMjR FT9zZf+OtXi/4q68o5CTpJOBplLIqIQkRmtCCuy4+jLktx0paiOKBrZLHscGSy9Da7ZJreUVUUcl gbkJlZktGEA/7vwAWjpx+KL68FLAHBo9Z5Mky1UCtVkrlfuEz8OM+h1HQwhYZQt53VEA25vZa73G K1Tqsm5Mo/gwe91kk0UYENe4hFbEO/bPhmJmpAKn37lIa6gJz6sEsU/YZNYdEPiDixCi7YDGbquy vVEMcX03D18o3H9bdV/aiRNsGOJfA4cGyCWDaQWvIGxNuh4tZGqqN+Xhv0QK/BP6VO/K8bgc5+0Q C5Ebys+SWbFUAph5x1SJwrfyy5w9R6yUvZbDNDvIopt3w1Fgkp0x+B+sVGwjLx7f2WZ1FmlwkVs+ kKxfx7JWvZDEtA3ULFk+fVjv42v0bLtHJe5Ckp7jkehqIiZ4K21BIdp79PBakIqy/YYNIt/16mcA zx+6LVRnwmLWNNb1IaDE8Y1R20BgC1TkWsLcjKMpUVVjZPj//+nUWPGDvE0tkNH8Tr9fhK+rvL4L MdMTnzmyFVpirD3ZEazUSMsRpLBSrIkDntjqu4tIziTOiIp3jYqT3WIFZybL6Xc29R6YvWwESHgb 7h8R4zJZie+iJH0kV3p56Vg0EL4Dqy4A3+3CK8QPYUkTxTM8lcTV3h/MzWgwG4nCK+Mw1PAmkucG SsCZk8FUKTr5+9zXk6ZjdK0PYCkzww2x6+bsGZgqnanFuNdJRNb9w3qbSdJIybnca3WRcPNL7Gut EhgsMWFezq/AI8GtAqvPk26kFsMrD7RCrlSc7f8piIZ5vHVMajnMeyFNDzee+KngEmXgjl68Xx5S 0w05pYO5QElZaOxA+Nr/xWpE35azX+3WaVgO367ABdFBvD0r917gFSlXwI1ujNO2gDAsqfrXr5Df r0c0OTZhYWgBAugyDhRuHq8Q/855MEpIwdx+mfsWNfoza8r5VASGWT9/lobbh8LFFgJVWZPu7Wsn d3+FCLMq6A2zOxptSKCi84ZA1BWJMq03gnDGU1673+iVz1JyOESFJ8FEpcjIv52cjmsSktvLw8/D 9RKBDiorv7DnX9mWUmywB8wubhC+wYlQIqCXnC89fMXcD4jeDRaSrTWBvRrw8mS/fYtt6XaRPi56 PB5qPCtlzklI4Psygm3jTOk3SSGRFobKxuqUzgwfUtWNoyf2O0ESQSVz6KhbqOPXi9KlSvMemSgh fMU2XwRlhYccFuxATYFl5Agd2B4H1t+un7Idped4UJ8T1P4iroKkS0FptTx3Y2DkYxs9zQ5C909G lJuxDkhyMJv+Su+fNz5NlNrMndEMO+XxypyjK2l5R3uv9Bvk+DVBKRVrKWWl1yoIpUvUOkZjimyk J4v65TTiWmdkRpb+FZNzlGtdlKdPg2bjENGq4hmtpfT5aHbE87C9xcLWRatMqWGLIcpOdh/fCtaz 7D6+P2BaebD7Ohx6Jj4S0D02qO298Wf6rUN07mdmwGCDjip++CkA2pl7JpG513dtwsrUjWW9nX5O qpjc+4K53nm5dsZeieKgSO7IredQa6DVMhiTW0+1GufNSNypu6fnvTx8zvFqLtF3ptmCtgJzeKuR QICMo3gSt8rJs8c69K8MgU0fraBnGtmceEyiYADL625EPqwEzZpnK/XMhphhgJPw7tQcw27cNl3r +q8+aX6mZusYAO816H+6JrxjwJn4nF7tFTLSht9S7SxqqoqHC1J5hkNhRQmnoeCPoWsdNp09dXec 89eWeK/qQ9xkbMlsZQ+k6SY4r2AoE8n+2r9mlgF7yLX0pIi9hRT8tIMKpES80yJ8zSIpDPdfhW3P VOo0FyROMkkoQwjvvJ7ZFiyYkBLTazp6ggn6Cdi6gDLjEdUJhwlq5Jlp6Yijd0tR685vkgaw0QVj F1yVf1sBIEsczRwCUXXN//VWHhwtn1UkRIvM8c0z6SbgtRMRyul/xQQznwBVhpXKTtehoKLRiaI1 zo9oTcyUISyK6E92gYudRQXIjk8mctavl8/6neLVsKULF+I9Xd9lAPjFJ5BRI/w+BRFqBGBOPykl U+UIwPOCWcXDD4urovYqAn1WyvR7krVIEHk1AQ58X0/qUqfZWxU0OkTJbr1QYnA7k+LmetV+77Me uv7CgAXyMx3DOj7cNCXdw7Cr/ByG8OVN1KdZFTUTY+PyW7ogSu6wyMfXrI4NnFkBLxxyyrvcphyC Rjku7oZ8zFLoNsI+QQQwUQ2WGk7UDjmbpa5K0ICoqnoMVUyfNl7AZBg5ZUEx/6BRSelh7+edXptX tfYVS01PtUI1Wlhsov6hfBGXf6O8fWnbFV1o05Miwl35sYHBfVabgVJlqCaCtCbW8HxdqTE1OC0T YTQtndrcpef5qFcBMsJu7ueU2YV05d+/Px1NI9L2MrDaWWow1pzTRBo+rAPPMjADHEVAT1LAeWSP zX0ZpUGC8h420/tHUqo8sDyE6H8lBWW16KggYDIEcFrtcqddZQL6xknSf4sHY/RGv9Nz+Ta1DhUq +OaAevx0am/HvmWIWf4rczBAcRsmA01vQ8nKorTeKKfBZNvp/kcLKvypNzM1nDwbtk42Kh2VVRJN y1MsArl3VpAczFxoDWCU0ymvgg1j+u9BRTNKZJ6H9NAR+nYmS8Encdl0NRu0WLR5VUWtWiEgZTwr /MjjPOQUnYxtbp+a5GTp1VDVKEPffmuFUYFE2JWSch3lTtMX+gVpG+vijbQ/MMhyaqjlUhXIZiJs wC7iyVOIJSCm6kcyBzmElEOyyrM8ncdcD50ojxuRSATSvg62EXTOFf5W3+qy5x0BZpeAEn93ryOI bTQx4CHzRJ6XCDeeNKAuE4S3urJVXRERUXojuiNtvyRnNHOpkNXm/o+KQq5AP49+if0QTImHcLYr i6rKFpqUMWrgRBoN+5xL/IDcCUwSh0lOyvUOiQXSQSjaYg8Fl3s8ocv1b6Nar5pOAmpvtEnzbzuf SwBvWVXc8Q7tkRXHP/E+vO16lKgDtRE1dtyY3IT5jS4Dt6ZPSgIjoIJPd/j08X11DSxB5tOrVphF urhXYEXN+KFgQfS+5Dj+8ye0eKdoaekOqSqtKV7W4TR7dL8qqm/uOOHZKWqJwSRls4Rkupl/EqNx GcD/1jup0aw0ifVl7OdnggIoxvMHK40qrWuga25/3IVqtJj+Jf8QxwjVIQuLbDhq9mrVO1inSqm1 1X9uhWEcnbNG44O96LyLn89BsJuIBLbMH7jCG1+iH2Eziv9/OLO9+2EpvHKHFyjwsLyAKiKssrVA FAGkr4Zoi4JeixboIW7I64+/kfI03rGGQF3yES+uIEzJbmjO/BNYF8Tqv2StitiQCsIOE7FB1WUo f1NkM5EeOvHSYFAi8vbHChbOxKwxpRt5Jj10k5+9koDwFbVTR5P4fkhxg6pjKprLWUbLiQLAUxIx e/Nt2X3zdxBuJ1F6jEKwZcQhGuikR7+awbzL53KcqwtGa6PBuc1pt9B0U3wm/XRLtPphCxgTmbmm XqynR9yoy7VK9bumPPs6mWGHQjgtk6Y/3dZoHkE3PRvW9RvPHBo2Clb/5LESA4H9fJyQ9ix9KQ1F sZ1rnMQstAnFb8e5jA5CdyaqPFAbQbS/wQl6bKI/8NpWVLpWz5T1R9iOvRnKYOptanyzWdpLsnVW MPWXFHoSnxUhcEziGYZ1hv4YhzKE8LljjwxawOJgriNVvJE0XX1pLLCH6caqWJEmftcqNcqJsuIK CvOhJQfoy2/nM8nNY6G3qpcH01EWOOxqzvbXyBmWz9mi78SmDoW0X88ij2HeseS9tfPUhugR3VPK M5VzduoM1fNFb8mI1/meHidPPdLnapskCvhIlo/qoxAk0AOUG1X4o/bjtuH1FAcI6ZXBmQqOH/Rd 7zmOksOla0LE8Wev3v/DQnwgrxYqfntN3dWUCTrdWHMlwgs1TmImi/i9djuxSAMttBb3jFlLtN9j Iye8m5Q2LjEdoEL6YmfqNo01G05Y+BUsKmL5u5iHOoBGBkYAcQWhb2RdabnmzE8QaAk4VewVYEph I+14+j9KsDS0sr0v+rwjnA8zlFZ6qGBF3KEj0ISiQYvju6E8pEQjam4goZVWvtZp35KZAy1TYYIQ pNWLKrzEsutaizk4YvO3e+ZA3rxKOZ5W15ShvT8YuM3XLW5pG0mAqwXcWlhiIG6Cy+voi9ePtrit 4OtmSx39WMa5LkS2Y2pAvHvTOY2jC/Ba4EWepCBkXf+/RDRMQXEv6weg7KjpweeLePL8xYBo/oGZ sCzqh9rCx0MSOtU4d49uFrZyemusZgBbRnFSBWbIzQ73mWGWhKypjNr/VkJmB0F03hBsXgQObt54 e4k5YqzjFmT4Tj6GJ0YS02u6ve6mB1QG1ZbXr2Ghk+VjgUQ+kmeAV5SygYvmvlEKtzkLwzYSJmFV H4fkV/syNRnnCdbeiQ3N/oU2+wh30/9pg7CXU55Lc9xSjt4LXnrIVxag9OArASWtUfgoA45/vwf3 0IIa0D9tDlTit8FrWMEIhjSrrCJzn+44JCGShADRgCVD5ZyRlV1yNFzELB45UAXzo/pFsTHlYFsO K3Y+uK1T2dTRIdU+8GT2LV3kx3l9IEFnCLTQqkwYMzaYbF/wxfYRJ++c24DcNF04yEZYWT7gBo3y WiaAPifZtoK9a/pHtQZYlnSNxlmmYL0jJZtqU3yjzRh3+QZxWlK2A6FR7OGHe7Bd7iFDb4VzRbl7 zTv1wSxgarGjjngOAPyJt1ofBzCLYsbDdDwdARPUSjXU8IAPQVU4o+eplh5cxvUn3E75JOI1WCzm 19zO/rzJQr3IUoUJROdcOvM5Zpy+zDsNBlpG4MzTBpQW8Qcz7UE1E56AKb+rqbccwYb4pNP2ZEIx vpSnmXujc48hswz6gTNhMAYOracJ/4kivtp0Lt85/hV353KohqGiMz/rnm9k7tqD/KgLEB500O4a rnR8WIw++y2oZp677/ezeV8xgZ5PJMTo1xVNhFsYZNLelRbYoWWCm3hgzAunB0fMuUrVMfmPkhQP 0xOHbxf7/cjQlDWjgrG1qG+GoFZMhSUZBK7CYDIpo6zUGJcMrn5TqtOvGp7D6ZGaJRiQk0NdMhVy nB+aLHHGT4WAjm14Jy4Fz4MwUimAnq0dS03HNlFXyMr0vt0aEssTMowne4At9SZjHzjmKrqiwYxv +o4nKfJsrqS7xwaxP0yc8yqVK3OaGLSOwg12ya65B0DJ2SwbohzOzKUBHeS+0txUzqCJ1/ODmrmi SZ9FBMSPDKFga6kJWgx45BMaQFEPYwcMlo7P9FXKO4WEhQVMvDwE967+U06oBBWAeJgehPttJ/ms xZk3NEmtp1+1/y9ExWJMr3yYOA4rw+melQDC6imvM9oIydoBk0yKgIL7ViC4NFzPuGihdDU7Gbc5 918T3qHU+rO8Zk/KzdPvbZim3GuQvLJV67arLaAaiL6ks7vkDhIhjAuKubdZqoIExwZm7ywUnTPV NsmVdCh+axjaqHTxSOLTCgp4DvfQcS9YzQAnhEFD63MNwz0W1nbG8s4WAsX/LL8zTi1ZUT/qCZ4a uWJz2gB3r/WPBS7ZKPhwZOxqfOdsVVxlr4LqwPqFdmjEM/QiQNkbeoNeL2SYgVPTHMbAk439B0u2 0CNlFb6CdsLIYjCO9zufw4SFqGl2nrtoOm/aXU83S5FmWrIRDC2Pyq5kalBiwvz6ejG3uFLFN4vL ZDHSdxXD0aG/t8xXMCuOxhBjwrZCRN4b0WTq3qMIc+B8ARpZBqzhpM5bI3IyQk5dONR7WjGp8FIC dyVJMx/4b31snKYxVDR4gz38DFaV9gaTy+XAV0uVmkk4Vaxwzpk6/tcMX5ZuAGk0OtQmy1lSFY0A l0aTKrfQendB4F18bYxgIQ1d1J/8daSSAWS5wiLcqVqRlq+GULbiGY5YsgKJz5XXgicvBqTAYpDc wE4zY+aCNOn73ACp5jzK3TfJZzdC3sww50e7NDxn2bmzmnYcG3xPsSwCeh1wY+TP9R4vhAmzrCE1 F5vsqybngQkXztBZk0WvLro84f0xZetsHiW0zr378Vcv440DMcA9yym1qgQ+UBkDrCjj+luK1MqI zKxuZY+dIMJrLAtukdaba7gqOfYeGqMkotADxMDXwbpEsX+WFkUT/tbeTDPuah5zfLLJbI52WWz6 s+UZknw7OwvPovRUpWA1d8p4PArXVKcgpjB0ocezO3JkxJiXvsVVwnmsvD0wB2Vs/pyAu8lsX3XR 7TlfUPZOIKcFJor9hhKDIPCbyYzbesGPQ8MOjSdLPmkHrSlFS2HJefFtEK0P3xb2W0GL/kCqQWtc hMx0lYKc6v5AZaQDO85vpQt1cgMW5KSQD1gZTAM+ZDHhaiYxTskuJPWdmtXixriNpp9jjAOZBWdP HgdD//k9riqf4rykZ3t9Hbko02k+nF+t3n4IDoxIiJSzP6cbfJ3FoAaUxCZDwxVphZyqNq2VTo9J hjTNrEs3/CBWTDj4MYhHxskCUTGhjwecL+k1tpeg235HBlNUnlk8mDtzsPGaDKcfuNH2EaNvjHyO VS66r2fnI4eguGCqDLYOcKV2EcBdyO1mTkId8A8gAZkhcJ6/nTzJiENyjrYFF0p/Eh3WxTdgYZQA nDHLv4zGHRygSmVGdPF6VCUPylvTycpd9CiXCu+U/sRcDbbuUpBBq4Y/WVSdEOb4QEErys+OefUH eX4vP1g8IRSQj6eU5XgX9/ztBbfuyeQbirsYWI9MfsBBndgxB6vlOwF3R8O+z8NxkdQsksivzs/D cDbOIg5YIRwnfKXwOfFffv4p/OnosApKxxYaZhGFr8CV2cwM2jWKtNtaegNgVHZiN980KOqVqEdr 0PT/KU2XMvKlPrh4iOAK/bEDkiLGwpnLAmVwHYQ/Dcc7zi4nkn6YUGsTP6Ryzlr4zvbOMyVuFk0w EA6G1VBxiy5vr4A5O/0iJfiOVFeMdhgotJRFuagAEafCN6dZtBlAH453jSNbkcHSkYcmHh+bDsYa UCDV7xR8y84pREylObhVicY/uaXYhlitzLurpEigcoqYRQPxIa5tKqi4ToiMm6bFUe9ZN/Pyi84g aLt7QFN2xDvQlBHvSAfbfh15/IXga5Hc6IxrzHz5O7Sw91yN8NEfvjPMucK/zdG9YzgfQbLkaOqP RKOIzTc0lK+nPUGdzaP+IeM0wuD6/RCihZxnvdIXgX2nuRWwZATgZUGyDZAU2677Ri43vn8JEa0Y uQWaaYcG+p0zwTkpLZHE5KJTZ8RrEKApEe19dScYoooIwqZ/t7hI5PgqpuPJwc8pr+3J/O+Gut2U 0B6UC3ZzlkdOwrYNvL/ctUy77WStunxVOWUwag4KRUZaNYUwNtvevnkwEyCBtk8iOzYzGBkjWK3i nKuDAjOrgReohuMwV2BQ11cA4WV68xE86Kf7IavCjpcG3bZw0uDgyttIXSAcRIIqxN9CUO0QEcK4 uqWm2KiI+hStMoDA9SEGGo1tCL9NKrc9JB126KpUrDoDNATGXMoFxJ7ZRRgUwaxEfCwNqVdQqyov xoqFfQIm/bLNdQZ4vI+G+bcS/UDncvuQv4YYdaEkZcKJh/xTFiT7xrIIMLDuVsM5XHsM9NWende1 LdtxxdQXWfVi8d+cFBBsSy21M/HdhikZ9zc70znIxhbFid3svqBGU8zG93gTRJp9TezKqb5RP/Jd Uvsvx+sHu8z7vt2uAwwbRrdnR/iwBWysoD3rzurBzZ34HAG2vTWWPOMxZkrYpN2oQfcwVOeOvW6y BSIojLzSjNUFW9Cz+7CIa90Vxnmx1ps/FU97kcyMe1trkXh7imIWBakd5yfdpKesXS+G/FpJvKVl 2ul9zDi9rg+VkmwMKNMHTQYh4RqStWlAvK3cfTSy2wBgbY+C6gent2vx1I57jnhmUm4khl7ZnDd2 nTbIWnD36R8GnN4t2k3L4D4+rjLxHLvfpIKbF9aGcfme6/bZ1RdrHOT5hUSlSVnVlJDU1QX25tBj xpfCOOAAbsaxOdJIIJUOtCfwb2bcI8Z44xNTB1k5Zdww6QQT07skQFvAljg2HkS3ogLQ63svlssp d202z+l/2L3ji7RO1kzR/xlnGLafOHEcSyP931dIsZVRT62N4QrK04HIkkBiHW5+FPEFc57Hbkv7 nF+ppKXWP8CgHOKxO+4aVkdzqSSA+0jN+R4cfssRS0v+z3/ifnkMGL/GxQKBflAvEl0o9PJO1wl2 fQLKju5dot6/Cf0B92Juo6BV+QIjv1CvlfNz83MDT72xhIXPIjOkrs238EkTs3gmo982OrZ91vcb Gv0EJrpdS80aO8Pi3GI2m/NuoicdmHOH3/hD4uy7xmfysI+wyqPsCMXWeiO8meYY868hqXMMJMCE LCaCCILrmkkfVkAYtTNAINtnlIAl2/MC4nmUI/ejCHXVp010tt0VfBLhMb0GJer4LkZLfKHB28h6 USGJ9YNhKBX2KzwvbSkS63L6L+NCYmJ115ULe9/A9rxSgyN0iICrQlxGo76mr1kSz0c13D6eRg5b FHHLc5EOGzfoexdxqVWES/d4CEICkJNqhPHihXWmcZPNIyWc+as3s/Q7Q8NNmlVU285wZVbPEFv8 9hJMIeZ+IesMfNy63rvrYXQkQ6XxgD5mpx0HLrLxBgNuZua+AEomWRv1COafR/PeZz04bxKhbjIe 1W30fwckR2aNpPEkTXfqoVmgaRNBqkVOADM9QvH0qebL1+z4a8sW13l72T3UMF7DONsFiOeDuXrN OeOJewfgC0FVYC7jRT5T6mXDU1Eb9TjRvEBepTpQ1cv37ZkHAKsA4yLfReQvQmJyGU/6ugl5n4+u tDfeqJ6Y+VV/wGRNWItc5+tuNd0faDpecx9QcuVz71sTrtDCZJZUMLd9aatqm3QEvyuOsIzrk/5j rowCA+dP8R1K9X+GAJMfShDIYZFIEGO0/vwSMaExMCPw0ijT+lKE28o5o/MdAJnCsw3FAISjmomk 39Nu9Ahq+MjakqzBmlB32Zo4FledQxm3rAEoONmj/NCmQMuJZImcI71+7ksWNafnc1mEJ4DZxd8X hKxc5iMzdy8Ps7fKnhH/y5v+eTyWUB2g8VZ43x2efxEY6qoVONz5evMYbxfsYfc4ExGNsgfORaGD LOVkQO5ZlovvepO7pu2xYGhmfBvcji0A+nRkUYu1OPeg3opfn6dAP+gOtthwd0Xo0w9x+ltiqlNL ot44dXUWV+W1Uy+uRz1xLYzaSJ3nQ716bX6EFZxg6idjxzIZM2bJd1kJoCNxH3GXQd6KmgiDE1jc ogs7PNEj3/+dc6c9KBJ9wkhO9UTd3F8dUiOLFoqsu1znQLs8OpmGjFc3hbdOraADIY+vXFdSUJhl L6HQ6t/FveHRZWxo2WXheWK4cPvgPo0JqZ0KbBLR2o+vGD3nJNiVApddvjRUY93BLsTl+lHL7BVH z8Zkok9pXiDK+Vf1mIf1NVkz1rXvXZ2JT79QfyaSxYClwBbtMjSGLJmqkIiNKGxqd4WShloqiuaw szC2iA4uvpSL+Son6t29N390CqRBbOsFuCGcskwsF+STF8dZEf3bAm8IhyMEA0kWVQdEIQtKpyxY huxOK/ZqPhz4rgqSKY4y2n+uIXG5KThXA/k0/vblyhKz3mpwj44cbp9vbWSU9RYEE619shJUsuqI oGPHapfwN3AcsCigF23sPDlTu+FQvv6gZZGCxv49Wx0VNi10VMak92wtBG/I7Ye4GzUXfMkl6P6r hr/e7+lNAZpW/xs/VGzm3HygTiCtq8IrxGlziqFx1vVJNS1mIiJUMfNVblA2HmtczA5sYyKX8qTj oi/phxaRouW4HWYBqLFepOKLA5JqQGj8HvEzTaYXimVUc7UNBpPP4lHgX/pmneZ8IDxUL5o9oNHU Q2LZzIvm6t73DU9WGQMc1797SnKSPX9bIaLwrldKXpMyJMt1EVju/ljZxBXRQ4UD9HtBkKn/N8Qa st75b/c+0tYRhWyB1q3P/Q9q/qAGBsByrhPPsL8MpJEiIRH1L78xXB2C1Tp/ufQRlzSCJdX5JZB0 6VK38XIUHJKg85mCm/v5lJDXYZ1j7kDvD7P8b/oI8WdSgFLPODeaN6exbMdvQDjcCbfo+m9Usggz Vub3MwV1hu2aBW2/o8BGkXtn9lX53AR2xSSLuwku2OikULfxGSTRbgG47dT9QA7LEeglUIctep+0 Cw5WEDXEsqUGi2rZg23u0U9Wvy298Ml4fFE+eZ/5I/A0e+n507RwzeZ+syEIZO/M1vQQdwRAl1vQ CX5nhFkE5NQo09kqMCzwwusDldReJnF39/Mq+2bcrcguwnxwhclc9+wVUQyAoSGZs2LWVzTJGA0c OH3VnirLZns4N3ntJfiywkq1nhX6IlKgbGUZ4TD9mzpTm9qktDtbziAMddhV73mAb0C4dv5/BzbP CRRNa2Q+mii9jGpEFkJYXi+jJdFpaU2RSOot6exf1VTk227hBJfEggp0TC0HNoP0z1he0oqph7SW wTJZkHrmF+c8n7vBiHrmK9Uu0ZuJYDDrgZGxNB9wcgoKP/WJl388MdP4l5LCj297rZ7RmWjbOtqr yyf0ABjqpwAaIgmmTl2KkVysTtiKOlPWINTL5biQibjK7ABK/Fdu0+zVmgrLkDmnCaJw60vnnI36 BSLE54wZgiKJu1Obanwfcj2bqtCrrGw0a5LpA0xVda70AN5wn6z0CGGZsr9gcYDK0a4VmATLIEfY WzcbYIojteYZ1eWHTvTfr7IFbfA7T14pvdrKxbInkLsGilv3kuiUv5h24S4WgfQCq6IMzJ62pCd3 ltCGFTQy9rXlGIXtBfKwLMTkDggE5DRUNe/+JZsmATlZ3w16O2c/de+tVUfPO7Au/3ebWSVM5Gei k3tgSB4uq1XjK0VzQskIXuAnGNZfY6DZKfo7bGudlMTtMhKqGvLTFT/6K3wTZ6sXPHjxiu4KKfLu ump06J2LbB+Tps76pKbi7KQ7Tt+XzEM94Ccv4jzc6RqqdoM2CZwMSPitGKgCmvwvB+8YpzgUCCO4 1kIgaqVDZ+FjrkoyjJdttFB8dBGi8hl0wbKtCpmC6VTAa2C1VAjbi0Mn40602r6Waz0gTNJQQ09Y i/GcqEVFwjlpRJbF0vPEnaRyOPOGJNCZEPzcieti5mc8pnDE2JZk9zLwdLndUk1eIdVdCvpzv2y7 QffHcXzrthAlgppwIeae4eJQkOfjMVhgWH9O+w6gV+znHitEsYPm32gXjHNk3e1pFUmM4zgDxKS/ s4xCMmvsS3bGgyeMQ6ECHuL3WB9lpp3a6tR9/SgSsEEjS08VVKIpvHLR9AUh9HO1278/5icZ6gsP XS3scapfKypQdYGKauE99aPI1/TIjpdCM6VuDqgZcfb4tPr458pAbnmsyBypLQsi4mp02VKq4HJA We1ityhrOj5Ys9QAdDzUCEiiaO3FC0viL9wzeh3or2I2I0D4fCT7PP0wCBrzKWt9AQSSMX22WBNq Km07VfasXPQwgg2pm+wlHgnaLaGjmAf7ugU6mJijj1f3J5CUmawf0aX1LWDSTI/6ZrH++qiLeoxn E4A37DDDs1/5vG3UphZKog6rVkjWd9HP2TNcfRU8p4HTJrfn1lDhIpaQEkdlvqSk176dFE7KiP3D +v216jzgVo9db00ki9ss2TrYW3VCgRdCTnFWKEeBdMPXu34VG3tAIcJtnQ2+imcUTen226ltGJ7L n9Zb31yBovPdUevJ01LRKPM548RHACkBGpQmBxD7KbWHJH2xQs8lAgDSa4hAHNRRZ03rqOn9xjT1 JGLE0fMxPNJTUzR2vddxY4E5uS2JIQVoUqGQO/Iwmblrvnhafp3ujFRqB7dgakKsdrcx35PWBmS3 dcnKLhUxtgXkW3uepkKKzWQRjJPfhT/CvNnvsZYaQzLGpIrj+JjWO/TwFwgrom9yCs7iwSqQGk27 ef0ioArgmiGXM3qAUZy8T7fSGhgLQ00xd/wuN7EsQep6tKK47zQAOD0yD8VH9j8CsEspWqgHibMl T1dCp8m5VezIDfU7d4+ZC4OLkUJ89fc3oa9uORSywdqTZiKli0dAwcziQdyWrLuXkUWodWIMFkJI 0BeBTrxsj0NAqAEj5av9TEHjy6+BCKXQnEKl2MfbkcwzZj13h7E1iWzr0q+EEHmQCdjgvWDWrK+S 8S8k `protect end_protected
apache-2.0
1bf4327842c04d92824dff536cb2805b
0.945703
1.832277
false
false
false
false
sandrosalvato94/System-Design-Project
src/polito/sdp2017/Tests/sipisoAluControl.vhd
1
3,962
library IEEE; use IEEE.std_logic_1164.all; entity sipisoAluControl is port ( clk : in std_logic; rst : in std_logic; strobeA : in std_logic; strobeB: in std_logic; shiftA : out std_logic; loadB : out std_logic; loadC : out std_logic; shiftC : out std_logic; startC: out std_logic ); end sipisoAluControl; -- EA <= oBuffer(0); -- LDB <= oBuffer(1); -- LDC <= oBuffer(2); -- SHIFTC <= oBuffer(3); architecture FSM_OPC of sipisoAluControl is type TYPE_STATE is (S0, AF0, AF1, AF2, AF3, WB, BS, BF, WA, AS0, AS1, AS2, AS3, C0, C1, C2, C3); signal CURRENT_STATE: TYPE_STATE := S0; signal NEXT_STATE: TYPE_STATE := S0; signal intO: std_logic_vector(4 downto 0) := "00000"; begin P_OPC : process(clk, rst) begin if rst='1' then CURRENT_STATE <= S0; elsif (clk ='1' and clk'EVENT) then CURRENT_STATE <= NEXT_STATE; end if; end process P_OPC; P_NEXT_STATE : process(CURRENT_STATE, strobeA, strobeB) begin -- NEXT_STATE <= CURRENT_STATE; --redundant case CURRENT_STATE is when S0 => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AF0; elsif strobeA = '0' and strobeB = '1' then NEXT_STATE <= BF; else NEXT_STATE <= S0; end if; when AF0 => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AF0; else NEXT_STATE <= AF1; end if; when AF1 => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AF0; else NEXT_STATE <= AF2; end if; when AF2 => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AF0; else NEXT_STATE <= AF3; end if; when AF3 => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AF0; elsif strobeA = '0' and strobeB = '1' then NEXT_STATE <= BS; else NEXT_STATE <= WB; end if; when WB => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AF0; elsif strobeA = '0' and strobeB = '1' then NEXT_STATE <= BS; else NEXT_STATE <= WB; end if; when BS => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AS0; elsif strobeA = '0' and strobeB = '1' then NEXT_STATE <= BS; else NEXT_STATE <= C0; end if; when BF => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AS0; elsif strobeA = '0' and strobeB = '1' then NEXT_STATE <= BF; else NEXT_STATE <= WA; end if; when WA => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AS0; elsif strobeA = '0' and strobeB = '1' then NEXT_STATE <= BF; else NEXT_STATE <= WA; end if; when AS0 => if strobeA = '0' and strobeB = '1' then NEXT_STATE <= AS0; else NEXT_STATE <= AS1; end if; when AS1 => if strobeA = '0' and strobeB = '1' then NEXT_STATE <= AS0; else NEXT_STATE <= AS2; end if; when AS2 => if strobeA = '0' and strobeB = '1' then NEXT_STATE <= AS0; else NEXT_STATE <= AS3; end if; when AS3 => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AS0; elsif strobeA = '0' and strobeB = '1' then NEXT_STATE <= BS; else NEXT_STATE <= C0; end if; when C0 => NEXT_STATE <= C1; when C1 => NEXT_STATE <= C2; when C2 => NEXT_STATE <= C3; when C3 => if strobeA = '1' and strobeB = '0' then NEXT_STATE <= AF0; elsif strobeA = '0' and strobeB = '1' then NEXT_STATE <= BF; else NEXT_STATE <= S0; end if; end case; end process P_NEXT_STATE; P_OUTPUTS: process(CURRENT_STATE) begin --O <= '0'; case CURRENT_STATE is when S0 | WA | WB | AF3 | AS3 => intO <= "00000"; when BF | BS => intO <= "00010"; when AF0 | AF1 | AF2 | AS0 | AS1 | AS2 => intO <= "00001"; when C0 => intO <= "10100"; when C1 | C2 | C3 => intO <= "01000"; end case; end process P_OUTPUTS; shiftA <= intO(0); loadB <= intO(1); loadC <= intO(2); shiftC <= intO(3); startC <= intO(4); end FSM_OPC;
lgpl-3.0
e83b94f663505385e63646679989e48f
0.555023
2.606579
false
false
false
false
rhexsel/xinu-cMIPS
vhdl/packageExcp.vhd
2
8,656
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_WIRES.all; package p_EXCEPTION is type exception_type is (exNOP, exMTC0, exMFC0, -- 2 exERET, -- 3 exEI,exDI, -- 5 exBREAK, exTRAP, exSYSCALL, -- 8 exRESV_INSTR, exWAIT, -- 10 IFaddressError,MMaddressErrorLD,MMaddressErrorST,--13 exTLBrefillIF, exTLBrefillRD, exTLBrefillWR, -- 16 exTLBdblFaultIF,exTLBdblFaultRD,exTLBdblFaultWR,-- 19 exTLBinvalIF, exTLBinvalRD, exTLBinvalWR, -- 22 exTLBmod, exOvfl, -- 24 exLL,exSC, -- 25,26 instrns handled by COP0 exEHB, -- 27 exTLBP, exTLBR, exTLBWI, exTLBWR, -- 31 exDERET, -- 32 exIBE, exDBE, -- 34 exNMI, exInterr, -- 36 invalid_exception); attribute enum_encoding of exception_type : type is "000000 000001 000010 000011 000100 000101 000110 000111 001000 001001 001010 001011 001100 001101 001110 001111 010000 010001 010010 010011 010100 010101 010110 010111 011000 011001 011010 011011 011100 011101 011110 011111 100000 100001 100010 100011 100100 100101"; -- 100110"; -- Table 8-25 Cause Register ExcCode Field, pg 95 constant cop0code_Int : reg5 := b"00000"; -- 0, interrupt=00 (CAUSE lsB) constant cop0code_Mod : reg5 := b"00001"; -- 1, TLBmodified=x04 constant cop0code_TLBL : reg5 := b"00010"; -- 2, TLBload if/ld=x08 constant cop0code_TLBS : reg5 := b"00011"; -- 3, TLBstore if/ld=x0c constant cop0code_AdEL : reg5 := b"00100"; -- 4, AddrError if/ld=x10 constant cop0code_AdES : reg5 := b"00101"; -- 5, AddrError store=x14 constant cop0code_IBE : reg5 := b"00110"; -- 6, BusErrorExcp if=x18 constant cop0code_DBE : reg5 := b"00111"; -- 7, BusErrorExcp ld/st=x1c constant cop0code_Sys : reg5 := b"01000"; -- 8, syscall=x20 constant cop0code_Bp : reg5 := b"01001"; -- 9, breakpoint=x24 constant cop0code_RI : reg5 := b"01010"; -- 10, reserved instruction=x28 constant cop0code_CpU : reg5 := b"01011"; -- 11, CopUnusable excp=x2c constant cop0code_Ov : reg5 := b"01100"; -- 12, arithmetic overflow=x30 constant cop0code_Tr : reg5 := b"01101"; -- 13, trap=x34 constant cop0code_NULL : reg5 := b"11111"; -- 1f, (no exception)=x3c -- Table 8-1 Coprocessor 0 Registers, pg 55 constant cop0reg_Index : reg5 := b"00000"; -- 0 constant cop0reg_Random : reg5 := b"00001"; -- 1 constant cop0reg_EntryLo0 : reg5 := b"00010"; -- 2 constant cop0reg_EntryLo1 : reg5 := b"00011"; -- 3 constant cop0reg_Context : reg5 := b"00100"; -- 4 constant cop0reg_PageMask : reg5 := b"00101"; -- 5 constant cop0reg_Wired : reg5 := b"00110"; -- 6 constant cop0reg_HWREna : reg5 := b"00111"; -- 7 constant cop0reg_BadVAddr : reg5 := b"01000"; -- 8 constant cop0reg_COUNT : reg5 := b"01001"; -- 9 constant cop0reg_EntryHi : reg5 := b"01010"; -- 10 constant cop0reg_COMPARE : reg5 := b"01011"; -- 11 constant cop0reg_STATUS : reg5 := b"01100"; -- 12 constant cop0reg_CAUSE : reg5 := b"01101"; -- 13 constant cop0reg_EPC : reg5 := b"01110"; -- 14 constant cop0reg_CONFIG : reg5 := b"10000"; -- 16 constant cop0reg_LLAddr : reg5 := b"10001"; -- 17 constant cop0reg_ErrorPC : reg5 := b"11110"; -- 30 -- at exception level, kernel mode, cop0, all else disabled constant RESET_STATUS: std_logic_vector(31 downto 0) := x"10000002"; -- COUNTER disabled, special interr vector, exceptionCode = noException constant RESET_CAUSE: std_logic_vector(31 downto 0) := x"0880007c"; -- Table 8-19 Status Register Field Descriptions, pg 79 constant STATUS_CU3: integer := 31; -- COP-1 absent=0 (always) constant STATUS_CU2: integer := 30; -- COP-1 absent=0 (always) constant STATUS_CU1: integer := 29; -- COP-1 absent=0 (always) constant STATUS_CU0: integer := 28; -- COP-0 present=1 (always) constant STATUS_RP: integer := 27; -- reduced power=0 (always) constant STATUS_BEV: integer := 22; -- locationVect at bootstrap=1 constant STATUS_TS: integer := 21; -- TLBmatchesSeveral=1 constant STATUS_SR: integer := 20; -- softReset=1 constant STATUS_NMI: integer := 19; -- reset/softReset=0, NMI=1 constant STATUS_IM7: integer := 15; -- hw interrupt-7 req eabled=1 constant STATUS_IM6: integer := 14; -- hw interrupt-6 req eabled=1 constant STATUS_IM5: integer := 13; -- hw interrupt-5 req eabled=1 constant STATUS_IM4: integer := 12; -- hw interrupt-4 req eabled=1 constant STATUS_IM3: integer := 11; -- hw interrupt-3 req eabled=1 constant STATUS_IM2: integer := 10; -- hw interrupt-2 req eabled=1 constant STATUS_IM1: integer := 9; -- sw interrupt-1 req eabled=1 constant STATUS_IM0: integer := 8; -- sw interrupt-0 req eabled=1 constant STATUS_SUP: integer := 4; -- in supervisor mode=1 (not used) constant STATUS_UM: integer := 3; -- in user mode=1 constant STATUS_ERL: integer := 2; -- at error level=1 constant STATUS_EXL: integer := 1; -- at exception level=1 constant STATUS_IE: integer := 0; -- interrupt enabled=1 -- Table 8-24 Cause Register Field Descriptions, pg 92 constant CAUSE_BD: integer := 31; -- exceptn in branch-delay-slot=1 constant CAUSE_TI: integer := 30; -- timer interrupt pending=1 constant CAUSE_CE1: integer := 29; -- COP # in COP-UnusableExcp constant CAUSE_CE0: integer := 28; -- COP # in COP-UnusableExcp constant CAUSE_DC: integer := 27; -- COUNT reg is disabled=1 constant CAUSE_PCI: integer := 26; -- perfCounter interr pndng=1 constant CAUSE_IV: integer := 23; -- use special interrVector=1 constant CAUSE_WP: integer := 22; -- watch deferred=1 (not used) constant CAUSE_IP7: integer := 15; -- hw interrupt-7 pending=1 constant CAUSE_IP6: integer := 14; -- hw interrupt-6 pending=1 constant CAUSE_IP5: integer := 13; -- hw interrupt-5 pending=1 constant CAUSE_IP4: integer := 12; -- hw interrupt-4 pending=1 constant CAUSE_IP3: integer := 11; -- hw interrupt-3 pending=1 constant CAUSE_IP2: integer := 10; -- hw interrupt-2 pending=1 constant CAUSE_IP1: integer := 9; -- sw interrupt-1 pending=1 constant CAUSE_IP0: integer := 8; -- sw interrupt-0 pending=1 constant CAUSE_ExcCodehi: integer := 6; -- exception code constant CAUSE_ExcCodelo: integer := 2; -- exception code -- Sources of Exception Handler's addresses; signal excp_PCsel constant PCsel_EXC_none : reg3 := b"000"; -- no exception constant PCsel_EXC_EPC : reg3 := b"001"; -- ERET constant PCsel_EXC_0000 : reg3 := b"010"; -- TLBmiss entry point constant PCsel_EXC_0100 : reg3 := b"011"; -- Cache Error constant PCsel_EXC_0180 : reg3 := b"100"; -- general exception handler constant PCsel_EXC_0200 : reg3 := b"101"; -- separate interrupt handler constant PCsel_EXC_BFC0 : reg3 := b"110"; -- NMI or soft-reset handler -- Sources for EPC; signal EPC_source constant EPC_src_PC : reg3 := b"000"; -- from PC constant EPC_src_RF : reg3 := b"001"; -- from RF pipestage constant EPC_src_EX : reg3 := b"010"; -- from EX pipestage constant EPC_src_MM : reg3 := b"011"; -- from MM pipestage constant EPC_src_WB : reg3 := b"100"; -- from WB pipestage constant EPC_src_B : reg3 := b"101"; -- from B register end p_EXCEPTION; -- package body p_EXCEPTION is -- end p_EXCEPTION; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
7b81166e6d797230b0e1a3856451a9e9
0.620494
3.37203
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pselect_f.vhd
15
12,529
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
apache-2.0
8a9be7a3ea0e8ea7a75d3dbd8ea917f5
0.407295
5.384186
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/barrel_shifter_gti.vhd
1
31,377
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YG77SyNaZ35BRqIxJpbOS32w3SYuGGUWdDlK7C436IgMhDg9AMNEhzURaN/k6O6VWfE7VGmJ9dya 68fmrbyNcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NJcSg5HHh38tGUY3gCVB/+7RtqQn5e+TB1Z33/KxiXOHTJpgHOxd84IN60GaYkzgIfP4u3zX50wg NS+nQH3KyZhl7WIDpIima502vxBYGDcYRsrHi6D5PTTi1DTcRGz5IAfNYUrLOUpxvj5gIBz6FS7L mLrLTBgjMvdY3i7rEC4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WvjUdIVCX8jQ90vZ+6Qu79rNEQ5UwgcqiNTYb3FllrehT19LS3x0kc8UzgqVy6tE3fTyAnkgxlcO wzdes9Wc8v89HXQbd9eaz8winLPdL08Eo7w+UAurSZNq53gj3wGgYV8+aFWxzez8P66foXMacwgr iPcWDEYjj62OlxG1Uxi6bavFiQa3imZYjleKfgOcTRgwozOzoHUOCaIHv/SdNNZZug/3lacoSSd4 y9eRXRslUYftm3TqztFlwROxe/VE4jYwxAG4acfqGqrq3FihX6tVp2IfxJEwHrsWiqyOjdf8pvuM +bzmWOOneDHCMgsO7fPtwPSOJno50lptmgRWRA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q/JEeGFeAxEAelmBaoYNx9QSOZ74nLOxlbpNX+2/gRqQn1eUuE9ux4LqAjZjHw+KeeaF+JiPfYeY DC4Oxm64gMSwF1lhk0PvpXmSO2ecvPeNrKgoMBI/+UW3oV7B0Ar/k80C7Ws74oTM29TASoIlglIq q6IbEcn5plIrneesxBY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block O1C/MOirDlrogSTdBn0m1HDjtrIR1+FksiyZyWgmBe+4u259hQP4MApEoxvpoCfE7xYGVGxhm9I6 aCVtX2oD40vk0GVxE2mJ4NnHGLa0HcEg/zLjteBd7BbFn5mAaKs743j3KvOd/sOquMUSZt3NVbz1 MsM4fw4xnqO6cX1ad8uC+iTRJvjCsMkiIW1YcyJ8wqk2l/GJjpm+qBMrHslyJJd28LMb+Jmqc6Rq Fx3i8aDk4Yk0pv2H1p4aI8wdsgt8OrTei6fVDbRqkPeg5sytWqukEGw4IhzkfsqNRB6FAkgkR8MC Bgqqx+6r/M66rJ8QbCc7t8d8McBNeIRzGokBIg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21488) `protect data_block oVVyddv32z5DjSUHaOWm48KyTb/4H8s5RpwS48sZIa7qA+5i2ZudW37DEyFxabd3OcxjZkS7538j tvAfMelOpnli20IJNKCfybIk/QWF3CKIm5atouU3wO4bxrhqbMKRjLE3h0ajt1bDcfKD+7scWC4U VV+XoqUIv/rOPhsgaM9hjIZwDCyU/kvhJZbpnxUHwaG8sT1N6KwJEGAb35C10VYKZ6/FC071QSLN SY8Oou4KJwdz1/zxP1YNS6FbgO5Ek/BIN/BhTAsNZtQ8sALIhlLvmHXVeGDL5Ih8Wbt3xT4JM0N6 4RxyPg/M4XMZjkm8CoJIQ9hzAiDskvhHwX8a1HDtGhVmmjoiU2dgeehWLAeXkdCp/SPltyIo6Wpn thAlEe/1azij5Hyh1uicrYM/gK7j3yloGKpbTTt8acviBR9VJuMPqnM7pBbJ06fBDi+l5q1w+Ov/ NXFiLDosEKgVetygBvw2GePS5aT/zfwFolKHmPN/PfmhCSAxuY32UXngJvLmVvu9vf07dInMoUHx oNqJD14vatC6004zFPDqMQ5rUwXjkmnAcpDLYZ3b4o5Nqfqaz56r+uXrfkBy7Bw9yrY74NqmikY0 ZNEKA9bH0xiDkx6SLI5iajrGUFrfY5g+04Z2pSY/Nlc+HCEw/xBdUsW79olafCMCPPml8pooDcUX L8XR/DoWcUTTspVJp31YA8/lfBfr/sFV7bX7MFqRZVK+eV653OK+cSOK8iQYQNYLX/LPRD/OYNSm KKhvENSjXHHzotjvgkvokwPdnxcgp/48JfHjV3B3MJXf3IDRuLJsZAIbdSnLP34czGLKywl3+BEP SdNBjdpoCxvbMHVQcRt8NxMQLkLOMfnk8elHBqmuj0s05SHtuKUNa3qJABSrltCKcAFI9+f6K2m+ J7HY2THmY6xNnMZazy8GVmbQS5IMCKCjIx1At+FyZejaKSwUO7JFI8J6cROk7q9Tb7hmdDEFBsHq bQFX33VtzygBcIWyNm5ODGsMViKYDuq+NiikXKkvOCsfVCpiSwyykrluCEko4v7B435zHMYvKOA2 NZKhA5Udx3BOXCHpezyaC0DSE2if71Sr95ChASq9/k3kTYSeoPnEgKCMIi1HkNjmprYy3ewd+KbC Dr941Wf/y7yTkvbnDQPH8h9CCTZWP31EXcFkNcLV1d4zy/RuczEvZAs3ih1QMjZ0CFYX3CNqJbq/ S7u1/WoMWHG6lhKckKYuXWsUcy8i/7jb+XYk1i3fgghX+I2LtsuwjpXAF7CJrLSEAe/sT63vYrMb vMhp04udv9fVMz5rCouDWh23AIPbnns3xHzTHu7Dzy5Jf8rTa4vBaDVmxQnQVHqy4kDSxGqBNMtB Crkzue0s7Y5t8cR5pzhenzJw7SWIRaV6W+1PjT4dNv1TmY9GzNtaLkmrv7syiO5NKFphdGLH55tX hSx2xZHKztLNLZD/gIhxkj2BsrLAdyKKEbuu8oA/J/OvCJ45+JyqysJ2LYS8kVG7tJ/XuuQsWBak KLavml0yW2dvjwHL/StyNDmW8R9QQplia4fLSIDCc7mTouMV+VSbsEsz7iZBLvGw1jmw9Gk8kRQ9 OMoF/hirtgPxAco2T7GWLre3pVaWLuB0mQ8GoxspDUv4Zv6zJSAiWrF3oqod+SfNAuatHhB04qCH zqse6XQXlV0a5vKUcoPof0qZSKV1gSluXM2X8kVm+mgJeLug89DivZWiwwIeLA7ASwPATDmeJJrY j+GHqvPYiF/EsWcV8GRaRiwJCfyhKM6Ra5aXVqUz5UvrCINuFfFagOxbDC/szXvSRX3XBNYMMOqE yXWCWYRpOHAdgFF3f+wJxI4YE3SJsqjW8q5QgAjg9NqGfXGv/bhN/S+2q0lhHFY1I1Sba3PkIFra /TSE9/csIOLdXXJs/JXJzQFRFU3Q0khr1YJLlQkIBKgYJ6bEOFGadX/xFciaoItidSafPtxwnYd0 yc8tYPyUAscugnWHjHR8as1pFU3uDf2nW4pmFt9ykwBSW0hlI9skZuHyQAi4cp//ILGvH7rQ7UHr etMrs4mbWzRwiGVYpkxfU/o9j3cAJvFmOy6FxkES4Zo9/sKNFuLpKjLWNrQyaIe9nNdBUKrVQwZh zgbJISWWGR/XvvMD7vbQhy4LV5bcd6pMEhvxHpQVmY5q8n/ImrBbxFo7ZE95uXyWaXYMqjb6tYNb ilLL/n0cDtDCO2vjDw4NwcaWY2ACmP9ig3PPMz5KDBEVqasi0KeJ5sQB3i0Lgux8san0fBSrL6Xs cVT+d4+IdZvCa9lKtkYnVe+uQA8iWTmUWctXp2IhQgQq1fVST+GVBhZpOAJnp6bXhQZx+OCJRcED PxysVnoywIXKOJZ3Pg9Tb5eThNudqR0hVeAvWY1MzqPgBePS48yaxqtCacL0z/fJVs4dYBKGmnpC ddDKRDwNJ2x17pCYgDygT2mUORxSwvvurhpaptjHFfcNAqLLoZswe48Q3apSum1qYw04NIQepc6M luJHoM6C9xqQ2tgN1XLjAeTPie51k1ASa4t9GJsts5/SEcX+R9l4BrWnNYOihDu9jI7e6OkVIgAx X8Gh3AH+qOPHVjfp3M/KDjB/+0unFnoYieHKy0B9N30kXOgFHu7TSIbtar6oW37mKLwUNHA1eZV+ +k/GcpCWYySuLjTunYrpwbSrKAFW5eJIZ2qSTqghSyC13HVr6lGFu45NvnYl4dZFQek6ixwTT43x b8oMdSTdQXOGcxijSHirF0LlLOLLIO/GrvE6A2dHknQ9dlg2OXeWvdVE5jAFWQRC3AIuIMhubyYX EIFMUyM3wWAs2qEWFbOWi1Jz54mxG6f/uhQvX5sSxvPyHoGQ1EOvpqj1cb9KawEtUt5MD2oBFmX/ BNQNUCrDekG4oeLXv7aFuQBbVe0IssJeBpvMj4KeTOHwUh7ldNXbBiuhde4V/50Z7+Skf7W5IXGN E6Ax3PTPoQeSqjohuOXZX5b0LvjuHcyuoUQJHpmJ8RSJ7QT8qVvexMfAG7dstJjxlukFd1K4ZxJo +me9/FyUi3Xu7CT0zGMo7GMBIQpg1r2e7V1lkj+svv5QJdYhxIax1TCg87+DIb0PQppUt9Hjr91T 9xte0JdO+FNSSEf2h0QaBoaF8t3nrE+UW+kdPDllsuuTpNa1vyhdg02Dk7UnZ7N/SebspHJaL5oO UjRXIQUsuU5DWSFsijm+glRI4lz5DxGux0YxiLbk74w1HhG+mNWjdaJ9Pfn8t/vjqkhFWsHY08WH cW+qH52N/1tuWDxmwDRxKS+o2cApdGsI0uioEtMClB/6Tu+QfP67yOMYjgroGWAh12Y+YcZfGzpn 9PgYJyHVewV7LC/4QYC1U/AOfUSx1RvN9en26g29c7EO2pkCP8K0KcjDQvNMMRh32ZhCxwXw7YPz bwDdV3usG1VjU+47E+ZamLln2zOnPr4IgNYGPcfFG92xcp8uh3L2QhyW7t4rnQQiSCiFrYAdjvZE AFeaTbiWTSX9LxQHte2gh+ZpyqUEOfnWrOGjg04DXdq5UM0QkI+PLdf5RghNA1XbBrMxESTDnwlU EtGNFbVgvbnBOixnc1QdhiU7C7oJF9+Bzq74zggP5UaTfhZ6FmBLN2X51I6AisePlTF8JCtfQm61 HqvW+1kvF19zN+C4utuS7uyaWRK5wukOgUsa89TYbF0bw0KrvjR3UfuIN4Z7k+jI4qTcmOlCAkPw OjsLLw1oZkc3ztNIe6mfXpbB+rnt5wmSRuE7gbjzUO6bU0GZYjd/QTkM8f6nwKLr/zodjZcqDo6x BgH9qh3YAq4xyMANEgOi/+GJN67S680e7lObFjeFfWXFJrGxBTI6Trr8yJg5WUk4Vp3GayHjpeMj G6xaJMjbdEJGU3b10pShcG0zgdpmVZ4ZolhVfkvM20yuMq69gqEIR6QIG183qXQm482jrpKkbYzj Fjr2FxOI4K+cYSMEHjP10xOeQILFnqF4RbVb1VC5GNIWaQCk2gHHVdlad80ubWuRw34KhvoaYtZV 1loZe/VxaGtKCLVfdq7YgtHjp7p/7JVJ3+soGIUg3l4/YXOg7iTuh5rCyHQDO1jjitn6tYysmPOk xW9smRu5vD72C35ljP2zLGWaRUleaVxXsydbo2PV6SLcKcewz+EcNjSslODdUgEAvsRQPfcUD2+y mGSulmekXNJMtkcGpJI8NCjIHjCPx7AWzTlXpWcwaT1By6KexqMGeetSrJ5SaYMeDPrHt2hEiUNj bHK04VcdcxL9LzifDHUen8tfIvhuOTtFvG5oRPcfQ6/XmUNoqCoym+Kym6+BmqpuYoBP1OzVInKh MXSR6wzaXKhhc3AFiIgPk0jpgyoQbIO8eJQr74BR0hfrGRjR4wmYzV4hBPyf43jEZIFCqrSRD8oM x3YqiT5Nvi2pmLBNBD/ZaGmCA2UxygD3h26oo++CqBgTqcXvv81Fr0n18bdcrFgUSfvREXo5T77O kOwuDUMxNSau1q01w8CMLH1Mzp7JgAyF/Y2pCN//60ZFErz8KXsvfsGVLZzvTEq2Pj60ICiSya0F aa7JtG2QOtIxVKSLsaZbgRT0Ft9RsW+75U5RU+NGbjj/G2e5ARkfS/0cevCTm23N+T3lE9iDPNmA LR5hWzGzwGbxs+kQNXg8SBJ1Fh3w0tGC6F7otNUg6FErzM2lXcBqFSzsc+i3mwgz4PU90PfM5YG5 r9Nv/RMqMuva4gAq0/1yYra0GbGFE70kqasgm951vWyfOeNFFPQJQvbAvyIOE8a3i2piA/nEdr2l NGOgM5HzC89AIv0JiHks8rXckSSqgBkshwJP9DX1VBDsKwkS1bDhN67dIJlKFI6/h7c+G/77zrD8 rSijL1OkLnbBLem86BjVgnrkexOb/3oVl1xIePGOKuMc+NZ21a8aLlT1EcMWxrIuXFgD7QDLQ1wX 7w+4tuNsb3kq8yD1UEUQLUv3nnvUaaUkUmioWswLM0PXUjnuKa87ApYkd/ZzMcahTgWfDobyHE+s vTrEhsNPAWMSCTfDtiA/7yBfVs8oa1ybwIG6myKFy7mmyOSPaj5E6wfJqgi5qY5jmj12Oxw+zFS3 GgrcUiG0xHVBve7VvsZL95ygHcV07JCYdPFsn6fBnyI5rKKV2YgHzh11oqNWWK/m7Uh1XOgIY043 ZWaqW8YSKvfgqxbWfAmghgnAP0RkYsBKhOOlZz/SNlJuH1lSWzHqGnBAI3tjNJIVgpxUO6fVdSbz GBKEp5bhK26rflvEEF9UcV/mZfKENWL8tbhaCsZKfoGrHZP1w1RN9IjvhYD58c/BkAnto43p7LSr DF0Ph1su/NWa1Qk6jDolNxU8laOCrIfxhXG8XS5XA6KHV9hTojHUpkFt1+VaB3YienJQhLXjgW3U H8VJWIRvV2dCgRdLgIw0S363XomzezDTaN41rqEKPMe0MCBHS97rmyqFyAHVzlITCCzWgUooeXDg 9LjxXHFfWg91Sp/c3qpgnPDs8s1xgTNPJ7YrrDgy0QL3jHtfdVem4OGgz+kWUpH0zH6iy/Ni6qPw dhkBJuMf0bXEI8fqMC5WvyJQ/KcfaU8KxHRfuFxGPQKD+O8fZORs34ThVqJFS2QZlA6sDd64eUVG vZ141cHTY/pDbtQFNlVgKOwmtvGExf7wFaotmHGZ+H4ldIiMRomM2VXZtYK+sBeiP8SdGxlR4ilF s+If4f7HNfBsXtLHqGAeQqsSUsdYmEd2MxsbfkgXgNE8HdbGzPnY5X8Ibgjff68iIajlbOjdRHav RnHhcjPHXMiIh0Oo3WGzGEJ6JRHECIR5koCBrEOZbzH9OA4229Zb7/JjQtRJMLX58+AN5CCONS+j nAVSAGEc1X/ynWZPRxXbrneQBuIxnMuBIKGQiFwCQQHsyviFilK5w/JFf1HpKQPgQzMkx1fG9y1R XcePF+XN7f6CvZxfs9omzDz+XqyqV0no5CZw1pW2hE9wuJ0+KvR/wZTX8jNIeD0aq915s9/G/Kya JEgAz21Cd202ACgOLWOLB1bJ48VE+Xzv9xx03a7cq8X8vESmWvx7qStYhxE3s5hitTJTS5IqAG/O S2Hzeb3aN1N66z8keYq1scsibZFnBIQbtkEJF9ph9sl5QAw4KMd4jFt2VG+PgUVCHxb+0hCrFLMZ lFnps73jxn1Qj3R7l6UZYG5bkpfGPdCHRwFg2cwknBqfeg59L8aCIjM3sqvBiv9nVeQ9UMLN/1yj MEe4tuHS3UWRRYMRYPrLWy7YuoYdpWAQ6C2hJzPnH/ZKmX5U2SYPrVS6O5uadrIcytOJ9zZM9nqN 31dACjJwK8qFo3yo89lqWk/XVJhzxH9QwnCtmsPct+W1DttJmhQOiPI0XmfALOUnj7Cxa3oGsBiV Zb+KwGiUBeDcAyN0QosdHqWC0MQ6BdZi1/t1qx2HJO2brdgHNs1ZkJOmYfVcGRRrn+iVz+f2ZqnQ bowYoObcNRh+5d276Y9FIzRxlCG+RmT7h4c5pHX3lzIVF7jGKXPkTstia20KtvXEW7FEyKtpC8j4 O9CDo+jgEwhp7rMv+zLz7cRZGkYg1xj+m+UreQToI8SdiORg4/WhRsIjv5/PviW2LhT42gJ81fHu vMjwxA1Y72rBhwtUs4Cx+UwobOI55/sbGPcbfigdLOWt74HQo/UUnDGiWUEYxgkhPLw9NjnVT9kM TVF0qSvUzcQO8WOqa4CEmX7BCXmuDq8yvE/FLNNVYvbFvIqSoxqMFgyX1AkP71qZoKaPwgEGfwmj rsqUnfbmLMxH5MMwiIx9SysqxNwxGJ4Z/n1ltybQYoab1L8Ea4lsMwGzflkvtalrw539Xt2Q6TPX 37/GVxXEzhNsrZ3Ml9POPeS21wxmhPUC4QIQCV8EsdODzOsvAAkWXAgC8b+DF09REmV1XNZYhw8c mQ1mpoVk4Y92uESR6HpqLmVhkj4yi6GWdh+94K34ZhoKDJlkSA3F8dg3goPR30Du0sW0PksKd0ZM b2EYXoWvnHmUTzkymxGnZidKdtNFvphxrFR2xgQhrq+Tfa3sp7N8JL3T92DQfNeGowZ8QxLOOjUm /LZPAePaLoEHMWB9CUQGYP0HBhhIqnc4TBe2hUr0UYLuc/dIwfuZoubi9SJ4eYTX3AFrtkNfDU5y A7TS9vv8sDJHMu57n9YE/sEj0aKZMrGPM4AwJ+c02vuHXuPv92GUmQB02Fq72JafjktR6UUKiv0o rFYI3NCrxyyEx5+Cc+gXwJUk4jxRPlQHr9DyY85NydiK+Y4vXUcJdDoC4Oi6xt8F9sehqg8JLOBH Wm78TWLpMpRTglPsRnt2AHdK2Ivy1G89FDxcxk8ykI7LES52mZZOoPV7NcpiipLQmwPnSROMQW/x cB+UhmSHorvRmNNOIah2VVgyvCzdzB4DJAdZnMypTGCzkHlTjsMVDck/5b+bo7gPko5jrcTDRF3C Jn7aElzY3EcQZ+v3xxLl6eeVGI+r6JYb5GZF2MMsE1eD0M2qPisEiPma5sMQ0j2Ld+7XAEADdW4/ SdRjwBIESGiC2YjqyqxNyhHx8s8tAQ+SLWnwIo1GuDVE6nvdMNTQDbw1EgYCwJdKlTwJrqSroZZi mJh/1PxUoMOAm0NbvwfVZ95Ng+OPbS2uoatSxBcXEoLIRieRqDtagzh2yzcEALf6SlWJywHCfzjL FfKyp/7Z8B+TVpmy7GEyA1G9Tg4pXHLFf78QnKnG8PIPi4QZv+XMiUI4rIyzNcMe2C7AxR99eeG3 Y9ttSF0kHIZQ0yZ69TSrbePjdb06Bv8eVr5nzqbsImlqsTPPY/6UgnS1mh0G3I3yE9d5zEJ8NUdz QRXhsHUJ1P9V/+AuGUEXjy9bROYyalC0/KtS0YVdq9E9RuIuUkm2cAzWNAQR3jgVX4Huo7yvqTkT o4Wf+77Lro3H+jrE0bzi7rGUIsNDyFDVJfe4zqH6YFWuIGMz07xLUZ5gAEMOqUSMlBzLhUfLz7bV RDmTv//zcirrQwS8la2yeBnAP5wUzORULNAXXian1Md5byAJUyWtvcIIkIMvZ4xV5fhujqYLPIJM N9DZ4iEjUcw/ZLnltLNca+AnjI5y07qXryf8m4RTjQIckFyR204d+jh0vcQd2CBeR3bsAIYlNM1g ldjXZ1aN3youMv6ByfdNRSkwcbBj1yxBi6Lr9w4ljp1wb+zR38IlENLuulOd1Gqm71g+dsBhogHt GWtCy01gcJ2nqHoRUOup18PdF0QdTz0ZTvKQTBeYaaIaESR2tKXKJWz6GDvnz1pmcV/MEE0m4vAY ggd/6nuAXPOFvZJp2Xyu+5IH26saFm1EZnMEUZu0yuPmvxxmnbKF8FcRbV2DxnrY3lTUCuU7Y06D c3bcA7a+x6JAt4fxWihXoFf9pc1JfLfsWqUXSjKpRhZ3wQENbEuQ3wEx18e0Z9HNOSIM9Ls45F4c 1x6lN/0Wf6JDw58guhKGaGEj3LAHA9zyP7ST8QMGGzyKcKJjSA2LXbH0EG4DGKaPDCCcn/z40rOL 5G2GGg8sPbA6brFUHp/sv9DLpZuiqiW22vNyjc0m16JURzmhp4udnp2f2Ef24tHHm2thPsdbizfM EacCis02tSBCGR47adnHqgQByqfhv7SJ8xEHT4ftRmDIVbY6KuRNn+DcKVo4E6IdIPCWefbKFpO+ bXg54IlvXCNTDBV0T4HX5jIzZypQaHYDf2/riMCusAoO6olKLSWwpbWguiRA7aF/n4ssmna+vzuQ ughy00vhJYgc+1VKjSIOasUybdEkScb9U9OZHG3SLdhu2VkxE/Yh0Kw+z9B7UIif3ccSfni1XAov YnsfGGcQN7/SY9xAN3MGUT6vkavr46KagCFAJ2oJPWLWmeCy+V2/tFIPXKa13tl4NQctvVHFhI15 06nAQeJbi/tHGr5+eIY3+QPx41aDUu+lwufh0egvGpBbXtWVNIsgAI7BhZ6E7viVnGn9ynsDVL2x OEa20tY9fJNfg5U6zbxiOwsaDj7ANLLu2V8U1ev9aO0UQN3HPyxI3HZD1bN2k92WuPSz9SkC+YEx hshXTyVaq93pB9HOafNuTOaeJyAU2tzALop7z8LpMo2abYuiFq2Ka0ad33mEnUNju89XJ77KQm7l UTU57ZJYrHD3vy9hJ0wqu77ntltLAoO4aUxirgkW4VyKGDV0fZloHQIADOU1rvOnUMI4p3AiPNdE 8YKAuGCSKy5+Z8JC+GJ/IfKrQaYsA29gweyC6ullkudJuMufJ2mVLxl/4fbEvDs+Db9VCi8lC6lJ LoZIkaqGiokl2VSvJ/ZG+wwESvtdLQWKMHvz66/ZSUUzyQ9WbxZtGMcKrHNoS7ziiX2mnewNMevy XXmRd5bhY6fcWD5SsXPfYlXrYTvYqEx9IykVoO5OijDdWuAT/l4ixYfAlLd0F0HF/2VU2xsNdFGr aR9RJCkPXeyRg3USrUIo4FdQZGaDZR2E5V+j7rz00+0rtUKLEw00DUcoUjw8MGtn0poE6gkBDMLG 4xRbaExodEAwObNttRCXQouJAjKOnwu6ygNmCIKM7gCvQey57krJar6cNnLjhtVAbQKlNZbCo2t8 P+4wPOIO/MPqiu90qL7KSZA8UCvCB3w5PcIad9rB8KLI1ibQPqK9B3ZVZ68PaPDcCWs9xKb2B9ub Ro+ngKIxpEtJLYjUA2ynvcMyFiN4t75RnpucsmtakizwI18i3eg2IkbEhEiNYKpG4fa3UUy8RqHJ yYxQTJ21POOeEghBUpBo1KPkhdf145T5PkGo4KJm5c4Q83mdADHYwi3B9rVT7cDYgv1Qjp58AGW3 WhjUMwzbkepvP4WcLLp8IUi/WHn/cTGcQs7j/lDAFCwChgKxYuSBRy+ZO7Fwe/4J7ivtnmQMkxzz 5S0AO+vGFAR+9gtTBu5q3clRSfEC/dKh3Iu9bYPBxbR1HTTzOTY1Bhp+rBp9FmTvcjX8ecoxvBgg dAd0T1vd471O4b2bjfhXzlDQjVZQUbkwenPU+SMkjGdjlfzIBmOFJ0HwA87Au9OttufVISbtIGW1 1zhgRmfOtQgqhzHB/eBve8QcjLZ4RO+YWd7m8MCYoos8/a+0uzjts/5S7gpbFzubOnskUXxHLUC0 owWhj8dplnZpsbxo+eQMJrrfTCZn52bti4acHGX1ZR3S4wqtdgJsmfji+NLTW4lqTMstYybSzrmC DGQcxWFF4LOxhSKLcqMMV2hjT1wJIiS9cxGj46gN/14CkQgFt4GGxo16pJ5d5zAn6KEkQd/T8Uhm ZkAGU6k62/lU7N9bc0X8mFURJH7Lp5Wl7K5Qa9Su8bgiEPHCjEo0zsDMBqzHSq/eaf/MTwXhSyrf NCYppc5VkL561sNFsPPuAt3zCUUCkl2Bmkg3yaFbNQFfmgo5JWbAPhB6j56KHxt/rsB5nCmaEZ7S fXBpYfGOQJxfYW1rHBE9HpfE25Fh4BbirPEugd6tYzcHTc1DcY+nfFWcMAW5ao5RMqJhGsKN14WM L1H1jHmXkrnm7Z2cvDyYeuy+42YyfFPavdrYNHFulZ1TpYCM4oXX3WPaaji/si4c4qjU+0gMKJq6 6Eqc0J+Fn+Fkj9PgL4IOOyfMXMWj3sksjgoinNvZv93m0qjpbVSfma5Mhl53qHc7OK3c71lk0suW TtgHUtAL7At8pm8mL76Cl2BLql6eoPHdAtoJMWAPgaxv4FKOsJGS2z1hL8jKJHC/BvyUulOJUYgZ z1adIFFNtuGfBxAIWEwYtFQ1q0tJjEjKXMJJUkbwzVhgVSNhmXKpc6AueyWqm8Xg2gsnuB1QZiAj Mm+U/L3fG4mNKhWuhiNJDHJ4nrhEduY5calFx5RkvtJ/+aIxEGTytIyj70H3HK9fxKsGJfmbcmjG 5TUyd9r51koS6HBokEhmKtyor0JWJ4AvLJapQmR3uAiZcNS6nXwq8hoVoy3pVO1S2Vml4ciJMNOv GX4hFbT4vTjktZp7IYMZhnGHr0KhvpCZPRNTFJhEXuqxduvGl0AUJw7UuuB2bnY9RsAKLcGP+jub wZ8UVOFu+MNrBVaRJREatLRFJ+N14PPFo7jeOZ8kFuJzOPPSz6ZAd0J+7WHmFv7xFSljwTR9G8aG dx8ZCum+k0lx3t5SM3Cdijk5XOxCF+jLXVCrg6xXoa5ESDWIKqHxHURjFB37jsGNz7ETHxfL8++T SJVmQfYyWYlqEWEHAj04tB+ysMWlVvdpB8igm9DievUKRTDDSTFLTcNOuLmCbsd76ajsNEHRNrBd utxY3R0oAt82tqVxvwT8ik2NePBzcuGzhoVgNlc9aeIY+Epvx6xiydXtYQ5rBPEfpSqlpplI213N aQC6h+6ZdPX6lXQjOxmZAamaUUCLmX3t6/EN34n2Vt3A8iRIggG/pFN/avJeT/9Ml0wXkw601u0i LpkC4XPfbES897Kp7f1AKmt7ALfs9TD1smopXDI2xcSczEYVyyls9zmy6S7t9k1/gWCb7Ed073zY c4RJZz37JMCxW1tlfS5z7t7ZMTxMy1s2szASmw/7EJPChf/wAQ9VWaSmi1wAxiWmSRsj1f5SOItp NiDPDEJK5aiDwN11S/a4JguThmhVh1owalvTbjnqO5LebK2kIxc/TEqFRYB2wmBrgNLMfzXcoN+2 r45rcitAu+mW/NDgprTOojPTcB/gTxuI6v8SBuVC98nCwMg1+RT0PeA14plASdrPjjUPOZ/7GSEh FeqMq/yudNakiOj+8LB+EGwxqKVifUvDp1WCp0ADhkICGXcAli3/eDgOp93HaYsnFmngEpCPLDoG poIDty6FP2NhNeMl9mLB1w6AOJbyYTYZdUlPZY8Vm+3ryME2VYUaINQQs+NYsBkB0KMsPrvuEegm 0fxq2FcBbg7ukJIqrZeoNf1amqV+Ii+086oSfDK9wChAKtKQSgdpKKkhVGecsNB3xBNgTDLWr2S8 58svjNvYhwE5FMF8fFdtRhJZyH1RGQkedArGflmPHhw9Bp+LP2LjZj9zlvTbveUTl8KW51zeFMCG rAR8vV2jdhAubPspQFrZoUtWYezqEf4lAl46Okjw9MFr/1YXEZw8qNjjGQn8QyvivPktyMBmAfOG GuYxu1jrHxje04h8pvM9OYF4Ywopr1w2G0GYSOfXR8eQXj15v0nZgGLcMbqv0FZVn4uwJS0OAFq7 3PLbGcjRRmqVShZLCVepNoAD3TsP0a4FjA9ayesau7IdBt6ArAALyV99zQIjnr4bIA8OWzyDv/gP b5iKNBhW7OdoFp3YB6ON4GeWYY0vPvZNUwFy3h1lWMhUkvr1+jVazKCDlSfBodJDDfe7I6Vo7Pr7 ozjk1uJfjXOzRBtONDB8b1F9Q9hRO5BDAVDPdXFwIy0qOOxce0RUQPjZmAyRgi6i0mJk9gHgMWqW 0koaNFLSe1/Q3TeoaP1MAK1TFyt0FHQJNWvjv1N00vieLjsggeTcQgKDuT2x55gDd1Bq/Fqb/3yc uKFr2kKSCP3BceraikDBNVVKomh63TWKae9hR6M5dELG5DjHHblWdI124JEzZY1keZB/I9vzp72x Sv3Em65DXvhXGbZHXXKOMoN3MkgmvZagu6x5HqcL2kw3zvJSP3B9xxAeMBHWlOcsbE0l+NL3mXH4 y2mfX24P3OU0IrloEptsBTTbcvoMMWURc04cmhbsXJpuGCtsWYGzJHtrbp643QBweB5JBsGaz5J/ Ias6zDD/HzO6r35BnM2L98GbGnDzqRcySn+NlkCOS86Hck2wP0aOWBx2rnvwHBiuhTwMaviw5a4X EX99x5R3o9S+BjmipUsVAJkVVNOEZBfCSMqSnfMvPLjqbvk4VUWmpV4XKjLinyGrRizM54/La2a0 KSXQ0xCaY/tp+G32C9EJXnNZbiOjMyF6eKxbbVpeCZ80uMhDB3P4ikXL6FULEkQ0jFr/pKioQw3N KMiRnaL5EQ8khp8h1di1rsu2tFpxXvK36fgbA8F2Za0oBMGkF97oyO9/uAvRC/xi/M3dtC2cFt9L WiYTH3m75JJe8HeJuNuCPT/u5vKbvdeFCu9J+FgTPt2yy5cQfCTap0RcEs4CAQSGbXB+Knqugmns zm2CQtS9H4IWWbfJLMRJn3dBqavSTMS+x/DmqVqtGPffoFTtKd7DweSRnuMXjPnGLPUpaVANWzrn l5peQ1tiNWYx1NBGNzgAWQDy7AYQ87U5e+1/70WL0otP587ROiIzTmtfuEVmxWK1apJ8ENoJF5rq WHzY2bsANSS6C6aI+tdvF11NknkO0tQlkVy7rQDyRiFDeBL7wdL4+w0Hep1/ms8w+EDSXCobWm89 sFliDCacCXkUgtDtrp8aTmqLGrY89t20TZWHJvmt5crT2EA1PDzzwDaWqsevFlzBApz8+AJeqvRU 0RutTA4xdNWFUKpEck8kyZlBmWCD3UbZqzU+usCrV8f6WossvCxMG4jjwEMLxw4b+0VZ+h3gffJ5 M/T+MHxIYTdLNjuIW3sqpKEeNJCKReV3GU2fkFCfDX6NNZVhQlkd5flITzLlNtgXHlPr7JhjMf+q qAM06kC81fpsh+YM6+jFOX7EjlSUZWC8Mp4qaPNBHGlhWeOZClknurj7+C3mYi+blmx0efSNP1wy d3qZcBU10epqcpuquAJtTFLKrdcYNvt+oSZL9LKKiXPflFP9fwpTScD8BoXz1Ddnl1VvwI5RBinN dKy+B30qIoyew7BqrZygXVJZP3S1qwKhsXyzIYLbSrB43yz7rO+OX5MKMOPBrBCfVq+aZHo5tmLE k2+fMAwML5ys9+02H1X65E9A2rKbUUKJwS6lMA2xcZJPoaI4X7vVQuiGvQqlSa0QffuV2dojAnkv u3nsr5BrQBrh4S3Byz7iXMTPRxtXOAZdJ32xA4jKdDNeBwdfhu483aoJSEsyKvMHmUN/lMfwfCTX omiCRzvkfSr+i4P2OvMK6/9b/gxFypWqPe8XVzyNIpMGhI8L9afakTNljCEqdV8aj8N5rx97jVI4 gaY54xk2Qc1SzPeA60b88Pr3r4f0O6ybvJXijvvprzYbwFVHyt1sPYauuayxh3vWrD9Oft0QXyKQ yLDDJL+W4e/w1pYZqOPNLBfvY8V5WvGfXAALBKpOM1FCfN1edq6AAIS89fqeSCLWxi6T/u3rIfSA sFPy/9StrgwLHxkyjS6+qwoUh3KQ4p047DbzRLOrpq2zkfNvq38AOqQZc2yQzGOKl9EfKVmO6WtL DjKJ5Cp4KqnoO/RHOCx+bIaMfV+2ckuACe5MIDfTH/ePlZzb7ctypRSmNlQF7Qxlr6p5o4VXP1bA +lJTh1zllPmgVQ7pIKvm8Jf7dYI0HnDEM54mr2hsPDqIPzfMtQlDI731peyCoHqpiY+RCy5Wbscv gUDRyrnOe9vgDW0CIWr8EhhFJJ6tyA0wm89KjbfCaB1yK3v9zr2yo7u1lMNsQqSi4SXZBAZL0ssw xnq7k9P9eKP2dJnDATC2xUKzloQtzok8v+Z4e3bHZVziq0Zd2Ete2y5L95Djdglb6BpBPeZogpRi kMW8qcngqPxGc7qBTFlePujzf1M6Rk4I7K2NQe5wRa7sv9nUgoFhA57OAKYGTGgifv7ZTW2Zx0+P lzxwHArhcwD8qnz8b8NPbr/vet6taotgsxc5bghF5tGkcNPh1iTUXeujObv0HZ6r3jr4THeEAkkt ZhN+kZlU1Is5HHf0/LcebtTGHG64jLBq/C5jGMcAiKMa1D+ilqzJ1UxDFQLWE3YjhztY4gVj2aw8 5Da4RaQozkj7IZ6D5ypebr7Rj/3IA86BzkzaLr3arK+vWDTLnRSw3cV/Uoul5U7pvrJj+c9OlbKJ z1SkEHU/QPZdSBU2FTyvQsZ5KYcJo5mmMHQ01RI5TugO7Eu+w3TzBRTy1OW01+pccAYZVuNNPs0h 9WS7axU4SK7/dV1FOMU840F0Q1lFjjtuYgGmBSZhZYt3zGj5xHY8dBB+3q5ywYD3GWuqwYahRxaN GJrS2i8nD2cVH69ttO0hIl5jyTZvE7qaSPjsEUKKsjEtnZ/+F4/iRIa5SvPkVmKgZA5qZv8YnaiX AgPXTGPSWCRJYxWCPv/+6pKT4e50Ro2kJTmGgDJREt6mN0cB6ZzHSFTfqPpohnwA4meW7otZ91HC 19FtJAUWjJedJZ1ZON7J+pm1gOEW0WK5TEfiJ+pQhjef0TqXBJoGQVzwEWhlXhJS8CWvppWsbGHL b2WTsNMpHfBbL0LyhZMZtkY2OILptKOIZC48/sy8hEEZ+Sk6WwR9hjS8WmA5gv6mndqmyaP4tXVF HsSyrHKRyaLQ5+i6y5VjfA3lLrbF0EcN/PN0ww0c0ShDJFRf8RMJWLSHdONdNAwRvmdrRoSXT1cR ixMn2nej4LK447NFBM6/rK+Nbx8WJnvsdrOfmtMCHOWI/fqy4GjcI9LZ0VA8nEuLz95gAZWiXzVf 6Ha3WZNJ5jvk4tsUKpIHzXhQglnDDkuRuEItyeY6ui3qg2kGfGIVMS6Uball2GNV4IrrsRxVWrbL qo9N2c2q2rxmKmbxwvlaLAfHg9Uvgm9G1SfxX0Pq8g/33SNtqJ66KWHElN/iNXoLltP08xY7/j8a egkxTb9buxBKhCF9dmwuJtjHimTVZfU1ncTtrNSD37ao2MwK6a6sCY5XZ+a+amOgoce/83jOIEEe GK5xbHfj5E7qTdl6w04KCTnIfvmOSXlm0SN+1VZg+ifNB3sIvmxpCVBrMGqERNx8CoIl3j2l7wCF ctZFu4LV76LvSFTNlsqU7VK059//UEyC425SYlPgD8JXgEc6T4leL9iAaDAZiajWA9yZrJyO5Wzj AN8hWinMPKR3IHwwd1P+CULhha9Amhlob/Ib7TTg4NOxBIoIPhf4la2WYWSE91D7gYXc1mHn79gO qb+qC02nr9EG5/s1FfYxeO23WIuzPaMf5xC510TotjNTCRl0eyilzX7mF8lhlhA+CltFyckMAaST cw/r/P4jh2qBDRq0P83/OYKSq6uxQwjTeSCTgkjwVYzpMyKd/d9IiKTrAZLCU/5NCvNTjIS4LIbg he6OhQsZ3ZwY3YH4hHfRAd9I0XxaNcNgPC7rwSR9382OPiIsOc5oBqeELL5LwHNTzi6ABMZAoeVK JxOULTTaZCmSXY8FdqClNgcygrV9BFW6Z7o/1zakgUf5tqgV3udTOPd9t1iCeFMkY+APhmN8aROt lk/Hea2ekEKTpYtm9Fhn93P+FvNXjAtcxUgtkbVVXGku2UruwByMia9RkENTv6N7zMB/oNol0Ezr Bg5rcltsBzjGPtB49Mm8CFaW7B2EW/D6r0lcrEv3kjFMEs7zcUNUT0NRO05fsIho+O+E17sRSPrB UCP9FeHcnngYSFUrjtgpI1IRbRISR1FJlopWB/ChN/i02YHI7M4490+k96+KV3b3H1cJboBaxRxJ eyMez1KwNulHzH4aOHQ9ZNNljNkHmn/GXQl2gJ3Jw9arZNRhje2hAdl9FK+1RsJF2R8dBn12zNH/ olDfw4QWWTxtMQ8tT4q5DwYgRRT+A7G/807k+JZq2G0maVaug0GdngdtjUB4WpkThQYNRmLIad8o m/WoNpiONx8R+cWNkBHNhpqVqp8Fpjce43GySyO6mR1PmED1EpUk8Yu4YVqnYjcOONKxpXwdbCSz SO5E578rm/ja8vMQ5CbhB9qx+5918cNzO8kNi8e6lzN7O5FP7/svljxT4vBnYDWiIx5jNBusXW0c UMn+oSooswmYoSFuffTpNdcoa4Ojt1HJdO+I2et7E7m9FNwukq/jD6i7REMUw7e7kdk0EJZ+6WgP 00299HLAfvzuZ3VcU6pH+sWhNmV1QbJt5kNZkWEz9UTtZbjJ7MbJsa+RiYFsrWSMkDC1IopoDCc1 DpuALk2RNA5i0G4sTAHViMJMn6nxqiGEKDvYWnB9SVVSddL3goCAg29t9EyD6EmtUHXY9bGaXbJf NEc6yj52JbTHgjAP/rDhSiWQn3CoT9t4jd9bOY95DzgSC2T6BMDW5+IHwEuoMhsEB738AlXqAt2X D6nuTdCoPX63ZAlaZV8SMPMmQnM2zZxsZmKhItnYE0tJjgxTB/oM1slqLvYXFlwLF8G0aYafZ5iZ 2mhDRJlGOzssB0bOdYXc7L87gElls8Gg6v32CEeW3yzy20xNxWTdp2nGPDHm5HUVIE5yWYs2ouy3 lPDObMRJYbBLoAqmEmarRRneJcOPT28zBsUwUpKL2P+4n459hSXnt9956CfuJPJa9NZj9ybx1Ipy wZFvEqk9p++z5jo3aHdBtGl9piWqLjFjrdoUg29LoH5lxVgW7Nzf7+lD7ScOgnIDgHdc4bUYX58f E1GVq/uxNJtl3WhJqa/W6naj0sSRYEi/EKFU5IKpV6HMEraIpkIFDdELr7IMRwJzPmaBlVxyvLrC HkNJOwL5iixw3aCVN0+KcXdr8qJUdqvE8pmacHd4nqDo9EWRpQj9gYyb1Xd2lMZ892WAe3ghecwe lSKO13wwvysuWGp20eQ/n+3FNPk/0TKRXodgTCM07264J3oPSyz5pYW4XtTzaAA6f8Ao0oIFwgwt 4Q2zkwSjmQlS1JzWzHPtdZAo2ceNGJ2yn29Bg60L1DiyhCtLTwnO7tIxPC/qkN9Ruk9fojrGZmHc 0gr5mhuYxgpgBBN5oZubkuI/Hyd5NJrHUEPdJaztRrPyqt+kjTjrRkJIwkoohIR41zqkZJ+lmXl4 giMeyRdVygcX2ZJ+X2Hw6gyfSuKplOyUuIFT1kU627qC7j46tEXV+6lOZxJ/9UWedwpM4d8fN0zp IktqSVTm+4w9XxY8lv6Q+ZdmSotu/BeFttXPqoPpUZxDb/kXQByFFpOQkyp3Xx9cX7XjwK09bEkG fILP1GgQ/C8BMBnRnsrt2QkPRlnvsR6wHnRLbPvFLHnRFkgXCi74fYerb4CQAba8C8ar3osK4LIx Z6e0B8brofcAHct/na+eVCNDVP+dthyQnL+wn6bcL37aVhUnc0quY24HAwNdp7IohHa/HtQS9Nck l/f5ddp3q+MHM2Mizk6rAcxBHuj95VgiEfBUnHCb1CEBPV8TWcjlizzOn2guvQe+hgArd8Zbg4kH wfSpHr/csEMTIdQoS1ifi2MhkUk8hXZbSS4JxfR2/AFwdkrOVNUQyF7ez6V1TJilkgCaaUmXGqBY ojYet3cs7Vz/gQC/9cHRLHQdShwT/GW2D5oWc/q+xyN7NP6Eb1YLjIcx2X2S3BJ7d0UbqG8FUEGH rmXH0z/ChTeF/94cBjVauQr6bkDNf+xjO7TXhRtfFWeZoQlZDoow+sp+RCKcUtSVQ2U10WAu3KAj u+bAvXp2a/sDqwwqKnERI5ha1GGtbEPWjNFxm7Vs5EdmXrcTu6XCV+XB3fYGSOiKGJoiS5pDx6It uzBm0uuJSQgx4Z/vYuNWQhgsLUhlqCHRktJ/MKkhRMRmIO9+KxiFjpn0GFgJC5ppp2jagrIbMWwZ 28dG2sJIsjGaSgBAjLvUDIkgwXPRa4KddOkwh/FkDNxX0J5D2phwo4lNIbTsdnEnzZtrr23jivt4 B1pdLSAyH761vCtx54Ypmo6uwCGEqsPeycZiCYbtYWMmpsy9GKXJgXeuYcGYCzjr+0JEjnXT5vY6 2mnnBLS+5AjxVAPoVcTJLDRTuUvWFh7nUvnNXuvSRGNWE7SX8EmoPxbZLwdatIq5jx5QZ2wte5Si 8avEnPi7itVypu5Xv5zzooLhIR9PcoMF+/8ZwcrvZQG9/u8Un2+2q/F9qFcDzCw7J9eEJQNVDjTx kXfh15AZYNkx6bd6l/rRZcaowYSUTwvimMI34kvzoVgbeBtI52o72dzhqDARllPzPkWic6EZVgLk h0akGDxq9tDy4l5algQsXSJ9AxqGqjw8Mcz3FQfJXkkEBs4DQczCOSFWenaWKm3dWT8L9VpNTUBU jCy+Rz7/aXHUZp2fM2ac98seMSGNIiNujsBKmwTG0kmQ96S/bQzhitAYT43xdOighIYpNjM910RO UT03FsqGsIx0v4LjN6LIVNkoyC2/cXtKs533eW1b0kP5jj2uKadCqvWJJoaNI1VrBewiYqFYHYBf SmMsRbV02eDJc+BOu2sLOLGwOgga841A4i8wblYcFqJn5qk2XoNLOarTaGpWrxoY2x4zIlWMmOgO Sg/fpl7nnarGkELJ7Sx3O6ShGxuZDPXbRkEq+gDVLUvgtF/sTJ9ehuA73P+9OQ9cAMy9J86zvG0m oBRIVr/WUeBO3IOnIhjKfh/257Ponf66bwbb0eip+8E/Eu/+y8i2ao67ZVRRRiI9qJ1zlssKJ4Yq eIrMeGPjvcOh28NORoNdhNBVxliasRo3mhXomr4/LOc9w+hSm3+BoHukYLtabHJ1paKe+shv9Wju ewT8ddEs5iHzlm6fX1wgYYAlx5bJLOXt9Gv10NdvBYDyuqurEgDGy9TbavqBhFHSWGF6UyqZcg8R 4XPhc0Dv330YjtmJOcuB1eozLOD0ZBkJq2JLkltU76SUr+28on8V/i+RjFZYjcLGTImQIoFAxOXT pvS/gXLinUqLNzjAmwKuXS4AX/As9HfoT/Bu+ICgXkUuIaqXpNWZ/EuFccYKmvU3hkShdXzsdma7 wrsXqkLq+H2wzhGIY1iupEcL9F3o1iC44MAipgTSTQQGLJ44O8mznvfAP8hSDZycvqKNxxD2zCdR Bg0etneSw0Xl1cwa3PvgY/aaL75+6x8j+w4kyqh3RqrsNHmqTxbL8nNmBS07wyfbwcTncLUy5zlp ytBGnKHsBjrch/CVmOugUVRVKPKVF5lU9YpMhayk5morpuVjNBTDg5XA6gLtCtXvtXxmVpPQFPfJ O1krlgyWnhH1d/Eqk7+W3ZQ/bhp7ZkmxoFmW6jD7Fk+IdRYfm3NusXvsPGJ474SupLeM2OMMWiLr H/NLH0ZRklIm1PeFK7ZcOP3XoBW6MnD5JE489Mi+zEHfdZCub2taZrtlfirvfZjhJDU6wEoZLPVh cuj2WNy8+8AIRIyXpDNzlsjhTSFlCp55q5sTS9h1HLHyLiJh3AG3qQgrjjFg1GmXXapmC9NfErO9 gb8ASdXWWumNpLWnvx75vBYM72mR2UEuqaN40Ly16uRZAaAjl68BuFQGlZo0lJCCVp7A/lOJt4qE 3DofOctds65IuvjUTv596ufcUUVG6eStqtXQ1Z0dzBYXlVC0+zQPioaDJgkQiyjm/gO1khZwCaUz PFcNsyYPb05qHm9E9qG/sV2631FLQfU/98dekoV7kPaQf6joQC6JQU5qLj2hFn66heVTzdFRCenB DSpnbTpvt8NeXhm/e3DdHgI4F2deJuKW0EK1aQigPIFQROw6VZbbe6bQScLk+Nlp1cZ1RySHm8DC 5oJFccudukyCseA9l/pPlVFKpp7mjcw+duDcK1Kon24WFmtEDdvsS9Sq9AUnGCjAX5uy1+TEdKOO aTJca8DYPwCz/2ku2zxhsUtbASKxF5a93n2gTziktD+KVxgMap3dThe/Rm7yF/3gSR1a5dVqUeba z9pRxDWRIhMjGnqAk0c9YfV+ABqvxBRPqIrIP/jbXtcgLklfPCqG4Af7bIPPNd02GTSVeBmd24uH bMl55GGMn3pbLltofW0miCfeAFuLyBKTgVm9BJ9uZrB4/qef0qsqgSbnmCq/JCbojjQ+MGMuE1LB piyHsf+K6QHIIaXALgGBRrcmUFOzc+2S2U2x2UjfmR9jFDVtpBGL9VdVcoweY13bjQrzSTl5utss BSQVqGUJD5LuRf2WSawzo1D6lfAHSOfvgOdXDjzocPlF/xVYjcO8sCfmBi8H7toQ/CJ7h8MJyJt/ zJ7a2nd7fgPGGdJb8dd4gnIMNNScHcO5qcnBiXKKGEcVZ2F19viOxVjuJFZZ5bfQaEBAWAmyGJfJ UCGH9Nxfpau+snpTbOk32xK7cIAPhGu4hy2fmjsUlE2VWxJ1iprWke5lnOriWjx278cHfPArFVFH ajXZNaHBP/gMIuz1EnbWRd76R7a4hJ3dQfdbmlq8KS7ytCsff6RhjZqw7cHxbjkoZkLuarsozDZj SxnEBS19LtNrWFG7gqjZSIdThP8j5mUs0aD3PI0/gpfVDYqRqjrIvlUkOEEHdGHJ7KF6ceFuY3Rw NdSiYWOAMZI4wVnDg9thqcMahhqMrjvW0HM748U672W13GdXN7GIWgSjjbQiTqa0BCCg8UhHAtFx WD6N4dwJZc2wzy9c0KxNK60i40zfj91725Tm/85Klqr1Q70U57P+WDs6jx2+JJWNF850hd7KqJkM VEf0YrokwgQoNSf4B3Cdk1SqkWPSRvroI9dWjXO1nlXhl2MD1T5fVVowL90RruFu96GrEQ5GJ8P5 fOdA5pEAsgqWWNmArHYwR5Xz5qiwggEpFOFUeDVEMpPmIlUVXGdIQRFxkMD9oYH/JzN8Gpv6B47G HuCoE1g6TJihG1BkC5VaMJFhCeVsnxEK6K8ISnXO35Nk9XaBB5etZAWRY6pGo4MwaeQ9WfUEgNGS J9FLazZXTGWjgLVSqOl4JiME0GIUC0lOGUBW3H/AggriTh90edaoXdsEy+oYCxEy1xLgW6MJ2c/N 2s01BxmUboGehldoF1EVq04M8GpUXIJ7e6yjE9PpZovVE5aup7ghxuV6A5V+ksjBLhLPvV21NRmW StJefAqzoK+L7NAJw+Uurp2XMcdH2Gwz0vJ/EowwpZ41YUi2YRiCTDnz/Zk3+BiCI4CQFW1gNd8a 4Wvf8+Pz1gTjHyHbRFbXphqGVgEFBnkvKZ82MXUM86JnrBaVmqptw/BbgjgokRWNuV0gbH81aYzY jH5cIEY5GWi7Yk6Wf4ilOZzGESJBk0mGCRFC3ycao+qgatuYCROC/ZPtcObHRPki4HZEnwLlFP8O 09A+9fs1Nz1b9HJKaVWxHAvyPFj+aRmslicLeJN+lsU9+xP4K89M9vho7Lml7NqDFWAvjUJEn6uW lC3bkJhHu40N3MfI/+Do6mV1+t7H5pZuNdueXDf87buAefHZKHEAgrj/qyMyEFmDilg+ch8C8veH mbwNMU1SJOX9Q6rBYHXP33JA/h7Shwgfe8Uak2PiwcOzFsxGzXJ2CrRGUHhthL2HrlnJwLf3PMMh aQ6perNbpuluORQKbVxcK2R1Q4fl++YXh5tc8+6icJAC2pnCTlIazJP0hTY4TqbKFg9NyxYLOlIJ j7SInGGSbiHmTjzPWCbTP4vMKazqTQFbjny9AY03tBUWSarpiCfl4v9+VoBnBiZIGZUnOMFq156O Q5W1MBGWa+rQwnO3tIb3zPnEwKkM4yKJclYHHEBHIbJ5old5mdU1elBl5+mpR771TCqVonOmw04Y JEHJpm7uhqGRrM4DNuctbwfjRsaMYSray8iG6biRA8z12rW4PQzJ7yQejP7oxF/GuwrTfCwaWEBp e/0rdycKDHQSrvUBEv5ufLp3CqAJEDwxC+JVZDZvWbK20MUlQt6xdoMiYKaALhNOA00AWi25YulW DEvPVdgBPRzrpz6y3PCCk0n90/FEfNV7g4Pmxt1xp6OSCGqWnXnar3M0WFIS2lbT60dUjOmTKJSB 8EDc+u8ADDSDNkeBJumc1H5fgyx8dL4BUR0Noh3MpnOuDkzWss9Lv4wG4OkgPrIuVtt3rlTmvxAL YZjLSuGBorsz+xoxDGNB6i+pD9+9soaBWvbpMwh7/V++3r04IZtsiGSvBB9DCl17pZI+N6hO9WHi wj/DNUl6wAQ15fS1HiD492JKhVbQAWonWe+JHTw389Til9GHJKK3au0cvtxjEjYwBL0waTUWZ2+T ex+4O0JsgxeCVNeEj7bXjiKZhTvQ25OZYnSF1atlHoKZ5VpwOnRCcportUvwVM3FMSq8dZLR6PKD rPt+bh/arK76j1kairnWpgVwMRBGfezaOQHg/ZnY28Nua4kAqZNvQNjM1Y3EYHx0w4xrXW7YD8el 8vZvEAPWoPt9ZiLz+BnHRQCMEAnJPwzwVo5cn2hEV+kFqqi//dKVEqlTL6d6Q2NjMT2aVfTZL8Z2 /JEUO69umJs260SnwuCGTAswLForXtnI8GC6xIShyp6VhgYVLPK3ljpoP0qnrIBDg69bABmBJp2q lSIpRw/n9h7khBFKspFR4+2F6mbtIupwwNibbj1WCI6ruD9mRyEzyFzULya2CJ2+xjpQOVqfxxjT 2k0qOPfxnO/kXz3Jz/TSIVqx2268/MxCjuuSQ0l7AlSFVJkgKSV9RKBLo3KVDrt6SF5SF1b8+P5J lhhhG4OU+0jnfrQhW/AMNObBJ+HZkPY/jqojlmOptSaUy1X88Ea42HI9p1pQ+cwLdozUzLz9eCYF fRq5cuXfGWi1r2zjV3HEvEyrmaGTVVpBNoi5owBcWTM1OjL8v4+F3z6aVvvtu5MvPJUcyXA2+pSh M0Kb1u1yzmREyJay6ybaoGGAo9AMZMJ8w4mc/Kt/W/lSgL3y4OQUy5TzFZuR+w5oYyOp8Shb2WYY w+tmO1ck3wEK2j59amlQrEgdFQia+1eKNXcNCvj93fD76iCnQdGLm6/4sDgESI22Gcw2m2911JdR nTqMcTccGpQ03/4gOiq12OFnUVbGM9Ln4eB4Y00tPBW67DRrG20CxQW3IGH3UppUnP+6QIasqvMo t69yOiqu5Cv3+WmdAjRTnd2ru7lUDBZ8pGAPgrWbTEw1/cmX5XAVDKdA0JF8pV+Ho1g28nTzLzuN g/2/9yepg5hhhnGa5ZnXJthXMmJ2FPNxK7T9FvoMHCgJ+tIPayosQjXPElA4fdaSmGZpk9YfBlvp sr9e/0zJ48BF1ls4ivyDLVPlYAIAX+K/xgC7iP94U/avffcg2H4eacu/5bK++bhMr3LM8Kp9/yuY CVCq0rXDqWpj7Y2vh9XK8rtXLaz3QQnLoj23dubURHFTEDr+wWYf4sg/NIzcfUmuP4ZOdEUvUjAq mR6DkimxQZ/KTJHNcEKSPknrQ+TJDJAMl08oF906cr4AhKDpElOzCV87ZZc0diXaEIyYsWDGE4h6 SNs+PF6bbVrWZHCOCZvjGpawNtqJCpx15aiEXOJkgzxgV/RVlZZ0hKQMqJ1uTI6XE5O1JJSS4/KK ItSGFi+1VtcU8jsHmaBIbnARzFPeU2xY8MAHaVr4Duaj1X2QgHkJTTrYqWx6pvMIsLdN7c/s17Zx NM3xPOhv0zshuXg4OWXpEIIMw+cryt/UoVeWeQ+IrXUv063OoKe0aMZeB9VIXiFCjjzZdpk2+oaE rt0OJ5fwxpXPkL3B7kYLdZ5v4d8+Nk2XvanNQX3xbX7K/Y31/HwMLz28MXRyF/o5PoC83NCb8iEF OCF32DMVBE423OhVV83aK0x488APt6xcEfgEHLVt5LYiVSaazNyeHghaKs1//l/arS2Fndh2Io27 vdui6EOUbJ8YXMbRabOj6fqSaoBnSQIPWsFrYvEkUr5oTAGtdercUObIq77OQQGthxe1TPdkSdwT q4br37qYx21yk0vdrsHsrxUWY7QeXuGbA9CKXPW/s9r6TF4jBa0a94M5egFH+g6nvHse+qN0nfsc 9WgMdO3HXBZvBV7D2OGq7xm358nVjtKln5MVWmNmUfpsp4Gj5bRpJPjqmy/Q2VfFY3+3v5GkKyRD vcjnjB2HqTdXbJ93scYyMX3Yj3Q5coGZfABoWFrkAodUtPbU+ewbpa80qSYEKrB7gqTugnafpM/v CiA7CMXKLHW8kuVhe6z6JkMSN6JQNu6kAEx14yslZ4p0KRjHc9ZVwlOqsE1HNOqQXKVPmmNZFuli v4PCAH3z8KJ7k4IVvViN+6Z3aKHWqnz1xI5wL+1HTMPnw/AxnmEz4rPGWgWnvEeu6wes+pqtxEbR GhOttijqJdS0U+scMhWwEu/NxE85RvzFLdQGvcfovfKqtV50EM8XL0+GvTSbJeGHBD9EehOlyIfi QK4bkmrFMQZLz9qZEY9B8yfQMf7FdQoZgdIDa+ORd6azkxtxAMOaZD6pf2JuJxgvLElfjDDcsR3L f9WY08mJtPJxmJKqJfe/h0uSLkMx7+ZnjOmzxfyBfNqfGHfR/Q97EpcFaWLv+bdnlSmijg8dB92u m/KHT4goL74ci+A9z1Rlx89WuJlMMGHcQoN6YURsRp2yKuuH+grXQ5ho/cFofNSlZ2wJ1rz/bEp/ zHOXRvi2C9m89ByGv23kwPueC6wPJCayuREETwzsZ6jEtnW28Wd89CtP7sya22uKisEI2Rcp1rR0 3U5YpmIF53e1sF0i0XvqRgr1zcpivoS0XfOAW1/JAxiXGi8hg3hmoa1Ixwh6myLn5PiInekSHRut N645otLlYcOdy6+9aXyuLZq99O9Pa6vyk8NZ6EC4qxyUvd5+qINFvQTVQehXNY/N8Moe2rECOke8 WlF9xlpNyWpNV0kPaZiymqt00MnfGD8dFiGqDGTj+rXHWb+u9+OWkbEqRDeMYKrpNnWyk4CnqjRx KX6yE2lX4t56h8RV6vaXIedRhvTHRAfhlPHShdq9L/Xx02Ihb2+vBiGrY8+wEJF89R2pKqKhumWY jgdLI+Q9Wq4SBctKJldtxc68sJZbl2H+93RMIIcgHs1Xe2xkPCSWAJui/wv/J8U2VeenOFPPvG00 Mza2IvaVnOLqASRReng5ls7CcXON9FNwOXSrHxcDOykQSbDR4EDISJPbI2iq186UXPrAYO5IV/YE Fz1cLrBCm8eSzlrzZCteCti2qNST61FQYmqlYJ+jwazLmYq6PnRhVEg8VoWePRrU8VQ36votfGvj re5ehT8KbaELPiwa3aYZ8jVF0T3p4eThzP4lTSS/Rqs9joEwXzIdQbPilcMsIUoJS5OicxQMJEcS GVts3wA/YrBexw1H+Gr9RG9WQQJ9rEpNNSzWzG2ceNahcGVYT/0ro/q2v2SSlVd7UOB9Anwelu2z 7qIbJlOin4bBquSadEz2xLHMDpUY0BCMW/EGhYm8ndYrLQCNljOQNbmxtCh7OMtrJsbKJL36TdbL t+9I18bj1Y8yupCyiS1XFiE1xPvAAJIpZHGcvdwihnkjIpgadgZiL1W1mG4LiQgIAoXFGLdgpjAh C1ZBIkjlkNQTpeXuurdX4bZvVOMYonpmL0ggEYDIUUWgvLMIgjuNE6gnHhh9SMOV7yEdA1mCu7p2 GbCnyA8NPktKRDsFBj5z0ABMI4PBeQ6hBouUxhX2ADnyhnLgPS7PdMJSsBdMiViMNoooHo/cPcv9 npH/Y2GT5jRhK1u6TSnzXc1mUG0ScwYsOIBskdjBmMiOLjGHz4aMi5Am0UF7QWO15zp8oiuTFVsS BFeLhXqgzyW0kltKzPU0J4EIEjikew6Uf7kdF9Rd7D5asKMr/HazO9vJX6NBoFyX+m9OFJB1TNWn mqXS2ScWP44Gyb9lOdCE4elJNy3kYYHa2TQmhqTTOP+ogkFlGnvLaGGU2YqSO5MTEI3T6rlPOM/S edbBo2RtL3ikHLeZmHeMhLDsFxjwUML892WIDu+RGG6MtrfXVXwAAIR270pqkyegr1EH1NHCAHPB +nw08BTeTRbSRLX9jzZ3udLq7t9qciiJ0z/SeLp2mhB0nMQRilgu7hOOFArtPiNBxnG9dsux4puP JWx309s1+EDpx30HvQmQnXzia2njQNDm883sxsaQrxqKkOj59H5t0TJorm818FgYGtbkCwZtWY0p 5Bc5c0z6xLTcgbvg0UOsuUWX9ZnxjLdJ1furownP4SppVWxU01qmfme28oOLdLDatQhaZyQbP+AS UCAI5yOOAFhLv4cVvlq3Kv+k9BqaiU2ZTP8MvHg0YVW+xY/nkpbIcW09jwalkzW8q24MDDB+q8K5 DEKKLGOWtBwueYGVmb19aG3HBUNTRPjboGgvHeg14S31+mhm3suOdMFBXfl7J+r9PA+J8/P/C6f6 3P5P110vheTBTtlqqbFJ1gAP/w8d/M2P9Nb7DsbSd14vgwtGsq9K3yJVu4rkADTJSkNU6CmHow/D F0KC0dNxmPh+MfA4Py74aOQgeMOp5NyHpfiEjEAuPb1qrM/9a+G3t4kkzcRa2DS/CxCdBKTiR0J/ lNlmeW0Z1Oko/mm/MEVJX2508Cxpf2/A3/5H1pxg3HYZJuOWUIR7ZYJTnITmG82tg0x35Xv8B7Sd +3b0OLcljlAwjUznexrXN+k1LZLsIl5JSZIYb2FDySD2x2HpeihUYk2b61y0LEurqxFftYCBTtQi QkSAdqlNsxB9cpUd9SZNPyp+rq24r+YqNsUP1hSL2IuYKfe6U2Ly+G5e+CInqBiZ287/YqYYQ6dC wXXB4lBIVyrwZqLBHTqbKPLXvHGYm0/KreoTYLAA2/qvKPsGe0NalkYLMJHYqOf1z3EP54QOgGcG UpKg8lVRjT9Mzpo7jPSNFgdHAmC5aYi7salyPVsBnAQbughrJU+5AstT5uX5zm8LSiLB4PRWeA39 1PzhhZmzec2X7f4ND5BkfalYYdyD0CgOBbeH1z/uRo/9p8XTuiBMjXBH+M9tw7pGooIzqXRevsIj dPoo00jFEcDPbsOCc9A7LmaGJK2aNZuXnV9VsETTl3e2rUTvru+nIWoNVG45NDZRMr15psshUrBm PAhcyVhPAyddFAxG11imT1VqGTPxvL5dQJu6tFZH3DU+qXooVSeeT5ud9q1cy/g/wJXWaHQ9tdTG 5fcGfqbzYWb3OHI5RYrIbrOh+1wvOXK7eknersRyd2ULUUPEdKs5/DN2ry3UUj5tYWcFDCv5MXst IDlCFVXFXXiBEjLAOzeFXOUdMLEkGvVaCxRKWCwOc3Gyqvq8aEo7WXYqG+r5wX+UUQNfIXQeJnSh mHNFiRu2X4jFsD0q9wc+izmR1JcLAYqMpf3KRnmUJz+sZyPz857I/6McumVU/bufPWR0eoQBer6y bsJosJ28+zbR7oNCgCn1EtUCrzk8fIZ9FDdP2oe8G6hQsVHdFRfyz+noo+mI+GoknHuypKdBTF87 V6/ZhynUZPgltE12EuEbt/iZzEg9dSNx+c30RaVybsILMzn+cXPRFRGnsSshi9KUglLPZcrVOF5q vBLyhPBX27U1Jpb54ejocGo2r8HkBN4kP8rTVdm+8cnfJUGgck/jU9yrQb+fpaNZQkcu3WghYMDj NTsF7O1zIRqMgnNgTWJS1RVZqUVEKQzHYJJlUzORIzgHmdR18wLSa0L5/5Or8mLrax6AZAhyJgbN hsyV6ZCdRx4RoTNUVD5hgXAI9SDkTWq9rAa0scrmnmifdp7S58nP1fl2acKcTO4XOcjPZaIMiG+U r9vfSaTTFLjCiJ1Y5Y8owg6iSNrFxTcV9rDEwbHgmB/dNr/NkqA5HO79HhOAzBBlgaj/Q5vFW8gP omhj47fCraRa/wWv5fbOciZkQk/3llDFhH1Gi5ObFHHnplGPEIsRRO8Jy8G/L6uJYu+e3OhmqY0r AKkpXesxVdp6lNb73yZA3DhRkOsn1MFjswhFXt0eKJLrHnWbSdgd8g3ct5/rlTK5NdwHC9U4sjO1 xgQk1yHnQixeOotVduTIX6qdzJ07kach8tYg9HJ+xj5VaztLOXQb00I9FHq3x9oUwbI7crbQgi1L JbmCxeH/mLQ6OZiuq5d8M0yDNsZ9YIdNIyPhNTTN8XlyjwMyrJQg7gswbz6DM4M1WS2D6eySmJw= `protect end_protected
apache-2.0
553d74c483a2d9c0656d3252a2560016
0.946999
1.839322
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mmu.vhd
1
72,617
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oxiiKE2RHTtHDa5reQ6Km2XM7pQlea0PXAqjA/4RbIFjDAxdmV8SV1I6qn8h8YXalKnWT+WOgADJ 4Wn6skFVGA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ffjZGRk8Lrp/ilrghMRkH7MecyxDhtMS5DWHlEoguBWAzRZHsa42C1X0yI3O/GwEGlevbBbgWRWm gMcuieFCz/hqsAW+bInnZvm2rtAc2n3qolfno682TMiTj0ZzwwI9l+H9yHL7/prf3qh6pjel2QIv 3ha+TDxi1Jt1NiWV5Qk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VAHfN0AE4ZDkhIYZdGgzSRB7pCo1cKNLZa+rQ0WaiYqDnRbc/rfgh424MTdxnIdxvAzX2GliHsfY 4DQkoiqBY9+b2UqYa2ZnkW9VvfjLCtqSXbDAk21XdX2/jh/7mSEdJhkDRP5afnd9dDRgRWfQymgS GdetJnLtHC+deKt7eTj2N6111og4p73u+KaXnnFGch0yGG90rqt4iLQfWp/hccOVchP8UUDQrFSy zn8DvtxwSca9eRjjYRHJWvaxBsJmsBN3Z/yPyJZBNVrjAobsJZ2IYcaN8+cKO0kU6hY+CSbeH/cf GhF8PFygW2WuO6hOm8+TNOb7JRDdwBxwfHZ8Og== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kO+PoGDIhjCQ6lOblc9CXmTOmh2vcz7zx3w4OO18XMp+fjJuALsJ9cf9Ishm/fsCJYiuKLlU/I82 8BBggZx2scMscfstKIJtmMVsEs+tHNDdNll9iKiE3dUtVeOgowkNHyteKQTm5/+Fr6M+gJi/zwAO NULaMsc1cj3hZV4v1R4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iPfJTFLdADLC6Ow/byUslMWkluS9gQ4WfrKdWDSTg5x2wxxwqkU/QmCAHkz9WfMhe4Pkn/ZlAcVi pPhS8Lc2vth1jgbOS5wFgAfFM0CsCb4Z6M+FZybvcIVhxaYWifXSTTnVfXPEGr11kjm1E3sRSHBs PjYEdAlDc2MS1pb1OxWIOxsrg09Rt+melsrNZjz/rF7QYjUeNVHDCfkZ1B7/3YrBEhLi93rZKc/2 g1ubfILXXwoaq4YTe7Ix9fYF3XeE5WUS2ux5/exStN5P4nRo4kykDUgb8Hqctk+uQE/w9F8SDvcf PUT+vdaxTlGJ8weAwNF5DR83PTjj4/KbKRw/1A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52016) `protect data_block Gbqyr96XQvkaWT2zPG/LQyYUc9KyvSzsA3zoZMz3m0KO9xBRG1qF4rhU5wehRloMj4U/EDER3Oxe tM3PzVWekZwwXUWYJ9fK8C1xbJSoIThyAdwPxGwVLI+8vKYsnABlbDmW7ReX+MpUZxSqbNRNzWX3 +qAw6hlBUhQvNrz1PAtcPx5zdpGni01oerW46mo/++dGX9hIgZ0vaZu3aAf8unMyO8K16D1yP4y4 RSj5pV1t6aiQTN9PUq83CHX6nnE01QEDAfVwBigNZglpe1WzLJ7JLsubQPTY583SKGszZehPuX3v CiADvHQUIq51qXW20KA2ua36KtQ6c4MAAlNLFHnnmjDVA29HSvTmzrhXH6TURurSpILsxIUbJAbW IyoEkP5uACl5PqgU+4juAgr3IbqjuwhbSEIjc2ZTtEqIE3Y/jDQBdvRkwduMLUmKsn+8nAPs+W6P j4H5zqCZHnN6+ouBXAOfgqpnkhqMzUHB1XKAoxfNOTwFfc8Hvdw6TQi9vpj3lyOooFxyFjtziJkx Rm3ebi1cmpn+wQ4qLvSl2MKSczaP1JgFTt5MP+ubStXnpIah/qmvi+NrLv8x4toS/ghhTFy44N+R lwVY/+6fl8vonG9YErl8KPSQ2bysOMoTTK7dBqiudBUW0qk8mReSrY4euGPa1Zfcl6HF4iZDjUfO t6RyMmTCCJ3OX/uNaK9gBRV3zHyMmXd4K6ENzCcEeLfxltHIXH0Qxhb6mPBV6/yYe6jcAME/Jane QaqsQOZ+fHVATFNFp0c9m9vKOokmmN8jVV/0dlulH8bnJO4A4o73oecEZak8oO1R7uihsmfABINC GCChlVUQWQENi8r0+6NR3372McjO+U9NsyCZLzK/SBFVAplOq0ctiU6PFW/3E4YBX1IZXq7mr6sN XP0xqS2wbJ06qq0cOfpbzOwu101s04Lm6CqjpBaijWb2oL+7uop0xf1j+W2QVaJvr9LzJFo287B5 bcrneWxgM1JyMX4rZmvN0h0C+JTAsR/rXfXxl885AhPI13Q3mu25JP0fUk0brZ2ySTzP8NfaicTL K8Fh4wTcxtz0oKDhgP/m5YGtZVLuBqNHfo9Vn/IJwzuxXoOEU0SmuuDC9IPb9gDcaYoA/C1nOlrG M4fyHEm64tyaTDmAF2IocGs3JcjecFIsaRtRQAyCsVVftqH5thMoFI0mQwXSAlm44g0A4ygBQeI2 5lg/T78KhiYVAGom9RtrReGT73CB9V42YJ4DpEOjt0SvS5ZZWPdv2Or1H6kE8nWIN4nDA4uJBGvM budVTvMYUgnbZBG7b5y/pLPPmazX2CF/c49XBWWPGkhYmrmtfMvaw+2lyZtwet76Ads2qksM4Y6A LF+hRqZqwyheGeGnmodn+/A1p0gauQ7HXPLWweliZxjMgFfB4fL/OJbfQYzxQN44LcTlMlFgEn/q 8Rc9FeNnL1r/jiU4zDtVgCc74mseIgpnsFC+Xv3M39uYKVwAr6lFuV9hi+lOMQQgdDLuhu1sziid HDzIuL7hC1HNy9BXvRUEcZ1qLCxJ0yG9x9M9jcYskCeA22orYIg3+vwTJuUtDsOHLPgX1gaH8W2E yyeBem91K7PtVtYddFIt4D9r5tmjIsukI3+cmEF8e/WOyNvx978pLvKxtYTWu7vlvygIzGMhRcUg Hovad1lkJLtqAZKK6/6jCOy3iSJBBYLn5n1tN+kI4a/JHl8XmGeNSAKwpnG6SBrtpVexeTOkP8rj bjwX0TTiyScnttp+E7gY1iPQKrYCyKNnBMrXmqFuFNERjxsMDB/EsI0XwiQ+dNjRFdxMYZ3zpoP3 ZbC+oH2bCC37G1olM/Pqyujq4jd7vLbDjMcRbljmGqF/gPpX3L773RZc20dLJy3FPuim8ZV3Dok0 jj2Se//V+lWz57sj7nj4AeqTah1A7scdPQCuHAeOrPLW3w6pmhBrO0Y59OZeZ25Fq0jV/CFVARXv xehS08BezP4YGFLbIJLPDJx+5x7MAp4SGXMRAqb0iC3+8TNUB0LYG13Ph4AINccrVeDSWONcf3+5 EqAPBeLfPAbKy+qdEh7yTCJW1LH2YIYRXm4Cm2nvKCEcyUajNdCJrdeng/blx+2ywXhWfcD+V4f0 zOVxoguh4KAn1mCZMs2CwHx6osQNJX8F8J0uUVa+gUaAYY1FyQwlVaFg/IAZy6t6Ks/jKOfb8ZxV NoLqeMcoRsvHHU94hG5PStNtThLZTklV3MzbWxV3oqTDDg2g1x/ATOym5uHWV1nqW3J9+lOoaH+b xzd4Ozr6sZVwYz8apJFaA4trazog69BH1JSfvySofGTlTFIm48HjBalmRP/9/PiXBxGu1ymOdwze ZkRR1FVXXDGFDo1Q2FKu+wV3GM7TxuSEYtTs6vcB0au/Uwuxpyebt0z5ZgznjSTquwBUAFc3q07T fCKUSbVTnb+1RH7tfGq8OgvsNZdMTsL+FYmrxk1mTWCXDPtaJpzH6JIEi0CQuP7qJMVnDsyN9PN9 o+AGRMcoWv3RhqkRVar5i+AUXXYyr2/H3qaMybrpqCE2U7mG5vabiTmCKkklzooZnMejqpxzUA1L 2ZmvUCkB6IEt6iknSCK1pQvf0yz3F/v2SAWFUOlieHm+HCXFjr/4zTEWtCSBYM2EgyJbo+o2l5NF mP5kq1XIZlgPq13bWs4wEqE9wf8vxCDGHYQTrjaSJwJpJAz2V+/9/SVCFOlOXQ1gdE8kuCEB1t28 6dOu91K5605HSjY/eIsRoIhJfWHKuLxGZP0r4POlMb/lFtEEYBZGS3xHTkIA16nb4VhbDud+KeS/ PWrRv1SM07QNhQPvieLOO6kiPxCo7dAWksrm1i9RBVZSJ9Wcab5cTXxWzEeSV4xgExCGv9ZmHaKg rAmRxZO+fJz3clOE3kDWCoGqq8b0B/jQ2am1CMzbqrjOurDbm0SOFPSs4LHnMi0rxid9JsyEVmba Jv2PAOYUtTzQHhzvEwsWWz6LLzXmmqnLHpf4Txeshr8af8OsVupfNOi3Lp3bvTonQZkiTxQdK7+O zbeoU0mzpyFruUoMQ+K4VFLZBrb8F3QyPQV6hFBS6tcfzN/zUqDiZurJZAwUOrLMuRCKMCJbrEc8 fewpf3sbFrb5rMI63lzSh7tG2qXBTT+8srRE4iSuZYD/XVtnBOsUQ9Hi0vDrNe3JZ01wXba6TtvX Sc5blgUYjn+SttNFYyR55hKpl2yWxnbSxn3FhdwjYITweSAxgsfvbKSsLreyKpEcLgqrPHRLfy9z MDYIt4TCAXyYqnCOk9q/69Eh2lki2kiXZvfLlzV+to9dDvmyMtAF5RjVZSDfK7iK+J15X27Vz/l7 1u48zQ5Wum8RaBE3fqurOFqm5ZFYdbdVQHOqx1fBrJ5DktQTLoHIIFeLtVtYRjWSBuKLGQCUcq0W R7E5aBAOeVNdxeRBZdanjSeuBm+YrXp1J+xFKm+K6HTHEI6F9ow72C8Zj4AMl5J1YmYcmvZJ0V35 pAnqDDa4cgysYdMNplPIkKLc3z9N4hl3uTrm98DPDtGUsHYt2qPUW6p1f+6pdKENod3tzYC7O6Ot i59KOeZ661sgm9cA1KwbYBQaqhPrUNx9Jtn8p0Z8bjFSvSwC2yhY8DYbtDrS/QyLgJcCCBwGIohO G0Tb9cJJdAO2p6M3JjPQgzf4Bdhpt2m4RycLwcXCNuCDe5jYlE+uu39EqRi/tot9ZXPke/9gozp8 Z84a6dVKVK6uquJIBw0zcCWOQrjzDlsI5Dm2PPRDoAFjPwh0QlaN513hStLY6NOVG/KIc0d/Ab/s vHyI19BkiAM/5A68nimDEESnOyn6v6ZxDW1jc4/eJCeMNtf9QhMwhxlDYMTJe0//6dhXlYGILd2I vFx9lpseLP4zUYzns9aBPKU+BLvoGnF8IC+o/JHzrEz0b00Jk9xnI5x7aunRlOUwumhb8UKU4L3X wlzE85D/sS496AyBP6RQFi4WtZWBEgd0yUHP79Qr5jTA8+037H4ctSmr+wQd5PTB7Px8OsTb8MYH Vf44PDZXhkS7+kDHm8FHz/cWfFSU5kbPmW6YAlLDLo0sTkIjAlmk4R+vXzCpwgQcTdSBw4LkyvDA w8A8/3rYUMharQ69mCU/0+K41Ojm2r+7uKjbydhwjBgl3vLclm+TBdrXI2noBnvAIKdjxvhq+Cry BWki4aDc833rO1HwP5VMoVnZ0XYmdY+bOd4Xuo0LLAUH2DCwkqzw9IZouzwH13/lvbGOlAEs194h vG/nXuDrLsQB8byBbuEiWMyVnzhS0gd07flZaVFrXBjK18BZclmu+xljepeDwDI443bxedp/rgcq AuvzI4vH7Ym0Et8KgxVnirc26NIvo/cFsKDJrVARAqtKBWZm3H2gh8udg0jjxBQXU8zQ12tDbbmf SDfw1OmcA0GJGhaJfiludwtyISmLPW47VXXUXbNcG6bG5ZnJraMzeIYxyolIKRoaMachs/wgHK+k 97n2OrRMTt2AtFRJl1WrkwQCAhFrs1Djys1y+5Dxv+cUxzIQLLMBbc1OTcP+iz6tAbD9pZHLWokn of9qHyiRckTFSLNzL1ByEacuGjdINy5NFMSJZPhvKIGgzlRTocjP9bpTPFQ9G5sL15OJZvFiOCO5 aieFW0jZLtvGG5qEEvv1vhbw0qeXhHW5Qlnoth7zRJZH0esaTwPB6FN4f/NAcK/kFljDu7J1TMya 6adOqY7AuwEU/+7Mprk0EIgq2+jbOyeHA2LmvI3s2hiX8WNqE7jt1sgGQ5yAtMP4hVlfdoru45Pi B28E+GTeVuznpNop2EKGDKCH9KC5PdOLxqNt2AmR80i5ELyyhxJvUhf6ise7al29zg9wuTqLnfpK xqeUAPrKvnJZcA9eE4MlJ/mWViF+ZW1If3NjhjdmR9zhQv2wNd00el/RsXv1xNluUqNYT6T0r8YZ 2i55/x6VbXw8yegV/yiwVnr6Agg5wywaNx4Vb9D46ytKq9bEejhzm3ZDm6nCbsryAOExaiwoO13Q sS46nxzuehBBLXf5dFCTJ24u2KXke4F0ky3agQTfca2mGcg9fglsQLsUpu4DKE4f1I+S4JqIMLAV 5GxqYOClYUb81ck7Xt+gBPlwSXWUJRgNGhgLS9VmD/e6Q8Yh9i2lTZvgL1nanLnxXCr6fJN5K0+L zr3uwXnX5xnzN01aU1f1DE1wCag9mEiUy6Z+/hxxLJidYX4lo/H4R89IiY8cDlAgk44SiMGCP65r 5Wg1PnB4rW1DwQlEgmcTOCuJXg6qNRdBytr0csl1NU+zr20raXB1jnSpWthpwfQPzgwqv7YUq/pX ArqgRBbLaByTXa2cacB32EYBEW5sf90SRgJAHc4+Iw3BT9c0lweBdZ3XqaiX1aDliSa2jEoVtUpU Uz+/ZHDCudLAUftco76MpDyAkWCApl/WvP1fotGtMTIVNJcLq9zsar0TSsjKQJCPUMU5NZjaqp7K pRhqWqRmlqAltoBjfWcf7JiKSb3iHqK2lpnkHE34mI7HYONlnDFM1ylxMporHCO9QN+/ncVumWMk PYG5qIcJCwlpZVDhrnwl+ucjJ+HqmQNmkSd/lGQM1PV8D05wOFnqqYMb66VYNnQlvAXcvYhfY3d7 Dplk3FxAnDoyyXnasMCJRcLDQ73M2P4e41816NfDHF/HUl/VV8wAxb/deCip57vH7DhTHSvGHE+u GUiGmyBPkOG0hzUF6xFQBHbMBshS+Lz2tzvj8gyfTP2zec9slmRkVjmExPLJjbz/OUlCdgqD2244 iiHyWOKQJ1MBgb77QJ4m2hvALuLVNs8h+5LmTP+q8V07uw6AGQvP5GbePNZQM53Sl0Touh5KhEB6 1GZCtJNuPTugzhXvLeMTNtmdZ78gSVKqWs3CfgcdEDL1GxFJXEIFVm6a7WfLnziqfBCSg/VgSX/F Ibp+xjeUbc/Al4dRY1Ve0VqAFISGFCCVTgtPIfcisjy1vYEjuITMLXVmDtZwiwUvvw25RNMnKNlA 2eF2hf2B3vEU3rTjsu7DzLGcKd1kES+OZ3LW22AherFFiZjjG85vCExBQvzV+FcPVgNcpgp4lQbm 5bYOZDjIa4FqABNz4NMFngG6O4YTeqhmu7b6tpJBaxjBjFVM5YmBoaet7EVTY2cLE2rapqj51e+Y +eA7zWlpG1yCF6NzzAbksXPmlcL+MKhB0U+GEqQTpdm4jOp8BVI/BGOJQm1F0QlwJfenXRGNuzCH PdVEERzc17uzaWs5rKpAqwDxyT4X8rOCMpysjZwQO/IyLFeE0dCcXTQOApS6jwehw0ln8bcgGrCy hyh/enAUoZpTVkzWP1BmW7Bbb+FgXhH0FmMLXwCcbqzBzv+9Is2tkBifS9h5aLLZYUdZ/bV7qmrl UZNc2XJE4dcO/4jR7G/NqvuwU1ndz5mj3KIhxayfjrf5pOIOYg/Be4qXzn21o8/A/JKbms58CHfH rSQU3WqKwMPxYZbW1dkmBSDfng6xu9ryt5ULCg8KPFYtccV464uawy31NasXRNJ32EEq4oQ52FCr Xj5Tba7LCpuuh9cdLefWHT4/zJ9ziK3rrQwUBRLtnJizEG0xWFs2bffmhHHytGyn7ibHjZH6BAyu FkwN3jz4Mw4+RES7K2uW69mI1ittskwLj6u3V95yqw199texw25ZkrePG6Gm4BWgExjhgrX44yDd BwJ3zIZrhOQ7ulhDufahcVhHatSkFoND7gnjVY6QxMX+oXVNt/9ljgEglF4ByxsQT0L8cP2Ly604 PoWKD75ZvCdOKfW+bKA2ZP5Sv3Ox5sZ0/YHuIPYvK2DwVQukZlB2b1un7iVO/KF6aIph8RYZpwvz xsJz4uSuaThUV+RwqJeL+dZWGhP5HCIUMkxpJVFOYsjgZkiJRWig71LQmLgEhWfQ7REKw0nEb7Hu uOu7oq2YkQsFwtrapoOnwUx3/vFlOQx/BZYLJ1DjcaMCWFoZppCGG75mflme4hLloUUiyswWXASI BxQAUkJeuca1mkGut+qK++Xof4NQUJqsc4C3MP4NilwnYMwi3JbmwDU05ED1uFL/Ve2O05WmhB7x ZryYCLcYiw0oBEDP/FXEJG/F21veIbYpyFnz0sVT8X8IzscKXauDNzk2cvuox6wnAfMilq6mIdVW /6jpV0hJuhKMijnwniFGAh85Is0rixdUNKGTqL4Ux6iLEvAhvyBGYi50RhfnK7zTmL3+neSN33uV EvGlMRaCSrmrVUK8vwvAP6lZQ/YBYc36dDnb7vY8KopcxNIynyHpaMJ+iRvHRCakg534+6E4RCK8 M5a75YNJPzhDX5cFG7ANHy0WdZHDRo2GBp/x47xJ/cxsjFz+0B9cRYkuveyj8NHbbDT9iJJr9PuZ cnfIE5o9rA4Rjlq7KHRrow5Ibyb+dvQMwWiyo+kkiM6x3ZhVsWCz4NnUZZ3x3nOnBWDLoXSEFc1M ai2XJeYCIQaZwwWXr4rEgUCtL+eLDNljfFV+NM8r/rbentlARE5kMiDsiLDnZWwzMao2jxt9kKxN uAvfAYVaxVRBralQ0b5Z+XQk8h0Npx4TNNdWpjEVsFXV6CZPWYunvX5dFWg7tviZtfvuOqTy7coH oEVjqgc+WN0jUQ6QQEc388BUBgK9tGfPJPlZXoWKqc853VWwYhebCway1BSytX5Ll3UsC7VbVmfO XEwiROqOwlu8MInIZaM6L5mzGN+OAixzzRjbe6dhopRLf+UYn45caWAL5EnjWrH1rRUV4YisbzSb Hhp7Z1AnS8MjviExYh7V8tNDjcTgLL5c3Jr8wXupxqxAwqJu07b5vfb7ryGOzV2jyS5DNvXhkYSL fEivWcYVOaKRHhXMgCsLwqVPWuRDwXdAoNQnthqbwNSS8qXpMipjO1tzqZ9FRSMYl33qVOzRZ8e8 ZewXX06shR0UQbNdMRy1sNQWct7jVvZTn17voIjKdkgmKUT0rBwOBgHN8A4N9yG8uV80Gux24Q5C twqxQG0XKz1fnWD2fSsrEWLSzbpsSUZ04Yr8dQ8EAdF/zsESw4rqpA+Gql87fozlSFpRG+AIyImr tt0ALrWEFxorSTNRdcglyL/NKhWPOlxCKuLv0upEmdEmW1V5D1DblxB119tH8NqFAERcedbcXSgs FJ5h73oGG2mRETExdXrbGpBhXNo600ogQpc0e2u+30G73lBHtnWKMNkg8CkEfmSbG8cON+2+jeNZ UOTD77Owl+06zLoH7j0UdBKy2Vs1L/YNSjOM9lBgaDdw0rJTM+6v7uRQ1Iynfe70EGBY/aB55bhh cRUMIDk7rEEuO/d7vDI/wXGU+mdgJtH1OoVbnNJwwypfJH8Z8SBFGxs4Eu1uPq9SyWHJOfQttV8l LmT1O6WM3b81pshlN+25Kc3a0PKj9mYQR8wGSdOAPoZrQhFlPJmbgkKIzsecnwFiBbzLBJH2DmjP CzOgOysc839ysslgSZENj0PSVVRoQZu4ZF4YWr6GhE4ufF1GMTF009k33YPAguCwKKXERzPdiq4G x2jj/a7du5UQjjVhtK3Gvm8MuxostISdG/U5kaZsDOWqDNBMrAz85s8lqEH1COYYAqHLqAgUrh87 aLybc2JPCaP9qOWzYRQYzJOoOsFOzU4HVsfjFOMsBTIbCBWpeReiGIsQBfwYoEIfiwJuSVKgJF15 tWeL4mFSROkDm1OCE2Toxn6+cug3gUpn/c2BVaJEK9b/U7XS71OxjDZP97NCaCNMppuxb9a8wnmY iiEsSuJIUNfMKlOjI5qBajUkYGbbfMRRMDzPgrFdec4JtdhN3AJVKqYDwtKPmkIII7ZXPOYO5K+v ByXDMkG5nGc3oVvtRpDbJwGRl1kMu81Vy1lmZ0H2LQiWNhBKQB9kxw8mi1flc6OfxbwUKzMKfIQ9 91I+Ibge1bwbFutw354iMUT8qpGqo9aEuwJPpw1s0rU8Yzq0RQCjsnYvKYCRjgC7uUcoz1UHc3YE a0a3klK7M576cbGmp0MjmNEjBXRK+jshU2WwmPSaWEthwMAXQzObBEHt+kRC/DClW1X05xhheouZ ICtSWx1e1KBqFKxOjOWBxjRTEGkUraKyO8ym1G7aQXMejD5tr0rre6jPRz4iB/4WV+YZghOB218n CghkzZOWDq8GgytOl4Fka+/JS1m2iuUbV2Nqxdz8DhqwnsP7OTqScTO78sqpKJ7U9PsIGlUusWLs 3WoqRnMwj9QPG5T7tiUZk3W5/BC/TbH9Wy0dgru71lkGdvFTiD7YsPRaKQ/c4f+8jNEzTMuu8fVi 9Lkjs4V3AAJzlyA1JeIS+JcllrWI+M/vDVi6s7btWw8ErypM0m6aTvqif5a3Pl8jI18LKL/0t5uT BboROYS4vqqXUgELDnlOVSuU3EkBbaDNOe9mGGH1jkUb5xmmXpNMZpCThVbbXpZQEEyo5zrCEXIK 9FkcYil0rA/5qYm9G3y9jM+h6jfqVdq97Wc8xuOtsD1JjwBHKbdzH/c7AX9Zn+RZe1aqhFlD7ssC 0LDXx0TfvmAX4X/7Lfblcltwx2NakyV9of65NHknZ6xH1GWqN3U4JLBc4hbsrkybPKLWNYaquUdv 0vqOW15dCaMX3BtFSbr6ZhcG7nLVsOUQa4nW6S5g8N8jO13Lpqh9EivZcDsESwwyShiDmXxOiL5I tbRwhmzLfXZ0cjpyEMEh9kMvrN6QZNeBaNzYxFxOrXsIYlDIPaYmI167F6QHrCArZUAfRaT89Ra7 lkyjhqZGBU5KJEcgFlWKZD5MHq3jJkz+TrOqLLTI9z/uNlYne1EUyoreIlY4IHkvMniTG+OPsmTv kbeblsa0d91X1BFx0X3oczB2lecr3d+qCPB4pjf42vV84gxCBIK1hqOa/MCtH5dWsL3kLeYOJzxY fA5Ax0nGCiL18GS5zimnW0nrzB+/yWETmYXzm56vKlIFV8oVKIVrbgcUedmBdaEdRVP8H6KQhsyD NA2Z3t0F8XJRB3JEC5nwU2Pz+02Z3x6VIEVXzU/dsLW2nxZb/XRf1bPAjyZWFcKut3FRBdLupvpp f7XOCltV3en+TsPOIyujr3VOM+oAtdBTDywwank0yXnGJASswZ++3xdKfw0UoDfl5H325O4vD3Ow rsTzA5wny9Cu/c7+p+btOOwQnFGtSYieS1Y5l2YPGsi253kRS3oWsJSfupglT+IyaajdXAmILhfL Bp8HQ2WiJB7ks+tpsH0MnQvC4sEm3XQUIAqhRlkh5SK8t0fXjMSRSNNkQDeXncEKZzh3didL/7le YnmgdZwcDbqyIU9XU3svZcOf3D0cX4Aa32BeLe+fNLgGgKZr9CY6pYN2bIHNiZE6xVtW5Xc0padv KrEQlJ5ecpYPsMAv0dY+H4FUqsd/lsvG5jWBiZsGdHhzxBfr1IpsprjRkf43ln6ovsUKrUn9Nbrl MK9yiy5U37WvkksaF6TpPH/IxjCK7Y6tDtWCFaUYUNcr0cXg3NsA4imm4ZEMPgtqWHiQ90+vOpGj aetCH3S7egwcXOZJxpgCkJ2Y3C29pw7M0UXAD0JSlcLdudhvbXFwgj846HK+ExCxDJ9KNSi+tYn1 lRyLrOrzwvnuW5t/DNjtsuSdGooBI6VbgXjIY6Qe8NOQ10uskTpgfq8WUYkF7VqlTjrMS1QHl5z1 hF6Kmnutnxo7+Vv0rdiVbdnUXCq34QfRnaUQHdfn7EPzXq813l+lMolxso8slvT5jTukr8NnTinc GdP5aYFfWB54oTPgjOowvK0y5tpKBzSdRCyw9xjh6e3pPh/lvAAdn9yUCNX+bnDAn9UjZXdoFMhU lcXCYDHM2NENzAQyXmL5QhIqr9G+YpcDYyqVjqIJh6XkToLi7N9h2JduJuAL7/5tgXx6seRaWLYU GEyNW66duba66VGYa7EZ90ywBVh2b3//kdElEIuqK8igKjm+ECyUeB8RzfTzHZgyl/xtEc/KwjLg uh1uZl0ahHOsGwf6g1WzHc94UbxI3wrLGvfI3D1/xkYR+ZeiWacHKbo4h90gKZXsi2VMtHOpUU5F CiR7yK+I9naXmVdny+UnVwOGPNoMpLR6jD+BL2vxk12+/EpWaoTLqfKinYzAM7krCPpIJJ3JoCdQ weBybqLOx5U09oE90LqES0YLCTx8GDLHFg3DOr8H4jAFadZ3ZbfpCsLz41LaTbZ/BfrRIxjSJ/tp uAKow612qkqEFmJzi524LrQ6D9cCsa+jOins4RzysaGMcqWxEBx4oT5rV+a8wx4OO25iQ50Xq0/3 Tcuu3oDOqN6aoCu6MrjQxXoOj2kf2sc/rbpiO+EfsjTBvWyAksqIAYBimr7eSGYwirhEDchSwUX4 U2zTpOBY8CclrBbAXzXl7UqGz9cslmgpqajpBeVzJgIxj4sr6H5PPhlErvIgX0M2RAGn9QFpSSD+ tW/8LuwystVePRy+OXFIKwASRL18+2STgu/HoctZmPIcuojwYuMww9A0HSOyDh+NcfFhgoHjgQpn vIiH/BsST7/RRVUQRQPeRomjux3kH82EY4U9MNOeuHydoc9tYsV6uglwpioZPpskjnotVtqA1gEg UtGcJb6yLO04EbOefsxyCRgnmGe+r5LU7SCzUL4+bseONwMBMxkukYRgbBlOvcqu8Ud0mBtbrvOe QhrWRGJVIpnVB1MWkzvziyM9w4HGLwc+6FousJpC7iGc4gFEBmrMgXf/++3/+SN5r9TK2LXKHzdf Lu1sRw+d14DGCRr6ZIX6imi+DHEW/BFPe91HycoRohK7eSUxlfdMpx9pDC+ByzmKfNCVMv1WQn4d zqKxMcs6aLAPe9uzG22XVmlV2Ad0WpYfbeIocUMEBVMMm7ehNgYG0gw47kxUNabR1lTH8jmGI+mJ qrpZ/rGVTNQZ2/XEVg7YaQ5Mrq6xIFR+gFg6zVgVhRerdohHYYpamRF7DIw07iN4JR0Anv9aRFOb cCnDXDHys00YBPsXJJRsp6GxmQhs+96hqQZ/sEFXc9pZGF5vn38T0R29HkwMhjqaKETCa9iwRR1u Jq6WF5eaWjZsJZGtRoZmBUgrDQ/eZl5pcwHjNKZqbug2gVynRXsS73QqKinGvbFHswhANCWVQ/O1 TRZ7vkUqPRe41as2iroXk36lrTCU4W0us0xmzFi9spsMCW9HoqAqEMSPVyAciJJ3VL0pYd+7LcBE wUD5Qzqq1Fr9fz9AoIKyzm+1otVSQVlqnJFwVl/sTUaJJ9X8OfE8CXO6C62FY88XJl8OOG8oD0bK OwTkms36S6GKbE0JTnb55PRdf0kdQmy9eAisWOJ5hJEKsarJ8FgyV/eBf2+qNXCjic71N+h8cPaG 60P+S0nzru7MerD4utMaTOYP9gnEwturxja2cJYltHL/deakzdNjdUvUS9WAaWD0yWxeZWSQb7h/ MAgRbuYBQ1tIc07diPHlB00QE1O81MHx/CzM9MGdvVQQtD0nn2rmTkzuWzNwFfXdPjukNVEj5WM3 qi1acM9myjCr7/mxfboy64d+mQ/J2bBRxmaeHBX51JN2DInafnijM5O+YpIUbg4oqzjW8noJxo7b /fWrH8cpKhv9hRncxYN47JnPvfgTT4HKeFEvuF+OgdzEGR62u7O22BVHLeqTva5ZAU09pB8z1yS7 YaFip12RIr3ZW4fSTutQosbvDTuWwCu0kq2BGCtuNF3g+qZoyf5Xb7xEa57HbghTdjxlpcSJ5SPW /YSVlHkFax14BGu2CuVG4uZjTn++q3/XKORLwDz/gbs+A2VdRuxMz4XWzVu3uu/miEunFxY+V2wV MnvuXE4xc+5hu1M1Nya7vIR3MOFC0fnXrWpHVcgSW9hkga5ZA/hD/HQ8R+qj1W2B+sJFBd6JrLa4 hPINCGl9OZx5BklSrRUuT6DBjpgzt1xLyygUTd//MNxSt6JwCdxoXNTB5o/CGhsO+f45hbB+r7df Fm66tZ/NlukHdI5qHobZPxr7NJPugTCjzuPhM/MhK7YdSbPp2HpPpFlDhsAF3JtMzMm8efS3V8My zsFsLCOJsEwXBTMagSxLeQlkW5Ug5WgKcAvVYMEhIAwzyC9zwS7aPC7nZqsjBS1BmOj1kB2+Rsxn 0UwpdHgHsQdmr8pRx28RWYRdroegkoGadNOcxUiStqt2mCpxY9Vqjy0DME/z+0IA7mh9/64+/jtM GCeWfAUtNw976yrU1diWCzPVl8Mp2TAw+A/wQvomk/bnGmUa8TFm7UFgpVDDDHWbrsNaNav11tG6 VJP4TayYnT3Te0wj4naUolhogvRVtc+XD89q2R1lD9pS64VGkh/upZm38IbM7aodTVEt4HTKAOR+ U52+x+4Nlj94JGg/sTWfZAudzRVFQolpcq3zIJsD+Fi1NAjCMY7OcschLNZTYTQ/Dl/S7zwC5Xra HjL9tKxZr9/5ZWWbtv6e4iXW4CalpPesweNsHCsITEgCcnmimdXw73vxueh+Ekuh9+EKr4sg3NPm Jbc66kY/kcx4HHxtPuk4SaugMePQnNhlRCb4TwN6blqMgXcYPSHcc3pb2k3Po30YsVbb0go6F7ea ZZy3SnSofMqboeeGa/Dad0ARxzFCk5LqzqfYg3pROQBv/Ycnb2Qedl0pchZcCaNn3BcV1TYDtZop NXEFnI5kegS1GquDRZ0FwGzKm37oW3b9SEfv2+WE/cyUXTYyw78WdC7HIDE4zd0ij3XaMfQuwzOW DM37q6cpBOaea4JNUynqmTMh+jEEOY2p0H+lK9Bo7kInEvKsQLO1pYivVnclFbkK8hyf/AsNePIi +gn5lMQwPNAQcsmR9gxl+Y56DkVOY7pQ5jkOVs+ZilFDh/GaAVWwV8KZTj6/VCXqkc/8GiL94Yqk RyLJblCiMtYNLwtT/NXkKpBc9hdkLKh/cIoL4aO0kM+lNparMU05JmqRmZhCV3/QWufDDgZVxO7r fuhOYl8g9WDwfwDRHO4eP3U0Wftpvr90r0w1hTd/WREoc4ocdZdHZJ6mShoKn3fatnc1OnuL85Ls 3KACzZsM+cneIe9aHI5w0uIyyaS6mjm9CsCv7Tm5YuqfvaMKIqDUtLTNQBds84N0iAE/4gbHs5qA Du96Tn9cdBzwvNEBryBD3uO+wtS4KG4F4/yN3vT70lE9pki18pAHCoddHhHRPukdi8UhA5RZjISJ TJu9CJQR8WhyfLjb3LyeDWP56Z6dGq+wJsuK9KxXEUUhysMWA8YvAyUru7XkPWNXc12rYPxTn2m6 6JUzHefvqI/Q1DwxHuWOJQ4+dGJPeuWhnQjgpm6sNchjU04q2Q4gAXwjxlBFgbjPwDHH0jsBXYXy 6XUdvMm8lxabKiZgIuno1+ny5+vFs+1x0SV8AlfbjJ2Dk2AqvncdnIT+aM0hdzU5Q2TPZI7yH7aD SQjLZbkQzCatHdyxZ2cO2Fk5jWdLfp3Pbk9j2cR2JmmJCPhs+S75vcG+xOVRSeWN6EHZPbHkwKdh 1wZwqUArRDUmP9+NfWymF+AzjFy3OvsTVMWqPHsWACryPmtMhBRRK31cGegK4yP0jRiFWidgyIEy DpSDIyJRKKHssl+Qwo1oTPqHmi4BwrUNeS9IEkbCZUMLrl43xkTm8CAbT8zX/rLUtvZY3yQbkyCq JvXSXigZUZmamUhc8Fo8Dqex8AIhlskyYRa4nMFV4wY+pn1D87RlnaRuj3RiDnNrkRRQlgl0162d Bgwnuwbz+6xMaqAo78bcX1X81FTOyR4EdWA6dfoJb9fuLtTyBTTYoZFQUYQ7Zk18CLlkscvoEtwb BMblMz2dUwZdGtta9TSM0Vz+BkOPCVKCu0PGprpl2zR75D/rn2HyYV1ETGdsX7qTPF6GawWgXf6l Ww9Hca/Oo/SCUdmbFu34R0iCTeK2zngAHrFcpft+rAESw8EV61I9MKQcwbaKb6Ko/T5pV+b/WGj0 lFIsdzOGy1DWMhbtGSOgIDdmZ9M6Lw0YX6cVR9A3FsiueRKefgPDIiNNhBWeYzoXm9gAUHEITyGn 7boYtaf1BnHX+BDmr0LfQwnxJy5gBpQ74PKqtgzaIScdoUx0rNUjy1eEo1/AzR8fPF2Co+vmehJ3 v/0nT+bX/6YtY6mg72VXpRJbnZd4Smp4VoBcrnyue1jFh111oVXC67uFhVIl0CwIQ+i/0XORz4kF l5J6Wi+e77Gn4At6jA7GPO99MMqoQwTXGoboxOLx0Rj2BhxUvcIFgX8s8kht6QD9PCGEyLeH7y8Q RUlr0KQMidwpAilucqTPdS1Fo9Y1hqBctQ2rVwGDDhnHPTbAW6Zu1DWsdi3YS4NuNr36MQFAgxYY sEpJ9VoljhSOxoscNKUWpuIlsnmoPmttMRtEfihRBs2ePkNXItHc+n2ZrrTIb+W4KLVpSVTfe9WK Bk9eskX8q0hK9j4Q+eypLgPpCj+Lro31XZYanCpdZD9Hvd2BQjZBzlrIJ8HcQwNeDQRfuIv+wSWp QA19wWRrmDfUSWsS5yzhOtSuJFddAOKhx/bnR2JGsRxUW8ILkugYYKclIJeJeCDWktQFmZMAaoWc GuR1/4tFguY5coTlp7lm7vIEHCjGkEiFH89erQOQ7HlhmmsXfY9pnoOvnL6bf/gvb1YvfkSK7d7U F0pLD5op82brR/PRWg0cp1dTZ+5KPh2Pc0Qcep7WINTsejSBoKorA5bBXvJvG3ng3tih0Aq4C0ix ODcjXxXMsF+H9YJgb3DLJkhU5qbWhpHhmplACZ7Oah4WAeVCgpN+jXZCqdO0HYLw82kYVtK+ZDfL pMaVSOAcSBACSfe7ZGGqgOlXv1t+10PTFTRLVeVQuy4wQ+0VpfVkGHpOBY+JC2CVIgS1KKrvDnHn PbKAgyfAMUfbM2gjN8Cag3y0n9CzLxNoYHkf6vphuxGAcI/KQ6wB/o6e7ijglHTfqQ1o8zBv+P+e 6WTjTBBV6rz+CKgh8Jy2HSF1GIBOk64Sp3RaqARUVVRdEHKfmPUpa9E63OiwspX/jzZhC+dCeCAQ 2aYp6/u5j6rxYXFX9S/KcHlfZxfARaDDY4780u68fv0YPUe2DTtYppcm4PL5I9rNRzRkKXmZlu2c rncvxZjzshAG38jMtkrHAKYG5BojPHaPCSFPJlKAEoKbzljyeRRAtGuk93hiDroV+GFfUckCXxEb cb3XCkeMLrvADXWg0jTKP+oOxZxcGT3miUsMjiSESTJf+F5/m8y1R7K6uhI4tYmZx0UUs/ibL9z9 hmReFxW7cFnyBL13oyg1zyyRu2WAMvzGttbGb/BDJESLvlZw+2f9hmS5otFyyKEt4XdGgSKpZ+NW T7UsL9wgKKYwjPTb8h4zmar6h8P2lbLFMiEkQX4fg3QJs89e7UIGlZQSvVAnZAn8mTgKfXGId7qT BC0eZkADLxHDPrR1euwrVw8xpI7ux+aCQJzLJPrmLlZK+8f4o3UebLC1Gh6L8ZmRrcJTeFUIUHqm HB51D/RVgSvqddPF6ZEj/8cC4Xr71ZD37CzoqaEsq27IY2P+RGdR+JpRx+x7cEVxditmzGk4IGUv RxJFr+U5o/V3eWFSNVXRH+1wXZx7zBE6iFWdvRy4iczR99NgGfeqJU2Z4Nn8RIyptVX9PYdRG38j 3c3aZ7btVuKcGQEMK23swxJFzRVlVQE7ItlrLdMiln6Q0NvjKOaYxcF00KulBahdTtlA6VpgtnYr W+ywp5IF3nNatO0Z72nN58CsmlsDRU1Rez35rg3fz4kjb+en1+Y0Jh6G6h5NMSBbXIQqG6+dYJsX 8m/V592gZQUVZiLd1g5TCH7cT7sjiB0XKcisfYt1NLD5YPI4oKkKUV00Vh338rjGZoOBzcu7+mpY wK0+wpZZj36JPmbIhJQovtmlKDy7FydTQdWb5P06cavnhqDelqvGo9BFcUODQPvyVIF/3gsvYplH B5aVGIOX/9niCdfyE29Ycepbn5BnFZiLEUWhMJj3zRTzD7ydyOFz/IaW1a03ucw3T8aJQJ1bJeLq yy5KClVJixtChW7obedDAOfQNuulvhFoWRSuZ0YSF0UZuwJGg+4ucfNQm/cvfHk1t/G3he2YmFvq wV+lOyBiSg9iBkhSUgXyfIMaPFkLukPnLPZlQuz5i6nfHyJoKvnkbI1WYUzNJGv5Gy6g7zSGQIr0 KXQIlLt40PQpl26BwCt7drMBOGqTtl0rPR/pkclI0LenCrHmRupiuM0R/SwZ48ox4nHFQU2ZacFY fGCmO0500BWJ/uqOznipO+f8qZSp+wl0sfJ31TjzsDHy7Q0sQTEmFYytpkA+VysD7XqgG0ucd39Y VhTkwbyvUAjM5uFtxPAHQM5x9I5kAuPpD7wE6Gp4pUlH1yuaatjEiSW/DerTYE+0XvdrLWXwVgNp XwhpJ00mLDUGRztNAOVdxSPnf9AgDJNiH2Mf4XUAwiDGwbpw26iFB0pJu4WZl1ViWi8hKhuGXaff MJ4b2H+35MLERZ8C6cSpfDfE2mFHxsiJUy+yZrjxB+mS8XnWMsczvkLyl/2MXyiNcC0eKjpfd3pl VwhTTARFKXc5oaIUkd3asytJBnQN18JQe1SXvbGAIYV+2WxBLtu8+Y0dQvBuIiC/ZmARNxRcq18h xTLA4Wuv9rlTdaS47XcDiLpTismCe/PYsIBDnApM7WWuoRuDP8tT+O9RSvC52ZK2Kq82QoZqWJb7 zfzfr0gnodzZMaFPjZ2inPUtgll5xKbmaiNTBwCA45pRT+DggcVkppTz5vIlEhvLsOmmcdTSn2gG yWv+BM1MtdGFvia204fL0pm1YhlWkp7HS0EmMGUgCrKOgbi16NExqyvmNSxTBRdQ7Zx5otxMjbs5 8pOMkRNv0syu26PCjU+RKmgrclGrFtt6cJaUGZtkOEEnjO16hAQwq20NK7LZbTYfVFao4yXJwScG 2dLfIvPwnfkCszhjp7WEtqwND6TE0xtm8LNClDxAPFhvlyGXkPTG6xHqh7LVn6mACEX0iQNT0wfl 3E79U8i21MdIptSRtlCUUx05Kb3Jg5i/35Uj+UDSgSIDJ9cg/sQFXJkQzMfsJt5e2CigBNvKBCxh S/QCj0t6zQWArrLbqQ3dGAeZcg9NAi68eFSjbRNA9udX8eFo2jwrI9UiVrrK0u9vqb8OWPx8AkyQ hSgkWs1uOHI877R4kL1xVlYo9cBecFljYHw8e8VJGhWQRk2kMKCyaCkPf1jDxaXExtDJhYMdHeRE hF+D7yPEOS1nLnf5oApkkXGbNzYwE1LRhjKQ5uCWwb8G3XE+H3bRVE92EO0kHc/UQwcsygfthFb+ OGROSYIdtsox2avQIebvyPmdHrsre4rojiy1Bz1PsU/i5/ods70qcfAu7WeQqQQSfu4RG8p8y+vL R8fnMQQupX2wqVwPw7r3dD2mDGsj0ZlryEqHPkp89OonHh6A632OHLpKdzKOpCou0mNPlVugHFcd eqAGWEe2OwGDZIStb0hwpatCZf3/3TfOwdEelM31DD5HLlZ6A1+3flvW9wubdoD5phtlaa5cZ2jc TzySmD+8oBKwCKLzlywcoyP/fg5n/rXH4vlvI6n85d4mU47eV4rALKVzJwZNAHfry5Gtd3u/pUc/ jfdHEYGkISnqVFHmwTHIVplJdxaLOPvhVJysrVt290LcmsfTWrackqjuux2+52Wancl6lE23+Ssf ibNwl2oNzIXjB4EEhD2hgfxgAvoAET04xzNWs9IMIsKmJbOTDTDdl1DWThQ4cSSk+YmG5d1vgPoR pZh9ToX3oLO1dn4q3nF4PWzbUHahuzM/RfD7SJYcDZYLi2yXnAzvFeR3THfCzEeP4ueNUZXYqnYK SnmWTR7FdsgUi0SjMOCXv5Tq38eiWdvY90RDOMUw4jKr6+UYRlFmlD6jOfHp+BuupCfJYANcGevE CXTZU+2CtJGJqIcWMz7dim2XT2vVs3qQlCPAFCdwRfPjiW+vVCIG2Yp5IZS3k5iJMK/O++JOklDS ISapFVzvvLS7pstkC3MlLZg2P3LQVLnveJo2JFqqOB8vn7XxA38/fFN/Ryntd/NltSF36W74cvnL ViHvgxHJsCrT4075VaR+I2Gp+1I8b3DINQzqUNXPwq0px48Gu7jAbUqbgaRgxGc1oXZS85MzSGCk FZzM/pgUjJyPZMUadYMqkQnP33Wf9HMTJwIzSikQwD7ZRfdUL6abfQjIckNrWSFnNHImfTLgJHHP d2nrv1fvnVcvgj2y1nF3sQgA5hiD1ZYXv+YPBgGV3E5k/5YtO0rOVcsQJF6WUILp3D07gTvuDBym yRHE3mDa9QA3oUwfR16HTeMV/5+zi/kruaKrpBfi4LXw+AGT3gB3mX+6mmqip8PuVwFuybhJdk/I WiPdfYVDPiPbm2n5jsPfweLxNKYfTWiug45tn6tyIJCxz2vU0u4IU1oGJVAQ0WRSrDdFvhp0EqBX ffyQ/CoZPeuPn1XognlqOdddDfgjT5h4UT2XNPYUMgcdM4JZn80b1dXES+15ShF4jG8olxR7HN60 IdTQeZPR1OWlsBO+dVf76OCu7R/jrCd8HV7zbOeyaPqonGYOxEXcqqzbrMZOdTmEMA3+Et7Xpsr/ HmtIm+sQAv3Mqa5mMHGu00n71P7Lh7WOWESTL6ftonlszD0nmWODwz0O0PMlUNJG3rUSt8ljFI5C qehEkLgJcpUjp07ETO6XTQOSoNJ3Aen48ERioVF8d+r5QKxU3a/xltVX4oiGvYoND4X8454tbJJq KOlR2cjGxOtGenm2fNf1zuoQHsUu6F38q1CFw9szxn8J1lsqEdEF6fEqyvAOngA8la8hKp/eUUPD wCZ+fgVMYQLfi00Aar9plbn79xJrqYcuhV27WGbCaFME703GjdKXDkd+JY96EK6HZDO91+qiSO2p edNMBwPaPgOd99K49gHX7VG9PrLwmBLliVGpH7bl+f/tCrm6n6Ldts/0+QKPuRAsmT+chTeVHCDP Ajvu2391LJkKb/7KZls+P3vt0kRlvhbakhO+0Pj56Tr7v2aaKj7xo68uQcnTu+ir1/C068HGQYiP 8rpFW5aAF1Bvy3bdLXD1Gx3C+7B59QMxhLjul5Ssl3z/+MlEX65Ig03vrYoq3u6BSDATDV7PSulU sHrKWpW0S2EaElatsMQBPRvsEBkizQCqCstWg4ypf+CntMdhWfuMRx1zVJLx51KONQYnZsdmmB5h vZJvLhOv0t/G4ixWnc4eA+hNNnF4zF9T1PdVj5aj29xjrLO01E19DcHLt1ywZJY96wlQRciT39yY QYK4eCAUZre97u4XAUOuNBkAB+IQ6Ed3U4FCCzsXxmQ3K5QhhcwOz/NK30U2f2locYup25lhBHuw 4KxmqpIlbKGnp/aCxAOlzLdgcHakAg8z/JrdDLmSCizadLkPr27pLZrVZV84hhttmp70cDsfH0vi +KBntKkzdhM3WJog09OpUGj6FceDMp6CbzAnWaMclfjsJ3OnwneYhw0vGH1I5BlKty/5h8ID4cH+ gEUZ0OeZSAhEMpcy2VL7vFi1UU1qydZGiWfxzXm4dbbxpvfRY8076vrCiwnOYlzwCtp4hyffSS+C 8ThQYY3+rs2T/bwtublvZ2F4QJjDtj5sjB9yWxpowm81ewT1tcNOYjEMfCCM3nVG6bKM+H8kXFtC CZeYWIum3RKnTieetnFTiEs78bbaMYrU4JNvFmCZQTqx2SVwlEM9kJuPmTb2l+GwiA8VWBOqdu9+ TUeEr6twBjtOr8i8ISIcMLEacQkVuOV4T9eJd9iiRk3H6i7pLpQCUv2fIvBZ3lZUz7mKg13swPUu 15S4gsrarUbcMMUCQ/pz+EyrsoK+dgw9x/XzvyHc4Z/I48yS4q2aqi2og3Gs8kI2QHUxhpaakUJT GsElnnJpHrq0I8repkdBsViMQanyCmAGI7RcQopxGaaf/SWx8kQK+VGroIXgCTvMyqdZJns8zvzC V5LF0qK/H71sWNE+S+1jm7TbBV7wFlOdSICI8LJYLzWjpEo3iB7JEzfyfx8q3Pymx0rwZHL3qGx6 HpqTsuOTPIWeXhHS1lv4A4ZPgWsiUaxJAWx7dfzcnqSurQOGWqE2P7+BuvBP6ycYzhkplKuBXL62 +v72Sy5ro1XlZEOD31PWD5x8kSPHkrNhfQRpdV3MRhax9TO3hzK1SvxcZ72efDU+xDKb7f7svHi+ rknp0+tLe11MH9eP0uLkHFXp53NkyxqYOcx22rQxvdN6pYKB+2BNamjGsvaOFFjaQmW3R3l/4Oix 09COrG50Kdr5xyFRtLrNQHGDmNAOUkMEiCMg9KISmpQwo2P4bkzUWTRLmod13mm3uEROgVIKGIG9 N5NwQcDP0XDfPWzNZYcASvjArzuUreszyPbAcsIpQFHzW2khcuciL7aXlchFSOfqgj7Y6Dmb+836 p5mvJMzW38cbfgYDxHhn6GgficSSkri+jdcqtDjcTU7NGL8UXtF+klRPcQeZ3p6TA7aICQLCwaLc rAfaaKYNQo7IlGPF9adtXSYymkhe8N3oDTi0Mt14+atWKPLW61Uq+cb8YTgdi5OQjobFgcM4y6cK LrUmpzMr67gYRa7rNilonGSrcX8sjMJF+88aGMobCiyUF3beHDhLhVqX0zK1vgKKv0VCMrDkWV9u j64MdnxnHJJa41KPF92qVgPBfjpgguSPDpw6TmVqzJT8CngujWiZTxqViiNOA1ALu+ltgk8d3gdi d/LvOfZnkQ/CUgqboEmRgOk7i7jCnz0qNELOg6fxekJf6N9hYYQAcMyCYbf+QKknmlbEHxFbE7u6 BmYKQCxDAq6U0t8S496SdXNspNiFJimA4Z2a9Vxu1A/ckuGg148SEb/duZzTI/OzWb9JnOWfyDWx 81tXus05BfpB8mQ87Caho02RIfTUmJXOFCEc2WQxU9aj/wrwduWIJgtshLzGfO5Nhd0MinViuSF5 wkOG1vvf2rUyNHHpprY8eFKcellAOpsE6OgukbN5L9KXIFrXLPRoLzzFzYAMMGwCgwUYQ1cMqcgE NrOfoDdflBLzAOZxl7iIQwGgFnoY29qd24RZh5sbEza9ODZJ0z/5RtJMHXuYLdzlLfLjzbsV6M1k HpHLra56fWomyCVoxGY2QQZ0W9fZ+PCkfYa4pshNyBwMhxifw8wZzvU5kaDzG3ReVBZsDxF1xMiw BfXKxLmJJgpVYu8gnVlmFNAjyypcgryQjAB8QErcemy0XN+FRSr6Q2mt8/miLUWbqubiWTV1jp74 jVcVJGH0lObPCFF/ATpYr4WtPZ7PBMI/Sc2PWtcax1cQlmzPRf/JERbQnU+hFiGMmYL+3MEl1cAW qPAAtFSLvSnugt3ihmXoXblAhNUsqGy8oD9cERpm2rFk+MiBZQRRv6P+FBzUwcs3YdNxiImH1EaL 6lwh3x/iBSjf4qKDGmJdOCknUcplrm1lw1AXEPEOWetbJYOyKHPY9nob6nt6vu2RG8G2cZ6ggwVP WlHnIcTt4X6NZ2Rk25hznJGnxC1jJorx2Y3EqoRE6TOJdollRxbxax2Ym0Qd9nJ0eArreWcIm7aS EKlrMbXMnwjlKOZTuenOYbF9a0WjRzYEX2zz829v7KD/SttBo0zqMSkRgBH853peg3eZAtugIij5 G//qrIGQ1nQz7G44lYKmQczjE2MxeHIhSeTLo7Z/uTm1KLqCuQAAvxp77CRMG2zTROdEc9rGEeUh 44V+kE+IcziNcr3UwLBDTMkVbjgsg56UXguG/AC9fnxHHGChTa0BZoY/L1lPQwXKSBvGzXSRKW+l ONjL5LqjbSOoudk+wQpWvPslwhUw0oAl2wBonKoWOHK9zhBidyB39JbOSxHvJZS+1em2alOy5wZF fpUEx19xkrllVqk4dEWErgJYIXK2i7np1DtiEwEJeAKJnqlHW3mwssc5v2UQkzIcxuRY/1VccxV7 TiXtQB/W4ffBXyC17b/hrUKS9d5bBM0NxW/srW7xBn881aiF+XkX1ruNE5GkMR22SmR9SFyAL0t6 AbPkqQkWbM17oeth3j4Z3thKVmfMwNV+ryu5LhRKP9NwiwPulDThYbCJte7VhznuO3/VLaArZ+0A dARooMv6xtxd6CLJl+hXsby2CtLuoWK9YO3ZXNwDsjjzO36v8Jy5myT4gbtAW7rMeE9xm/2lyLmJ QMq7YY+9E40PmYAttPSqS1NW6a2viItNzsL6MZ/bpkv+tz4Is28cC/W88+8h4aOtRVlzww4m3vG8 0D7F9kaRWu91KfH3evtjaXvJd5hybbzjTWI92SZLpRVM7+yf+EnAryALvLalhJDlUbp3bDJNlUZ4 JG/oxhqXdo9g0LOW/ZopaqtxsJteeXqPdamHhe98rMySChEEHs5rnVU7IkqswlkKeT62FLJVT6dU BviArEU8/MGIEBdjL2D2b7FsQg1hivACH5hz9Dbmx+aWtmr6PtPV+3pLdOauczd19W1sI0wgPRc9 aQxUTxyIDQjbABYHnnIPEIOn6MFm8dn21CKD4kiRLbiTDB9Trc+W05ShUjvoQmFhqRiGEyIJvJ/w 1VaPyRngV0O03yzGNy1jFYc9wiNxfjmHE1QWwyo35aOOuC1/Sw+K14Oq2mDK4ShciobGDhHj25gj uLzdVF7k51lfFpM7cTSa0s5/yaCx6R7vzWiFQpNmHPDL93e/fwDyzz5RU+4egkjbuM/JSBE0sMJz f9jlH1MbrAZKONOknH6owAwxk3XgrTTfCexl8L/bTc7SkKK8w3p9E083+6dCr9V/RxeLwkiaJHye yoOjOoEnZqNQFe0DVDqBVnyPEDbtaSrHNO6f8vhR9gP7R34fvHldFL+7wF1mRbDzuLrXMrecHWqB nHlLwHQfFBpbrWfz2AUZ8qK51pzXt4n0RXq9wwk4Kfg1fufKTbUTBndkjasxB+5KwVK24nruSnCW UwsvLET2UQYKNnjpF6y88oZU7mJXk0+EyMo1rLQc3WXjh9ZGoy646ROZ6HqYohTbhq8quIZeKq7y oz5E0scYkS30oVLWtrRzZW4mIs4cfGROrh3eDPYLOKYOHTwC4m976JrEunUpBqp243pOphuaQ6ub IvdnYzwXkjodM3YJR4lHRIvrgI9HPsLvtAMtShakqZMYDqCwjpjqjPoVRZE+Nnyuh9wrwM/4PjI0 fnkezBoci1RL/C/TENSiy7aehMs+Wr9Tvni/bytWjvj216bHdX4Bo0ne4Yhm9CNigjKZra811icN D891y06/X9uuGo4any6w+Qrt2LbPJowAgsrUlcyT74e3zAuYy9VxXJmGZ4XTpc8ARRbGw/nNti7F AbizYOLCxjghPrI+sYDI4BCEb+61R9M8R0Jiiret9w9il59dV12kMAWnj0/UzvjzjXgKDv7IY/5U CvzZ1BrjMrIsIGnpjTKzHyscQh/DjufYuz5NdxdoP5RzYt6yWNLmdrXc94MkIadeUS01Z2EigIc4 vgUE4bIuwsrJia8OBFcrjUoJz4gVjHuRAUAKdSm/8khVwUBNYJx86wlN0D0VYu9MTIIIbLz+XluD zrOr0cXD2S31nbAil/bO0IbPFfy7Qa3//n7q1ZM4vIlznj94Rui/NFscaMYRAq2J4TsATdfJYFxf yx7A5J7kPNMHQ0PYmtEeFYRlq2qHrp97WDBMjaf3tl3lOBptUOSlU7LANFIUl5qGRSZdb3wJtg+A VtDB+1ZJaW+Kq0tVtHcVQE0OAFXjOj7q7TDlORVALJcBAEFAfwkhZ9Ih7PVA9Oeoe/N9g4EPban3 TAqwXehFhN1Ep5vieqcDU73jg8/UUxicYQYUj98BeAByi/DhPCQQz0QMyJqWXH2MvulQ12WvUAWJ 6qs928JsIlmNM8US+lTQWIu92KLcWcXEYYUVT64HxdZQ0cskuSsmhbkfF277qOIBP24E253F2bUP uNgpLOlk6rHpBTXJRUrELBjScB0kn67ZwQsBUu907lEuWZhOzgacNJ8P42jambn26eWOjyMdbhPM 3Jr3AqTt6B+aAmNmX01NPCfZpOZ9qYltIB3Be+DJNtN6P4V8FJVaxhX99TxcvNdmL6jVNQ56jfyK nzXiaXJ8WTJiyBMWwmWYz6GJs0QIbHapDkByck5/x8hDwOf97v1H4L1zwXDwgpY15fFLkbXb0n8w +1zMeOPT741RzIkw2XyqR6GZutEiJAdnPo/LtFBSDYDKq5G20xhjbb2dGFFDraW6tW8Z0xmgcmno jXpUI9KAcRQ8J3qOmHcTOh5G9RINdLDrnrws6C2SLgiXuHkZtmPbgFeuYEnO3W9lYwLPwv39CMwf f4WPEEC2Hoga0hNcksDO5WWxNJUSsGp9JzE1g6nJZVUiKrnx+lE98toN22JJIYC57jqc3OyZLwrJ vn/o7LRXB752/W+xYPFe9v3C5O/hKCkvKEoZVBjVLvMwtNH397q6xU3X/mT5QfbycCkI9nkVAJxC aX/PAoNlKT0kJYNxvl56GowddQ3iPOVRdgZYt+rn0SH2btqv42OSjPlqD21D5QaoWiu4YUpKMMpd /lfHFYagLpI3QZob89h1Y6FWgZ3awvSqbfFxWxX/QhkJPA/lFjPCOPOg/8Wuc3DcQUxScmM3wXZd 1LFnLpjUkhTjJhOnIvUoktjYJNWAXzq+0Ld3WeKCA0oPfeenCkKItnUefqgcuHNGtfONCDqeLI8l tHcQuuwnFxPsSnetM/Wj2MjY1E0oouCNw3fVTskwdLHeZFzfS10Mmq3qcG28wglrKBbf+vIUEo+d mj0XRRtbLe7MUDh04iGMEjA/2e+kqxr/V04ZlG3AjRBpb2GHdHkiVJAWgEjB08os+D+oamZSrTqB czHaAiNAaSKqM+43i5r4DR0hVRSvdtZwVI4oLf3FrpXmDSrcsxgREC4T2LWBbyGRU8vSkOY4MokU pLAMxF4Y9cmH3v9L/2vH8hQyhZpb05I5+qBOElW85QN5IqDJfSkhyP4gWyM/yrYW5v9TGIB1db6T lAckhNI3c5qCYJUOT3EXSIZejl1DV2QUkTyWo6FKiwwM3eh1eV8b9hQI1fNbwPckuxsttIE27WkH wJZh/vkm4eGtgiAYychnmwTxa5khksoqUEGyPWDDbgZJcK3V8/ZTBpB9y39+NM69TVbPjmQ8Upwe TLDq/GnXbf1w3Qg3H8GKryroADO7UIXIr9C7WuMT6pZFjXeBeKP+WnHCBHcfugZmyj7J0+RBf6d6 hfZUgw4Tax1XU4521+rpmaYr26F2Khxpnyez7FT3Ven+My1q+so6MzNQseu3KFxT3f4PAgGfnHxt pvWcFuTEz+8J7p8xPC/gh5gbxuCY3ArsvlObvJJ6go6EVhG6Ra8Q/fUyRPeppiCCJxZqvzPaFwWI ls6MU0hCp4k6XuTQfX6qvL9cp8EJLOc19y493QY+hkM99voX4zZvQ711S1EQM3osRn57mB8nJpu8 0EiMfCllgbpm/v64x5iDKuGyxBflOqBmHTX1KUQfy309c69DK1ATbBYaY5Uw7KZk8SmWHJ4X9yVu pxDGvVXYS/2SkurEwnAz86FZxdFdGiTZB5/UVTz4i+uwIeFp5Wfb7ltYhRI5znLxiB4ZvVwOdECH HVGGCfwFZCoDsd18rZQhXxWwm6l6gEY/M4WRQ7yaP5H+6jBaN43j+QOpIvxj3u9n9Xe6O1YV1E1l ZOFq/1yjVv4pGdp8LHLW8ZdQlE7XYU1GTPAijZh3H29+zt8KxtccNpDBe/X+wRmmDxw6ISNnzdoW ZK3qO/Qc8AjGN7po74mI+NxQO1YeWotpD684lcRN49mKCUMH7dHHEz2W84WflBHfN7VjeDcEPz65 YQJqMRu1dcZyrTQh+AQ2/TACKn9J1MdcqvIJNEJgSceoZ8tiPOAsYuTTUL677BJZ3imI6As8m2Hl jL+kxqFPE8P/YM1XJz/ySMJUoHaVEIB28haZqNwmw/qd06EKP39TTu0ewsWtIB4i9oxXxClyA0z4 HU4LTvYA/O9Rl0+7hUmbQgc5ScKeDHKuc5L8p5RDx4W9drmEF5GQYK/UM1nSaHjQ3Sh29b7ehu9f I5P0RxLQsh2EvVh9wzs1GZDPUtXcXS6aL/St67RfRZvrNifc2graJ8tadApSlIZbOg7gAMaTOMB8 tkyTR+G6hBZcKOgHzxeNj6zDACEC0cLaxgAcfBgo3GQp7fUvoUlf4dBUWKwdE/i6bhvz3+tTem8A P/9GwIjj1Z3v1VnspJLoAdW+29Ogud727lNufwXYsxpQF9qkunlqstLAeWmDzNNRn3sOONQAOKUI K2WlB0UkkmySN8MzeRvXP1AJx182zdQRaGRjKOIyodHeI2UcIHIJaXB6yRcCafNwNxO+izwz0nZf AfEgl2AQz+oxOUx+Pr1aSfWgyJ8X0Lk5nKBRHX1dHqxfmZEke2qKZhKwMaLhkx0PlyooTsnIgDBB KwXcJ3TTqUSpUZ56vKzlJvQBLUq+MmroT/WsD6UDl32XaGPI5dWmAxhyvMwc/uKPtkUtwfvd5+iu LCGA2sRA+5Z6IhbgLA1XFypm3+VBxst9OzzStlgKaOBGHCgAvhBD9OvSEdFvlSXsg0P8MvE6BxvP w2kaqEso5Rw1l2V5fIubR9VzP/2ruSrdqTYLdg/WqMbt5SVmMY9//jwKD2Q1WpE42+snNUP2Nmkj JBj6uxkgZvy21dEk1rfBAFFwe/QPqcRSL/BQNsCd34SpuArVgKhqrxh1UQ6dSUHD61LqCZg7etbM 0a/cyVJr+hejxt8R6TzF/jGOjZsctrSBt68aYKlmZc0PolNJfVwbLu9pkpXpRf8L9kLZ7+bklgcv IC1hhRk2AzWXsGNtf4tpGBeahgPoi8ng8ifsy1vsg58CaIrxurEhr7jSAZyoj0Fhlj1eEQh79ZYa nbAWYlBOZzTF1Bc/eSRsrRlsWhOsP0SJl3ERQ6vRp2MSTHYcd7R3oLGOXLol3jJupSfqoFKJkAx3 OA9tEQvZRyLInJ/ZGvitZ9AeeBfHpMHQxUDd8b/6cLrmv+FJw5DklnhCHAAbW3eB96LrgRBEwwXC eQlYZ/n7xjT52LZocT6N3Q28JPH2WbH6Y7/3hgZMCEMW8SOzSnga5KEo35M4EC79SXIAxLlOHeF0 V8zzn1LXPNt2xP66u7qTiD5G7gikhEnM2wB6fQpd2H3y8L7AcDCj3WVzod/Bv7jsWAHoiy5BEH0v e/y6LSTLB6iN5rJWuJPrb20yCRKnT6BtXy7Ego0QosUQUUaYJ4GiIdGPtdeECgwB7rTGKdpKn3QS zLIMV0rhak2SliGmDq1nwMeFSDGTOyPXaCjUtLBXCQ0LKaferPUk0pJUdtyA1p/mGYHEWZqdiHHt IHA4zCEuumT8s2qYtezfyfIoAF8tbhEEIkwp+++BNWulYMnDpujpJ4AXq716UvADzReSP9hfCLF9 Njoo4ySndnDVJ6n84sbuWDLxfz7z5PThXfEbmxxM8u2evAuSaW/2+9kTwtA1RzheIfTASbbZ8+Vr Jcnv35beec8kfTQ1rzBZjDzkWkzudBYtRUxahRTDU2yqeokHxciQLJda6kxKufS4KcZb//nhjeZv 4MbMg79nsP0tdicPt/e84w8mYIfW0msy96pk+TmkVLmCmAXHo6cmJBR4VT03Vhwf+3LT0K6Y7h3U PFnnEiW5TsrhYmz00riq3tF6BbGvfzVzxKNC2NJcPC88iAIikupVNh45FhoPDSJS7L0BDcK2k3yi XEZDgnYRKeO/TCUg5gZZ+6A2tCO9RkPYYorSqAdXTZnzySFQRGGewXvsActQfRKfzLpOPjmxHQsH 0+uuc3yq4FRBGtIin9t35Ffux5Lpd3BCtxk7S3j1ouTbLeKHE9yNlj11ZsZynN8o6EgSKhZap0GK 3rL06EPKujeJzDLw2GACVkSlSsXa8dOEMEXE4BdnOhA0877KmiZ0fTaY+L5Jd/epNXKnFW5SeoXt fNYpYzbgpfG9koJ5bD4c7s+JXJtcEeZ4ydZOO6rwofHN8i3bNNp/A9Tu8CZkIZhUv7Iml7DZMe/H JGq4sBEcjjmcyVJzCaNPk8U/zedT9j0GU0QyMBrHfwCGdnr3csG4byGxg+XMm6HL073SUqTXhhS+ Q+JzVGnTPutPblJrMRFFFfby5i+Q7E1JDgQZupHnFqOEoHi5wLwI4LyNqXIHO+2b87lb7mp0Vp+h TaL3m1VH3HvTUhLKj9+yBh/PLyuyAdUmI2i5GJDEhCN3IbzE5ZNp0KeUdm/VX0C/MNoIb+Rfp0T0 j8iDLQ3+Q1fezE+NMEOo5bqYBPConRcdyoMpNrYnfYLPgIpTkW2o+67InTIFFDIuod1mtib3CES5 3sg5w9P3KYUYgGJaJgT1ArGGK3Ept5/5eWNy5lzCzP9BWMmUP9PehWqYs1s6KIhfpnWB9DYFQc/e xBLz2ok6rrz6ac9KNkBjNxC14YfaitS5oPdV31s9DexeXCND/7Ym+8KJapfnyHkS9hoz/Yy2X1yI nCaF59R+5jqaEWolh+FIA69zEKWHLALRKOMjhJH4YB/vb8H61P5dAiQrzXfbbsIJnn3D6LM3yGkS DMpuUolfmDaZnypugeMlM0pFbsJGuKRLEG8sQhpjmZkqXcFBgZC3VavtlgldnrXSpwenNhgIcvkb ts8X1wTOr0AJcQQBdLc1E5NbtwddIotB69JFwb6PF03UqE2dvVh88w6HnYvIcgCnTugkm/2JjrAB buQUHFBsh4wy/jPqRPWenEk6FYPWrWe2LDf55Iubidj334k/D0craI2uqe6upn8GOcWPxVgPiV/A Oi7Z7QA+h8+PI9sTCEMZ3Rkc5uL4R3g1eZ0niz1CmeSWDVnodieXuJRhTDU1YtmfDvW2WQxGssL7 W+Vy0z9P1joNyRCaG2+ywG+osNS0HVr9oChwrlSGu5tAa/asEr4540JuaeR3lh37B2blbIlo0di2 SfkDEuiYu7lJUsL2qzRKALN5aiZvzeN1+uyJQMqU4pYm161vOe+KslJ774D0OztX/533aJOEurXo d6qq8MglTuPtYR+zRBMPmXfv2CwIX5sr8pyBrO55ZqWGAFlwTz1NFH2KfP/MLtHhq8Wdfn3Uz2fC J4nothqeCaQ411mBv0QlIJnrR8pEEFSKEuDybm3SPFLvNHaj8eOkjhn7rqHlHNvVFqkts1ZfY/r0 ATX+SmLbFVYGdAxJkcrVbsex+9rOFqtMciupNeUXX+fR8aHhRSgKlYWpRJrGPC5A7zzHa/LFWd+D jq27EaMHs+/7cV3SNJxxixPmaPVgyxptzBzg3tg4wfv2hYhzG0szZZE+6ytgkWYA1JZ/5W7sfDWg iDtgmWfz+Ph/gNXtPg7u5OpY/iaCON6Z8pcDVvb+Qfv4+GEjzjEOtlU4XagDidCnSKxh2wqS96Qu Haf0sQVWgAS21zztZgsh4awv+AmnuCHqnWqMzdwTldltkneno7vB+QzYoyNSX55PZ5awiO42NaLs wiDTYDHltWUYJJ6o1Vj8FSWmLkUM5I42+dr4GJAAJVFnq02Z1XLXGUkdR7AtBfsMVPsuR2GJ6ISr kFKBDwDygPXmpB2uW6BcXTcuWmm8BS4ioWbXK1Xy8veT8mo5fow2R0eln90VyRBTC0pOHhcWAsgk giUw+dufcbW1Rna66pjsVrxjiYa06+lx7ugr/C2xFMR6FmZhObGKrzfdeQZggEEbjFyFtwSsGGZg g692/FOa/wrVg49NuhGoGLjbTseMLLbTTs7LiBiy8FyZsR7G/VwdNpfU6NflQws3KUgAf+tvaw62 ahvg5EkSRNP88jCYNsWBC773nkpMkeJrHJYWYTrwtaDIwkOIf+i2WlEkgBEuRTWIGpf4w3zoDFVd InHL6Rf+TNsdhH0tYwExSjT3HLkgrEC6okGFTjKW/U6z8TKRD9YuTtOgjrpnUc1iVD/2bEGXTApd ybSrbqfXZLt1iFssropaOxu0I2MsbS+ODGNgwsU6Mv//VxxjQY4K6EgVFV2X+k7a4EsHOsUVVX5W YMx/fMlKJJb3/M0BiGwcctgPpoAVM/jnb4cg7Jj3N0ty2LokiKTPNn7+Fc70fQfqW+A9ER5Ezydk 5uTOyq9SVi22d7A1PIWENC1gIY9YlixQ9i9U5LMXBd5WOy96zStDc7Xib8vTIIBXuTIaawlbkelt Oy/776diwm2Z57YxGw6w4+ZGER/VrUi6hBS05u3HEiK+VGSFn5H/0ug8tafWgpc8pSxP2RIHPrIV ogP+Z0lBLs7jxjo2G8D9GLADg8z/zZMcOACqVlNnfQbwEb3UVj5NPfZmN8OEQ7ImZcd3ZBQgXisR IgqR7JxoCWaXQQU13s6d7QsTLrQdXr+R+nPZltsE4SObKxSyAXY9AYDuZofF4hp3LJ2Pjp1AZDF3 sEW+LMK/RwrjrsB/ZJG/GO/IzknUxfSGjMp1YmsZcqQzq1lpocPbUgFEjL+nXIfP+r3IZ+MqRAi+ 9t1RqQNTYw+Xyhi4/YLn+dkbN0sFT+x72n0opPgT0nAxBmpc+P1cWFrlWBf8U0stR7ICiYsGOnCA EbieAGCM22JZtCUxaZ2kRk6q7rBpaHq1b+SiUOqblT1C+RxsqBiYriQBCVSAJdyY2w3uKDF6B9U+ vQvIfNQjVde14K2OQaNSE43Ez+J1Lmuo+URoaUiDUMnwKePk5Nl5VcWsZKKjv8O7cpTSSCaZ5Isa Zq0dOpfA35Vs1iC1Fhd+rdPMGMAg1OMXy6YyfCexa9/cZfhUXj+FzJtsuP1Xy6BfZqgvZkA7uJRd s5dmJeE/DrGRRw9GsHedJHfRBENx/r2L/9Jkek4hj/nNHrGvEWqwNNg1Xmdi5bmoD9WwG03aeGgJ 4m1v0s5J4QPVxQI/Z3GUGoewXdw51UwJkyFTLj+UWJMeEMn1pgkc3iiTq1DNPSSVs9Kj1sxNeBgu ntC08KTMcWQkJwG+c2gTL7Zr8MsL0bQpovDwtHdsrMsyKEs4cu01NoHioEUCj8iVAo6gNHzYcglx 1xevap2jhZh7arWaAwtzkQA/7EE7rBF/X3nWyqZoR1MauP7eKFBDHKlEVfVTOBxTL6P25Btz2WdF SB8fz6uzYfh+6RmDAP0ROOyXpl+ywO/e9LkD5OIxFz9H8G7dQ6t1e93+9N+fkyVtDCDL9JsVlFZl 1hYfFK9uByL799SLn8aZLzJ+iGxOfhp1R8qdNserkbVOnJpnFk2eHmdXSLc0miFcEyFU7PACjASj WbfzM4WLQABV3cU95g1hwr6DcMFr7+9nVluiNUSUMMQ44xjLLSm91qGm717lDuXv0CXYt7ZAQSLF S13uN2wqx3ESTWMrNbStXcHxKeo2TcolI60boIL7guo3l6/Ka4l649mw0PcxVGBxMt7mbqI4mZks OX1hOmejfpxRAakXzRbZPbjfq3lfjuYC7UTOJu/yVRfmv6OQLggZfuy+nzgvKN1Tv68fwGnLZAfC 7gmMoPKf3iKCm4p1zDpcrzK1M+YeCNFN7KcEdwXk0p+PPmhojevuiaePnzeF0YRkNi3BJ3GyXFm7 kQrwEwpYVf8rz3P5sLeesjyQueqKoVUVbWnxrwpk43S3XPymg6K+pSA18mxJbBWG6LrZwkcZ+fR9 GbYk8XAu5XccFyCLZdtVaIC/vsIAPDKryLHXiBHcSWvk83RcjBxW/1KZ8tEUwWMhqSzFwKm0uPRa 8BZ/zw/ueTE47YfL6sTeP/NmJZxYw1PAC+rCCGaLw94Dfd0RMcZOcPOxkyOb/BU2+YShbLbiDErr robm06C72cz6Sp+D1PyMebSJVS8MBHdPAd3BtfaSjfBVyebnsPuZfo6x0CsVhBfyYYecXwL2Ek9A Ft12/nbS5rbU9CDV+Uk73rLh0zaezk89Sj0O9XdXCcYW+i9y5837YvayojdcJ6KkFcBQ7YyTnxHw igX07D3JsqGVVPPLQt/e37CU/ZJPY/rNCHY70beDa3oa2q3JprU5os5hf3w5K7ZizUutioAx6yEE yrk4uaFVEpHBMB9sMmO/h2wBJ/jMvjUzIaeTEQUEapot0AsXVQnNRosUpb/vszhV89Z21h61NyJ0 wicRzGwWZO2sL5wghDeBVT0IOszACWY8in0v+kMrxZ32Ju7Lpl9ypfPc4Gt9EHFj2YBTMO/xu0bR ihldyMsRICSpAB20xAL9HUJuxvtQWf/xGqZNYTbqqs5bY7Osda0l2OquUoeFg/elLqJ59kaULN67 Q+iLs8qbfdqFPPO0kgdpA6o7olHMDhL7Fd6PqIRe0NL9AlNsY+YSyx71XlPGwYGbxGTSDhLRjpHi rJPkwctXpNTSBoG0ijtY1jqMjkucHAdB6O4SOGcFuJ2Nn+rsbD9pWjD0SRVOoD2w/7cp0sdnJM98 CGZllV0zYSkrnK8+E/KZ7tI40TM7EAGxALpthnR7iRZno2uYytBDeczjcz3gCe62/Th1QLqVXcYk 4GCoA0y19Bg31Z15oQYBpRhsAacWkn1FwJZNaTqq2i5OOk1WomrDbm51ddWrTuG3coAPxtl1dwUj 55EMInevD7ET9xrUcYbHuduYkhFML9niI3qHaR4UCOL4kuiIx1UVMtOz4SnyDAD3kR9e/DbfV6LS sMGE6XaxeYL9VKb83mdidH84PhE7XSISmaMs/gW63WsEmY/+53dA0yQtfy2xD3rLw1BPh56920/q qVkENrddGA2fMt/0ljP2/ELBJVvbvdd4391AnXIYaLzZAtAAdfke4o9oifCyMA6aw2tLur6DOUqL OSF+KsIA/JOjMn6pBxqWuhvkGbkmBpUgDwCNKWaprBlacmfk7tCc7Xd5f1TtaLRlcAxWOlTmyQbQ uqhemy1HQfeNNDNTP4laoouWHaKGwi0K7JwmY7gLEtFib70GqcHeEdB2spRB51zTmx7SpjKpvOkS brAaEDxgTRorRHUyp/J5a5M/01HajfQyzQ4UHBOcIuJ2BYcNs4eseM1RwtrqIQWfRs94SaZ5tKMV zGwGChswWTBiz5FuviBg9LAcd6m7+goNLKYF42r/PVZObVn6buDpgnAQ6WTiHAuGp+lYfR0X4WVg bvja2gyyleh9gr2C27QAez0g5W09wd8nxmsMOY4M4/RhOlE9JE9isG881DzF1TFxt52UchmyPrg9 c9ibPjQuFmkJnNLoQdJsB3x7rjHaFvsgRR+jq11IDcpyZriXYHGPhJqD+hbLkU0PO572/GqpX5oK DMZW68fCT9d1r5XinhHOsQmWT186PEYdSG0GR8G0AxtYnS2LW9/JVP2l/XQaiGfQtxUTlvxLvzOs cICli8FqEd5b8MC9Qj5E3hgsY96sSRjh8ADpAv4OwYSQ+S0NPTeyp9BRxDJeW+mbTI12zI4Rr+Jd lDOSMxfJCbnNQ7N9KzpQstxdwsenV8FBSrKIrGiTX/94MFI5QUGQc9FuCkbWJqFkWe+VhKxU23RG K8y49UbUjiMr5iyINZEo01xlSWMysWiiCc+InBGMZ1XkZiVzQ9B+/dg8YC0a3z5KTDbJ7x92fx4a U7/TT5rxmvdLqXypqqDUVfOGSdRGdrgsbc6nWWzuc0DWcni9Z4ec5s/+xFkB9LmZGd6LXi9FdjVt ueOZ6HirIqsyMFc99q9fEZR7sXGFVPJ+WlfomClmCTNPTpSvYWI7lk+4dvJdRFVAefn5mAOFLOt3 sl7VCB8OXE53xlpXParUmDFQMN5maTaJjcY9s0ZOYosn3+0Ui+5dAketYx4yoSF6MVYD/a+Vo4CJ JZ/crTMegvIW6aW0ladqZontHG5VXsy2VPkHozLLe5dCOa0CfvZVsHncalUyHF9kRD0N46c4o5s3 B2FMEiUhBptLtcdm/I3tge2klewCCm5g3rS1WYwoGjYDi81R1sqWgPYbA25tanaWvsaotUwbhAqJ Tbsub3ogrbfAl2gCaA8t+NtFa8GZEATIr3SXRrVCP3IRP8cmnvZTbs60QKt9lpccxf9bTxpje0xl I3WVGwKKTIfR7Iy+7fYKRIn3m5DIvLQV5unRxxKq/ZoWXrDPMzeVRt62xDJNgb1F4RMQDv70+4od S8p6K2RJXLcF1K9LBHjEqYtj6JOrH/LBLJWxLpNvqtEkmR6D7Kj+eKn+gvUQY4z7liPANlWHHhMu P51oo83oYckrLe66HWfWd8r5TIsJb5a4TPxyQYMfTLX9f33bkMMbI877YH7pRRAgQQ4PxgUmHQaO gh5Qqsh2W9bOMSpfgOY7NR7cLQSqvUt0QY11CrbaiX7fbvJc7efPqbLMp9CfZXK4kPJf4T82to4O D2dzUChL7PoXJROoCDHcr+LwMgqqa1IE/xIJneGbDqPtw6YQXbaNlJTZE5AnCGOgsSlzs35PB0/m WEoAm1GjRruSzkHZ47vrF3mYFcPuiUuHNfYu3zC2engnXWbq6BsypTeGKnQS8zf/e3mBvAipw5X0 PnE/8iF+upxMjoq9HVcYUY4Sw3hu3p3fWSlGPBDxqmCX+edKH1uK7+7q3/uY9s+fNebIF29rApIE u2pfR59gc6861dwgpN03jNHCNVE0ZylOuXA06sAzd4qukfgReIURk7m1L188PIh775q8ehKn8mYu 3WIt/kSyrGkyWYLcwrm+8xYKHHlExUtP7x9cobI5UWsDG1QabHOstNbM45CLFFVtsGi5e9r5SsIV dr9FQFW5i9J9wa6FkDvIECoTNlYt+xkkS6zrdzOv1OSvkNTqxnFLM3Icai4DxOn+aAAFtt5R/jeV ktyP+Ugp1Qioy4wNgLE1D1l+SziOaUljszE5PMn4WqZe9Ww6+lYAwicHcV4+VBSlIO/KuB3UHzlQ sBCBtKTmEdLliyjJv3D2ejK+kICHTweZrTn13DCyNiSpukTEF8b24opwDiXKSHAHL17kyk37gBvw A4ghyv9jNPwM0pBRrqcTbpvpomDJtzKjyx1IEzu7D+pb4wJbADadm9TH9Ihwp2/FJO6IHtUgkqT+ nr6EGCcSdRN28HknZ/hD9A6VcMUAdaINkGgGwG+qTwCzuedWN/1UWKnQ9t4zwksfmU+Ir4C07x3Q npuzQaehOPhSTUFXEjDqpNlPIr07Xcu7OTQzgg+Mz4g7f5pSdWqJAhkhUUTi3qYlm0f+gHC4VvzC yOVu6P5CvHha0e5WcJPq0xr8XmIb5FiXK3hurhx1g7bk41Uo7HkemVCQMUIxKKIsyeQu4a4HiskJ OTHi84lNNQIxKqv9k/vk1sF/FwQSGgalUrfAbFZOn19Y40Dx8yvwUZJnlxJAWOmwLmUaVa7TLw25 OcsumF6lOlsFeFnFksK6MsNitaU2IM90ITbSOTeB6YSHbH1DTTa+Cu7i+9thv/ZR+SxaTjY0CSLO ngeptiVC0wWjKFoXYy40FCzVFAC+92+v3rSIfijirwzJF36HgBhXRnRc9+JkwRHekF74kEmQo34i S7ryHSNIH10KZ4dVFscmlZTuO9yRpGKnGqrT3KIiqkERJhCkY6poCoqIuiO944HTk35xDp+xiJZs 8p1mBSLeZhGjQqB9dKknjwaJeCi4T7Nj/NJnFrnTYfg4NL4/zB0Vjv3QYf28EgFAqshnHGXdgMA/ AoQVsAml/VHycG47cv1q7SJPqz4s/FFVNsSbxBFV931Z5dP8JnkhwTZfpntRI2K6d8KLvs/OP08T pVHh6/i3MkoY1BQy1QYqK5EZocYxW9YDyNrA49R4CeDe+svdADt2ePhNwDLutGMH7ObM70RVC6qW ZJ8Gu3UEyxim7THZfXesfCUSsJcHm6XOgobBe45UaP1oR5TKXikF0ojpWk6fcGlcYFsB3FBK9gfT 2DKdjDjOoiLd37VUYsmdm5daxQQLVw6OZPpjPqELvCCE2u83SwZiZkMS4ylYPSlVBPJoBbUqJ9K0 IWdqcP28PCYzJAIrK2JJEidSndmBnfsHWtazf7GN2IzwetnTA362IuO5hxWfF9KEGCWBSeKRXSxM h6eo1rdQ3GkRU5sZNwJeNt9YXZO6k7TG4tvBox5iQECtLf5NR5L4g9tlRnASsThtnJ/PMCcjTHj+ 6MT4FAhU+Ho1xz8wjdJAAZssLyJDy1+zDvqlWkovVz1wt+yMN5iSanTh7dusCIU6DlATSUNOPWEa FVvC9gvwJwjoKD0fKSe/KoyEaJX+9fMGdFCc+cyvUUZA6VLJKZ6gdqIJszUM+raGyY8cJo4VoiFz uXapZwHB+ETNkprG19eaJb1LuvNM0a3GWuphHn4YLH1MNof9aj+/66cheRH4MOBB3TWqsK7uqgtV DctyNXvf/NCK6FyhW5F6iyvsV2plB23C8hQnQ8gUlX3qbefsJGHo6VyiEn7zbk6Mp/tfCo6U5qs4 3siKuN04e6OuI41OiKOjOcqHhL9CTiG5heGxyJHEADZg8/HfAhkc+xt6OjLvAytbMSSY0xH1j+eY Fha4CqNGALfKokata3iUHSZCcQsgxoOsrNJPR8l9fGx3E4c/xK76CoDHtGndz74oKh8xzmRbQa8r TbQfKKuOIYGgcTH0JXTRo+xwJ8JJsP2I25WUHqci23rswT/r+ULVwSOoiO4ZvX2QRClFBIXSEPs8 mY3FO5lDz6i3P+HRH5eu4fZ40Qy/vMwkoQ5e0iaC5m15roCIhyoMIPtlsdBPNfnFERj6gGvQEW9A 6vjTmBf/d7fXMgC3M2Qar2o90pC4/K2js7jJZKMWSyAtLaqFN8ZDZi8UDL+vRiizn3KSaL4nqeCj jLIaLiYZfEmeKbwK/axfRaD2kdz4Tb/Djg5W0ee0Bkpn+Rfkuo5zN7WyIg37tdgv7nCuBe/bHT0X 113JpJlxIuSfzPQV4j0LRQSKRihtmubk0wOezmz2jfT71lBt2HOzCmG8Kv2Qb9w6OKlwoRnTNokD VjCVSU/FdAU2Robux+2ZszTt7n54XnoXlKGEKiMr/jcGMOvyLkaWiJ4ApPuDy7qD8qu+t8NT6dTR YMjAWZzGk0XSh4OS86kzRGMfVbTW6I70hqNU8tIKrckJQksZjldyhQBtj8BxU4S6qnXKDxzkJ3oh DBJFlLa/PfkzvkH9GvRfJAbfmqdlkOtGgOz7SPOFoH7TzadV+7YCxgQS3KIdbEa6nwxztIGGBxny kLOUq86QHw4dr38sedlkZlOiMA/sFJN0U/uKEHixvrYc1b0BpKydBl9Weerqca0v4hzRU1ZFyxjd 4ZSOWkfb7X9SYaRKuQAnUiEm4jMSvcB2p+lrM2gwnUrLeKRQCjW1G6v4Y6QO+r47vVJaZ1JyanLO 2dmLMT3cRW6FsvJZX2XaIhOvksqQAR2fYSfOCDlmRDJIxIciYD7Ay57Pw2zRu7Yae6MWBSiVWotK a1o9rHPA8PKwL5cvUtRRNV2bEZUS0zHF7OzhzvdBOYzX3d9mm+tT93h+bQJGgWJ8PmLvvoLdc74E uh2KKsmgkV0TzwH8d6XcJBN4Quf5kPj2SM6EJj0H9fi63TzeMDXegTdWcVOm6Qh/53NW96KAULWo 6i1KcH3S48JLxYeOQmGidIwyhHQ5rsVW9t52m/6k2JCfMePmLRYkzKVvbCwVag7TBQOQXr0mBwtT N+wKpNOmosqRKF/hDIOoDIi03yn6gyuyctqUakldbdEBqWGtXB6xUGIdWCXcGBL0xvwVf/2nH2dv eBowD1/rbwMF8wve6nT55JZ4HaV5IT6hx/dGGTQ9ejnr182T97E9hO6e/POPbMwO/0y13aybwDmf wv1NS5/7RKRkuL5oK+ixskchwNKPJ02sgImcLLml+mqU3BiTK7G6ksdPB86bKLyliWFU7O5h2gsL TmyQ4bTftcmuAgkbkUjwlB7MAVN1f/0nUOFDGFQ3sXjzGdHGN286vIzW3mRR685PpJDLMW5qYpuf 73q4Z0JDYgcOgT7UA0yAnhXACysNcpuW6utW9luBwyA+5Doetaf72Y6GmD67KjkKv4UAq7S9nTTU d0MkZFqRJYlGCNag/FoJH/2uxNGyL836GmIAIHEnbKVDo7LWLmTSJhqWnN8POUBrB0Z4ojwD+br/ eh9Zq8GvItJ8sJKYFCKhp5+T3PU970yBkfnGsrvl8XyPcL6KrPmizEQrnSnzrGhfvAF4ZsisSFV+ TNuUELooxECgZfs1O87ckgTNnrITSwTfl3TL7+rF7GPzDcTmdjLjvx28in4R1dxsBe/z4WQOOxUc 4jkzzVu1/oHWMs2AzICv3dDS/WSt6KyBQbDYxt+ErvctbAPdrhU7LPpDhQNt6PFic6fhudffvGaZ uYP81+dnD8lbp17RKd6jwDnjOQSsN1+lyNVI4t/Peuy48N4TdXn2+8eiO5X9CpEStgHvxfFIXRt8 JFP3/uSBfk5GdWrertb/WsWKa90QQc8TAk3Rf8GGZrvZRR7tBzYdCycGjL1pwNrYqj3hmmtvVKnv 3ace2Xy1n3zHZowT7gv1XxP1kTlMxLe1qWxUbuQbVfA9rew+CqvTRd3cXtOURiUhC1CdhBa86P17 acCYP4C/SOe68y3FPN9zkf/aVZx/JaJwW8bn+ZqwalT5d7hNUxu0ay1VXtAmXDPWd023JMxM98v2 yXsJRoq4Ch5e4Hbnu0ZU20wFGBQ969ipGejVIJtO0/WuV4ZaMCnfbtXhaQTWE7B29CNaYCuW6c4m aDgiFH3zVrqTWdTSeWW3fWhIkrlU1PMSt5IGcztFtURB8a+2Ipd08JCQPLdGzpBEppZVF8cnYfTi rgrUrRctdiT7SozoHpUbiFxoXFpUe+D4IpbFx9cSdTjG/p+uOYoqK7QyKA74M3ix9hnQo3wTJ1Qk JJvjFS0Ri1soHBeFi+TqFzbqGSVdW5zBqPXqIJkI6c4pJkqn6TY/irlqKiDkTT8lw+h9CLpUo7mv hGu2375OVgLL4xdF9mE/3Xr9YVGg8HcKybZmk0YYrZwNRZnIbObIDVIpbTbC4uayIzKTex5tcpD5 v/RuiTVYEFI7Q8cKAKldv9Y6MEWFv1cZyIdQiN5OhVM0gmidwJ6FsdkHKamSImaZ7Omf7iNCMJJM OK+hlrmZz9Hih1xaSky7Vj9o1PW+E6/cMnPjp0qd6Q9EthMNusiOL6wiTP3DdY/F/6MEqYtjjwyW TaMhZxoLv6Vy3tt9g0wGQrK04yyvWWQz2fxG1g+1ES5mcup09oZdxvmnVHtfSu+vOJmpGeYPbAlZ sCaFEHGDvFHiB72RPg/EkDTqw823+/c6cOMZXGCQau/90hPVwtkQL1l6q0W2QtaHy7iB2F9if424 C/JKMCac2gpHJgPg0PGH3ok2Nml2NCwS1yCGDFZtU2fcWyhsx9W8hdjPbM5olm9os89jPWREGj2/ oWrW+KIUk0hfC9d032WwMOLMEOy6Fx8VZ7/u1RDsGO/dSO9VfO+qk2UAHZAw8ICyyRe743JuO724 EqeLE+f73IEwBpSSPx6DgeY9xVny6+AgeTIWMYHUWNMY89QUwgOs4lzkBKGYKeNd+SKE8jKwkrd5 kxp7vp9ORxHck49lngY83awbV5rPQotERjCamHiqyTQs76zG/VHrfLTrs3Zd6ada5fr5JjfpGkUa b3mbT0onQu3OFkj7Wm9XLetmPyPueN4zgu7Guu4PDjeGM+uwC3W+sSVCvI1m1mYwoPJMa4Cp9QvI HJcnwPkK0GXa0SbFb+oxzlRZG2yzyEaXtNyOUgxQIMr9hUX4kyO1P5rKe+gXMDrMczLigyCm5mDL oTLN4mwjtPBwD154Yj3f6mrY7sAS9GkSluW/kGdt/YTrHt+Jq1qkur9KXDAMQBol6ApQTzD4vtdr LrdtfBdc/JQFGX9Ha1CikuGzHxaBkdfUNAO3FA6ZmB91H9Z8pgtx0nUzzlyY+WFJh58RfMZYdDDM gNz2KrL6EX0RShTzM6m0wAxFqsoy9Vmz33Z496DIxq27rrzJANwFqbpltNpylyfNU0IR446aIPS4 okQRGd/dQhY1cSEoMl9/anDodPlkU4P688NBwpRS7wEQbny9cg1hY0lx3iSWLNv1dZ7im52ESye/ CBXnhAiyxdFKYRI0kAGFWHyBtPyKQw4s5dJNvwQFKBtX1sZdSpDcWj+gxKlBYl5VlIH9jh8O58O5 UcuQnv4lftsRJKLEtwGaUsaEDrZu9rQKT0b/15ngzF0KHhzF3bx9Kdj7Q2VZPsKvsjqjHiYCRTH1 x5SbeTi8ZFRMU00oHCo2zkBDbXFBy7HEaLqIxWM0XWwoU/LpARbzFLM8oL9PpZVrJT/hrGme82aF 5nWdROOhEydvs7TW2BznbDcMEUonQb3AWouHZiFow7grLTrqXSGMMqkhdr03vn5ue3AtfX5CyZcg yf4FPOLKpetc9hgjoMDuq+pYbchQSdSYZhPAMVTmsYIHo6qpWK46Qd3NdDZj7tmyX+h1/cyxWcxM xfli2zT2C8gdSWMzmif1AnJTVyDcS8YlbInil1p207sDJRrwJfz5iuqhgc9kd+BLQLdfjiT1QZ2N wgm6lC+9uTOkOMVogKhw+FCmrOtE7rWemTys0G4mOYzgkc4RfoAu2aLit4xornyUh/NXnIvbCiCZ 30CeHoUeQSZML0qzooogxW93e2cMl4t7T/iaUWOh0wVP+0WpAtNZmiFQAoO9IpdG/uQPaBIMBsAZ QTFeJVEF59QqY/BKYFpk5jJMSNZN7sgiFYPsbEm8SA8NacUxCObqRaeHofe9CAfmNClbbQSOkLXY bhys8BNHge9+9qLzjFP2tLbJVq6dctOxC7STvtBoiQKIi4W/ExFpyDp+5xGjPlkvel6sTqvgkFKK B0fLgZKW/ghY/nXMjhP0rHNpi0YZkrZrsKQNdtDeLLCL89+GzyoZMzPr5SlSaqbQUHz+Ut/N9huZ Esp8qX0hBEGAvds15m405mPB6D7AYkLOak6UBDHkxWFGXWI4YVuVYIQhX9jNN308bOOuL0jRcDJD N4G5+4CdptUXMDRqYjFSlMfsfpw0/hCBIdX8ol4aWflQrGS/ogGZPUGQZ+/LuePXVD8JRnGMq971 a1cFGLBb8Cao09g2oa9WAFEaW/aRHGdQ+k0sqbDh/pKRxw21dTmihis1JhpY105vciFE1ma6c2Tp FqYh1ZqtRnj51Ubyas/nTC7mpUmJqF//7JrF1WTVXVerXFhxp1JzSEvJ/UkXBeK8wdTQRQnQF/OK 2Z4GWCWrG8ASSTgDFyMH1b2QxtelnGKyWuWLPrPhlT/N7d7GhBvvs/4PerSiCLqy+Lq1ZCcTUpKI QzR5zLdBJJj66IefSs63gLplIBPDCkWFboLGIPCWDL4RV9Xi01Snvus4Wz5HwezT8799y/3k5U+W XrrxI8BQYQ7li9aOkuUMID47jRNYd/L4y+eXKNT8o5L6kF8T9U6LdcW2Eu13WFNjfjJqjWzukwVT ORAEUpfQHOYYr+WNU9ZgocknWXqazDcnyu/qVVsO3h4IcFYe4PnViCAtgUT6hq0GEnf5HVCur/bb PJmhW0W7DKYvrHCh4GSoK8SArDEhvI74f4GuiKs0wzk2cZr+frQBXPoIBFDS3Z2QB7B9/2NyY45y i21cslWh60uJ6NXTsuatO/e0fNLBlPuh+bXv2yaxKR7el7Bj9L8r9qG1akqBwcwoeKgpIu23236/ t45sGKk8QzedAHAL0B2XT+x6VRrzkGLFRGUm22SeKaEQeLOZqOkK+U3Y/kCkjZ7MJxATdB3wRZhP ZkT3vyizH6YfupDhuqOo2EZUcOCZzlKEbz5YRo6wJqDLJoiDIQ3s1NXF9KI9485CAgR3SloOEmeh UEsUiPKCeqvX3a4niaX6hzCivQWQkTNfAJb02XmSaSz89y7XyjRbQFuCoZE3EWUIRcRd2+Na7Fxq gg4GxZ5z7z/igsyMxMvfXUJgJgBqn3OAndsUTbnwocKW4NIlfsgV7h7Pgae5/3HVsijdNyI/rSTR nHeMIADLLS/7D26eHNx5a9L51MwjcyEkVxbnUHbHPgwIg924mpzN69Mj4E6P5Zdaa8FA83Nm6mRm 61QWkDYEj2fLx0krvX90apP3+m2GJfdvEncrI3J96NGIj2AjEucv+TG7jZ1OMwXor/aolmnZu3WU HFcO/nSAOrcOzbXi4hzZPqEYAEBFojv1tb9om/yHOOSFeXlF/uMLYBzrP+NCiOCm2iFf5QjDl5Gz WcU6n6bmvrkzE2u9ZU8Nh16ic18xmbOkydW+QVM98uo6IfH4/aXaFvsK2bsJggVqf6Y5PgELP5ho fqdIHfZOr9cDzwc+u1YI/gcujmHCdvKxLI9yYXP5+6idzORO2E4FygMWlIsyBs7xWLWV2kbDlReH kZHD/GVRxM3EOy+KNSOKABjnmDHxU8xr7Sjkjqs+mq7wM2iGgStPKK6rKjvYFFMy5zqOgzO8sEhW nCS+Fca/+O+ho7FsGjFmd/zwv1dT4i+Sr3SdUjRyEyLRCb4as+HbGyX4jIpHGuRJO7rpktCJR4Gv XV+LS58oGhPnz3oQtmuQ56Nv8rKWdR6bpdNsysZ3szTTgAdcloE2/RarMgsLP0UuFv2W3akonryC zEF5qa8h1Q4ROlVAt6Zt4FlvXqqh9UVJIbdVTFwX8NIGO80GkK3S4fhhvbPSz8v2Lj+qXuaPAsj9 BWo5KWzM/fb/69OSUY+NQYOAR9Dp12IR57srHoTq5p1BybCCiORzPfK063jlp13/F3u2whi0Md+3 lDBsTSLnS5yHfojrnADMQ2QiyeQ/fJ4yanp3XVtOttCjhSqnVs/5txcSIUVHdpdo5YXGzO/7pXfL +XIDYUpN728SODkoHsUKKak33UiuLg7ThOSzf74NHCIQTI+8VqISKjQi5tLiioAtErK1Tnp0fOs+ XVAL/++Lf00hQ/uurN7llW372vhqmYNzv6buap6wPL8Jo+JfVYzONVsQceBC6+f6HEDHhw5gGDG8 Vj71R1ai2Nfi7Q1Nj3YJdvg5sYYT7sa5KlL6uJ0ATjOKi+qSNrPg1ikKtub09yfLr1yaUoa8Om1M 7LxP6I+TYx+JKt795YmEkAnVnq3n9+VlJK9FEb91HQRxiXm8tVfpvYN3ptrERwG74BkrR0Kpdgq1 /uLbHR7bmJz4+bqJyHzO/K6DINCPoafwjWkt+nlRgtAlSATZivZNaCt2X0mNpFgjhrCBT0o/U19a /6pG3ji11HdcqrQPJIrJOK8TUwQ61Xr8x8quAH1Yw1eqncLC6wDzTSQcFAb+4VUhg/2cWDX+DOMm XDAChLyqRY0dFbD70UOU/CQ50n7Fx9uUh85aWdtt6+iG0DhCBg6hVof/cdMTKC1rEU9jTaF/W9Ig E0LFj0HAi7A6j4X7clSAz0TX3+6pWKkEvLRocNLOBl1peZTq+5jJc65kOCLFfMhEIWIX4PkcF2Y+ iyArpizkEXHL73Rjeu0XZO/dAuqoC6PnDNmbyrFW3FuXylYrgsDX9jbtdhF7mO1wCOhUTO8vsXrR JPz0cqXLqY9HoDLKW0+4smMKawlnxLVelFrYadV2TSeanUGEg366f0PkS0bqrXCsLLO4xVPjMMO+ IBUuBJlF+IE9nGaTAFNIKPClxnJ2Md8IVX0QkM9CKUzb4BDwk22S1b2E2NW9UK0LCpMmDXA9XhAB 7u5B0qPJ5qfzsnefrLiNA8pk/UzcV7rfo248HTCSDiPeKgFNlYC9ppuVyNBeAZtAEPOhngTxUU78 AArYlqGZfJvWi6O3VO24lQ2YH6ihm7g57EYaw8li1GPbCBzJgdSiIDIj0OD+PBG34x2vvyY0wLyq 8CsHdomCDWCmAdzs8xVTOtAitbgYpK7D5EmlFoLZ8qG3WRFIF2im8gSBLPSvKanC4KDMKENZ4oJW Kxze9ENaUs6xZ0REdidRzyfMSAWrrjjMzXfONOqdbOmvsLUPuuraQs61D7aPvsjTKporSlzef17X KDxeKp4ZDjP9qHLWcfzuSmCeWhsk5R2ZEoVJGLIDZtGGeJ4LqXn8Nt5LP+rLtRJm0Wo+m62Hrw96 GwHMlpVm3hIggq6sQwh3CJLL753nCw7jP91Io4NdnV53c+7KlCObvCx4+3oBHEvQC2uqTHDAdIKv lq0wJ60IPxGz0ORyS/vAbDQy3taVUG+5MqpS1KNwSkxiDTcrkeCYLH4uYrgPgSXeFe4poLS3eV6u 49WkGjwC8MRqJ8ByV5SxM4YpmvnZODJD5reIk3tApJ73V5KjEOWyv7cyW/sZ5pyBVEXvfjL4cGc4 LON8LPSYjO+LNx/gGYj3pBZbvlGQkUsVzVWf6s4+oCjErpdnIFSUEIRNzvpbdrik4zICrIbMlxo5 aReMnFfcm8bVTyscJYk84sIEKovGMdAmAwcCx0QyTVscjOeoB8TZl43RtIyZBTSwiRyuPgRQ67oV fBb1AkOd/1J8LhwL7cYBAsuSxYMQFggTLUT7NWDq0c0FrOo3wsOYJbfjMDXSHYvZoIV83UvvJkRb kCvCwwh/81iQ0byXVLbhue/qkJgMBTH38KFRLcBDUlsejR/jkgMabgR4GOc18mt3q4YjuD2QFlW9 0qlskEXBHFzqh1CA5ZzQ3lRClQjsjG/mEGGptNC9oFXqTggmnCeFRfO0BJ2td5olMWvRRT/zajuT IiDCZDIfpgQNnwBDKSDq8G4k9gcnQBUJ+kdMFDcRGFz35U7KDbJPNk8dz9GUG1chaXeN5j71iuUo X6E2KD9KpnUmUm7T6udGE5S/t08Qd51GlEIno6OwyEFCfuD6H62pUAK4GqUiwVBS33iuEX02D24C +IAspZemxnvmvurTqiBWZlF/ub1Mi2VqGuI7mp0tMSeZuZ2p0Pg6yoedsV2jJ3rKk0yfDKu6Lnd+ u5JvZLjZnCnApOp/SUwpaGpigN32pp76iO/hF5lrGXwaCyFd1x5OKNgVCAQqqdbnJZGtX1Yo4Ws9 sGeTV4ISxVcdB9Dqs3l16Vp6/WLNUeEd8ZB0Dm3L2pABYsDWTMbbrEzffFuvfQgflSjWXxW7VOsR P3L7nfektCthrENVcXdqPaVLYXeVE5v6lfqcXsAjvLqLmNkW2IxhxWogaxhMVtYqENVLddSWYdx1 f9j9Oh03fXyu5bhKtOxiEUutZEhbA1uBSM2dM3N2RzQTS4x3ZffEMWwfSu6CY+zPmcrUr+uGL5Ih ZvXj9BTVXV1IzN43D+hjFwa19yxln2CZK3WXZ0KZHhRxFbbh/dQCTwd/z2QCKkSnZSyU9MNBz/To GiBFV+pnNn1BRoRsGLKt8PgLsjhkOFdsxBrx27iTNzZ8t1XH9sToHtwzBcga67SNAyPNUas5mXWZ Co2E+0fIKtO+7b/vi2ql9xDGeswrbMBmFbVt+9BLfWYcSBRC3APmwPVfBnPOV7xXlSJd8BKrtNWb khEeGGSxCBWTAtJ4bkOXvl53yFNhziaOuJz0Qdm9BlOlhCmVB+8PftGRi4erfqWEheCLGe0S1kf9 GcJ+lwfC0USFNdsfvC65hzZbB55mTh0Hfj65MOSwYp+lc9PCvrvZjq/7I+DCLdbOUoLUBaj5qI/B aLTsES3qtmBGSWxkGAITxS0hM2TtdOTvsJQl6PSEzuNxc8rzq9mUoXSpzJG77uCQ2ZpZbdUy7ys9 HPgR81EvyThu+92ei+bJU72IITsOa2hB6zNpqHM51BvLOTUw0g5x12ftUCRBd+SgUDKttxUFqOY1 u6K9h1XDRtSuOPHE+oVXn/xvHJ2ASqo1Lz48Uk+mbaAHg+klM5LYGt2nU3ZJHDlBnrhYVp5do02H mLEsOauWVXXpTGbM2RnHsXAni8Kr8HQ2nafIkk8ayLFVxZZsG0MhotKHb/Nz8UC0EguOF/zWDhR5 5SvICB9WzwoXydsHXcptkbPriiNOwtWBu8wncw2szCJCcr9m5tSMJTjUWPazp04zV+TVwHgRQp7+ JHYoGoq3oMIQhe5Qrkng+isrHBJ7lOA7C6IP1+NzEZmnlrOTHtw9Tlf+zqrNXy9XboinQ6o2I6jx tY8/GGzRgWvRxaWD/ZIAR5Y0RqJU0sr2i8Z/0mwZN/KctcehICuqD+p6IIfv+R5lX6mWRbfiosQy 9Hu0KPvRg+lL+WNFncRCcskHyUM0LjssFV4GYeXbezCJJ0/yCCboIwf+xpEV/6QIsfir4BGI7wti KbhGy8VbSvTvrQVpuX3kadVY4ifoeijdAy6o2LkWdYxCqn850fPxsX9pVuEzP8zDg9oHi3/Ru4KQ DEDujY0OenXiz1MW1AYedu82b/iVEfnXmFjE/bkghiiC7dQpb9JaiSL9eIJT6QjmJPvC100ubB+h UTlB2hEhsxbj1cNNdX+ChoqFYkmA/AVXPPYcaFBozEXzGXdPeekT2W/sX5EfOP1xQgbEtSPtkRfr vTnJ8vpgowgVhbeARW7qMZ1xNMwp1Cdpe1a4YVWHhSioH9xLckU7/LCReSonKyxqQ1i1FF+SxrCO W0O/A68dL0cDsDJmWsCzODx1Sd2PPk/DrZPyo5H24weFsEjEEkhcLMk+duyef++Nvgz4YeE73RAG CDjEykOguffYQkMj0Q0N/a49M1Bzcp6UAx14wfhg+HMZ6FkCaiFJegzZPUDzfuwKJHyduS9olCHP XQh8ONE3rYuyT/scLAk675Ga1RMHaqluvrKfBIy5qiIB4j7OighgKD9B56EP1lvmLGADewpafPjj EaoElIGh/M7RZj7sIRhKwWNSKWPPC91KvtCyPa0+WZJTW3nyixsyPnPBvBcQvRJL7YKZRYsMwWp8 ntgPHn6VP9C23JYmZ1s0x1t34Ura8DN1jeaQ/+wIx8TLg5WuhyJ7f2CKblDvML2kDNhRm2Bs0huQ sURe1XxW5zcuczHAIfmKHnnHnST5wYwNuNv9U1EM2pvbxhGYdaWU+Rd7OrkKxJdLT1o9osqweesJ H9Wv4FH/SE0Z9oMAY9ZxsQM0Jn675fN9WFi3uK2LCJUq4E4z1kxlN77KnyQUrC9ASWsWfkBRHMDY +H8q2orzazo4uceuOD4Y2ATHtZTYytuvqy7GqBaUZVVyaNMtijjmDOnddH4x2AfAu1oU00lSdt1z BGysXUiiqv8y5umUHGE0LRDj9JRy7NxqoYRj3yW6KqCu7oh/IccpJBvPoxCKBDQn1hnMd8slHcni McnTYwl8qcK0QGQVyB3glUUaQABdajdmAyJMzt5M3uCb5BPrKyFFibTXeTtrG0lxZMOouxmfa7u0 E8zJajozOrspSJ7/N7FYoGnv1+vFWuSGZRuSzQAnlaHSOsRpY9bnhu5cV0fcaQDy87XoqywpuwbR PVQHjV46hiaHP3CCFgT6G5CZi6UQN8tkJtmg9ZW066YE4ZMqQi6Itbb1aU5lRN60QYJO19Ecx9Pd cF+TVQ9xTm5h3vhXr5TuBXbhYsnjn1dN301XkUS7fTvmRl2QsE7WE5dkVWeRGIKJd4PfvCCplwXC fPkbvSdiBj9ZF42zHe1FGRmHkSfJ3SHbBKweA9EnwpHiXKRSkt2vd9GLqN3KzeCLwaeV81uyeWxE zr0FAFlwjvM8yNexvUls4DuPgSm7kJDyQ1MlZ60gPi4Mh43zJtDgaHXm9H4CWG6qk9GDlZgUd32S UI1S0VsCOPQ/vv6t0W/eiDvyAohaITbQZBr3HJ5+wIFWnH43KsOqjAy7uH5VslqCTqvXCYKHjWGW /TWScYUcMINj4pwrwSeAl8I5AE+GIJ90WZipOXl13EyQpmdWXqwjx6PbbthmOkuMkASE0G3OKrPo 24Vl2wXGQ39Zn3nhL7DaV7OPyJLTrM6oFnr3IcG8RAtONu2FAOkQduLtTcIhDqJLWWTjpw4o8ZK7 cHwRSQb2ujgRyQ5DkVacngYI7YTrKpmmqPFCxjrzXN0UOMWix31f4nPCzZycIKfFSI3HwXQwsbQ3 0hE1oHUuHJ7NNCJTxP12yNDx6v4ujqLZ70nV0i0ko40TQZgevmNeXIHRbYYnDxwzKoYAViY4Ujl/ muNsbxkB/9MqFIl2O/Bmo08DltH2LyYvAGe5FiJcqSAK3Nl81FAdAW0MOP6A0tQWQ0/hUY4Dop6w ShBlWEZ3jR1Q/R6oiAm8c7Xqafap0UngusY3P8X2w3mNr7DOsQFmvNC2qHvzMwonvbEmm9Wv7hb2 ujZXVlcxYqOkCT13uXqq4daNAQ3AJ/ZbznRdsQXxDJQLIsypyFdXEPgK/QhPnTDGy65B+pIQBon5 KeKVV/G6Zn0eUlp8s7d3l0aBVer2wfJykSWDx0BWcNi3OqI2hqsWYU4sOll5wpWk8+Q9Q0DZ567t Mu2ymgdQKzCc6wZgxiXtkmNpCsAk7ih86Izbg0gboN/XWKg5bNgH+10mgbRi3jFKwrxVIAjUUXHV tmhhnpONbxg+bTlU6K1xwsHzl6miXze2pE9yS8xKB1GbKUTAe4/vJxG5M70U4BQ/qjkwPT0an31Z W9wFPoJSMK5dOuKumeGvzuy1tNItvQm5yQirKJQHBT2N5Ft5AKzptXSf/ny5V9C2tOYThNVaM+rZ NAclaBwkm2DaUEBi1gr2wFGPEiBt8S4rgwvnzP0ta+UXnvNfDCMLv/V3VE66XIE5WlliogLIlZ+M sL003D1HsmDauyKrYvDIXRoJsCMr8XPvHwjEKGqdo7kCNVAQKkGg/N6e+vP8i4fsmCWbiP+5s2gP x4DWJK9g3QU/H0jyQvFz8u240dqN+tzJvsuvycPng9wYh5ICb5wPyaI3s7nahuDYN2ETVtNdsqyx su6Jr1QSKFZR0Qyo60kSsTqtpLsLSLfIodBcjoAzQqmHsWXivTrqNF33TS9nEXoMb72H1dnKzKkB 13jwPidtTu4AW+yFoYhS2EzN+oL53Lvn6N0U5NLoVDU/NcldjThKg6pcHlRyx0Du4E/J8s4KSlwm FmKa0Y7A6KSFn6F+/B3ERXhs6sCojxh94k16vW1pwEKUSLwaFqrKTXFiJkPKD8vovbQgUp6kofDn HcFMOizUwDw4MeU/QQRtYkHJgbkVxdkjNVc2oLeSFLspxJiDoTi9syZ5VcBxxgDHGy+BcxIfiZ59 Hs2XnX3+xp+qa16KvoVdodo7NfPmp4qt6NypjgGMqz3MdCz6WQdX4oZkWDIB/yL2U0RvShim/xV6 9UCcetcGIMR/q3Gm99sfE/1I9KYhzqnA9fFJIi6uN6vVVwIyDQXQ1QcbMMA24GRvZWi0GzraTC1m kaRzb/1fMtdwJrRevTm65H7/fLjLMRMbTSlatriggrjJrb+izIyp99F4PMbgjuxsgTUwgRhGkgVR 4odI3zCFMHxzhpqNBDEOLWzIAqVdrszqxe0EFVypE+avSOhfrf46xjM+TnHRhRXi86JfZL6l8zVw dozkNgxgLJKM/pSNNvdpymGOBMpImaFE++QjCCkid2bfsogneIYr4BNds5zVzNyOdoxgeoCFvJ8f lVUCe5qYgXsboTj7h0VRpVnVb2EKOiRs2HE/XwTG+Qb791qEF9L49Wby3mRCkJDH7zwoLAzi/3eo 0R+UcPqM8JzAB4EvpFLFaXEa4yD/FbQES7xixgGckTm+DRiS7fa4SPm8ebUyTbK8i7J9+EZWMelu FlUXiyBWJgl2t75pgJPZtpIc2HGfXAhseuEZNYDuotVkVxR5U1LMLXn/yaqIIyFsDufJ1SKwJ8p6 QE+9fZp/Es5YLbknUOgoBgpkFqP/8riDAs7Qb5kv+pHU/sbAZvA3TnPLnlu0+gEiKiEQpEM6/LmT hG7XsEOSFvUGbJegcGdk4W9f5dlwZ7iEyyQO1DPwkq/PHP9eiqPn5bxDZuF3cJv+xwooIS8OlfgB e1lljseO718KyVylRZuUjQg+DewtP1qu23BuhF++pUdpKUlDOhRAIoGdwCWYT8b9fYYt9WT0PGdl FHknsdKXEUCdrkZC8n5NA/c4KfTNto5d/orCp1UXLB0ENbynruLk0bvtm0tTVDSv11YHmtxkKWWJ IV+aiJwU47ERUPMBNQuMweuILy+c3ifZ5DlIFQdgDkLI/VN1oSdIvqAXXkGeizGE3xvg0PN0RKy/ esXlJ4az/FZ554f2oKaGvFBEzfjrXFv+JSOn/B3s7tLGYNRydt4XNsk90WJNl5AJbnXe7XXHgQDj UKwT3GR0WSANL8mnqayBNzdyavpp5QSXUcIoPDs4pLjul6+z1ed1YsLeW0YadAogFhjkznmVbU8T +N6zqMQgvf3FmncNI49kNfKf/qL/86GxCzAGPdA4KR0TWnFSvpy+YNyGD90DgmeiFjI2p22b7gu+ NkLC01PmktslcNnZaiaOUFxkWef4tKFtNF0ZGJmqX0PTnnL+Ieq2VaT1uzlJVQDunfvtdALE6sdp s1CZVASfs6uO7okWsNQTt3XlXJumuSoWiaMPfDVHq3Zn5jHXJL9YtOMBa1jDkmszAfG8TK2OOrRi O/XlnPxS8pkjnDk0YanEo56jMtY+Fk0iLey8uDaI6xSysBZeyS0z0Ta+jjI+YgHdb8nz+xNbiBjK cfPBvr6wP7XixQEUBUX2swqRdPjClK1ikiozes7WRJAIWBYumSuXswNaEyJjDxYaTLdRpQar2tFD wQ0fukUG6uzvDnfybWoMZabTz8JtFJXxQi9SH5iomGx9WJcnnLpHcg+KlegOKLKGz+ZHa60s6s3l /6ev02Xw1pKH6VXExt5t9HkoyspxzpJAqHMMpOWHCf7R9NGpuy7TN+TYIhXleCkV0k7uElwbdfag vd/Tnas32r6SuKQAkpq4MhdEeNSqLW8/N/CEFfhsQ59x4jZAo3FX0WiVZDXwSKhDVkiOQ+F92izM tMejVB0JIWDYOoXKpPoGpx6CwI8zt6mzJEm9jk5Pnqew/jyHbJXTfCzc1hJJjBjLu+P+FdeBNNg1 FueBuzjb/YqUeJTRrOoC6yHDI2NWAFvfeiLWpfrHiuym2a1e8tsGdLjwLQGzXj/Bh5FYm3+X1dzO G9gckYATf9OujY5L2qQimauApjKkBFB92zWmuP5mf92uqS8bzTxyILj1ZqOXuE5ecq6eewmQmIgk ioU4TexoJEmz+NokYa1nYjc9b3ebPIX0QKnyRB9tP7r/ImUUDquaJAfHjTbGpQG5RJCaiqIxpLXa D2UHsqSgNEy/td73N81phZWuT3Iocg/hCRPFLIQwxIWwlRkvZCFI8WbkuvYJZNouFasEGNRMxMnU rDf+nX1LoH2jCDR6EvZtHcClk8iJPqItupK6VP7MYfhWqxqKgKa5NA3U57Uj5963aATTnk0gwJN6 do7/P9YwiUG3rJ/U8dnodpQc9e8FR6wcD7TwhMHCewx9xhMXb9iV8/jSxUrwG1fmW2gv8sb+WMsW Gg6Z59CbhLYaWDe0ujmFn/h8cM073PAmjYY6FfdBog0AmGaafbQAJgE8Sv4KlelVPekCEpv/ab5s ii63rrq35/Bme2B5WcGSsDrJ+vq9kBqkN/9/ftrfdqZnTk6wXSNJLLnK5arg4irNa/YFsgaedwb+ K3bO67vKU7HUnZztZ4zQQ+rjH4CCZ0DdlgMjy6HJfsN945Rlg/nW+qjpfLJkl42jC1QFeQyO3Zc+ n7tbJtGZ+qX/wOOnQuJq5mWHWJ21A0cpVRH3hSenJg80fHLkd3B9aAJORAQn0ySLamFzx30K9rhV SkXVK3kfV0SoqlddHn1NN6MjbbMqj25wAp5hAGC8/mTusu5HVC4P9/f6DZZHytAuR6zjnhoFTDdk l/eXm6tkUqSNPajBcW0aHV+p6mpZCk+3ecDS//iRZC6MVMdu53XxKFBX1FbIRzD5rZ4sux/+33Ma +IXbmtIuFTJe6+kdo64MX5Z0OP2fulW3BvoKFzGiQpsEvipg9z24EoZRJzKGpaKxb7C+f/GXPExt tLVVMgR3Q/fgUQMgaUYxvP4j1ZdnwMUSz+Jgd0gQ6xPmUKx57Ar/E/dvHejVIsyt8Y+26na3bY5I waCjOr4pyClsZdmxFyyzEhwUe/arRntNfObGyf7CWn9njQEb8oIgFquQqqOj4qp3+UG2sfugm4zU RkoJRkpmTJDyXM8eQg9zD5/Fd8VwDHIwCXIyX1Y/TfNijraABgFjXqnK16gMtSTfrIuooWrlTY2h FKATS+m4aN3sDJ9W/BFWA2TyXWUt5lt3t8dVGrS7wUGMGOqGSxe/cWzTojcsQ5oqiw728DF0YIoq CKCaELKpfrC7C1I3PapvyYnVdxlrab6s6ofSODe+uQYoSTlPcUqfjB3pHhIYX3gyHxevyL1Y7gtl 1GtGTOqWek30yMYkxPgRjZ1bh3p0RMxn8YwNlOjzP7RuHmX0SKsFdDs2phtTkw1WFSHOGCFM2Csp Mcw+7JAngR6Pga231j6KpgChHC3fyWAEFGW1cgt/p5uHMU9Ue8MA+x6gxga8b9mFVe+R4aMx+SK0 EstSdRkEKjldHUbWoz1VTTqkI1klD6RkuZKsFDX9dn4cO44bqyLM52jD3VTkSFQ5O+IrrYu6Ek5z 3dU1gWiIhAFrRH5S87OEqNNpbASihnqp0+mvG7qIwyd5LwxkB6TMVu8nFnEnXocari+MPAd/3TBQ ATwUWx8/7keyD7lBijO74GPQJ77chC2l1UPBVW907BwGQOfkiwtrjMZHoHW5WzR3VDjzCHrNj5Uc uB7rZ30m6m5nZkMRuhnF4JVKzlgNCFKgsAPuupcYQSUP9aJml+T2NEKpDzD0VCweG7wLQmySegHV +iEzHNKbXN7luavN8akUCbQPiL86N427kKis3j3QO/9WD4v5qS2bJM6Vm9Tq8lJRKe62cB05bMDV d9YF3j/zRSY1b3UAxzwcjysIqNJDlov0g2ylIOczlYS1Cfr1FzU86MYSWRaNPOscW4WaOMsjtPB2 Yo6k4EkIA27MfPKMwkFyr0qF798Dh16a5yZ3OjazntViyCBBcQ0FbVKYMnPckuVYSva3c9VwWbQt f+gqVe+1NhVuOyYn9m01pubfbMXEBEOYddqs9okMM0z21uFImOswOf3JYcU+BtER1R9OVZreLE0m 0NjK+7jwayWG/lA1h2OqawFOaXD1W4fUW96xrcvWhoU0Nm8wv5lZdqWmNYBipj7I1CK65hAiv26k nf87rT4QOEt5/7VAnoy5UvDuRjPMsSzI3vs5UtQExVaTuwP12Bj1okFs7IvQiMNf2Hf72S5Bium3 e8jNbp7zxRTpUkCzDpYuii8zjkXDv35TE8Jx/DwGL7STKMsQTAtMIRIpFbdP+XXmA6WxO9IL1ynF CHEYJ2beAl3pYnZc4l3AbIM/I51JZUdQCO9b1B5mL/M//tGb9h8/0SWsAH/Nk0V8zJYoNKDM2QmQ jaQqjpGOTsB7pgSUPuu3zYNOXJa3eR3KPzODFP4KJAR2Fhy68CbzXdjj3pIjdjNqsJGu/gH3C0I9 1YNhSqluNSLiSUANYynkwUR6mgEw2CPaPezMDGHrgX465OKr1MUVdRFpsINTWou8OgtPbnlIhoLp RfJop2IgMBfDhoaGxGh+26wlwCCiSgx5sKZWFctvJJOUOFb507eQdMYiL1rYnSB++fFhswSds3wo SYSVlexDbggp9xRaiDrJH4bKmKtpKZABWHTsaRtrMzxzhknBL85JS6BXnoPmpiNAkydMCPlv1eba ps1CoAbuHwO5VoOeWf/poYf3nQbDEtJYRPnz0rlIIkLwRF0bgU1W91/N1ds1G36Oy+Q9g5Nlflzb Bhx2QaLhgrtZsAQ49cwsRFn9Y9CcNxy+iiFwMusep14rgT9aT4KGJ273W0qOGOuViTvtl43SSuns E+ZKj0ZXMJj5AntEWQ8HweAYuCn9nyyVuw5WVEDKvGgpA8aw8B7lwmb/hfswPuz0l1X/rO311Zfv 1XOY9yYU8KiJfRIHcfUllczYM8xERXMl6uwZ0eX4mwgugjmt6gP7p92Rp09k02jlZNGWXDpx5IR1 DHmEoj0T0oNbLBLvFwdfGkgPG4xQw2C2brFA84CEEUsl9VXNL54rl3YCTNJjRrjm+YJMBlR/zmHM 4jqZnJeZINOHzXq3uPW/rmO4yubaWsx7DSaCsck6QX+3dsJ/ZUi9/gmJoulD7d0EFIpElcBPvqoQ JIT34hz6QWA0uDBJD7stOeSJu1opYrxDKp0M6w9P0qjIi+ovVNrMzEt6y4YQQDNEfjKycVlHbn/H AwpTK/JuC424eLzvaJUlQ4MnnswB8Z73pQH2ueIjKnssxQ5EBGz3S1GEn2BBg0wRkmtVnPgAI84x RJMz0WyuKLoTLFi77Z/6ymKjRVKE31qTKrBrPHtA9HxgTJe3+PqJoqM6KvyoJ3LyUSL/K9p7U1ls JCTo9a1k/S79oYzHd+M+y+3CJfhypuRdoEZ3qS3DdpkMRtMgSdazGESR1cEtxAcGM7IjEsWYoKII 8l5btUXcMslBW/yaRJbyDBsUqZ1n0fMwRn5t0tp0UpnLUb1twaZHN05rhvTD/2M7ENS1c5RcU6hg pbLgU6jmZPBojd2WYKbHgAiSCXa7Gs3QdIaxqkVLfHXk/EYDi8HbFFwNlFeA7t64RhHqbISeDWMI kaZeryw6eIu9sDe/Pp0jU+S0kxNVueoNiYduC2NGN7ofia0T4vPSjkzVHdGQi303+6m/L2ris/Tn FlrcinfquZbSfUt9Jp/tBwQTgSPhJsUqzszcVDb0sSQCZqe+OZ8gDpLdwiyoeFCmwq/EulCjLB+w 19dbuQEIzxj8EvM8+OnGjBYa2M3N1VNCMwW8iImT8HvmMxJ2S5UCpqg/8oSkbLtDJbkHOTPR+n/i oyEAz5dI+EymhPQ4lqVrhCDGbnm5e4zx5OEAFh0YHgvC+XOtEOmzrozXgDy3IzuiZYeteyr/ikWu XnWs2EQAc13AQchBFI85cIi0LqbURfpxlSdpXnbhV9JtDE/vtZukrjXcWWLKGXUCpmQ/+zFIlZbg Uqx/dlNzgVOVgGQ5yP2bGQpb+ZhDEa6/C5zhK2MQIN1K8NHb/VhOZfU6YRdK/xnrxHLqibnoW4dw uY7izJ+YBZ6PwA7VTl+NcE8KD8LofXcs0ALIbE19a+fozad5VxCir9+xsyY7MoZ2MvF7NJPQlirI 1iAKzFZt8AxfZp6cL+8o6Ue04az4hhpL8Q26VWYxd01Q50SZiX8PlOGz1gc4Q9yOihuENvqMlkvU 7MAAn6keul7uHOvOGRfnyDO2G2a/ZGZ5KRpJSeC9LAtMoJqXk5uWCpAasAT6/AZtMAmx+SKfa3EV htuoNBgk6PZRTgc2Jjm9UX6ZJrHhMxo7QQtlx0KiXbHh6Qx1CzmJ0vq3xPa5+HEKB4E/btnSdB2P Ue/Mi7BTBXTjT7Is7OqSwP8vhF9a7Vy4bkE4tRABC3cK2qDntnDpDELSxADH8a/AvgmThn3xke6L ic/zsDLoIHz6Fa5DW1QRDrbAmzjq9JXwv3xWtTfC+EOh9rzXq70wuc3Wkws7Xz4rYRYs9AEnRXyw JY8D2xpz8AhtlWBxQlgs9+aTlxuWmwHDd0UaQFLln5ZTL9auSgTm4oUWF7WZsKXkUWx/AL6bUUO9 GBF5PRzfHiVYg6qF1W7Cio0pUJ4C9ALgbYoo6B9DmjDibumeqDzLqp+I5Sp9ZbeyOQ2KiCRCdqWq EpNOpFhpstTF5igT8l38Wv60tdPRLwwEvmsWKSx6/Y/by7KHXOvyqketu9+ZinBZymODmMX4Dcmc XPV0qA/PvRN+WZzFKA4PnEx61S9ryWD0JY8+4+espZM5+G9FZM669M5mI13eWPaa087lBEvt6OWG 5txuKkksN/vJL5X5u6H173rhTEuxFV1c43YlCVT9pnfyf9lxe/WGPqBQSUZrVkYzdFsDS0vUjQ9L VDUNwlyMl/LeP9knf9o5i8gsZFungtZtMb26ri59xIjK6X7uQ4daJY037gVaJ2Sul12AnwLizg/U BMTKIz9bzsFn3siDJ/TvR8Nb0nP6Jxp0CaoDSJjiZOhy4WYMwcNli302fAfNmEEE2ockZsGZrwnz SdHJhP7MCdIDC53Jl7O4iMlAadTiJtTQNypHJQSCluRx0hdCOAWfo1GW7GcecYgf/zvlXp1fLg+s Uea7XjmP3LbxLPQzkVkgffXHwOgFJnzOYYomguK4/klohWR0lLUrgJpWiGYrqo/5rkz0wV5kG/wC 8YmAElLZ85blvqhXWK5eRS/NjEgdvGjgQKbqKZKBuMny0tcP98B3eSNrzgn7Y6gJoDcz4/PIOmaR XDM3cZE2F5GvMuNfd4iV3yeGLP2EkFTay1bh/jWHZIfOsVmBdLMgLYmeiVqg52K5bI6duNNtosYj YK1NWhKjG7R/ma6wrtCJXZb9pSF9SH+7AnT3bYGnHI8MlL2Dihp2U43kxQzKvNtKzgZBIHdu10kM neY1Xcb9zNz8iZIDK932YYk0Yh+N/jpLc9XHp0sYZdOU9iEuVIgEKV47BrD33oQOrkVNf8G6/Qef 7wqkMn7BkTnTVG7j2j3YYXUuBipgSB36otMn72xP6AbEqPrSvZT1fFcFw2/uTHa+ronNIpjxUu0u zlWXSw3M+V/wjaR/hsH2X5dpOTWmYGmTx6aRnv1ImwckWuip3WTeC2Bp1+YahBWXqFGmfbipWdTf UdTfdbjLlUALeqvLNXlr+FpEaSoeE99UP2QY89EsTaF7SxaCOgPn4P65nqWc6Usz26LIWeRhJup3 NtzGWo7cDIvrfFQpYDhDM4RhSk+SYNjTviglecFrst3tt8KrtqwQ/NZIv3iWjxoqK5nQuXHNifmG qovPCkJJPlQ798MVS1w0nTYHATnoTtTjlroQbs+yUJ+th5vQmCnWfSLqAQsR0nCUSl/CJJAhzvxl 05SZ06HR3iIiSWUMqnoocb9T0puWq5Ew7dNnXF4ERL5m/XZ2I9+NdOBXwkAiJRvlP/4gUEVvgo4l /PyRE5o2xrhfF/HTOHDFIJeFCX7nS6lTVpJp+AyXzS0/RiIz2L0598I2Rnql4S/UmbuSXpGIdMqO cy0PfYXQg/LTAN6yGkG/lngr32DXhV5dsq5C56AFcj95e5e+HdvwTj6pllPgEvSrY1DiUrxoMCuR 75dSCx6WUDPRllo3JMDfQO5U5Ez/4Q4HIP0DUCtYOue0BKovaA019l12eJtRg1vvohnnjSdwDbX6 DTcgNqgERy1sED4ef/3bZaz+Xg8bgb/AhdEBZkXysMMhN+Z2St/DK/ZPugHc+jry+ErK7k5z9Sy0 FKvhYmyNf5t+09Vs1ztbrn/fVBXbMB6ywYeh53DMsfcvWYP6PL+FrUiyU8tIbkZX9Ipu/C8MEaSL 8kGouGRa6JqRykZDJPxQxgFAKmxipunV0k+BKzDQM044Zgau60/FdwJ2j4DBxStbPGpdMtyRkM/5 /5TDJ/5QqY4sltoUHBAJhwzUCVZwGs6o9T5SXp25mH56E2GYDWtC8tF86YNN8zPwjRQUUGdQDaEp Ujjlw4GviUfvUDJXHXf/zYeBUjaABmHNSZqNDa8xhsLicfby8h321OyDlGCLz70UFMs4D/h7MxZR 81wByVMAupb/frLRkLlbmnTl6PecDgsOWe9Asz7DFrr8Qd1LkzuOxXRGRfVcT/PDDICJhHR6sr00 /Y9KaBHNPUICfiGitZ4UJC53UNfDbLkwFt9CDh9Gq6cME6AygNPudF/ba+uitqDb/HsZcixKNxOm gH2g0S2MzuTAJqCLNtfo1ScFszY56+J9oM1srxckWByjcsCPPJm8eSeB25tMSNBLBCu5wyOQ8uip cjNnhT3rOoylnOnEk+HieIjcHxVbvEFSG28Z4gRc1aUHKnYQdzepA4kv2YwvOQuXJyxMqB731Y0p pedUCtRO2F1/d0TcxqIMZa2gVdltXqKWiSeik4/sHL/9reg3aqJzWnrrSPf0P33mMcpHDKZblmHY Lh01FVCmR4HV5nuquaTs2GiFmepvb0e49y+0twTLpdHZjvRyzKao2XQwYLsya2mT7IRHFV+IBr2+ /bokjuGiFA0UMcV4ntnKmPyUoCIPqNivLcGT9gbLSYRfvxyAJEoOMQljTQ2v2NMxc+8SmwDpSkNO urQ6cxBFwr5Z1+mV056S7En8zxPVrEup4oTers0KcMRdv3hjVxWd8Bb2rRZo7S5mxK9qG04I7RAp TXYWuRX36Jbbn6Yn53GgSCuWra+32B35yF6OYi0xiAE6QZPgSsUGxWYjv9pSjWU76kxDDDPZiIVf 2mQwSfDdSuaSSYQjbvtjf0kAEadHupMAPAqeJsgPQqeRDwX1eYwVNU0FDbQvd1tzVMgMkQbGcpEC u7qsAkp+YmtSUmxV5X9h/UF5QHWZ+2RItoOjLt79A6JMayqNk1eS2+Qmc2DzIDqSMJJwFcQyXbME f7iNm8fRysqweLYsWf6PjZXX3ddXWyOcdvfb5zFWh0NMxtKdN6rh4TGFC+Pf5KHFbx01EOv0k+0G +aHWfMZi4J1lonwHnqth2ky/z/LfDc1R2ozxGm0T0pw4deYGfFdceTjm1Bfjd9ETYJfbO7rykJ+X 2hVR/qs9OAPtosQOCR3T3Ummm+NE7JZwv1oDqPZUbGFzkBZ7ozF2b8yh/gDCx0A5YtuF7ibcP++w RyL8efhBuU+/IcWRoVwgCXBACC/y0eDNFk9UekoDzb6fs4wbWi4lXHESVQ1AFenk7xHqfTbQ1uf2 iTIMblzHPTikH1OwTaLRFX8tVm9X0cgh50dSnBn0+UiPIyvDltwepnJHoyVpxyCBb6zHhluVv6a1 8rLoFplrUXrjyqgEY7Qc8PHLnzfs8OXf6n9QsvkVakOOtlu6T6UoLW9LmvzZzQvi1XPYla+2Aktx ihB+82rF/Ezk+kvRbkWrFZd3fQIsGSltnQFu6E9WrMYrHtW6lbq0FzHtkt0qVqKZlQHJ5vwGLH8S 8tsWWuk2KWFcT7/IOE7MoU3B53oA5boeyRBm3waHQ621L0XRngmdCB0cZdHym8Byg1QPXcezkpgg zf4H7rUyn7QshrSLZVt0aFt+u7ghBSFqryy05zLi+mV9XCxoeE/lDgKB9FZXPcGr1zuVtKxGsAgd wiSpOp1gRxXkScTxBCX0MvZMu1z7WDAehyikO442ABCA+pY+wtntfFgTSTKyIAWH5LLd+bfx35Bw obhG+V9w7hLb/u4RKxerZicLalFKXiVRBWmTRtuqjAy0pHB2FqFjqaDnNmbWB44nnAIzZFx1mgC6 rWZVXA83ejP7/XnGtmI9nJF3JyZ9JSJz0YGcXC9ak9B/OMvEMiQ59Ww/vEkGISybDCnCKUBvKYGh 5HfoFCKJroIp8dsLjey7ZeERMqhu5O9fggPf3hlVf1Rt7vo0T+lPHvRN+NqMyjqXZA8hGnCmOqGC +0RcYIRZMhIb+zDhtj8IA6Xb6BiL/InIEPJDL8hPt+hjd0NuN36CQAo72dYWID1b2hmwL48fGhkM b9uGL1TcInaVqCewONxcfKQpzaILy8PnfySp6vr7ypfj0KcGhh4TCQ1Q/YW+RXb3hzW/oEzTmGzK JYQ/+u3KRif2TvJ+GGGow5HrqgHQuMoJhq8rvPjkdtwQyx85GIo1DGg72Hj0TdH+SdU28r0wn0Y1 XIASujww0ZVQbK+N2569WCl6UKVBPjJh4H+WAT1wyTjUWbLXOIlwfu5s3NB/IoAGafxdeLF2HwVO whIwbQ+49mtPsXdC76t8c0Ndac3+H0BaUtKXELipOPUdLSBAxjLKzVDZMy9SZ+NJ2hrbQpI/NrvW KfcR3cIQZ5ohXfOEqRC6TyFmDvIoF/BM6zDgbNaizUIoMTmBMnYp69qgNd5ckLd3D4V75LpyVd8Y XwcX7k/7sdlZmWRGEw4AU/L5BqBQTS0O3txcRoZrVMCSwa9bsRC9l/dxomuATBMG319Aw98AQ8yM XmrJf6Q/BttWQPQGlEbYcSTxaADr1iLpRovEY83FVH66+aOT5Tl9ptQOStWvNf9i1M2+wxPRlBjM dC0KW/v00rO/dFxes1pKt5bse/+RcsdjBr8sCk0p8IePYm5+UngFLbvXUaXHypEs1WqxvbYN4K/y yQqcXAWrqeWnhLSd/RwWVOuyoD+NwXhi3l8ivw9vOfmHem6cfUTASlRcshcdbTjytziWlYXkwqOZ W63gcxGKnytq7Uzk8dx5PZFyp2pakiehjvwO3ndGc1Y3MtZcy6jdVp45a1YKjaT7nDvIHeTVY9JA cVbEN7Vt67+UtWtTgsjD7i8WOzwq0C6EGLYaA48IJ14ww9UEg3Bkab2aAhKRwrbTRLA/3GO/kM6x QsA9JpWnfLs1YJLp9U7scWEr4CamSzTVrH1lQa5DsgBDcgwfmasJhnXBoNjCMWA3a2I6bTKBQ22Y uVYcyRsY/zdpNcSFz54gJ9597IpsEGpUnR464fdDLeclcDSmD3lJWGm7uCm/BhG25flIGRH2HTgO 3RB5vzzB60Ma/vfoPMw0vKBNvYblsTS70SrihID2AF9QsMrHAFwVDLyTMy2w2iAEktW7oy9BtCF3 S6+gdHyCUFZ57dKcKmcVdiszd+cz1WgrRhC4MM45zf29C/Tu3SBz+v7K0rpSlMVTiv6S+nrOZ1bz pU6iqN9lnNVNoCxJOYkKYQHsh070M6PC5ptXAnrtXU0u09Ad//wLMvOP15m1D343OwU+fda9Fb+F VzFRLjXLeSaQRYu7W7+mMrOURjthhDBxOYGT7Oc05XXIZNy1x+HccngJWF5t2RM8dyNeRtvZ0v6T PVFoc+Ypee3+NjL6i2SHgh9Rv0GG31xfykWQbrC38hdD3lCJk7NfvehxKPIswNuZVgXAKIShkGfq +FbKaHOUNb16llfUsA0WC7i93DPutRg9kIZvf7O2oBT6ePisQO/CWg1xVwRF1oBGTsPJuP/OPZxf ddO/u03AUThMa8epGEFOa4uua/vaxsacFJ47XAZO5AfhGYiKdveClWbKDEwe1spv9seBf1xiH+J9 Hlo3kisLY52eG4mhy9MV/yvSz/Ua0Jfu9bmNnsf7/CSs+Vchqr0CtvEEL1K/gK/wjolZ7L4UJ6VU 8NDPI5WDSvwnVkOJ7WPtOPHbpl08krk0G+1xFpycKioSMyeWnon9/Jqs+9AfDSh+OHc+gfCiLIy5 rgvoG8e8t13z0ZoP6lqoe7MSExoga3FNlCwNkzuxuMfZ9/Kk9PDsDefS1oovputcN9GtYH5et6d3 k/lItGPN8kEtz4RS+X/DmgvxfPaJMdnsaslsDjGDoYA973eDm8pKJPQV1iY8qHfsAGd1MUB3OSpm qrPf74ULjzCt4FpMI3hxW4WQhzahQ0LENJfZfxMoZQIK2OpTLlrKHICnyJwRaOVkWx8KPisqut0E PC0P3mpUDNfgkrpQ29co7ifBzLEDHAq6tVoHVIhrDJ4Y2J/IkR+a4jKY5zpiK5vqftDv2RKa/zVi VgSydboE4fU6D5/BkYcy/tKweVrWl7H56kpANMkmADvH7TvFv87zScoa9I6Ui7t8pwCpJJzE664V 5YHyvtSkryVqBvMKM+uJVWCI+AKjM8Hj3pQbBpCkll1KfNAEZ7VmHKnglyWTp3F0Cft5WIbhG8wO SFRp9Hes68i6LUUbyo433VH0neVDCavurYqgJ00uI5Gnjh5MScd9s1S/yo62gqEGmXq3nb94oqYO 3UND/bn1L9UWufpCmzfxzwo6V5/hoMtQOn4sAY684yqbdTh2LzP/njg3K1ygpAiJPJ4v1Z6tr5Rf 37W/S6ibl4xln8r0WmVnJrUn8NW6QAFKUzgX2QO5mf5MS6D05ljf+zODZp1+sfEPaxfXIDQlhq3F HS3Tm4qS8spOAUgeLBlHPbjby10aztfF8t5WjExXIfyFWcXDT8m9HXEd8Mu/Ja79BOe2K9Ieca0u pm6O7ykx9slMf8OiuZRYgFftwjggGYuSsTOiAqDbPl9+az+JReIj1yhTZ0sFABiYSLh47uLx/61X VmJ5cuJZjepWBs42V65PZDUD7bp+CLYaRBfn0R+K7ZA6jOUnYPa8Y9Q2f5+p9HrMc7xfXoXmcTRm r1etVvUB7rR0pjq5Tb02ey0kJ4/cmLrWdN4yB2CUSOoqwc5JR99VQhEGHZLhtdDzXpoi0xWPuMlJ IWjAslkYOuN6mZJ3+t8iSHZKJ+nf4KwYnBWtwBOvr4uaqKvusGg5YLAoNG1ZAHRpa+AkrzMzAmeb 0uqMLe5swCwejaGYt8mLMaEuV34QggrnkAWgq/EzMxshu5RVKyVGr7mdC510OADNXGShIkZhlZ8X 92JRxNtX0O+t52s0Hlb6pCstM7PoUvsMzMn3/7/UEmauIt/q94+oTjXhu9zpD8TNvl3pNzE/5Xjq Jh2BcIV+au5aFa/lboF1wMLE0Tf2M919LHrKziA8Hh4JrLWcSK8347vmtviFUZcG/+HBVec/xLeK D5jarieTGYyyHE8x+Wm+8xCNdDrPgIWuyoY/5g2FlY5VmQX1Kt4gZOHgIjk5fyDXHaF31jC/bGpQ ZCa11Jk+kGvfIaklb4jhUBE5P1NtDy35CWKokJfOlplLMYc2iq+RVBMYiVOAE4ZH8+LO2GuqvZrq C1sRgboFhN6uNU/+EtTuNWXGph3dLK81LurZBh+Ber8PGerYWWiiKUkK+pA8+Pm+W7dPYrs25Pnw ZdSzZwCi8Tolk8ce/aDIs5UvoyB258YwZlTs1n8vx5vBuRfM6smx3ya4B/yYv1wQn4E7LouX3AvJ 2TQZELJSvRFuuIGpp/Czw2owAGjVbkwC+JlKDioS28W+MpsmHyPhWZp/zw3+CZxz+L9RsDmOBImc 7duSQJVgDxpxFaKoK0yp6rwwn6v0aDgDN5AtKXnzQ/LoFS0K0Rs3xT30UAOf6FvBcJCer5mTOPUy tg9BnVkhz7rJV6gssZvcbgny7zc3TmIw8/CuEhi2DODN5yyZgzFqzyOXS2ZHfpro6vU9CWuGLI/g bN6DR34dCXxjCGlyYz8OVhHczVMJcjv5Lp/TckM4YZICUNFcdlMFBqwHQCWMThzLJ6eC4HDShGy3 SHw2VkxjpxOoVhe0DHqilviCJlm3fn7dzeL7ar6OlUAynSVVsvgCgOMTvB8q/bcL9QlSvGCauW93 uVoZizrpECMXSK3hVQey0RjZZNeS1Fzwr0ZbW+xtD6FNtonnNSN7CBj06Bxg21PkJm1jMAsMsgZB cfqWAD5u4WBH4nfJ/MBUvJyX28map7Z9fnh/6Ja/h4lmZRQuVDoEV7IPEtb6AZPsCquXNjqy+Dde 1jxOQm9zBMbDOIsyxI4PYuZVxfZsVuTuMzaCUhTIQ4ZSAg9+tns1KCKkLBN2MnqiyTqzVqzkhfRs FEQyuzQVIn1OgEIpR6GvuCddYZS+w44rsRXq3Vqg9QR8fD4q+GDPaZfCwnxLGyI8jScgTU7A8EG3 RkImRq90/0Lwp9HtrFIFme0PNX5u1HUglXwiyEumrZlPQCmx2mKMF841VY+5q6BtWR2PCslvnj7s DZ3Ug4KZvrlba7vTS4GHCEGq6Cuqmww+QSq+fYOY2JABomZ8cUEJE5GmhieznnvJsyWdQf1FiHRQ hRV2osjeVxxqe2LqXhnMfoe49j83OVvPXLHsk/dH3vNO0WrX9hzNYuisafKBFvZzV9WBAwryDqYp 1T9T9U5wSZbhhqiU44BcTvn1UdJFMoxuXz13eAjO8y6HlMOiSdB1G47sqThyXu0DgZi5mLwM04+C yh8pMLOzJpAT8iJlVAFHCGqXjafb3JgcYNkTbDHxAGTsKg1F9uJuTnFo0v72cKn8nPnYw6bA7ahx ckBRpNwwNA8AmvfScXjoOhTf2JJVuhIvJiMsgyS6x6Q/QUrtm5pVPQkTxo6judPY4IWl8KYyoHH0 rYHlJ4iVO4Ddvz2yFAMJxAeJfAJVQ2yRGVCF4IObdX5oGNanNEMYg/wNV582fzknyt9I2Ma4Wn8A 5soB2qGq6iLE0Ci0zZH3XvUFSnas5C0kIXhVQle9e4CTKfFvINTiHJO/twYsfoR0Cj0/1Lzd+T+d yOIs0s0QH60rEfkHYZJhQWsusfGnhofkbV1DxJQ6UeA3osj7vSN6e8Mi7f0rTEgNPGNofBqtYAXC cdqlt42qYr9WCRFJqRFamUO7czeSKLBG1w4DM/NiPtfxT/mIOFpG2rnUHLTLBb/LHvBlxHlsJom5 7l1oQjCul/0L3xi8FfnpHttJkXiQueRWK1IFtY0Z8tuyjkZnVvj+swYwDos60r3rWNvYDI2qXiDl sYNNpjCiZTH9wJTYtVO+OOenX5kd0p3s60Xl0mj5Eaz6+tCsy13rTuF2aMiz+eQsHL8cceziH0e8 uzIGLOU5KRLMGdR775YosZcAyYmv/mdg6H5UIgbOUNT0Zawr8iduPSLCS5ZwUbex9NEchospPNrI 7dlc/5rO50G2FkUkiR+Uj1FaLXmQxxCpC7It5b5BCzn9c77KNFfnhOalAf+cENtjAjWozKHe3WyR WuJA8MKIaoDEuxbpeDlu4nib4hNIpoB8cSnv28KR/ckwuxRwKonb/5e3hUgmWfxfL6QKl8CkpGlQ Umg3kE1zNLrGL8W0Lgz/rVUJAnkxRsDDL+sElp0hALx9jxiOj1pd9uKNXY7Co2W1115+5t/SJdLx M5nzEY+c6fRGxtUiyqV9ySzOLn4IdLzM09JkrkCkQqf0eSBphE/tRa4Ox9pCQA+pUWPkD0otNVjH ZvbgleCSEax8i6FuIXFIGiwdKXfrKJ/SDIASwdXLIApTzWu0JKfucvmxZr8FxuB1QovOEIOiwsBd 66+wl/rwXCPhFBT9CPQyZtkcdukQTJZLzXUI5rZYckhX55XOmyoHgsMZo09z1rxLwtMebAUSX+lw 9hhasStqpOIZsoNKvHeVmZGlijfv2xxuvfof3ktbZrq4v1x/31ePXAvxen8eTbMz/JiYNQ0osDm0 sAk0rO6vGHTenvjKlXTwuZpbTDmi061mSJLvui003kDRX6aILhAJHMC9b5FMZO0mlkWCaNCtxp8t JPaApFLAo+FdTxnlyjc734LkSO5Y4u0QTg5G+cEQZwpHRuuWLtbJh5fn3AlbDd12RKsDHsGgBwNk krbJjLAcRvCCPHGQaZAW7RZ1W/GQ3mTFT1P8GrCqr3wCeXoRPJ+gA1Ck6VzZ+hqvlgGUsViGleld rTrADfk5lMARhOnJu4zlrHlbT/6KMjDrafuvNVwvIPeZhDz/bVpSPys27KbMuEBCcRbUM+npXhn4 b6W7mgVP3P0E53nR/J/tcNOmntVlLM1KU4X6myYYXblvrC5AJenssny5dAHdy9jBy4dCAaCrOTNr Pg/23Oa3knO5Z1Cl6ci3PIIZC6XsfW6LHhVV2vPV0enB8/9D4e2/Ab22tYqtHrNgKRj6yBYhivcH Y+JEmz89qm1Q7tN0nbo7D0ydvtSRS0CuL82U8DGDnsDGPRnW1r73TBXaPOAWXhmOXYKah1CnVdVT gRQjo0EQECTjdobMztxYTG2FJd9HZ6utopMxYlx16w+LvNghfwckdJZSy6PVp7cXdCUYBqW+XSN3 mxldDfRw3IN6jmKgq/4RjarwzSX0opLbH0jYNB5CfqHY3HRw7XhNWnVBBwZKOHYg399PoqhbbT9r AhjjVXEMHxxKd2Kf9kjABczC6ji0G+sv5H/0nZkIpixvJsxDAKt7TD34QwyN2VhInirOI7Kpi/gE 8sw+c/nyR9jZGaxY9wcSNZJP1S+fyV5ibi5OvMN0ptqS2L+rTVaGuzb9LOJ/7KFVK85xWro4m+6H OVpOCIzWRIjniqqYoHk7N5MnU0uu1U69cLod4EbPwcmSgMwn88ArS8LgK3xfs/T20sH3VmU29N2o uDOl+8g6pvPjXmVbt7YxVIOLd+8B5fNPXZ4HokQ28QGlIB3rqbh/xjvGcEyzoafjqBXi94zHKiHU x+7FdchdeABQMaEAos7F1fDUZiEc5sHtRD83c/P1Xa2ynqHsz6tGSv2ZdscRR8VP0BXe1W2UHV88 BIiN6osqCf1xxjdqUQuoUMVqRRTZdLATF18d08pE8VcSaA8chjYqmns+mQzmO+Ofrs0VuT+FCamx XMXmt0D6JxAOopEw66BzZki33IlB2wXOJyO4xSHxICUXadea7W0yd175ExvE8jxUFFrKkWBHEqOk MldS6MNgKHqWXKFLx2qJT3DUWPUXr4X1o1bPVipcshxXsJGIgFjrwc7asUD27UTy32QpACOkoR+V r4eGTnUJF/QJHxGmk2k0tlV4SxN8QCxd/XQJpVKj4x5YUPOA7RPnw8W+8I5zCIl0oHA8d/9ruM8c Ex5BjnskCuKuCdvDVKF+8mD1nfWeGC531lXj4vZcCn/bpkoBfSMK6a8fd3aKt8Azs+QsXyFjzUgz glzmS32AzECkiwOtRp/xyx94Rubl/cR/QjugjQcz+c+ezk4VjzBsw0HTErajza0ujwJNEkrf7w41 cK83D7U/xkjqzXvFsIIxRDKyrjfGj4h7aQNfkyqG3p60Uu2sFREaZGZufbwUh+6zVJIr4Opw4aj8 j6hsTXdkP/DAz6MHHeo/6pYfYUJ7nLezVVLhfn5jikXnnymnGoqfO0ujpW6+SWTOdHLiP23drZdk L7fLYj5OTXS6GaFEt796nb5UdMXEKxJVnmNYB7IZujxTqGO+oyqzoFn5JkvLVIaFUH3jnlIj7HiQ rqcqjkwEFoXLpwpREmMpEFunsul+EzgBxJUf9oNimR4WgjhEIwBBl2dbwarvlF8UrWDUX6IEj7AS rp8PARpYYewLCw7j8bOJKeXylR6ptFT+jTz091WcI+JJ7WTOVDXNC3F50Czlcm9sWB4Q9nzTS7b9 sUaZ5oDqgf6rxDGL1TpyZ92Y2s/uRHRqJSDmMt8cDkjTZjwQw5aoD9D3fU1jL7sRdaD3GP4Am3Ya 7RuOZ3c+g/jmcWB8LGV/EcG1+RVGAsgSHmEUT6Dnv/3U/580uw+apnT6j4lx7dEUSJ6M6eib5ukG Xzml2FODQ+vJCYXx9+OznvBrq5j8zMOTfTlBHg3/KIAwr0BCg5IGNBZYVEI+VHGa/O9jG5hnZAz5 5Sxr11fjRi+Co1a6frU1YD4z0+Yl31dCdsDmwB/TktlYpCRyiXgb5GO6E5QMkp+giNeceQJPEGg2 73BXhzxTSTxH8wooH151vYTwYkMzsoMlAvsOaSXYeJtUAJss99z90hJ5zEULN+yCQlcesONOfOCQ lACvP0vq2ZLY497I05PSC7q9DHaJSAJ+59MRDEljVQ/aDuYiib5dg1Pn28lNR3dOjDmbvDgDwrPO 4jXSxy0nh+taHAIxNga59qDFiu7AdeO+AUnEBDuOzIuJxOpy55lRnjyjATNLoR8iGnNBTa5iR2Yi zJlUv4NcJc2fZF4dswjZIXw7O+MggxUyKjjdW36zmVwgi+GrvgHVK44QD8DL22B32QzNXMhC0hus OAJPxP8HE/F5MOZr/mvkwFWjfsBncs+HGCchrYFTXFqWONgmD2WcGSwz1lAF1tzY2YLBbB1q4sGx WYBdr3IoedFf2AHxP29GPV6f+TA6qePnOSvgToGU1NEMvxN+R+LY46sIji+H8ZAkIo8OxkP26N7A 0Jhu/zC3Sk1GKMu8zZg/6k/QRizGzvTcZYNCUFvHwDpUtggNp7qUbzR35KjAbNXhIc5s77R0TyrN zQ4h56OCcubx73xDDJgHiq6jQBc2e+2VcBMpIvXn7ZCg1gfbZa5v44U82BDBKY4goOKZyjyG8g2f 9w8K7Ge5kl7yAPfQ/TpLn1KS2e9wHonzC/vt11vJrRLUcojXjLiqKas5jXOf1B+LTysM92vzJqTd IgtHY0ZoVViMKB87OHCAioxjxIL4vLE4IGAYPKoB9yJL6uegWh/kb9zz+dfQdKDt+nzEnHeePFTq Zyx08rNz2NRoQTly+EjBG4SMN8yvhfEdtkthE9knE6u4adTIw2jEb76pASgmHIbJwKImiH/DV74R t//aLPMjgK+6oNJgUK/Aq3EpYlF1dQb6DDuhGIu286mtDEhyAjMiAzOEWDEz6HZkfijHH8yaXskf vV723XaUW+dMFCgbdycA9SfVX1pmxBIAKGm7lA+16IUv+T5Qo3rUY3l47Zw35HC80ApUarM9/2ye fZ7hlxH96umNMRALtmqoy7AOJu9INEFoge+rwA/a+95k+LppfLIrP1lGir8AjFWI2/oOOJN7Kp9Z PPJcQt53dZtMO1ktgLs9SxyP8dZlXNtueP2tmUH6o5oVpXpLKBPCmZFpRoa0kn1YgmHm8CDq9jRf 2KqaSAaenzQvTr2Wemc6d7IQpvfpnSERgoOHFtqqUhZlmJeE0oa7FdIdk5o0nX8QILK/w4MT7f99 OtwberV7GaFGh9IE0a47xhLMz+7YyEd6nacFtoj3mFw1EFQzYEtWGQHhSJxMuYFD4bVenD8ZmVNR 8UdhQc74wkhvCS4Vuu6VZAy5rRJXWIbwSPW6JHdw6dBTtF2gyuQueAIzJw3cgcyfiAYqIAPIlcLE Zuxp1vLWT3dF6vNb85sw0Uj1aTUAB0mE0wf8IrMD1a1OSRQmlOjDrFZ6ycymFWERVnEPnKPZs3Hi M0LnRAftiJhR6321ylO/+KwN6A6J8k8LrMhI8GfxHxQ= `protect end_protected
apache-2.0
e543b279b5816a61b08ac959c5acd2a3
0.951912
1.820386
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/3-ARF/asap-alap-random/arf_alap.vhd
1
2,701
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.14:37:37) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY arf_alap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END arf_alap_entity; ARCHITECTURE arf_alap_description OF arf_alap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register8: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; WHEN "00000010" => register1 := register2 + register1; register2 := register4 + register3; WHEN "00000011" => register1 := register1 + 6; register2 := register2 + 8; WHEN "00000100" => register3 := register1 * 10; register4 := register2 * 12; register1 := register1 * 14; register2 := register2 * 16; WHEN "00000101" => register3 := register4 + register3; register1 := register2 + register1; WHEN "00000110" => register2 := register3 * 18; register4 := register1 * 20; register5 := input5 * 21; register6 := input6 * 22; register3 := register3 * 24; register1 := register1 * 26; register7 := input7 * 27; register8 := input8 * 28; WHEN "00000111" => register2 := register4 + register2; register4 := register6 + register5; register1 := register1 + register3; register3 := register8 + register7; WHEN "00001000" => output1 <= register4 + register2; output2 <= register3 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END arf_alap_description;
gpl-3.0
810bda9071f6b2224d2d555f221af0f2
0.687153
3.380476
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/10-EPIC/asap-alap-random/epic_asap.vhd
1
4,684
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.16:16:48) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY epic_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6: IN unsigned(0 TO 3); output1, output2, output3, output4, output5, output6, output7, output8, output9: OUT unsigned(0 TO 4)); END epic_asap_entity; ARCHITECTURE epic_asap_description OF epic_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register12: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register13: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register14: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register15: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register16: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register17: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register18: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register19: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register20: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register21: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 - 1; register2 := input2 srl 2; register3 := input3 * 3; register4 := input4 + 4; register5 := input5 + 5; register6 := input6 - 6; WHEN "00000010" => register7 := register1 * 8; register8 := register1 - 10; register9 := register1 + 12; register10 := register1 - register5; register1 := register1 + register5; register11 := register2 srl 14; register3 := register3 + 16; register4 := register4 * 18; register5 := register5 * 20; register6 := register6 * 22; WHEN "00000011" => register7 := register7 + 24; register8 := register8 * 26; register9 := register9 * 28; register10 := register10 * 30; register1 := register1 * 32; register12 := register2 sll to_integer(register11); register13 := ((NOT register3) + 1) XOR register3; register4 := register4 + 36; register5 := register5 + 38; register6 := register6 + 40; WHEN "00000100" => register14 := ((NOT register7) + 1) XOR register7; register8 := register8 + 44; register9 := register9 + 46; register10 := register10 + 48; register1 := register1 + 50; register13 := register13 + 52; register15 := ((NOT register4) + 1) XOR register4; register16 := ((NOT register5) + 1) XOR register5; register17 := ((NOT register6) + 1) XOR register6; WHEN "00000101" => register14 := register2 - register14; register18 := ((NOT register8) + 1) XOR register8; register19 := ((NOT register9) + 1) XOR register9; register20 := ((NOT register10) + 1) XOR register10; register21 := ((NOT register1) + 1) XOR register1; output1 <= register3(0 TO 1) & register13(0 TO 2); register3 := register2 - register15; register12 := register16 - register12; register13 := register2 - register17; WHEN "00000110" => output2 <= register7(0 TO 1) & register14(0 TO 2); register7 := register11 + register18; register14 := register2 + register11 + register19; register15 := register2 + register11 + register20; register2 := register2 + register11 + register21; output3 <= register4(0 TO 1) & register3(0 TO 2); output4 <= register5(0 TO 1) & register12(0 TO 2); output5 <= register6(0 TO 1) & register13(0 TO 2); WHEN "00000111" => output6 <= register8(0 TO 1) & register7(0 TO 2); output7 <= register9(0 TO 1) & register14(0 TO 2); output8 <= register10(0 TO 1) & register15(0 TO 2); output9 <= register1(0 TO 1) & register2(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END epic_asap_description;
gpl-3.0
4cfbf397cf24d07b56f2057b56725746
0.663962
3.175593
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/carry_compare_ge.vhd
1
11,750
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ByUB6Dk7Nfi0ftE7oH8MSeUOLLMAjaU1IYq1X/U5tTalcyyhX77nhftW6lnlFA8/R49YeHWg3K43 k1HtOo3kkA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IGXS7tidOqWjhNTlkW+2FZDmnyJfKgSd5AgmvLl2GGTmZ5y1ljIAW7ETqjd7DDsJg7O5dbKpN+Nc gLmcVVEEkGpMqSQI1DKgdzraWXOic69wz6W8CLmyd80oqo3rdLL+fmH7Tzhg5MHxMWoRefLSuJG9 4G7chuU0HC20Usp+2VE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ehh4Q6TwP1lzD9DlS8CCEoPZoWVh9DyHmno5LKwYM3f7por/ANX/clZmMHTZDPe0nJ9x+7/cQK/W nzECv3ojDOTSR6Hco3EWEk28VTLNwZtXIeJbQuWKB0dbE93Gg0pV15dhAlwc7Ssz4xLlU27V7YuC vW2FV7bL+bEzMAeKCCDVL9jWDOUbnF8l1swWm6iFhYn/gbx13jf9y1ZlZyW0ADNhIrabdn0f1ZtV 7IUmCmhlffs7v+fAJSZ/8qn8ef24/K4RvPj1Z+6a9oXninN65g5RQ0EajPdyKPnBP0ZiKj1Wd5E8 A9WaimhCTXnGXuTy0UWGsb+nEDBmSzRR32yQFA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ka6qfJloBZ9fEKfqrkvrMnvSg3cBhRgEerlALmScDLQ1WWkKrG/ujl+PveoNS/AxPjGNahOUxqkN 0pF/nlkUgkRZDCZgzDeMYjO62Mpiew4T9hxF+8Dt/RyDxCQl55rBZWNx3nuQLi35mhxMpXVSg6dn sXqhbzmdYaJ82zt6gZo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UkUR43yvHGxldtSrMHTOGP3G6nlMBco7cGKp7A6iplrdU61OMKgX7DFzeZxgWOizgFHd5NImEqLH /hA2v09T3Os2YDD140iuEdcV1vnrJckIm0fc0UuDfrRF9m24YY/ks5sJk10hHkId3Mgo8qdqYr/t LGiC/z3UqDFordq7E1juMpXv0CJMhkzrh7dTLjeTgN1ekqzRgtkeK1cHj5UOlkHQD0uLiaD3fR83 oB4KcTkHSjIiYyrSYcLhSy4LFZhaOH0Hiv7CgQicnePaJ7C5cPUUoqaF2gjMdLPvHOwoYLzNytEN xVj44Gk7qGXHLTLWHC1dlNLnIUeX0VW339Ynqg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6960) `protect data_block kmBY9qiwSy3nL1b0t8RXmZ4sOlAnbAogTACbLMdtMSaQ+EQbiQGHbSR1e9bUtppsl0LHXBeGex2I n8T9O41F3z4XJG4hxENZ4wK6Y9YStCmLm1ftKCszTwqN90f/sTmSk8zhusq4iufCCxaXfQzIuW2X bt69TEPtEGiBBibbfn86i0XXrl5r4FFHb5tf+yUMzHRZOjyf2c7fIoQ+vblrYF3g3TQeMDwAMIDI Aus78O/4UJJdNGRLDq2M05F9d+osaIfjZQgzM9c8vbz+bUKYjdKdrZInYm2filIGhwptVecL/MDL 1h97+xvR9LBqbX+NRa/1Kxpm7HaNbyXY6wx5dzmnk6Sn7xQFt8Nx0wvAXIT2iyXX6KcjQrguRykW exZBD0bj78DK4ePGvtLRTbRY4+mfk7e+SBtEfhJS96UE5+LQ9a+DoPyd0yD5haRiUOeNBRi6Clwv HrW6dftyaXy+264Bg+i8ZeqZwol6SZ/BSnkJPLq9nDDi3P5DI//QsqD3iK+VZKKDkGPqTcHvw+E6 4deJI2prPtgeRx9l1IWkdVpNbqyTJ59eC7f7PvigOhtAbeGxDTKyM/oHJwDnCvBjEDOLS3edeJZc mYS7ylWJewi/luopwRGBMFKfc3ycGSlxugRgFaMPoTEyTvQIpng5bJzpT3PpOTglcOvu5cJYaSOA +5/53a5Kqh4cV17PEGJKodoU/eICArj/ZjQvRs0F5VqrZNzNqXZza9nROQUvCjT6w2quC/9EFMbQ 6o7/r58L8GBu4T3zsNY0d5U+C5nyU67vzaYVa+RfcITCcUjlByZ12YkfCvQnjclLlLC5DDwHlLgk xDsgzGT1PkTZdEHOB7evwTYzVRDnDVsCncE2nG2x9ZTxLOv+EXPtwCO90CdKDd9hvrAbFG4nm9AO QH0u6HF+K2+uGOr3v4Uf3RiZjJ+b/wTWhGCzP3I++M9jOrplQpj2zdo9dHRD1jBzPpvl3u01dZKE hq1nJaD4RNh3KhzGbtrbi1U0EpZ0P8oSMnO+6+U+LMkhrgG0DNU3ozyioTbt6ZRVfHsDEUXPAiPI 4v95HmlyLvr4Fdzop+ofD7sV+Ssv/efiNPSYpudgBhuBmf+0HYVl2e14BR3LvarklRnhYZSr/WpN ErDKyW/GApMPXzdbwhaHI8SlxqM/O5syAOfn5O+N02iyDrM4dNb4r0sl63gmxUYx0dUs1PwKuQcK NYGozJ6gfsVxJ83pSNOxrJuoQlnZqZR9yW4z7q9jSwjPYMMRlWBTkLwIBmNZH9t8QweNqZreymkk 1iRSywDMwayjoVGINsTb1xmZzFHYzewIGDmP2h44psN2cJFkqJvIgBhqjapsGsinfDN4Pyv9i0pD UAxRr/7H/t1VyWVcsZECP3bacjVNj8jGI1+gGjdy2ZST8Pf3/Otwk8Po3B2CsX/Nru6YHmgysvlY rt/2gC7fM9eiBq3zo6dApXsk76YxfpD5zAA1YfC7moc/SgLawnZjqzKUluI+fstkzn7nW2HPdSdr gCrE5/VDg1N1vDbvezuEHRXMkMoVX7LCXFz+AblCHi4zbxMUCSuNzfOUu9R9TB0kmLdZcSzm6aJv izPQULXrhsWAT1HLBwT8wnkJMJrGyOTCz6xuYCF8vawLc/H0kzbU2o4AmH3rb4pJyaVmPbBrSOL6 NxFfuu03JXmRNflGp4gAlgvdTRG2/HwdPIelN/AetOeABGAp7dEbzvICZiFklS/6LA5SnwDudgEd QIP820ADRBdvdp6B8cjbKnp3me8mW6BexRbPeS3fns7mYeTcJ2ouR6u7ftedF5v3QqLmqLWjNYjG B1L6LVlznCYwodBxoGNCGGHn2uzFrrjWzIBa8qdrznZTXKkjx45eV5/csVcD5Ej4j5YmKHcVVD8B PJJTXDOpyAz1heNMb/UFpAAfI6l0USfl3IdUHAoYYDdxK5vdAAxaf2IFtAq4Qq5fUAvHbuNpTnNJ nDaHybougAH5xV50/BIX0AhzkUNLBYkIgF9xu6dn7jCPYquKSXWwP6YHYCkz3mSLGLwaKGrBmt+L RE+1+rdXpZC6CeqryCicFK8Ya36AuksWjjya0qLS9m962qaeIlFf2vIdgX46YQpCtlGiATcnIS8Q axaHb7gCuEeVm5xjfq37gqKqG6S3xuVLe3T2cZAlpB6sytAKv9vz8rKFSn6FH2AtNsyOM69CKSte EzRIR2ah+MnOKgCLy381tVsbpa6E51KS5YntR/GTyBX4ztFwUyfeOE0RLPuQJmPlRyfjYhnHr5Fo 8hKzlNRTFVhb631kECzgRpEGLX+dYVgyYyXyEaBbFluLrKVI2t0k9L3koXHmztQ62G11PPt63x0C eROjXz1vAl+eUopwPCA1og3fwj49SedWkCwOWyVK+l4b0xhsbhSm03PYzE1SnaESNUMxu3pIKeRB DPvyWxrHlbZYFNW4i3zTnX3MH5Iaitb6SMn3R9l2Uu4Ixe25tQf3SK5q5zxT+OLg8y45k+6dN/2H 5jPm7BtEsPd03J4tIr657NSYBFoWvH+KP1PSwCrAeP7I8jvf9KDys18BXXqlFPFMFNiAxpYYIWYa 86fYScvzCGH+7akWIeYSIW8Ov4B2tbalTFm+tO5d8Of9yiUcwjzslli3fx/5jg/8iY5snsP9CctF WT+XANgGQbro/WFJbFuHjXwMr+byVid5DyDOkuc9yg8GQqTfN9xRXKaQRQBFueuUtQZkmJXPq/E/ /qANPA3G905W2NzzWFOlAd+BE0TsPwU5Eaz7SnN2jkDESClmyoeoeDRf8CLP3snUJHrVtK3ZSMoh RQO28MiH+p/wdOWzax89opGG2LPqHlKMriBotxgFnlors7gWw0O2nEQwIygE2e3gVgYUuTUcIhif 1JrKGICF0mC1OYuWR4lbBVszBMxRZBTe8p41pWZqw375jPowmQM5/3Pyv1ntWr4S53VbMvBOZgJy ojxPGZs0RZurxQ4OeTH1uO5ZmbV8uybf4MUN+FIhQURTKJKDSCmFuOqZXYOxPwUpdHFwXxp+ZRqU 8BglED7FV6YvBSvVhsSrTTjpBUkFZM303dJuExAQeamlK6/aEnJipIzL4undDYfqw3d33b6TAPKs u4WvWTSPW1Zsjgl8RUHkMBq8ku9fFgDuMR6oF25lqu/jlSaqCDnbIsSzvZxfUeztyzva/HlxM7z/ DpTumr5ske39ucdt1nxlXqU5ULLKfQFc8EuRiO5zSzOnlvr7eEm/SbvG/4bfNlZ7bwhn/DM7xmCG e6ZTS9BgqZbM/9hbOpgpR2HnfjV8wDMU4Q7WO4rkiWdO0qMa2JjWYR8Vx7YoqK66S1bt6Psl/exx 1Sy0fsZtog/4nHQdrLqpUSDz6lTz0SCPTH8SqBHuNHxovDAkZRo7wnS7jEviuw9OoDGpDe20fp4o LnnnLiMO4J4blVbXIuh9v1VMa90SIlxo0ygvlQEjzk1co7nEyyvxcHpV0WZQhJR1iTQQmbIlhqQs 1jLe0/76kmIVaPJdIV6/maNWTHtjGvsK9DULzxYMJTjV6VrYpuBh4biv9in1FOTEKZNJu/iNL0th C+GTxj8+qMuwYutonA24i5vz296hpqJv0346mwz/scJGRmm33rFJqQbmy4u2oQ6VSbh3cjo5YAA2 PItYgK2/7kf1K+3iKocXxJ/V93GZdQHA3pGmhMV4aWAUTB3OablNvNMMK54PceKHHFFQ5YEu6hJr CDX1Fnw9IV0WxNsVr2OFd3J1r00mk3zENwSb/b7S2UXNJSXrTbeZtpBnEfNbgYl17uGtIksvuL+P pWCnsRAM1UnlhQqDheLJh86KeaSHdwIwF5alVkcYzMlExOEFacpsE6+Ee/CEC1nBnL4irblCSj6r 3WKFe6GktSq+cOuq7OCU9HSZA6l3w9+5PO3icWh6FvM5dPgmkmE1zbnqyTMEf2xzPCbG1IFkPxV1 mNFg31DLcSnvjW+gPSaJNdSQvz4Xb37uAEHWUH2IFD4Hae9ZA16pAthUr+tG5HT7uoFB05szuamx Zgu2fQ/72X/Wi5xYH9CPcHidseT1CPwFRK29xGCpuhWkypUtnBkeumF2ZJJtYE5It6bB32hCbMui Sm9wymoCk+af0iQN7owPks9AAoxtmLzEus8oi/cmzgj54SdRtzzzeR8MaMV+XlrkMtW755rPHD42 HaX74QRbm0vSp/E1vwYyThfRi2PoQ093Ebvh+TeOgWN8gDP2t7aNhlpM/G+RQsaQ2cv5cQAB8m4f 8VaFgzTlS8qekmZa1S1a0eUUmLSuT2JpStRnxKIOq0XPhREkvgzhPLbWgcAZGB5gWLg7ww7mTe7i HSeJlIgODfinMUTbot3K7wvcEVjsfg3EH90+IoKdK1kGUrTrFwxX7twuPj0d+q2dWpN9/jcQmkS1 56wYXX6GjFXRw2FkQ/8RdneouuPPSHfgfXbUT+lOWndUx2jVSsh8qGaG14gpNHvSDqhStmfDxLyE luXiBAhTVds8kgKprWibCXk7FQNbIN0CWvmu6x0xJqi2zfCzkVuRFzy+KiMWXUEgl8N8EwwA63vP OQp1YRTU0GSLGb9pRIzybhPhIlqcLg/uF5rRakE1iLlLcBH8ad0ENxnZ1ZM5oNFsk+j7tljtGrCg awIl7GgFpcqkHrfzT+TuBBsHzcrdFTlzv+JKo1511gVxxcYlQVq2KVhTlyKRfUcQ4YzYTeHRDb2V CGVkQ9oRgFZnYx0ApE/leNKanigW1a4UWDIuB0NtWQE67izu/vuqJgz60nR7aev4ZswbxNIUy8jp cmOQflzpE/x+N41QYU7ykqg1gf8dQCIK+FymmrBpOMH5ZWehW4W8H8f4LIV8ntVVszTBPc7e1Z7T LYLcjKIOMbRkNLFcoRfAadwb+hdeX5LxjZ8pju4Nf7tCg6w6spQ97f7IwSzbGCKED/yldSmbeeOT TyQKmfeZ2W++Cmc4X+B0JWZ0g6a6W9+dq+Ogd/wrkn52G+lYu9lW+JKv+JOeDP5FNr/k3B97GsYl c0LqtFg3S40ECiytxgXGO1sQGFmAuEIaTz/EOe254fxNpyyUOEXkIVpr/KXqOZW+2TkvEG3kX2Ax aPgAFVzXvrdierfV9dP5UT9fi+dYldGo45V7a3qwJZypBADVHAesHL3qa+d4vh49Ewwm2nYKe3rH TnVl2LK93pD1coT0haENyi880Zwg+PKPSOo5Z0vLtGc/mucd99pUgACj/HiFH7ZGp/mNvzxyXyu/ SAq5v1vZHG38KLy260hLafePElGUgUDKrqCx8zM93fusepM8vgd///Ytq8cPJBlvaEfYquwYijf3 R2dE3UhpX/0+9ss3GiTmLvcx6Uw3CilXeKrU7JLHqW2iOuOQ1tn8eZVv6wu7m3kWKV/yZ2C67PA/ 8TQ+QUm6tZ3wfAbIQ3VYqtpHfHOP6DDJN87GbbvwdodR1fyTQxSUbwtcTwmO1I80cbSqUipXJUf2 BGk/JvmbntyWDqiBk6Ape+TilgubsCKNamd1UkdcW4DJ2ZFg/bBhESwvpwiBXE2NrUtss/Sf5x+j LMhXKt8/1EHmZVfijRfEbJrqWtErlokQ3zPMMXOn+2W2QpxEEwVgpDgYUlg+lnx1QLLghGN1GUWP YLqEh+PLEgDLbAVj3/uUjmDJh3amb9ZtBeseb13CVsGW22sRkGA8gxC9rIrVK94P3UJBtuZcdAJy daCyaQKSsoLvwIuNIkaPpQ/w991z+5wTROXQFV3+WF9CgjOYwK/btfMw4W39w0FgPke5nhEFvshq mzXGFJiOZ0uyN94xJ8WkZtXoBL72/nTYf3IjvFgH0TTfQwpT3ibpE40PN6uPB/cWe/HokhOiDP6F KFuo0pc6I5/+rF9DIDckoCW8uOm7O9uAhVOS2XASDrU2ixyo7y1VSNEBfqS+dxIjCZamsO9KvTxY gkclLbKdXqoqDX1bfkWNoqp/zWihQfWy3cURcXg6Fuu2n5aQzxwpZt2h4wTYAUhCuHnQSZoqFKgM XA0YYrhOzRfSDO3zVib7iMFJoK2PTb+oheK4DxlI7RgG5/0gYexWuTyoZeXh7LwDCrivIW0DyqU/ imbVniZKx35CPtAPnwWTkAB5oeqLCHB5Q/caU/DStKYzW9zERNtt+823mEPTvRbF7l/u8HwkZN6k RuiyY9yNDlncYWkn7adwKZIJg7l1Ph+pkBi065w6s59hRlINT5irVHjH1heoCbTNNbxEWFIyuLJS rH9RHz09V6yN6XmSW4Aofa5TqvjFmLMBoq2hjqKX7JFMpKEttuORFc55DR1qKSVWQX8Ef2rIaB+9 OlYQ2K+lq8o7YCfZ3VOL0WxDrY5AQMTmyTzVH05y4LhvEwJOxd7OgpQTTSItFyciTzguNF89XX9C mH+1BpduaNVOmEGCtMQPr+kz6pgY6G5WDZt74e2sWBTCdlMI3/rXpxnEeBjOAF5VURPYv6p/Oe3v nqIp2Vy530xUjdXvimKcFnd2kW9tnT7QEoug+n8QABh+j6pubtw2ho506cdvCWJWh7bO4rcwxj8W nZ/RWIilpTSfz45DYaGSi9varG6kKRcmB6io5Ama7Nu5zykqYDD//0rsPyrytQ/rJ6tu+l0ovoO0 KwEpLXqX7r35IHodFAXy0CNe5wiXgZoI4gZ9z9RgZkFTmKRvfO4oC+s+DhZJhIo6OM8QSGU8z8f4 hJ0VcvEDC20XW31ecYAIYVn2em1NYRuLJ4OlNYQkqddpxiulHrndP15kbmPEAm9RK3JKZWvgSfkV vF/Ru7LJ6Y4hqvC0DEbOzpVEyHboEKhJLViWoRGzljGnhE13hi5L53n62dzwVKbAQR1H0WDw0kmE Vknht4OdrgfENPYDy9MUUgN05Z/w8XIpUP1OS4V1hp4zIMgydrWv8WPVwEBWbOZcPUHheH27xIu0 uM2dsGHxJYu6KkgLxYjaQ1jq9qz2oFZMTIU7uhGBbOvFMvHyi26UpKL32tlLTbUoA3nYF+lnM9q0 cfZV23ABqz0Ja9RTEmHRnxYmELGPrVal4TvAolBa/yhf1SjmE8Wra1HC85Mh3Qdbsb1qS2Z1X1zj DlMxKEOXX2YpOSsoO9MsV3d7dLSGj3cP6mazyZMj1A9DlhvAPw5lOVI9aiqdNceWXiSZmtx/lRnT H9VE7nAIxgXnkIYcuzwOdl+tYe5qsx3tjRsg2ujVyCZRQzEvMOebOv7fy4gmNWDC6zHOs/az9cjR Nz0GSKE0evHNS1KKGSFof+DbFL9uNDLUjQ/mzBIcVSP+aLvvH/h08nEuJvy+x5mnHGS4eWuUsURm ZMIbhJyFAPSaLaQlVmChG3yCFELWLVsYOE9A0c4PFWOnp/0c9dtoJc+InLR9pO7LpCsb6pzlCPJR 5LwQ0KgOwDkNXfKtyyPUMykMrIgzXrVt5rRMdAPoNTKknjgrt+ByH3ZAfmHZckFXDiWSTUl81V+X zfQjYV+luaT87brlGA1Mzk+OUvIp0PuaH18DUDs8Mi+PA9zIPTUoELYQHwscCv+3RsZ/i//Tcv0l 6oPa/yhyaXyHU8VbgkbyqobeqULNZ1wbLoQyrhUC0dOITCNk1vW6bLAm0QJ9f0NQ0xIa3l0wa2d9 XQGmpdWKrq7Bxce4gyaqYT47Kqhs4x2yvIptcIxVcwSAlkTM2V15O/AmxHTHVeFwz2SUK8MTfnjq F6GiC/xUMs6i5BX/whFKdAZGlzihbStmg3YWrAIfjGsxZHS2p+0HKbn+nuFRQ96djCrorGYiCrTG p74i7TzAwmqSx0KZa0Y8Va3ttts5i0xdePnIdS2FiCE537jHQXlbtMmtpaJYG9l4CxjW9FqU11wg M2pVvGhWtdADQSBbd+MjoPBSOpQ3RyDvhCiI1sctVi2een+RWIINl85VpSzb474ZfySWZX78JfG0 lGYJL3iaMLw9kA6hKbMdj38N2vsvLBinp3mNPbuBnemc2hGkG7ckUWjeNQH1l6rHvbu+ILDdixXU HEIpNjabqVtE55nmisKlegE9SH6J7HL0fMSdkMWguK2RtEY6Ty/Hj3Oc3M3pReZQezyIfi0GnHET vvbyNaTJhNOuraIELl9hhS1Ipu7iyQTs5K4oPcXpjHvjkSu6xQkmd5yYRb25g+mTmgpJK7UyAx/x F67bLz37X36KKcskNVlgcOAjegDBPB1ZvYIzC+Hvcmgy8E9XqTcklvXnpfz4liMrrI0RIuNH3iR2 krNFBxc2jEBJJIy2Qh27UqL8IrYSd1vdU9jjVvd85ADLTgt7LB07jl+3zytS9eynUVv5509SB9JL DpAhGzt0rW+gVuKqGXHAb78LCyesuHmwfkIcyt6EB3YN4aHpzqo1B+8bR9pXWaTnlTjNfMztKIcQ 0XNU1Dj545NJ7aHKhb+l490tJiZT1aEuVZpFok44GSU7BFYrMvRun1Of/ugmrHhiI+ivL5Gxe2Jl V4IC1GQh/ECu4Ijvo4UqeLMZewo6gjw3oiqVKotFLDGNXyfbWmoIqySzJ8v4qZc8xHYa3pGe6JB0 qaUmLWhB1zNsj7G/NuIWjuK0KfXzsjWQPh1fUBCx8PNet1WNS7gQmfcVLwjDO8pe/h9xBNWKnzGq I9pXBoQrtfE+caaD1nuaFu5dLJWsVBXLHsWWXeNh/M2PVN+jQ5Watgg9dQhrnaAxTMOlXdsjGd+O Z4HfczpcKJFJE6+NAx/RtiomTP/A4PD5Gpy8Qm/uW6P7gOWfj8osSpcHxgjpFxN3aQIhmU3s7DyV PAvhaHP7oK1DEmW9mRV0JgiUcRKhtRuo0MKchtUM5xNj1Yhv0WJuKlYFqtK+ixwM7XXT4OXTTYzx XXpEkKKmQQ8vydrjC1pa0a6NFuv/ZtV4E0soJZXEi6YbFiGhFgudAKsIk17sSZpMTGO6A4URLD06 iB9XlWpumkg9ptnhXxpyWM6oG0T8AjEtLfLu1SIX1lqDZoWnjYzpXDjFE/li5e6mCLgNmhrMam4T Um6YcnQzeJ91AsYQEuYFx4hGY/X1mQnIEZfQ0rBlf0q/s38mLX5maelt2aGPqy0EIMwHf5BEsEPs m95wbIugVWsg3XxGXny2wujDUXkgt+TqNy/StjTTHSITTQ3YiNx6gl+BRm9agoGcTm1vZJmLdK0r xikxajzT6u3MsdyoqtoAeGqj9YvJQCxfbHatXka1l2N+Tu8DHZyYljDdphyq1nC4XZwPBam4ix+H /aSvoHPQBrz7dkA0LStIm3vnXQC5PUsdOtV/vUTwlRGbk8leMuxp4r6XZgpwpIFwJrbHlKF4xRhw 1N0Y9Zg4 `protect end_protected
apache-2.0
a49ef763b8fef07f79c837fb1d54cd51
0.930383
1.89455
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/1-HAL/asap-alap-random/hal_asap.vhd
1
1,668
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.08:57:10) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END hal_asap_entity; ARCHITECTURE hal_asap_description OF hal_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; register5 := input5 * 5; WHEN "00000010" => IF (register1 < 6) THEN output1 <= register1; ELSE output1 <= "00110"; END IF; register1 := register2 * register3; register2 := register4 * 8; output2 <= register5 + 9; WHEN "00000011" => register1 := register1 - 11; WHEN "00000100" => output3 <= register1 - register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_asap_description;
gpl-3.0
e617851bd10b9f99e93f978cba12e378
0.661871
3.005405
false
false
false
false
freecores/twofish
vhdl/twofish_ecb_tbl_testbench_256bits.vhd
1
10,688
-- Twofish_ecb_tbl_testbench_256bits.vhd -- Copyright (C) 2006 Spyros Ninos -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this library; see the file COPYING. If not, write to: -- -- Free Software Foundation -- 59 Temple Place - Suite 330 -- Boston, MA 02111-1307, USA. -- -- description : this file is the testbench for the TABLES KAT of the twofish cipher with 192 bit key -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use ieee.std_logic_arith.all; use std.textio.all; entity tbl_testbench256 is end tbl_testbench256; architecture tbl_encryption256_testbench_arch of tbl_testbench256 is component reg128 port ( in_reg128 : in std_logic_vector(127 downto 0); out_reg128 : out std_logic_vector(127 downto 0); enable_reg128, reset_reg128, clk_reg128 : in std_logic ); end component; component twofish_keysched256 port ( odd_in_tk256, even_in_tk256 : in std_logic_vector(7 downto 0); in_key_tk256 : in std_logic_vector(255 downto 0); out_key_up_tk256, out_key_down_tk256 : out std_logic_vector(31 downto 0) ); end component; component twofish_whit_keysched256 port ( in_key_twk256 : in std_logic_vector(255 downto 0); out_K0_twk256, out_K1_twk256, out_K2_twk256, out_K3_twk256, out_K4_twk256, out_K5_twk256, out_K6_twk256, out_K7_twk256 : out std_logic_vector(31 downto 0) ); end component; component twofish_encryption_round256 port ( in1_ter256, in2_ter256, in3_ter256, in4_ter256, in_Sfirst_ter256, in_Ssecond_ter256, in_Sthird_ter256, in_Sfourth_ter256, in_key_up_ter256, in_key_down_ter256 : in std_logic_vector(31 downto 0); out1_ter256, out2_ter256, out3_ter256, out4_ter256 : out std_logic_vector(31 downto 0) ); end component; component twofish_data_input port ( in_tdi : in std_logic_vector(127 downto 0); out_tdi : out std_logic_vector(127 downto 0) ); end component; component twofish_data_output port ( in_tdo : in std_logic_vector(127 downto 0); out_tdo : out std_logic_vector(127 downto 0) ); end component; component demux128 port ( in_demux128 : in std_logic_vector(127 downto 0); out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0); selection_demux128 : in std_logic ); end component; component mux128 port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0); selection_mux128 : in std_logic; out_mux128 : out std_logic_vector(127 downto 0) ); end component; component twofish_S256 port ( in_key_ts256 : in std_logic_vector(255 downto 0); out_Sfirst_ts256, out_Ssecond_ts256, out_Sthird_ts256, out_Sfourth_ts256 : out std_logic_vector(31 downto 0) ); end component; FILE input_file : text is in "twofish_ecb_tbl_testvalues_256bits.txt"; FILE output_file : text is out "twofish_ecb_tbl_256bits_results.txt"; -- we create the functions that transform a number to text -- transforming a signle digit to a character function digit_to_char(number : integer range 0 to 9) return character is begin case number is when 0 => return '0'; when 1 => return '1'; when 2 => return '2'; when 3 => return '3'; when 4 => return '4'; when 5 => return '5'; when 6 => return '6'; when 7 => return '7'; when 8 => return '8'; when 9 => return '9'; end case; end; -- transforming multi-digit number to text function to_text(int_number : integer range 1 to 50) return string is variable our_text : string (1 to 3) := (others => ' '); variable hundreds, tens, ones : integer range 0 to 9; begin ones := int_number mod 10; tens := ((int_number mod 100) - ones) / 10; hundreds := (int_number - (int_number mod 100)) / 100; our_text(1) := digit_to_char(hundreds); our_text(2) := digit_to_char(tens); our_text(3) := digit_to_char(ones); return our_text; end; signal odd_number, even_number : std_logic_vector(7 downto 0); signal input_data, output_data, to_encr_reg128, from_tdi_to_xors, to_output_whit_xors, from_xors_to_tdo, to_mux, to_demux, from_input_whit_xors, to_round, to_input_mux : std_logic_vector(127 downto 0) ; signal twofish_key : std_logic_vector(255 downto 0); signal key_up, key_down, Sfirst, Ssecond, Sthird, Sfourth, from_xor0, from_xor1, from_xor2, from_xor3, K0,K1,K2,K3, K4,K5,K6,K7 : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal mux_selection : std_logic := '0'; signal demux_selection: std_logic := '0'; signal enable_encr_reg : std_logic := '0'; signal reset : std_logic := '0'; signal enable_round_reg : std_logic := '0'; -- begin the testbench arch description begin -- getting data to encrypt data_input: twofish_data_input port map ( in_tdi => input_data, out_tdi => from_tdi_to_xors ); -- producing whitening keys K0..7 the_whitening_step: twofish_whit_keysched256 port map ( in_key_twk256 => twofish_key, out_K0_twk256 => K0, out_K1_twk256 => K1, out_K2_twk256 => K2, out_K3_twk256 => K3, out_K4_twk256 => K4, out_K5_twk256 => K5, out_K6_twk256 => K6, out_K7_twk256 => K7 ); -- performing the input whitening XORs from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96); from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64); from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32); from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0); from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3; round_reg: reg128 port map ( in_reg128 => from_input_whit_xors, out_reg128 => to_input_mux, enable_reg128 => enable_round_reg, reset_reg128 => reset, clk_reg128 => clk ); input_mux: mux128 port map ( in1_mux128 => to_input_mux, in2_mux128 => to_mux, out_mux128 => to_round, selection_mux128 => mux_selection ); -- creating a round the_keysched_of_the_round: twofish_keysched256 port map ( odd_in_tk256 => odd_number, even_in_tk256 => even_number, in_key_tk256 => twofish_key, out_key_up_tk256 => key_up, out_key_down_tk256 => key_down ); producing_the_Skeys: twofish_S256 port map ( in_key_ts256 => twofish_key, out_Sfirst_ts256 => Sfirst, out_Ssecond_ts256 => Ssecond, out_Sthird_ts256 => Sthird, out_Sfourth_ts256 => Sfourth ); the_encryption_circuit: twofish_encryption_round256 port map ( in1_ter256 => to_round(127 downto 96), in2_ter256 => to_round(95 downto 64), in3_ter256 => to_round(63 downto 32), in4_ter256 => to_round(31 downto 0), in_Sfirst_ter256 => Sfirst, in_Ssecond_ter256 => Ssecond, in_Sthird_ter256 => Sthird, in_Sfourth_ter256 => Sfourth, in_key_up_ter256 => key_up, in_key_down_ter256 => key_down, out1_ter256 => to_encr_reg128(127 downto 96), out2_ter256 => to_encr_reg128(95 downto 64), out3_ter256 => to_encr_reg128(63 downto 32), out4_ter256 => to_encr_reg128(31 downto 0) ); encr_reg: reg128 port map ( in_reg128 => to_encr_reg128, out_reg128 => to_demux, enable_reg128 => enable_encr_reg, reset_reg128 => reset, clk_reg128 => clk ); output_demux: demux128 port map ( in_demux128 => to_demux, out1_demux128 => to_output_whit_xors, out2_demux128 => to_mux, selection_demux128 => demux_selection ); -- don't forget the last swap !!! from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32); from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0); from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96); from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64); taking_the_output: twofish_data_output port map ( in_tdo => from_xors_to_tdo, out_tdo => output_data ); -- we create the clock clk <= not clk after 50 ns; -- period 100 ns tbl_proc: process variable key_f, -- key input from file pt_f, -- plaintext from file ct_f : line; -- ciphertext from file variable pt_v , -- plaintext vector ct_v : std_logic_vector(127 downto 0); -- ciphertext vector variable key_v : std_logic_vector(255 downto 0); -- key vector input variable counter : integer range 1 to 50 := 1; variable round : integer range 0 to 16 := 0; begin while not endfile(input_file) loop readline(input_file, key_f); readline(input_file, pt_f); readline(input_file,ct_f); hread(key_f,key_v); hread(pt_f,pt_v); hread(ct_f,ct_v); twofish_key <= key_v; input_data <= pt_v; wait for 25 ns; reset <= '1'; wait for 50 ns; reset <= '0'; mux_selection <= '0'; demux_selection <= '1'; enable_encr_reg <= '0'; enable_round_reg <= '0'; wait for 50 ns; enable_round_reg <= '1'; wait for 50 ns; enable_round_reg <= '0'; -- the first round even_number <= "00001000"; -- 8 odd_number <= "00001001"; -- 9 wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; demux_selection <= '1'; mux_selection <= '1'; -- the rest 15 rounds for round in 1 to 15 loop even_number <= conv_std_logic_vector(((round*2)+8), 8); odd_number <= conv_std_logic_vector(((round*2)+9), 8); wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; end loop; -- taking final results demux_selection <= '0'; wait for 25 ns; assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure; assert (ct_v /= output_data) report "Encryption I=" & to_text(counter) &" OK" severity note; counter := counter+1; hwrite(pt_f,input_data); hwrite(ct_f,output_data); hwrite(key_f,key_v); writeline(output_file,key_f); writeline(output_file,pt_f); writeline(output_file,ct_f); end loop; assert false report "***** Tables Known Answer Test with 256 bits key size ended succesfully! :) *****" severity failure; end process tbl_proc; end tbl_encryption256_testbench_arch;
gpl-2.0
fa154af0757755f4431003f9f6014655
0.648578
2.68004
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/2-MESA-HB/metaheurísticas/mesahb_ibea.vhd
1
2,028
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:17:04) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_ibea_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2: OUT unsigned(0 TO 31)); END mesahb_ibea_entity; ARCHITECTURE mesahb_ibea_description OF mesahb_ibea_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; output1 <= input2 + 2; WHEN "00000010" => register1 := register1 + 4; register2 := input3 * 5; WHEN "00000011" => register1 := register1 * 7; register2 := register2 + 9; WHEN "00000100" => register1 := register1 + 11; register2 := ((NOT register2) + 1) XOR register2; register3 := input4 * 14; WHEN "00000101" => register2 := register3 * register2; register1 := ((NOT register1) + 1) XOR register1; WHEN "00000110" => register1 := register1 * 18; WHEN "00000111" => register3 := input5 * 19; register1 := register1 + register2; WHEN "00001000" => register2 := register3 + 21; WHEN "00001001" => register2 := register2 * 23; WHEN "00001010" => register2 := register2 + 25; WHEN "00001011" => output2 <= register1(0 TO 14) & register2(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_ibea_description;
gpl-3.0
efea89b4ea44b749bb1a622c4a2f23b5
0.666667
3.158879
false
false
false
false
rhexsel/xinu-cMIPS
vhdl/packageWires.vhd
2
17,392
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library std; use std.textio.all; package p_WIRES is attribute BUFFERED : string; -- this signal needs high drive attribute ASYNC_SET_RESET : boolean; -- use asynchronous set+reset attribute ROM_BLOCK : string; -- tell synthesis this is a ROM attribute RAM_BLOCK : string; -- tell synthesis this is a RAM attribute FSM_STATE : string; -- type of state encoding attribute FSM_COMPLETE : boolean; -- keep states with clause others attribute CLOCK_SIGNAL : string; attribute ENUM_ENCODING : string; attribute COMBINATIONAL : boolean; -- process is combinational -- Attribute "safe" implements a safe state machine. -- This is a state machine that can recover from an -- illegal state (by returning to the reset state). attribute SYN_ENCODING : string; -- attribute SYN_ENCODING of state_type : type is "safe"; subtype reg2 is std_logic_vector(1 downto 0); subtype reg3 is std_logic_vector(2 downto 0); subtype reg4 is std_logic_vector(3 downto 0); subtype reg5 is std_logic_vector(4 downto 0); subtype reg6 is std_logic_vector(5 downto 0); subtype reg7 is std_logic_vector(6 downto 0); subtype reg8 is std_logic_vector(7 downto 0); subtype reg9 is std_logic_vector(8 downto 0); subtype reg10 is std_logic_vector(9 downto 0); subtype reg11 is std_logic_vector(10 downto 0); subtype reg12 is std_logic_vector(11 downto 0); subtype reg13 is std_logic_vector(12 downto 0); subtype reg16 is std_logic_vector(15 downto 0); subtype reg17 is std_logic_vector(16 downto 0); subtype reg18 is std_logic_vector(17 downto 0); subtype reg19 is std_logic_vector(18 downto 0); subtype reg20 is std_logic_vector(19 downto 0); subtype reg21 is std_logic_vector(20 downto 0); subtype reg23 is std_logic_vector(22 downto 0); subtype reg24 is std_logic_vector(23 downto 0); subtype reg26 is std_logic_vector(25 downto 0); subtype reg28 is std_logic_vector(27 downto 0); subtype reg30 is std_logic_vector(29 downto 0); subtype reg31 is std_logic_vector(30 downto 0); subtype reg32 is std_logic_vector(31 downto 0); subtype reg33 is std_logic_vector(32 downto 0); subtype reg64 is std_logic_vector(63 downto 0); constant YES : std_logic := '1'; constant NO : std_logic := '0'; constant ONE : std_logic := '1'; constant ZERO : std_logic := '0'; constant HI : std_logic := '1'; constant LO : std_logic := '0'; constant CLOCK_PER : time := 20 ns; -- DO NOT change (textual) format of these four lines constant NUM_MAX_W_STS : integer := 1; constant ROM_WAIT_STATES: integer := 0; -- num additional wait states constant RAM_WAIT_STATES: integer := 0; -- num additional wait states constant IO_WAIT_STATES : integer := 0; -- num additional wait states subtype max_wait_states is integer range 0 to NUM_MAX_W_STS; type t_alu_fun is (opNOP, opSLL, opSLLV, opSRL, opSRA, opSRLV, opSRAV, opMOVZ, opMOVN, opMFHI, opMTHI, opMFLO, opMTLO, opMULT, opMULTU, opDIV, opDIVU, opMUL, opADD, opADDU, opSUB, opSUBU, opAND, opOR, opXOR, opNOR, opSLT, opSLTU, opLUI, opSPC, opSWAP, opEXT, opINS, opSEB, opSEH, trGEQ, trGEU, trLTH, trLTU, trEQU, trNEQ, trNOP, invalid_op); attribute ENUM_ENCODING of t_alu_fun : type is "000000 000001 000010 000011 000100 000101 000110 000111 001000 001001 001010 001011 001100 001101 001110 001111 010000 010001 010010 010011 010100 010101 010110 010111 011000 011001 011010 011011 011100 011101 011110 011111 100000 100001 100010 100011 100100 100101 100110 100111 101000 101001 101010"; type instr_type is (iALU,ADD,ADDU,SUB,SUBU,iAND,iOR,iXOR,iNOR, --8 RIMM,BLTZ,BGEZ, BLTZAL,BGEZAL, -- 13 J, JAL, BEQ,BNE,BLEZ,BGTZ, -- 19 ADDI,ADDIU, ANDI,ORI,XORI,LUI, -- 25 COP0, SPEC3, -- 27 SLT,SLTU,SLTI,SLTIU, -- 31 LB,LH,LWL,LW,LBU,LHU,LWR, -- 38 SB,SH,SWL,SW,SWR, LL, SC, -- 45 iSLL,iSRL,iSRA,SLLV,SRLV,SRAV, -- 51 JR,JALR, -- 53 MOVZ,MOVN, -- 55 MFHI,MTHI,MFLO,MTLO, MULT,MULTU,DIV,DIVU, -- 63 BREAK, SYSCALL, NOP, TEQ,TNE, TEQI,TNEI, -- 70 TLT,TLTU,TLTI,TLTIU, TGE,TGEU,TGEI,TGEIU, -- 78 SPEC2, NIL,invalid_instr); -- 81 attribute ENUM_ENCODING of instr_type : type is "0000000 0000001 0000010 0000011 0000100 0000101 0000110 0000111 0001000 0001001 0001010 0001011 0001100 0001101 0001110 0001111 0010000 0010001 0010010 0010011 0010100 0010101 0010110 0010111 0011000 0011001 0011010 0011011 0011100 0011101 0011110 0011111 0100000 0100001 0100010 0100011 0100100 0100101 0100110 0100111 0101000 0101001 0101010 0101011 0101100 0101101 0101110 0101111 0110000 0110001 0110010 0110011 0110100 0110101 0110110 0110111 0111000 0111001 0111010 0111011 0111100 0111101 0111110 0111111 1000000 1000001 1000010 1000011 1000100 1000101 1000110 1000111 1001000 1001001 1001010 1001011 1001100 1001101 1001110 1001111 1010000 1010001"; -- 1010010 1010011 1010100 1010101 1010110 1010111 -- 1011000 1011001 1011010 1011011 1011100 1011101 1011110 1011111 constant NULL_INSTRUCTION : reg32 := x"fc000000"; -- opcode = 63 -- comparison type: ltz,gez type t_comparison is (cNOP,cEQU,cNEQ,cLTZ,cLEZ,cGTZ,cGEZ,cOTH, cSUB,cSLT,cSUBU,cSLTU,tGEQ,tGEU,tLTH,tLTU,tEQU,tNEQ); type t_control_type is record aVal: std_logic; -- addressValid, enable data-mem=0 wmem: std_logic; -- READ=1/WRITE=0 in/to memory i: instr_type; -- instruction wreg: std_logic; -- register write=0 selB: std_logic; -- B ULA input, reg=0 ext=1 fun: std_logic; -- check function_field=1 oper: t_alu_fun; -- ULA operation muxC: reg3; -- select result mem=0 ula=1 jr=2 pc+8=3 c_sel: reg2; -- select destination reg RD=0 RT=1 31=2 extS: std_logic; -- sign-extend=1, zero-ext=0 PCsel: reg2; -- PCmux 0=PC+4 1=beq 2=j 3=jr br_t: t_comparison; -- branch/trap comparison type excp: reg2; -- stage with exception 0=no,1=rf,2=ex,3=mm end record; type t_control_mem is array (0 to 63) of t_control_type; type t_function_type is record i: instr_type; -- instruction wreg: std_logic; -- register write=0 selB: std_logic; -- B ULA input, reg=0 ext=1 oper: t_alu_fun; -- ULA operation muxC: reg3; -- select result mem=0 ula=1 jr=2 pc+8=3 trap: std_logic; -- trap on compare move: std_logic; -- conditional move sync: std_logic; -- synch the memory hierarchy PCsel: reg2; -- PCmux 0=PC+4 1=beq 2=j 3=jr excp: reg2; -- stage with exception 0=no,1=rf,2=ex,3=mm end record; type t_function_mem is array (0 to 63) of t_function_type; type t_rimm_type is record i: instr_type; -- instruction wreg: std_logic; -- register write=0 selB: std_logic; -- B ULA input, reg=0 ext=1 br_t: t_comparison; -- branch/trap comparison type muxC: reg3; -- select result mem=0 ula=1 jr=2 *al(pc+8)=3 c_sel: reg2; -- select destination reg RD=0 RT=1 31=2 trap: std_logic; -- trap on compare PCsel: reg2; -- PCmux 0=PC+4 1=beq 2=j 3=jr excp: reg2; -- stage with exception 0=no,1=rf,2=ex,3=mm end record; type t_rimm_mem is array (0 to 31) of t_rimm_type; -- type for floating point numbers: 'good' number, infinity, NaN, zero type FP_type is (fp_is_good, fp_is_inf, fp_is_NaN, fp_is_zero); function log2_ceil(n: natural) return natural; function CONVERT_BOOLEAN(b: in boolean) return std_logic; function CONVERT_STRING(s: in string) return std_logic_vector; function SL2STR(s: in std_logic) return string; function SLV2STR(s: in std_logic_vector) return string; function SLV32HEX(w: in std_logic_vector(31 downto 0)) return string; function BOOL2SL(b: in boolean) return std_logic; function SL2BOOL(s: in std_logic) return boolean; function SLV2ASCII(s: std_logic_vector(7 downto 0)) return character; function SH_LEFT (inp: std_logic_vector; num_bits: integer) return std_logic_vector; function SH_RIGHT(inp : std_logic_vector; num_bits : integer) return std_logic_vector; end p_WIRES; package body p_WIRES is -- --------------------------------------------------------- -- find minimum number of bits required to -- represent N as an unsigned binary number function log2_ceil(n: natural) return natural is begin if n < 2 then return 0; else return 1 + log2_ceil(n/2); end if; end; -- --------------------------------------------------------- -- --------------------------------------------------------- -- shift LEFT a std_logic_vector by num_bits positions function SH_LEFT(inp : std_logic_vector; num_bits : integer) return std_logic_vector is constant zeros : std_logic_vector(num_bits-1 downto 0) := (others => '0'); begin return inp(inp'high-num_bits downto inp'low) & zeros; end function; -- --------------------------------------------------------- -- --------------------------------------------------------- -- shift RIGHT a std_logic_vector by num_bits positions function SH_RIGHT(inp : std_logic_vector; num_bits : integer) return std_logic_vector is constant zeros : std_logic_vector(num_bits-1 downto 0) := (others => '0'); begin return zeros & inp(inp'high downto inp'low+num_bits); end function; -- --------------------------------------------------------- -- --------------------------------------------------------- -- convert boolean to std_logic function CONVERT_BOOLEAN(b: in boolean) return std_logic is variable result : std_logic; begin if b then result := '1'; else result := '0'; end if; return result; end CONVERT_BOOLEAN; -- --------------------------------------------------------- -- --------------------------------------------------------- -- convert string to std_logic_vector function CONVERT_STRING(s: in string) return std_logic_vector is variable result : std_logic_vector(s'range); begin for i in s'range loop if s(i) = '0' then result(i) := '0'; elsif s(i) = '1' then result(i) := '1'; elsif s(i) = 'x' then result(i) := 'X'; else result(i) := 'Z'; end if; end loop; return result; end CONVERT_STRING; -- --------------------------------------------------------- -- --------------------------------------------------------- -- convert std_logic literal to a string, for debugging function SL2STR(s: in std_logic) return string is variable stmp : string(1 downto 1); begin case s is when 'U' => stmp(1) := 'u'; when 'X' => stmp(1) := 'x'; when '1' => stmp(1) := '1'; when '0' => stmp(1) := '0'; when 'Z' => stmp(1) := 'z'; when 'W' => stmp(1) := 'w'; when 'L' => stmp(1) := 'l'; when 'H' => stmp(1) := 'h'; when others => stmp(1) := '-'; end case; return stmp; end; -- --------------------------------------------------------- -- --------------------------------------------------------- -- convert std_logic_vector to a string, for debugging function SLV2STR(s: in std_logic_vector) return string is variable stmp : string(s'left+1 downto 1); begin for i in s'reverse_range loop case s(i) is when 'U' => stmp(i+1) := 'u'; when 'X' => stmp(i+1) := 'x'; when '1' => stmp(i+1) := '1'; when '0' => stmp(i+1) := '0'; when 'Z' => stmp(i+1) := 'z'; when 'W' => stmp(i+1) := 'w'; when 'L' => stmp(i+1) := 'l'; when 'H' => stmp(i+1) := 'h'; when others => stmp(i+1) := '-'; end case; end loop; return stmp; end; -- --------------------------------------------------------- -- --------------------------------------------------------- -- convert std_logic_vector(32) to an hexadecimal string, for debugging function SLV32HEX(w: in std_logic_vector(31 downto 0)) return string is variable nibble: reg4; variable stmp : string(8 downto 1); begin for i in 8 downto 1 loop nibble := w(((i-1)*4+3) downto ((i-1)*4)); case nibble is when b"0000" => stmp(i) := '0'; when b"0001" => stmp(i) := '1'; when b"0010" => stmp(i) := '2'; when b"0011" => stmp(i) := '3'; when b"0100" => stmp(i) := '4'; when b"0101" => stmp(i) := '5'; when b"0110" => stmp(i) := '6'; when b"0111" => stmp(i) := '7'; when b"1000" => stmp(i) := '8'; when b"1001" => stmp(i) := '9'; when b"1010" => stmp(i) := 'a'; when b"1011" => stmp(i) := 'b'; when b"1100" => stmp(i) := 'c'; when b"1101" => stmp(i) := 'd'; when b"1110" => stmp(i) := 'e'; when b"1111" => stmp(i) := 'f'; when others => stmp(i) := 'x'; end case; end loop; return stmp; end SLV32HEX; -- --------------------------------------------------------- -- --------------------------------------------------------- -- convert boolean to std_logic function BOOL2SL(b: in boolean) return std_logic is variable s : std_logic; begin case b is when TRUE => s := '1'; when others => s := '0'; end case; return s; end; -- --------------------------------------------------------- -- --------------------------------------------------------- -- convert boolean to std_logic function SL2BOOL(s: in std_logic) return boolean is variable b : boolean; begin case s is when '1' => b := TRUE; when others => b := FALSE; end case; return b; end; -- --------------------------------------------------------- -- --------------------------------------------------------- function SLV2ASCII(s: std_logic_vector(7 downto 0)) return character is variable ascii_table : string(1 to 256) := ( nul, soh, stx, etx, eot, enq, ack, bel, bs, ht, lf, vt, ff, cr, so, si, dle, dc1, dc2, dc3, dc4, nak, syn, etb, can, em, sub, esc, fsp, gsp, rsp, usp, ' ', '!', '"', '#', '$', '%', '&', ''', '(', ')', '*', '+', ',', '-', '.', '/', '0', '1', '2', '3', '4', '5', '6', '7', '8', '9', ':', ';', '<', '=', '>', '?', '@', 'A', 'B', 'C', 'D', 'E', 'F', 'G', 'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O', 'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W', 'X', 'Y', 'Z', '[', '\', ']', '^', '_', '`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '{', '|', '}', '~', del, c128, c129, c130, c131, c132, c133, c134, c135, c136, c137, c138, c139, c140, c141, c142, c143, c144, c145, c146, c147, c148, c149, c150, c151, c152, c153, c154, c155, c156, c157, c158, c159, -- the character code for 160 is there (NBSP), -- but prints as no char ' ', '¡', '¢', '£', '¤', '¥', '¦', '§', '¨', '©', 'ª', '«', '¬', '­', '®', '¯', '°', '±', '²', '³', '´', 'µ', '¶', '·', '¸', '¹', 'º', '»', '¼', '½', '¾', '¿', 'À', 'Á', 'Â', 'Ã', 'Ä', 'Å', 'Æ', 'Ç', 'È', 'É', 'Ê', 'Ë', 'Ì', 'Í', 'Î', 'Ï', 'Ð', 'Ñ', 'Ò', 'Ó', 'Ô', 'Õ', 'Ö', '×', 'Ø', 'Ù', 'Ú', 'Û', 'Ü', 'Ý', 'Þ', 'ß', 'à', 'á', 'â', 'ã', 'ä', 'å', 'æ', 'ç', 'è', 'é', 'ê', 'ë', 'ì', 'í', 'î', 'ï', 'ð', 'ñ', 'ò', 'ó', 'ô', 'õ', 'ö', '÷', 'ø', 'ù', 'ú', 'û', 'ü', 'ý', 'þ', 'ÿ' ); begin return ascii_table(to_integer(unsigned(s)) + 1); end; -- ----------------------------------------------------- end p_WIRES; -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
e6cf72a3ec3772a88174b526aad9b723
0.518399
3.443279
false
false
false
false
mbgh/aes128-hdl
src/vhdl/aes128.vhd
1
12,612
------------------------------------------------------------------------------- --! @file aes128.vhd --! @brief High-throughput implementation of AES-128 --! @project VLSI Book - AES-128 Example --! @author Michael Muehlberghuber ([email protected]) --! @company Integrated Systems Laboratory, ETH Zurich --! @copyright Copyright (C) 2014 Integrated Systems Laboratory, ETH Zurich --! @date 2014-06-05 --! @updated 2014-10-15 --! @platform Simulation: ModelSim; Synthesis: Synopsys --! @standard VHDL'93/02 ------------------------------------------------------------------------------- -- Revision Control System Information: -- File ID : $Id: aes128.vhd 21 2014-10-17 16:06:52Z u59323933 $ -- Revision : $Revision: 21 $ -- Local Date : $Date: 2014-10-17 18:06:52 +0200 (Fri, 17 Oct 2014) $ -- Modified By : $Author: u59323933 $ ------------------------------------------------------------------------------- -- Major Revisions: -- Date Version Author Description -- 2014-06-05 1.0 michmueh Created -- 2014-06-10 1.1 michmueh Removed controlling FSM and replaced the -- cipher state register enables computation -- with a simple shift register. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.aes128Pkg.all; ------------------------------------------------------------------------------- --! @brief High-throughput implementation of AES-128 --! --! The present design implements the cipher of the 128-bit version of the --! Advanced Encryption Standard (AES). Since the design targets a --! high-throughput implementation, both the key expansion and the actual cipher --! are pipeline. --! --! Inputs and outputs are registered. - While the plaintext and the ciphertext --! are registered in the top entity, the cipherkey is registered within the key --! expansion entity. Due to the input buffering, the actual encryption starts --! with a delay of one clock cycle. After that, both the key expansion and the --! encryption are executed "in parallel". ------------------------------------------------------------------------------- entity aes128 is port ( --! @brief System clock. Clk_CI : in std_logic; --! @brief Synchronous, active-high reset. Reset_RBI : in std_logic; --! @brief Starts the actual encryption process. --! <TABLE BORDER="0"> --! <TR><TD>0</TD><TD>...</TD><TD>Do not start the encryption.</TD></TR> --! <TR><TD>1</TD><TD>...</TD><TD>Start the encryption (value has to be applied only for a single clock cycle).</TD></TR> --! </TABLE> Start_SI : in std_logic; --! @brief Determines whether a new cipherkey has been applied or not --! (i.e., whether the key expansion has to be performed or not). --! <TABLE BORDER="0"> --! <TR><TD>0</TD><TD>...</TD><TD>No new cipherkey has been applied.</TD></TR> --! <TR><TD>1</TD><TD>...</TD><TD>A new cipherkey has been applied.</TD></TR> --! </TABLE> NewCipherkey_SI : in std_logic; --! @brief Determines whether a the module is currently processing or not. --! <TABLE BORDER="0"> --! <TR><TD>0</TD><TD>...</TD><TD>Module is in IDLE mode.</TD></TR> --! <TR><TD>1</TD><TD>...</TD><TD>Module is currently encrypting.</TD></TR> --! </TABLE> Busy_SO : out std_logic; --! @brief The plaintext block to be encrypted. Plaintext_DI : in std_logic_vector(127 downto 0); --! @brief the cipherkey to be used for encryption. Cipherkey_DI : in std_logic_vector(127 downto 0); --! @brief The resulting ciphertext. Ciphertext_DO : out std_logic_vector(127 downto 0)); end entity aes128; ------------------------------------------------------------------------------- --! @brief Behavioral architecture of AES-128. ------------------------------------------------------------------------------- architecture Behavioral of aes128 is ----------------------------------------------------------------------------- -- Types ----------------------------------------------------------------------------- type stateArrayType is array (0 to 9) of Matrix; ----------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------- component keyExpansion is port ( Clk_CI : in std_logic; Reset_RBI : in std_logic; Start_SI : in std_logic; Cipherkey_DI : in std_logic_vector(127 downto 0); Roundkeys_DO : out roundkeyArrayType); end component keyExpansion; component cipherRound is port ( StateIn_DI : in Matrix; Roundkey_DI : in std_logic_vector(127 downto 0); StateOut_DO : out Matrix); end component cipherRound; component subMatrix is port ( In_DI : in Matrix; Out_DO : out Matrix); end component subMatrix; ----------------------------------------------------------------------------- -- Functions ----------------------------------------------------------------------------- -- purpose: Converts a std_logic_vector into a matrix. function conv_matrix ( input : std_logic_vector(127 downto 0)) return Matrix is variable result : Matrix; begin -- function conv_matrix result(0) := conv_word(input(127 downto 96)); result(1) := conv_word(input(95 downto 64)); result(2) := conv_word(input(63 downto 32)); result(3) := conv_word(input(31 downto 0)); return result; end function conv_matrix; -- purpose: Converts a matrix to a std_logic_vector. The 0-th byte of the -- first word of the matrix becomes the most significant byte of -- the std_logic_vector. function conv_std_logic_vector ( input : Matrix) return std_logic_vector is begin -- function conv_std_logic_vector return input(0)(0) & input(0)(1) & input(0)(2) & input(0)(3) & input(1)(0) & input(1)(1) & input(1)(2) & input(1)(3) & input(2)(0) & input(2)(1) & input(2)(2) & input(2)(3) & input(3)(0) & input(3)(1) & input(3)(2) & input(3)(3); end function conv_std_logic_vector; ----------------------------------------------------------------------------- -- Signals ----------------------------------------------------------------------------- -- Registers. signal Plaintext_DN, Plaintext_DP : std_logic_vector(127 downto 0); signal CipherState_DN, CipherState_DP : stateArrayType; signal Ciphertext_DN, Ciphertext_DP : Matrix; signal EnCipherState_SN, EnCipherState_SP : unsigned(0 to 10); -- Some intermediate signals. signal Roundkeys_D : roundkeyArrayType; signal LastSubMatrixOut_D : Matrix; signal KeyExpStart_S : std_logic; signal AllCipherStatesDisabled_S : std_logic; begin -- architecture Behavioral ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- keyExpansion_1 : keyExpansion port map ( Clk_CI => Clk_CI, Reset_RBI => Reset_RBI, Start_SI => KeyExpStart_S, Cipherkey_DI => Cipherkey_DI, Roundkeys_DO => Roundkeys_D); -- S-boxes of the last round. lastSubMatrix : subMatrix port map ( In_DI => CipherState_DP(9), Out_DO => LastSubMatrixOut_D); -- Perform full rounds (i.e., rounds one to nine). gen_cipherRounds : for i in 1 to 9 generate cipherRounds : cipherRound port map ( StateIn_DI => CipherState_DP(i-1), Roundkey_DI => Roundkeys_D(i), StateOut_DO => CipherState_DN(i)); end generate gen_cipherRounds; ----------------------------------------------------------------------------- -- Next state logic ----------------------------------------------------------------------------- -- Enable plaintext register only when start signal is set. Plaintext_DN <= Plaintext_DI when Start_SI = '1' else Plaintext_DP; -- The enables for the cipher states are generated by a one-hot encoded shift -- register, which gets the start signal as an input. EnCipherState_SN <= -- Start signal is set so shift in a '1'. '1' & EnCipherState_SP(0 to 9) when Start_SI = '1' else -- Since none of the cipher states currently holds a substantial value, we -- do not even have to shift in the zeros, but just hold the current state. EnCipherState_SP when AllCipherStatesDisabled_S = '1' else -- Otherwise shift the enables such that they are proceeded correctly with -- their current pipeline stage (this enables-holding shift register serves -- as kind of a shimming register). '0' & EnCipherState_SP(0 to 9); -- Perform last round (i.e., round without the "MixColumn" step) and -- calculate the final state, which is equal to the ciphertext. Ciphertext_DN <= shift_rows(LastSubMatrixOut_D) xor Roundkeys_D(10) when EnCipherState_SP(10) = '1' else Ciphertext_DP; -- Perform inital "AddRoundKey". CipherState_DN(0) <= conv_matrix(Roundkeys_D(0) xor Plaintext_DP); ----------------------------------------------------------------------------- -- Compute the signal indicating that none of the cipher state register has -- to be enabled, i.e., no new plaintext data is provided at the input. ----------------------------------------------------------------------------- pComb_CalcAllCipherStatesDisabled : process (EnCipherState_SP) is variable tmp : std_logic; begin -- process pComb_CalcAllCipherStatesDisabled tmp := EnCipherState_SP(0); for i in 1 to 10 loop tmp := tmp or EnCipherState_SP(i); end loop; -- i AllCipherStatesDisabled_S <= not tmp; end process pComb_CalcAllCipherStatesDisabled; ----------------------------------------------------------------------------- -- The key expansion should only be initiated when both the start signal and -- the signal indicating a new cipherkey are set. ----------------------------------------------------------------------------- KeyExpStart_S <= Start_SI and NewCipherkey_SI; ----------------------------------------------------------------------------- -- Output assignment ----------------------------------------------------------------------------- Ciphertext_DO <= conv_std_logic_vector(Ciphertext_DP); Busy_SO <= not AllCipherStatesDisabled_S; ----------------------------------------------------------------------------- -- Memories ----------------------------------------------------------------------------- pSequ_FlipFlops : process (Clk_CI, Reset_RBI) is begin -- process pSequ_FlipFlops if Reset_RBI = '0' then -- asynchronous reset (active low) Plaintext_DP <= (others => '0'); EnCipherState_SP <= (others => '0'); CipherState_DP <= (others => ZERO_MATRIX); Ciphertext_DP <= (others => ZERO_WORD); elsif Clk_CI'event and Clk_CI = '1' then -- rising clock edge -- Next state computation for plaintext register is done outside this -- process. Plaintext_DP <= Plaintext_DN; -- Shift register holding the enables for the cipher states. EnCipherState_SP <= EnCipherState_SN; -- Matrix-wise enables for cipher states. if EnCipherState_SP(0) = '1' then CipherState_DP(0) <= CipherState_DN(0); end if; if EnCipherState_SP(1) = '1' then CipherState_DP(1) <= CipherState_DN(1); end if; if EnCipherState_SP(2) = '1' then CipherState_DP(2) <= CipherState_DN(2); end if; if EnCipherState_SP(3) = '1' then CipherState_DP(3) <= CipherState_DN(3); end if; if EnCipherState_SP(4) = '1' then CipherState_DP(4) <= CipherState_DN(4); end if; if EnCipherState_SP(5) = '1' then CipherState_DP(5) <= CipherState_DN(5); end if; if EnCipherState_SP(6) = '1' then CipherState_DP(6) <= CipherState_DN(6); end if; if EnCipherState_SP(7) = '1' then CipherState_DP(7) <= CipherState_DN(7); end if; if EnCipherState_SP(8) = '1' then CipherState_DP(8) <= CipherState_DN(8); end if; if EnCipherState_SP(9) = '1' then CipherState_DP(9) <= CipherState_DN(9); end if; -- Enable of ciphertext register. if EnCipherState_SP(10) = '1' then Ciphertext_DP <= Ciphertext_DN; end if; end if; end process pSequ_FlipFlops; end architecture Behavioral;
gpl-2.0
67a8f33d134c8062e56bafbf29102266
0.533302
4.204
false
false
false
false
mbgh/aes128-hdl
src/vhdl/aes128Pkg.vhd
1
5,542
------------------------------------------------------------------------------- --! @file aes128Pkg.vhd --! @brief AES-128 Package --! @project VLSI Book - AES-128 Example --! @author Michael Muehlberghuber ([email protected]) --! @company Integrated Systems Laboratory, ETH Zurich --! @copyright Copyright (C) 2014 Integrated Systems Laboratory, ETH Zurich --! @date 2014-06-05 --! @updated 2014-10-16 --! @platform Simulation: ModelSim; Synthesis: Synopsys --! @standard VHDL'93/02 ------------------------------------------------------------------------------- -- Revision Control System Information: -- File ID : $Id: aes128Pkg.vhd 21 2014-10-17 16:06:52Z u59323933 $ -- Revision : $Revision: 21 $ -- Local Date : $Date: 2014-10-17 18:06:52 +0200 (Fri, 17 Oct 2014) $ -- Modified By : $Author: u59323933 $ ------------------------------------------------------------------------------- -- Major Revisions: -- Date Version Author Description -- 2014-06-05 1.0 michmueh Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- --! @brief AES-128 package --! --! A package for the 128-bit version of the Advanced Encryption Standard (AES) --! design. A couple of types, constants, and functions are defined herein, --! which are used throughout the whole design. ------------------------------------------------------------------------------- package aes128Pkg is ----------------------------------------------------------------------------- -- Type definitions ----------------------------------------------------------------------------- subtype Byte is std_logic_vector(7 downto 0); type Word is array (0 to 3) of Byte; type Matrix is array (0 to 3) of Word; type roundkeyArrayType is array (0 to 10) of std_logic_vector(127 downto 0); ----------------------------------------------------------------------------- -- Constants ----------------------------------------------------------------------------- constant ZERO_BYTE : Byte := (others => '0'); constant ZERO_WORD : Word := (others => ZERO_BYTE); constant ZERO_MATRIX : Matrix := (others => ZERO_WORD); ----------------------------------------------------------------------------- -- Function declarations ----------------------------------------------------------------------------- function conv_word ( input : std_logic_vector(31 downto 0)) return Word; function shift_rows( input : Matrix) return Matrix; function "xor" ( left : Matrix; right : std_logic_vector) return Matrix; end package aes128Pkg; package body aes128Pkg is ----------------------------------------------------------------------------- -- Function definitions ----------------------------------------------------------------------------- -- purpose: Converts a std_logic_vector into a word. function conv_word ( input : std_logic_vector(31 downto 0)) return Word is variable result : Word; begin -- function conv_word result(0) := input(31 downto 24); result(1) := input(23 downto 16); result(2) := input(15 downto 8); result(3) := input(7 downto 0); return result; end function conv_word; -- purpose: Performs the 'ShiftRows' operation using a "Matrix" as input and -- output. function shift_rows ( input : Matrix) return Matrix is variable result : Matrix; begin -- function shift_rows -- First Row result(0)(0) := input(0)(0); result(1)(0) := input(1)(0); result(2)(0) := input(2)(0); result(3)(0) := input(3)(0); -- Second Row result(0)(1) := input(1)(1); result(1)(1) := input(2)(1); result(2)(1) := input(3)(1); result(3)(1) := input(0)(1); -- Third Row result(0)(2) := input(2)(2); result(1)(2) := input(3)(2); result(2)(2) := input(0)(2); result(3)(2) := input(1)(2); -- Fourth Row result(0)(3) := input(3)(3); result(1)(3) := input(0)(3); result(2)(3) := input(1)(3); result(3)(3) := input(2)(3); return result; end function shift_rows; -- purpose: Provides an exclusive-or (XOR) operation for a matrix and a -- std_logic_vector input. function "xor" ( left : Matrix; right : std_logic_vector) return Matrix is variable result : Matrix; begin -- First Column result(0)(0) := left(0)(0) xor right(127 downto 120); result(0)(1) := left(0)(1) xor right(119 downto 112); result(0)(2) := left(0)(2) xor right(111 downto 104); result(0)(3) := left(0)(3) xor right(103 downto 96); -- Second Column result(1)(0) := left(1)(0) xor right(95 downto 88); result(1)(1) := left(1)(1) xor right(87 downto 80); result(1)(2) := left(1)(2) xor right(79 downto 72); result(1)(3) := left(1)(3) xor right(71 downto 64); -- Third Column result(2)(0) := left(2)(0) xor right(63 downto 56); result(2)(1) := left(2)(1) xor right(55 downto 48); result(2)(2) := left(2)(2) xor right(47 downto 40); result(2)(3) := left(2)(3) xor right(39 downto 32); -- Fourth Column result(3)(0) := left(3)(0) xor right(31 downto 24); result(3)(1) := left(3)(1) xor right(23 downto 16); result(3)(2) := left(3)(2) xor right(15 downto 8); result(3)(3) := left(3)(3) xor right(7 downto 0); return result; end "xor"; end package body aes128Pkg;
gpl-2.0
d3c6112bf4a83778903ad0b304bfe605
0.487189
3.930496
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_wr_llink.vhd
1
16,124
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_wr_llink.vhd -- -- Description: -- THis file implements the Write LocalLink to AXI Stream adapter for the -- AXI Master burst core. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_wr_llink.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.1 $ -- Date: $1/27/2011$ -- -- History: -- DET 1/27/2011 Initial Version -- -- DET 2/14/2011 Initial for EDK 13.2 -- ~~~~~~ -- -- Per CR593485 -- - Modified the Error logic to clear the wrllink_llink_busy assertion -- when the localLink discontinue completes. -- - Added logic to complete a Write Discontinue per LocalLink spec after a -- wrllink_wr_error assertion. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity axi_master_burst_wr_llink is generic ( C_NATIVE_DWIDTH : INTEGER range 32 to 128 := 32 -- Set this equal to desred data bus width needed by IPIC -- LocalLink Data Channels. ); port ( ------------------------------------------------------------------------- -- Write LocalLink Clock input ------------------------------------------------------------------------- wrllink_aclk : in std_logic; ------------------------------------------------------------------------- -- Write LocalLink Reset input ------------------------------------------------------------------------- wrllink_areset : in std_logic; ------------------------------------------------------------------------- -- RDWR Cntlr Internal Error Indication ------------------------------------------------------------------------- wrllink_wr_error : In std_logic; ------------------------------------------------------------------------- -- LocalLink Enable Control (1 Clock wide pulse) ------------------------------------------------------------------------- wrllink_llink_enable : In std_logic; ------------------------------------------------------------------------- -- IPIC LocalLink Busy Flag ------------------------------------------------------------------------- wrllink_llink_busy : Out std_logic; ------------------------------------------------------------------------- -- Write Address Posting Contols/Status ------------------------------------------------------------------------- wrllink_allow_addr_req : Out std_logic; -- Active High enable (1-clk pulse wide) wrllink_addr_req_posted : In std_logic; -- ignored wrllink_xfer_cmplt : In std_logic; -- ignored ------------------------------------------------------------------------- -- Write AXI Slave Master Channel ------------------------------------------------------------------------- wrllink_strm_tdata : Out std_logic_vector(C_NATIVE_DWIDTH-1 downto 0); -- Write AXI Stream wrllink_strm_tstrb : Out std_logic_vector((C_NATIVE_DWIDTH/8)-1 downto 0); -- Write AXI Stream wrllink_strm_tlast : Out std_logic; -- Write AXI Stream wrllink_strm_tvalid : Out std_logic; -- Write AXI Stream wrllink_strm_tready : In std_logic; -- Write AXI Stream ------------------------------------------------------------------------- -- IPIC Write LocalLink Channel ------------------------------------------------------------------------- ip2bus_mstwr_d : In std_logic_vector(0 to C_NATIVE_DWIDTH-1); -- IPIC Write LocalLink ip2bus_mstwr_rem : In std_logic_vector(0 to (C_NATIVE_DWIDTH/8)-1); -- ignored IPIC Write LocalLink ip2bus_mstwr_sof_n : In std_logic; -- ignored -- IPIC Write LocalLink ip2bus_mstwr_eof_n : In std_logic; -- IPIC Write LocalLink ip2bus_mstwr_src_rdy_n : In std_logic; -- IPIC Write LocalLink ip2bus_mstwr_src_dsc_n : In std_logic; -- ignored -- IPIC Write LocalLink bus2ip_mstwr_dst_rdy_n : Out std_logic; -- IPIC Write LocalLink bus2ip_mstwr_dst_dsc_n : Out std_logic -- IPIC Write LocalLink ); end entity axi_master_burst_wr_llink; architecture implementation of axi_master_burst_wr_llink is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constants Constant STRB_WIDTH : integer := C_NATIVE_DWIDTH/8; -- Signals signal sig_inv_rem : std_logic_vector(0 to STRB_WIDTH-1) := (others => '0'); signal sig_llink_busy : std_logic := '0'; signal sig_last_debeat_xfered : std_logic := '0'; signal sig_allow_wr_requests : std_logic := '0'; signal sig_llink_dst_ready_n : std_logic := '0'; signal sig_set_discontinue : std_logic := '0'; signal sig_wr_error_reg : std_logic := '0'; signal sig_wr_dsc_in_prog : std_logic := '0'; signal sig_discontinue_dst_rdy : std_logic := '0'; signal sig_discontinue_cmplt : std_logic := '0'; signal sig_discontinue_accepted : std_logic := '0'; signal sig_assert_discontinue : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------------------- -- Write Stream Output Port Assignments ------------------------------------------------------------------------- wrllink_strm_tdata <= ip2bus_mstwr_d ; wrllink_strm_tstrb <= sig_inv_rem ; wrllink_strm_tlast <= not(ip2bus_mstwr_eof_n) ; wrllink_strm_tvalid <= not(ip2bus_mstwr_src_rdy_n) and sig_llink_busy; ------------------------------------------------------------------------- -- Write LocalLink Output Port Assignments ------------------------------------------------------------------------- bus2ip_mstwr_dst_rdy_n <= sig_llink_dst_ready_n ; --bus2ip_mstwr_dst_dsc_n <= not(wrllink_wr_error) ; bus2ip_mstwr_dst_dsc_n <= not(sig_assert_discontinue) ; sig_llink_dst_ready_n <= not((wrllink_strm_tready and sig_llink_busy) or sig_discontinue_dst_rdy) ; -- Since the PLB Master burst ignored the REM input, Just -- assign the inverted REM to be all asserted. This will be -- used for the AXI Stream output. sig_inv_rem <= (others => '1'); ------------------------------------------------------------------------- -- LocalLink Busy Flag logic ------------------------------------------------------------------------- wrllink_llink_busy <= sig_llink_busy ; -- Detect the last data beat of the incoming LocalLink transfer sig_last_debeat_xfered <= not(ip2bus_mstwr_eof_n or ip2bus_mstwr_src_rdy_n or sig_llink_dst_ready_n ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LLINK_BUSY_FLOP -- -- Process Description: -- Implements the LocalLink Busy Flop -- ------------------------------------------------------------- IMP_LLINK_BUSY_FLOP : process (wrllink_aclk) begin if (wrllink_aclk'event and wrllink_aclk = '1') then if (wrllink_areset = '1') then sig_llink_busy <= '0'; elsif (wrllink_llink_enable = '1') then sig_llink_busy <= '1'; elsif (sig_last_debeat_xfered = '1') then sig_llink_busy <= '0'; else null; -- Hold Current State end if; end if; end process IMP_LLINK_BUSY_FLOP; ------------------------------------------------------------------------- -- AXI Write Address Posting Control logic ------------------------------------------------------------------------- wrllink_allow_addr_req <= sig_allow_wr_requests; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ALLOW_WR_REQ_FLOP -- -- Process Description: -- Implements the AXI Write Address Request control flop. -- AXI Write Requests will be withheld from the AXI Write Address -- Channel until the LocalLink Source is ready to drive data. -- ------------------------------------------------------------- IMP_ALLOW_WR_REQ_FLOP : process (wrllink_aclk) begin if (wrllink_aclk'event and wrllink_aclk = '1') then if (wrllink_areset = '1' or wrllink_llink_enable = '1') then sig_allow_wr_requests <= '0'; elsif (ip2bus_mstwr_src_rdy_n = '0' and sig_llink_busy = '1') then sig_allow_wr_requests <= '1'; else null; -- Hold Current State end if; end if; end process IMP_ALLOW_WR_REQ_FLOP; ------------------------------------------------------------------------- -- Write Error LLink discontinue logic ------------------------------------------------------------------------- -- Detect rising edge of the Read Error assertion sig_set_discontinue <= wrllink_wr_error and not(sig_wr_error_reg) and sig_llink_busy ; -- Force the assertion of the Dest ready during the discontinue -- sequence. sig_discontinue_dst_rdy <= sig_wr_dsc_in_prog and sig_llink_busy; -- Detect the acceptance of discontinue by the source but not -- necessarily the completion of the discontinue sequence. sig_discontinue_accepted <= Not(ip2bus_mstwr_src_rdy_n) and sig_assert_discontinue; -- Detect Completion of the Write Discontinue sequence -- when the EOF is transfered by the Source sig_discontinue_cmplt <= sig_discontinue_dst_rdy and Not(ip2bus_mstwr_src_rdy_n) and not(ip2bus_mstwr_eof_n); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_ERROR_FLOP -- -- Process Description: -- Implements the register for the write error flag. -- ------------------------------------------------------------- IMP_WR_ERROR_FLOP : process (wrllink_aclk) begin if (wrllink_aclk'event and wrllink_aclk = '1') then if (wrllink_areset = '1') then sig_wr_error_reg <= '0'; else sig_wr_error_reg <= wrllink_wr_error; end if; end if; end process IMP_WR_ERROR_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_DSC_FLOP -- -- Process Description: -- Implements the register for the write discontinue flag -- indicating that a discontinue sequence is in progress. -- ------------------------------------------------------------- IMP_WR_DSC_FLOP : process (wrllink_aclk) begin if (wrllink_aclk'event and wrllink_aclk = '1') then if (wrllink_areset = '1' or sig_discontinue_cmplt = '1') then sig_wr_dsc_in_prog <= '0'; elsif (sig_set_discontinue = '1') then sig_wr_dsc_in_prog <= '1'; else null; -- Hold Current State end if; end if; end process IMP_WR_DSC_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEND_WR_DSC -- -- Process Description: -- Implements the register for the flag signaling the -- assertion of the LLink Dest discontinue output. -- ------------------------------------------------------------- IMP_SEND_WR_DSC : process (wrllink_aclk) begin if (wrllink_aclk'event and wrllink_aclk = '1') then if (wrllink_areset = '1' or sig_discontinue_accepted = '1') then sig_assert_discontinue <= '0'; elsif (sig_set_discontinue = '1') then sig_assert_discontinue <= '1'; else null; -- Hold Current State end if; end if; end process IMP_SEND_WR_DSC; end implementation;
apache-2.0
2e1e57f2dddf2f1a167c60642ea0f2a8
0.472029
4.852242
false
false
false
false
jc38x/X38-02FO16
benchmarks/LEKO_LEKU/leku/LEKU-CD'/25_14.vhd
1
469,703
Library IEEE; use IEEE.std_logic_1164.all; entity x25_14x is Port ( A302,A301,A300,A299,A298,A269,A268,A267,A266,A265,A236,A235,A234,A233,A232,A203,A202,A201,A200,A199,A166,A167,A168,A169,A170: in std_logic; A73: buffer std_logic ); end x25_14x; architecture x25_14x_behav of x25_14x is signal a1a,a2a,a3a,a4a,a5a,a6a,a7a,a8a,a9a,a10a,a11a,a12a,a13a,a14a,a15a,a16a,a17a,a18a,a19a,a20a,a21a,a22a,a23a,a24a,a25a,a26a,a27a,a28a,a29a,a30a,a31a,a32a,a33a,a34a,a35a,a36a,a37a,a38a,a39a,a40a,a41a,a42a,a43a,a44a,a45a,a46a,a47a,a48a,a49a,a50a,a51a,a52a,a53a,a54a,a55a,a56a,a57a,a58a,a59a,a60a,a61a,a62a,a63a,a64a,a65a,a66a,a67a,a68a,a69a,a70a,a71a,a72a,a73a,a74a,a75a,a76a,a77a,a78a,a79a,a80a,a81a,a82a,a83a,a84a,a85a,a86a,a87a,a88a,a89a,a90a,a91a,a92a,a93a,a94a,a95a,a96a,a97a,a98a,a99a,a100a,a101a,a102a,a103a,a104a,a105a,a106a,a107a,a108a,a109a,a110a,a111a,a112a,a113a,a114a,a115a,a116a,a117a,a118a,a119a,a120a,a121a,a122a,a123a,a124a,a125a,a126a,a127a,a128a,a129a,a130a,a131a,a132a,a133a,a134a,a135a,a136a,a137a,a138a,a139a,a140a,a141a,a142a,a143a,a144a,a145a,a146a,a147a,a148a,a149a,a150a,a151a,a152a,a153a,a154a,a155a,a156a,a157a,a158a,a159a,a160a,a161a,a162a,a163a,a164a,a165a,a166a,a167a,a168a,a169a,a170a,a171a,a172a,a173a,a174a,a175a,a176a,a177a,a178a,a179a,a180a,a181a,a182a,a183a,a184a,a185a,a186a,a187a,a188a,a189a,a190a,a191a,a192a,a193a,a194a,a195a,a196a,a197a,a198a,a199a,a200a,a201a,a202a,a203a,a204a,a205a,a206a,a207a,a208a,a209a,a210a,a211a,a212a,a213a,a214a,a215a,a216a,a217a,a218a,a219a,a220a,a221a,a222a,a223a,a224a,a225a,a226a,a227a,a228a,a229a,a230a,a231a,a232a,a233a,a234a,a235a,a236a,a237a,a238a,a239a,a240a,a241a,a242a,a243a,a244a,a245a,a246a,a247a,a248a,a249a,a250a,a251a,a252a,a253a,a254a,a255a,a256a,a257a,a258a,a259a,a260a,a261a,a262a,a263a,a264a,a265a,a266a,a267a,a268a,a269a,a270a,a271a,a272a,a273a,a274a,a275a,a276a,a277a,a278a,a279a,a280a,a281a,a282a,a283a,a284a,a285a,a286a,a287a,a288a,a289a,a290a,a291a,a292a,a293a,a294a,a295a,a296a,a297a,a298a,a299a,a300a,a301a,a302a,a303a,a304a,a305a,a306a,a307a,a308a,a309a,a310a,a311a,a312a,a313a,a314a,a315a,a316a,a317a,a318a,a319a,a320a,a321a,a322a,a323a,a324a,a325a,a326a,a327a,a328a,a329a,a330a,a331a,a332a,a333a,a334a,a335a,a336a,a337a,a338a,a339a,a340a,a341a,a342a,a343a,a344a,a345a,a346a,a347a,a348a,a349a,a350a,a351a,a352a,a353a,a354a,a355a,a356a,a357a,a358a,a359a,a360a,a361a,a362a,a363a,a364a,a365a,a366a,a367a,a368a,a369a,a370a,a371a,a372a,a373a,a374a,a375a,a376a,a377a,a378a,a379a,a380a,a381a,a382a,a383a,a384a,a385a,a386a,a387a,a388a,a389a,a390a,a391a,a392a,a393a,a394a,a395a,a396a,a397a,a398a,a399a,a400a,a401a,a402a,a403a,a404a,a405a,a406a,a407a,a408a,a409a,a410a,a411a,a412a,a413a,a414a,a415a,a416a,a417a,a418a,a419a,a420a,a421a,a422a,a423a,a424a,a425a,a426a,a427a,a428a,a429a,a430a,a431a,a432a,a433a,a434a,a435a,a436a,a437a,a438a,a439a,a440a,a441a,a442a,a443a,a444a,a445a,a446a,a447a,a448a,a449a,a450a,a451a,a452a,a453a,a454a,a455a,a456a,a457a,a458a,a459a,a460a,a461a,a462a,a463a,a464a,a465a,a466a,a467a,a468a,a469a,a470a,a471a,a472a,a473a,a474a,a475a,a476a,a477a,a478a,a479a,a480a,a481a,a482a,a483a,a484a,a485a,a486a,a487a,a488a,a489a,a490a,a491a,a492a,a493a,a494a,a495a,a496a,a497a,a498a,a499a,a500a,a501a,a502a,a503a,a504a,a505a,a506a,a507a,a508a,a509a,a510a,a511a,a512a,a513a,a514a,a515a,a516a,a517a,a518a,a519a,a520a,a521a,a522a,a523a,a524a,a525a,a526a,a527a,a528a,a529a,a530a,a531a,a532a,a533a,a534a,a535a,a536a,a537a,a538a,a539a,a540a,a541a,a542a,a543a,a544a,a545a,a546a,a547a,a548a,a549a,a550a,a551a,a552a,a553a,a554a,a555a,a556a,a557a,a558a,a559a,a560a,a561a,a562a,a563a,a564a,a565a,a566a,a567a,a568a,a569a,a570a,a571a,a572a,a573a,a574a,a575a,a576a,a577a,a578a,a579a,a580a,a581a,a582a,a583a,a584a,a585a,a586a,a587a,a588a,a589a,a590a,a591a,a592a,a593a,a594a,a595a,a596a,a597a,a598a,a599a,a600a,a601a,a602a,a603a,a604a,a605a,a606a,a607a,a608a,a609a,a610a,a611a,a612a,a613a,a614a,a615a,a616a,a617a,a618a,a619a,a620a,a621a,a622a,a623a,a624a,a625a,a626a,a627a,a628a,a629a,a630a,a631a,a632a,a633a,a634a,a635a,a636a,a637a,a638a,a639a,a640a,a641a,a642a,a643a,a644a,a645a,a646a,a647a,a648a,a649a,a650a,a651a,a652a,a653a,a654a,a655a,a656a,a657a,a658a,a659a,a660a,a661a,a662a,a663a,a664a,a665a,a666a,a667a,a668a,a669a,a670a,a671a,a672a,a673a,a674a,a675a,a676a,a677a,a678a,a679a,a680a,a681a,a682a,a683a,a684a,a685a,a686a,a687a,a688a,a689a,a690a,a691a,a692a,a693a,a694a,a695a,a696a,a697a,a698a,a699a,a700a,a701a,a702a,a703a,a704a,a705a,a706a,a707a,a708a,a709a,a710a,a711a,a712a,a713a,a714a,a715a,a716a,a717a,a718a,a719a,a720a,a721a,a722a,a723a,a724a,a725a,a726a,a727a,a728a,a729a,a730a,a731a,a732a,a733a,a734a,a735a,a736a,a737a,a738a,a739a,a740a,a741a,a742a,a743a,a744a,a745a,a746a,a747a,a748a,a749a,a750a,a751a,a752a,a753a,a754a,a755a,a756a,a757a,a758a,a759a,a760a,a761a,a762a,a763a,a764a,a765a,a766a,a767a,a768a,a769a,a770a,a771a,a772a,a773a,a774a,a775a,a776a,a777a,a778a,a779a,a780a,a781a,a782a,a783a,a784a,a785a,a786a,a787a,a788a,a789a,a790a,a791a,a792a,a793a,a794a,a795a,a796a,a797a,a798a,a799a,a800a,a801a,a802a,a803a,a804a,a805a,a806a,a807a,a808a,a809a,a810a,a811a,a812a,a813a,a814a,a815a,a816a,a817a,a818a,a819a,a820a,a821a,a822a,a823a,a824a,a825a,a826a,a827a,a828a,a829a,a830a,a831a,a832a,a833a,a834a,a835a,a836a,a837a,a838a,a839a,a840a,a841a,a842a,a843a,a844a,a845a,a846a,a847a,a848a,a849a,a850a,a851a,a852a,a853a,a854a,a855a,a856a,a857a,a858a,a859a,a860a,a861a,a862a,a863a,a864a,a865a,a866a,a867a,a868a,a869a,a870a,a871a,a872a,a873a,a874a,a875a,a876a,a877a,a878a,a879a,a880a,a881a,a882a,a883a,a884a,a885a,a886a,a887a,a888a,a889a,a890a,a891a,a892a,a893a,a894a,a895a,a896a,a897a,a898a,a899a,a900a,a901a,a902a,a903a,a904a,a905a,a906a,a907a,a908a,a909a,a910a,a911a,a912a,a913a,a914a,a915a,a916a,a917a,a918a,a919a,a920a,a921a,a922a,a923a,a924a,a925a,a926a,a927a,a928a,a929a,a930a,a931a,a932a,a933a,a934a,a935a,a936a,a937a,a938a,a939a,a940a,a941a,a942a,a943a,a944a,a945a,a946a,a947a,a948a,a949a,a950a,a951a,a952a,a953a,a954a,a955a,a956a,a957a,a958a,a959a,a960a,a961a,a962a,a963a,a964a,a965a,a966a,a967a,a968a,a969a,a970a,a971a,a972a,a973a,a974a,a975a,a976a,a977a,a978a,a979a,a980a,a981a,a982a,a983a,a984a,a985a,a986a,a987a,a988a,a989a,a990a,a991a,a992a,a993a,a994a,a995a,a996a,a997a,a998a,a999a,a1000a,a1001a,a1002a,a1003a,a1004a,a1005a,a1006a,a1007a,a1008a,a1009a,a1010a,a1014a,a1015a,a1018a,a1021a,a1022a,a1023a,a1026a,a1029a,a1030a,a1033a,a1036a,a1037a,a1038a,a1039a,a1042a,a1045a,a1046a,a1049a,a1052a,a1053a,a1054a,a1057a,a1060a,a1061a,a1064a,a1067a,a1068a,a1069a,a1070a,a1071a,a1074a,a1077a,a1078a,a1081a,a1084a,a1085a,a1086a,a1089a,a1092a,a1093a,a1096a,a1099a,a1100a,a1101a,a1102a,a1105a,a1108a,a1109a,a1112a,a1115a,a1116a,a1117a,a1120a,a1123a,a1124a,a1127a,a1130a,a1131a,a1132a,a1133a,a1134a,a1135a,a1139a,a1140a,a1143a,a1146a,a1147a,a1148a,a1151a,a1154a,a1155a,a1158a,a1161a,a1162a,a1163a,a1164a,a1167a,a1170a,a1171a,a1174a,a1177a,a1178a,a1179a,a1182a,a1185a,a1186a,a1189a,a1192a,a1193a,a1194a,a1195a,a1196a,a1199a,a1202a,a1203a,a1206a,a1209a,a1210a,a1211a,a1214a,a1217a,a1218a,a1221a,a1224a,a1225a,a1226a,a1227a,a1230a,a1233a,a1234a,a1237a,a1240a,a1241a,a1242a,a1245a,a1248a,a1249a,a1252a,a1255a,a1256a,a1257a,a1258a,a1259a,a1260a,a1261a,a1265a,a1266a,a1269a,a1272a,a1273a,a1274a,a1277a,a1280a,a1281a,a1284a,a1287a,a1288a,a1289a,a1290a,a1293a,a1296a,a1297a,a1300a,a1303a,a1304a,a1305a,a1308a,a1311a,a1312a,a1315a,a1318a,a1319a,a1320a,a1321a,a1322a,a1325a,a1328a,a1329a,a1332a,a1335a,a1336a,a1337a,a1340a,a1343a,a1344a,a1347a,a1350a,a1351a,a1352a,a1353a,a1356a,a1359a,a1360a,a1363a,a1366a,a1367a,a1368a,a1371a,a1374a,a1375a,a1378a,a1381a,a1382a,a1383a,a1384a,a1385a,a1386a,a1390a,a1391a,a1394a,a1397a,a1398a,a1399a,a1402a,a1405a,a1406a,a1409a,a1412a,a1413a,a1414a,a1415a,a1418a,a1421a,a1422a,a1425a,a1428a,a1429a,a1430a,a1433a,a1436a,a1437a,a1440a,a1443a,a1444a,a1445a,a1446a,a1447a,a1450a,a1453a,a1454a,a1457a,a1460a,a1461a,a1462a,a1465a,a1468a,a1469a,a1472a,a1475a,a1476a,a1477a,a1478a,a1481a,a1484a,a1485a,a1488a,a1491a,a1492a,a1493a,a1496a,a1499a,a1500a,a1503a,a1506a,a1507a,a1508a,a1509a,a1510a,a1511a,a1512a,a1513a,a1517a,a1518a,a1521a,a1524a,a1525a,a1526a,a1529a,a1532a,a1533a,a1536a,a1539a,a1540a,a1541a,a1542a,a1545a,a1548a,a1549a,a1552a,a1555a,a1556a,a1557a,a1560a,a1563a,a1564a,a1567a,a1570a,a1571a,a1572a,a1573a,a1574a,a1577a,a1580a,a1581a,a1584a,a1587a,a1588a,a1589a,a1592a,a1595a,a1596a,a1599a,a1602a,a1603a,a1604a,a1605a,a1608a,a1611a,a1612a,a1615a,a1618a,a1619a,a1620a,a1623a,a1626a,a1627a,a1630a,a1633a,a1634a,a1635a,a1636a,a1637a,a1638a,a1642a,a1643a,a1646a,a1649a,a1650a,a1651a,a1654a,a1657a,a1658a,a1661a,a1664a,a1665a,a1666a,a1667a,a1670a,a1673a,a1674a,a1677a,a1680a,a1681a,a1682a,a1685a,a1688a,a1689a,a1692a,a1695a,a1696a,a1697a,a1698a,a1699a,a1702a,a1705a,a1706a,a1709a,a1712a,a1713a,a1714a,a1717a,a1720a,a1721a,a1724a,a1727a,a1728a,a1729a,a1730a,a1733a,a1736a,a1737a,a1740a,a1743a,a1744a,a1745a,a1748a,a1751a,a1752a,a1755a,a1758a,a1759a,a1760a,a1761a,a1762a,a1763a,a1764a,a1768a,a1769a,a1772a,a1775a,a1776a,a1777a,a1780a,a1783a,a1784a,a1787a,a1790a,a1791a,a1792a,a1793a,a1796a,a1799a,a1800a,a1803a,a1806a,a1807a,a1808a,a1811a,a1814a,a1815a,a1818a,a1821a,a1822a,a1823a,a1824a,a1825a,a1828a,a1831a,a1832a,a1835a,a1838a,a1839a,a1840a,a1843a,a1846a,a1847a,a1850a,a1853a,a1854a,a1855a,a1856a,a1859a,a1862a,a1863a,a1866a,a1869a,a1870a,a1871a,a1874a,a1877a,a1878a,a1881a,a1884a,a1885a,a1886a,a1887a,a1888a,a1889a,a1892a,a1895a,a1896a,a1899a,a1902a,a1903a,a1904a,a1907a,a1910a,a1911a,a1914a,a1917a,a1918a,a1919a,a1920a,a1923a,a1926a,a1927a,a1930a,a1933a,a1934a,a1935a,a1938a,a1941a,a1942a,a1945a,a1948a,a1949a,a1950a,a1951a,a1952a,a1955a,a1958a,a1959a,a1962a,a1965a,a1966a,a1967a,a1970a,a1973a,a1974a,a1977a,a1980a,a1981a,a1982a,a1983a,a1986a,a1989a,a1990a,a1993a,a1996a,a1997a,a1998a,a2001a,a2004a,a2005a,a2008a,a2011a,a2012a,a2013a,a2014a,a2015a,a2016a,a2017a,a2018a,a2019a,a2023a,a2024a,a2027a,a2030a,a2031a,a2032a,a2035a,a2038a,a2039a,a2042a,a2045a,a2046a,a2047a,a2048a,a2051a,a2054a,a2055a,a2058a,a2061a,a2062a,a2063a,a2066a,a2069a,a2070a,a2073a,a2076a,a2077a,a2078a,a2079a,a2080a,a2083a,a2086a,a2087a,a2090a,a2093a,a2094a,a2095a,a2098a,a2101a,a2102a,a2105a,a2108a,a2109a,a2110a,a2111a,a2114a,a2117a,a2118a,a2121a,a2124a,a2125a,a2126a,a2129a,a2132a,a2133a,a2136a,a2139a,a2140a,a2141a,a2142a,a2143a,a2144a,a2148a,a2149a,a2152a,a2155a,a2156a,a2157a,a2160a,a2163a,a2164a,a2167a,a2170a,a2171a,a2172a,a2173a,a2176a,a2179a,a2180a,a2183a,a2186a,a2187a,a2188a,a2191a,a2194a,a2195a,a2198a,a2201a,a2202a,a2203a,a2204a,a2205a,a2208a,a2211a,a2212a,a2215a,a2218a,a2219a,a2220a,a2223a,a2226a,a2227a,a2230a,a2233a,a2234a,a2235a,a2236a,a2239a,a2242a,a2243a,a2246a,a2249a,a2250a,a2251a,a2254a,a2257a,a2258a,a2261a,a2264a,a2265a,a2266a,a2267a,a2268a,a2269a,a2270a,a2274a,a2275a,a2278a,a2281a,a2282a,a2283a,a2286a,a2289a,a2290a,a2293a,a2296a,a2297a,a2298a,a2299a,a2302a,a2305a,a2306a,a2309a,a2312a,a2313a,a2314a,a2317a,a2320a,a2321a,a2324a,a2327a,a2328a,a2329a,a2330a,a2331a,a2334a,a2337a,a2338a,a2341a,a2344a,a2345a,a2346a,a2349a,a2352a,a2353a,a2356a,a2359a,a2360a,a2361a,a2362a,a2365a,a2368a,a2369a,a2372a,a2375a,a2376a,a2377a,a2380a,a2383a,a2384a,a2387a,a2390a,a2391a,a2392a,a2393a,a2394a,a2395a,a2399a,a2400a,a2403a,a2406a,a2407a,a2408a,a2411a,a2414a,a2415a,a2418a,a2421a,a2422a,a2423a,a2424a,a2427a,a2430a,a2431a,a2434a,a2437a,a2438a,a2439a,a2442a,a2445a,a2446a,a2449a,a2452a,a2453a,a2454a,a2455a,a2456a,a2459a,a2462a,a2463a,a2466a,a2469a,a2470a,a2471a,a2474a,a2477a,a2478a,a2481a,a2484a,a2485a,a2486a,a2487a,a2490a,a2493a,a2494a,a2497a,a2500a,a2501a,a2502a,a2505a,a2508a,a2509a,a2512a,a2515a,a2516a,a2517a,a2518a,a2519a,a2520a,a2521a,a2522a,a2526a,a2527a,a2530a,a2533a,a2534a,a2535a,a2538a,a2541a,a2542a,a2545a,a2548a,a2549a,a2550a,a2551a,a2554a,a2557a,a2558a,a2561a,a2564a,a2565a,a2566a,a2569a,a2572a,a2573a,a2576a,a2579a,a2580a,a2581a,a2582a,a2583a,a2586a,a2589a,a2590a,a2593a,a2596a,a2597a,a2598a,a2601a,a2604a,a2605a,a2608a,a2611a,a2612a,a2613a,a2614a,a2617a,a2620a,a2621a,a2624a,a2627a,a2628a,a2629a,a2632a,a2635a,a2636a,a2639a,a2642a,a2643a,a2644a,a2645a,a2646a,a2647a,a2651a,a2652a,a2655a,a2658a,a2659a,a2660a,a2663a,a2666a,a2667a,a2670a,a2673a,a2674a,a2675a,a2676a,a2679a,a2682a,a2683a,a2686a,a2689a,a2690a,a2691a,a2694a,a2697a,a2698a,a2701a,a2704a,a2705a,a2706a,a2707a,a2708a,a2711a,a2714a,a2715a,a2718a,a2721a,a2722a,a2723a,a2726a,a2729a,a2730a,a2733a,a2736a,a2737a,a2738a,a2739a,a2742a,a2745a,a2746a,a2749a,a2752a,a2753a,a2754a,a2757a,a2760a,a2761a,a2764a,a2767a,a2768a,a2769a,a2770a,a2771a,a2772a,a2773a,a2777a,a2778a,a2781a,a2784a,a2785a,a2786a,a2789a,a2792a,a2793a,a2796a,a2799a,a2800a,a2801a,a2802a,a2805a,a2808a,a2809a,a2812a,a2815a,a2816a,a2817a,a2820a,a2823a,a2824a,a2827a,a2830a,a2831a,a2832a,a2833a,a2834a,a2837a,a2840a,a2841a,a2844a,a2847a,a2848a,a2849a,a2852a,a2855a,a2856a,a2859a,a2862a,a2863a,a2864a,a2865a,a2868a,a2871a,a2872a,a2875a,a2878a,a2879a,a2880a,a2883a,a2886a,a2887a,a2890a,a2893a,a2894a,a2895a,a2896a,a2897a,a2898a,a2901a,a2904a,a2905a,a2908a,a2911a,a2912a,a2913a,a2916a,a2919a,a2920a,a2923a,a2926a,a2927a,a2928a,a2929a,a2932a,a2935a,a2936a,a2939a,a2942a,a2943a,a2944a,a2947a,a2950a,a2951a,a2954a,a2957a,a2958a,a2959a,a2960a,a2961a,a2964a,a2967a,a2968a,a2971a,a2974a,a2975a,a2976a,a2979a,a2982a,a2983a,a2986a,a2989a,a2990a,a2991a,a2992a,a2995a,a2998a,a2999a,a3002a,a3005a,a3006a,a3007a,a3010a,a3013a,a3014a,a3017a,a3020a,a3021a,a3022a,a3023a,a3024a,a3025a,a3026a,a3027a,a3028a,a3036a,a3040a,a3044a,a3048a,a3052a,a3056a,a3060a,a3064a,a3067a,a3070a,a3073a,a3076a,a3079a,a3082a,a3085a,a3088a,a3091a,a3094a,a3097a,a3100a,a3103a,a3106a,a3109a,a3112a,a3115a,a3118a,a3121a,a3124a,a3127a,a3130a,a3133a,a3136a,a3139a,a3142a,a3145a,a3148a,a3151a,a3154a,a3157a,a3160a,a3163a,a3167a,a3168a,a3171a,a3175a,a3176a,a3179a,a3183a,a3184a,a3187a,a3191a,a3192a,a3195a,a3199a,a3200a,a3203a,a3207a,a3208a,a3211a,a3215a,a3216a,a3219a,a3223a,a3224a,a3227a,a3231a,a3232a,a3235a,a3239a,a3240a,a3243a,a3247a,a3248a,a3251a,a3255a,a3256a,a3259a,a3263a,a3264a,a3267a,a3271a,a3272a,a3275a,a3279a,a3280a,a3283a,a3287a,a3288a,a3292a,a3293a,a3297a,a3298a,a3302a,a3303a,a3307a,a3308a,a3312a,a3313a,a3317a,a3318a,a3322a,a3323a,a3327a,a3328a,a3332a,a3333a,a3337a,a3338a,a3342a,a3343a,a3347a,a3348a,a3352a,a3353a,a3357a,a3358a,a3362a,a3363a,a3367a,a3368a,a3372a,a3373a,a3377a,a3378a,a3382a,a3383a,a3387a,a3388a,a3392a,a3393a,a3396a,a3399a,a3400a,a3404a,a3405a,a3408a,a3411a,a3412a,a3416a,a3417a,a3420a,a3423a,a3424a,a3428a,a3429a,a3432a,a3435a,a3436a,a3440a,a3441a,a3444a,a3447a,a3448a,a3452a,a3453a,a3456a,a3459a,a3460a,a3464a,a3465a,a3468a,a3471a,a3472a,a3476a,a3477a,a3480a,a3483a,a3484a,a3488a,a3489a,a3492a,a3495a,a3496a,a3500a,a3501a,a3504a,a3507a,a3508a,a3512a,a3513a,a3516a,a3519a,a3520a,a3524a,a3525a,a3528a,a3531a,a3532a,a3536a,a3537a,a3540a,a3543a,a3544a,a3547a,a3550a,a3551a,a3554a,a3557a,a3558a,a3561a,a3564a,a3565a,a3568a,a3571a,a3572a,a3575a,a3578a,a3579a,a3582a,a3585a,a3586a,a3589a,a3592a,a3593a,a3596a,a3599a,a3600a,a3603a,a3606a,a3607a,a3610a,a3613a,a3614a,a3617a,a3620a,a3621a,a3624a,a3627a,a3628a,a3631a,a3634a,a3635a,a3638a,a3641a,a3642a,a3645a,a3648a,a3649a,a3652a,a3655a,a3656a,a3659a,a3662a,a3663a,a3666a,a3669a,a3670a,a3673a,a3676a,a3677a,a3680a,a3683a,a3684a,a3687a,a3690a,a3691a,a3694a,a3697a,a3698a,a3701a,a3704a,a3705a,a3708a,a3711a,a3712a,a3715a,a3718a,a3719a,a3722a,a3725a,a3726a,a3729a,a3732a,a3733a,a3736a,a3739a,a3740a,a3743a,a3746a,a3747a,a3750a,a3753a,a3754a,a3757a,a3760a,a3761a,a3764a,a3767a,a3768a,a3771a,a3774a,a3775a,a3778a,a3781a,a3782a,a3785a,a3788a,a3789a,a3792a,a3795a,a3796a,a3799a,a3802a,a3803a,a3806a,a3809a,a3810a,a3813a,a3816a,a3817a,a3820a,a3823a,a3824a,a3827a,a3830a,a3831a,a3834a,a3837a,a3838a,a3841a,a3844a,a3845a,a3848a,a3851a,a3852a,a3855a,a3858a,a3859a,a3862a,a3865a,a3866a,a3869a,a3872a,a3873a,a3876a,a3879a,a3880a,a3883a,a3886a,a3887a,a3890a,a3893a,a3894a,a3897a,a3900a,a3901a,a3904a,a3907a,a3908a,a3911a,a3914a,a3915a,a3918a,a3921a,a3922a,a3925a,a3928a,a3929a,a3932a,a3935a,a3936a,a3939a,a3942a,a3943a,a3946a,a3949a,a3950a,a3953a,a3956a,a3957a,a3960a,a3963a,a3964a,a3967a,a3970a,a3971a,a3974a,a3977a,a3978a,a3981a,a3984a,a3985a,a3988a,a3991a,a3992a,a3995a,a3998a,a3999a,a4002a,a4005a,a4006a,a4009a,a4012a,a4013a,a4016a,a4019a,a4020a,a4023a,a4026a,a4027a,a4030a,a4033a,a4034a,a4037a,a4040a,a4041a,a4044a,a4047a,a4048a,a4051a,a4054a,a4055a,a4058a,a4061a,a4062a,a4065a,a4068a,a4069a,a4072a,a4075a,a4076a,a4079a,a4082a,a4083a,a4086a,a4089a,a4090a,a4093a,a4096a,a4097a,a4100a,a4103a,a4104a,a4107a,a4110a,a4111a,a4114a,a4117a,a4118a,a4121a,a4124a,a4125a,a4128a,a4131a,a4132a,a4135a,a4138a,a4139a,a4142a,a4145a,a4146a,a4149a,a4152a,a4153a,a4156a,a4159a,a4160a,a4163a,a4166a,a4167a,a4170a,a4173a,a4174a,a4177a,a4180a,a4181a,a4184a,a4187a,a4188a,a4191a,a4194a,a4195a,a4198a,a4201a,a4202a,a4205a,a4208a,a4209a,a4212a,a4215a,a4216a,a4219a,a4222a,a4223a,a4226a,a4229a,a4230a,a4233a,a4236a,a4237a,a4240a,a4243a,a4244a,a4247a,a4250a,a4251a,a4254a,a4258a,a4259a,a4260a,a4263a,a4266a,a4267a,a4270a,a4274a,a4275a,a4276a,a4279a,a4282a,a4283a,a4286a,a4290a,a4291a,a4292a,a4295a,a4298a,a4299a,a4302a,a4306a,a4307a,a4308a,a4311a,a4314a,a4315a,a4318a,a4322a,a4323a,a4324a,a4327a,a4330a,a4331a,a4334a,a4338a,a4339a,a4340a,a4343a,a4346a,a4347a,a4350a,a4354a,a4355a,a4356a,a4359a,a4362a,a4363a,a4366a,a4370a,a4371a,a4372a,a4375a,a4378a,a4379a,a4382a,a4386a,a4387a,a4388a,a4391a,a4394a,a4395a,a4398a,a4402a,a4403a,a4404a,a4407a,a4410a,a4411a,a4414a,a4418a,a4419a,a4420a,a4423a,a4426a,a4427a,a4430a,a4434a,a4435a,a4436a,a4439a,a4442a,a4443a,a4446a,a4450a,a4451a,a4452a,a4455a,a4458a,a4459a,a4462a,a4466a,a4467a,a4468a,a4471a,a4474a,a4475a,a4478a,a4482a,a4483a,a4484a,a4487a,a4490a,a4491a,a4494a,a4498a,a4499a,a4500a,a4503a,a4506a,a4507a,a4510a,a4514a,a4515a,a4516a,a4519a,a4522a,a4523a,a4526a,a4530a,a4531a,a4532a,a4535a,a4538a,a4539a,a4542a,a4546a,a4547a,a4548a,a4551a,a4554a,a4555a,a4558a,a4562a,a4563a,a4564a,a4567a,a4570a,a4571a,a4574a,a4578a,a4579a,a4580a,a4583a,a4586a,a4587a,a4590a,a4594a,a4595a,a4596a,a4599a,a4602a,a4603a,a4606a,a4610a,a4611a,a4612a,a4615a,a4618a,a4619a,a4622a,a4626a,a4627a,a4628a,a4631a,a4634a,a4635a,a4638a,a4642a,a4643a,a4644a,a4647a,a4650a,a4651a,a4654a,a4658a,a4659a,a4660a,a4663a,a4666a,a4667a,a4670a,a4674a,a4675a,a4676a,a4679a,a4682a,a4683a,a4686a,a4690a,a4691a,a4692a,a4695a,a4698a,a4699a,a4702a,a4706a,a4707a,a4708a,a4711a,a4714a,a4715a,a4718a,a4722a,a4723a,a4724a,a4727a,a4730a,a4731a,a4734a,a4738a,a4739a,a4740a,a4743a,a4746a,a4747a,a4750a,a4754a,a4755a,a4756a,a4759a,a4762a,a4763a,a4766a,a4770a,a4771a,a4772a,a4775a,a4778a,a4779a,a4782a,a4786a,a4787a,a4788a,a4791a,a4794a,a4795a,a4798a,a4802a,a4803a,a4804a,a4807a,a4810a,a4811a,a4814a,a4818a,a4819a,a4820a,a4823a,a4826a,a4827a,a4830a,a4834a,a4835a,a4836a,a4839a,a4842a,a4843a,a4846a,a4850a,a4851a,a4852a,a4855a,a4858a,a4859a,a4862a,a4866a,a4867a,a4868a,a4871a,a4874a,a4875a,a4878a,a4882a,a4883a,a4884a,a4887a,a4890a,a4891a,a4894a,a4898a,a4899a,a4900a,a4903a,a4906a,a4907a,a4910a,a4914a,a4915a,a4916a,a4919a,a4922a,a4923a,a4926a,a4930a,a4931a,a4932a,a4935a,a4938a,a4939a,a4942a,a4946a,a4947a,a4948a,a4951a,a4954a,a4955a,a4958a,a4962a,a4963a,a4964a,a4967a,a4970a,a4971a,a4974a,a4978a,a4979a,a4980a,a4983a,a4986a,a4987a,a4990a,a4994a,a4995a,a4996a,a4999a,a5002a,a5003a,a5006a,a5010a,a5011a,a5012a,a5015a,a5018a,a5019a,a5022a,a5026a,a5027a,a5028a,a5031a,a5034a,a5035a,a5038a,a5042a,a5043a,a5044a,a5047a,a5050a,a5051a,a5054a,a5058a,a5059a,a5060a,a5063a,a5066a,a5067a,a5070a,a5074a,a5075a,a5076a,a5079a,a5082a,a5083a,a5086a,a5090a,a5091a,a5092a,a5095a,a5098a,a5099a,a5102a,a5106a,a5107a,a5108a,a5111a,a5114a,a5115a,a5118a,a5122a,a5123a,a5124a,a5127a,a5130a,a5131a,a5134a,a5138a,a5139a,a5140a,a5143a,a5146a,a5147a,a5150a,a5154a,a5155a,a5156a,a5159a,a5162a,a5163a,a5166a,a5170a,a5171a,a5172a,a5175a,a5178a,a5179a,a5182a,a5186a,a5187a,a5188a,a5191a,a5194a,a5195a,a5198a,a5202a,a5203a,a5204a,a5207a,a5210a,a5211a,a5214a,a5218a,a5219a,a5220a,a5223a,a5226a,a5227a,a5230a,a5234a,a5235a,a5236a,a5239a,a5242a,a5243a,a5246a,a5250a,a5251a,a5252a,a5255a,a5258a,a5259a,a5262a,a5266a,a5267a,a5268a,a5271a,a5274a,a5275a,a5278a,a5282a,a5283a,a5284a,a5287a,a5290a,a5291a,a5294a,a5298a,a5299a,a5300a,a5303a,a5306a,a5307a,a5310a,a5314a,a5315a,a5316a,a5319a,a5322a,a5323a,a5326a,a5330a,a5331a,a5332a,a5335a,a5338a,a5339a,a5342a,a5346a,a5347a,a5348a,a5351a,a5354a,a5355a,a5358a,a5362a,a5363a,a5364a,a5367a,a5370a,a5371a,a5374a,a5378a,a5379a,a5380a,a5383a,a5386a,a5387a,a5390a,a5394a,a5395a,a5396a,a5399a,a5402a,a5403a,a5406a,a5410a,a5411a,a5412a,a5415a,a5418a,a5419a,a5422a,a5426a,a5427a,a5428a,a5431a,a5434a,a5435a,a5438a,a5442a,a5443a,a5444a,a5447a,a5450a,a5451a,a5454a,a5458a,a5459a,a5460a,a5463a,a5466a,a5467a,a5470a,a5474a,a5475a,a5476a,a5479a,a5482a,a5483a,a5486a,a5490a,a5491a,a5492a,a5495a,a5498a,a5499a,a5502a,a5506a,a5507a,a5508a,a5511a,a5514a,a5515a,a5518a,a5522a,a5523a,a5524a,a5527a,a5530a,a5531a,a5534a,a5538a,a5539a,a5540a,a5543a,a5546a,a5547a,a5550a,a5554a,a5555a,a5556a,a5559a,a5562a,a5563a,a5566a,a5570a,a5571a,a5572a,a5575a,a5578a,a5579a,a5582a,a5586a,a5587a,a5588a,a5591a,a5594a,a5595a,a5598a,a5602a,a5603a,a5604a,a5607a,a5610a,a5611a,a5614a,a5618a,a5619a,a5620a,a5623a,a5626a,a5627a,a5630a,a5634a,a5635a,a5636a,a5639a,a5642a,a5643a,a5646a,a5650a,a5651a,a5652a,a5655a,a5658a,a5659a,a5662a,a5666a,a5667a,a5668a,a5671a,a5674a,a5675a,a5678a,a5682a,a5683a,a5684a,a5687a,a5690a,a5691a,a5694a,a5698a,a5699a,a5700a,a5703a,a5706a,a5707a,a5710a,a5714a,a5715a,a5716a,a5719a,a5722a,a5723a,a5726a,a5730a,a5731a,a5732a,a5735a,a5738a,a5739a,a5742a,a5746a,a5747a,a5748a,a5751a,a5754a,a5755a,a5758a,a5762a,a5763a,a5764a,a5767a,a5770a,a5771a,a5774a,a5778a,a5779a,a5780a,a5783a,a5786a,a5787a,a5790a,a5794a,a5795a,a5796a,a5799a,a5802a,a5803a,a5806a,a5810a,a5811a,a5812a,a5815a,a5818a,a5819a,a5822a,a5826a,a5827a,a5828a,a5831a,a5834a,a5835a,a5838a,a5842a,a5843a,a5844a,a5847a,a5850a,a5851a,a5854a,a5858a,a5859a,a5860a,a5863a,a5866a,a5867a,a5870a,a5874a,a5875a,a5876a,a5879a,a5882a,a5883a,a5886a,a5890a,a5891a,a5892a,a5895a,a5898a,a5899a,a5902a,a5906a,a5907a,a5908a,a5911a,a5914a,a5915a,a5918a,a5922a,a5923a,a5924a,a5927a,a5930a,a5931a,a5934a,a5938a,a5939a,a5940a,a5943a,a5946a,a5947a,a5950a,a5954a,a5955a,a5956a,a5959a,a5962a,a5963a,a5966a,a5970a,a5971a,a5972a,a5975a,a5978a,a5979a,a5982a,a5986a,a5987a,a5988a,a5991a,a5994a,a5995a,a5998a,a6002a,a6003a,a6004a,a6007a,a6010a,a6011a,a6014a,a6018a,a6019a,a6020a,a6023a,a6026a,a6027a,a6030a,a6034a,a6035a,a6036a,a6039a,a6042a,a6043a,a6046a,a6050a,a6051a,a6052a,a6055a,a6058a,a6059a,a6062a,a6066a,a6067a,a6068a,a6071a,a6074a,a6075a,a6078a,a6082a,a6083a,a6084a,a6087a,a6090a,a6091a,a6094a,a6098a,a6099a,a6100a,a6103a,a6106a,a6107a,a6110a,a6114a,a6115a,a6116a,a6119a,a6122a,a6123a,a6126a,a6130a,a6131a,a6132a,a6135a,a6138a,a6139a,a6142a,a6146a,a6147a,a6148a,a6151a,a6154a,a6155a,a6158a,a6162a,a6163a,a6164a,a6167a,a6170a,a6171a,a6174a,a6178a,a6179a,a6180a,a6183a,a6186a,a6187a,a6190a,a6194a,a6195a,a6196a,a6199a,a6203a,a6204a,a6205a,a6208a,a6212a,a6213a,a6214a,a6217a,a6221a,a6222a,a6223a,a6226a,a6230a,a6231a,a6232a,a6235a,a6239a,a6240a,a6241a,a6244a,a6248a,a6249a,a6250a,a6253a,a6257a,a6258a,a6259a,a6262a,a6266a,a6267a,a6268a,a6271a,a6275a,a6276a,a6277a,a6280a,a6284a,a6285a,a6286a,a6289a,a6293a,a6294a,a6295a,a6298a,a6302a,a6303a,a6304a,a6307a,a6311a,a6312a,a6313a,a6316a,a6320a,a6321a,a6322a,a6325a,a6329a,a6330a,a6331a,a6334a,a6338a,a6339a,a6340a,a6343a,a6347a,a6348a,a6349a,a6352a,a6356a,a6357a,a6358a,a6361a,a6365a,a6366a,a6367a,a6370a,a6374a,a6375a,a6376a,a6379a,a6383a,a6384a,a6385a,a6388a,a6392a,a6393a,a6394a,a6397a,a6401a,a6402a,a6403a,a6406a,a6410a,a6411a,a6412a,a6415a,a6419a,a6420a,a6421a,a6424a,a6428a,a6429a,a6430a,a6433a,a6437a,a6438a,a6439a,a6442a,a6446a,a6447a,a6448a,a6451a,a6455a,a6456a,a6457a,a6460a,a6464a,a6465a,a6466a,a6469a,a6473a,a6474a,a6475a,a6478a,a6482a,a6483a,a6484a,a6487a,a6491a,a6492a,a6493a,a6496a,a6500a,a6501a,a6502a,a6505a,a6509a,a6510a,a6511a,a6514a,a6518a,a6519a,a6520a,a6523a,a6527a,a6528a,a6529a,a6532a,a6536a,a6537a,a6538a,a6541a,a6545a,a6546a,a6547a,a6550a,a6554a,a6555a,a6556a,a6559a,a6563a,a6564a,a6565a,a6568a,a6572a,a6573a,a6574a,a6577a,a6581a,a6582a,a6583a,a6586a,a6590a,a6591a,a6592a,a6595a,a6599a,a6600a,a6601a,a6604a,a6608a,a6609a,a6610a,a6613a,a6617a,a6618a,a6619a,a6622a,a6626a,a6627a,a6628a,a6631a,a6635a,a6636a,a6637a,a6640a,a6644a,a6645a,a6646a,a6649a,a6653a,a6654a,a6655a,a6658a,a6662a,a6663a,a6664a,a6667a,a6671a,a6672a,a6673a,a6676a,a6680a,a6681a,a6682a,a6685a,a6689a,a6690a,a6691a,a6694a,a6698a,a6699a,a6700a,a6703a,a6707a,a6708a,a6709a,a6712a,a6716a,a6717a,a6718a,a6721a,a6725a,a6726a,a6727a,a6730a,a6734a,a6735a,a6736a,a6739a,a6743a,a6744a,a6745a,a6748a,a6752a,a6753a,a6754a,a6757a,a6761a,a6762a,a6763a,a6766a,a6770a,a6771a,a6772a,a6775a,a6779a,a6780a,a6781a,a6784a,a6788a,a6789a,a6790a,a6793a,a6797a,a6798a,a6799a,a6802a,a6806a,a6807a,a6808a,a6811a,a6815a,a6816a,a6817a,a6820a,a6824a,a6825a,a6826a,a6829a,a6833a,a6834a,a6835a,a6838a,a6842a,a6843a,a6844a,a6847a,a6851a,a6852a,a6853a,a6856a,a6860a,a6861a,a6862a,a6865a,a6869a,a6870a,a6871a,a6874a,a6878a,a6879a,a6880a,a6883a,a6887a,a6888a,a6889a,a6892a,a6896a,a6897a,a6898a,a6901a,a6905a,a6906a,a6907a,a6910a,a6914a,a6915a,a6916a,a6919a,a6923a,a6924a,a6925a,a6928a,a6932a,a6933a,a6934a,a6937a,a6941a,a6942a,a6943a,a6946a,a6950a,a6951a,a6952a,a6955a,a6959a,a6960a,a6961a,a6964a,a6968a,a6969a,a6970a,a6973a,a6977a,a6978a,a6979a,a6982a,a6986a,a6987a,a6988a,a6991a,a6995a,a6996a,a6997a,a7000a,a7004a,a7005a,a7006a,a7009a,a7013a,a7014a,a7015a,a7018a,a7022a,a7023a,a7024a,a7027a,a7031a,a7032a,a7033a,a7036a,a7040a,a7041a,a7042a,a7045a,a7049a,a7050a,a7051a,a7054a,a7058a,a7059a,a7060a,a7063a,a7067a,a7068a,a7069a,a7072a,a7076a,a7077a,a7078a,a7081a,a7085a,a7086a,a7087a,a7090a,a7094a,a7095a,a7096a,a7099a,a7103a,a7104a,a7105a,a7108a,a7112a,a7113a,a7114a,a7117a,a7121a,a7122a,a7123a,a7126a,a7130a,a7131a,a7132a,a7135a,a7139a,a7140a,a7141a,a7144a,a7148a,a7149a,a7150a,a7153a,a7157a,a7158a,a7159a,a7162a,a7166a,a7167a,a7168a,a7171a,a7175a,a7176a,a7177a,a7180a,a7184a,a7185a,a7186a,a7189a,a7193a,a7194a,a7195a,a7198a,a7202a,a7203a,a7204a,a7207a,a7211a,a7212a,a7213a,a7216a,a7220a,a7221a,a7222a,a7225a,a7229a,a7230a,a7231a,a7234a,a7238a,a7239a,a7240a,a7243a,a7247a,a7248a,a7249a,a7252a,a7256a,a7257a,a7258a,a7261a,a7265a,a7266a,a7267a,a7270a,a7274a,a7275a,a7276a,a7279a,a7283a,a7284a,a7285a,a7288a,a7292a,a7293a,a7294a,a7297a,a7301a,a7302a,a7303a,a7306a,a7310a,a7311a,a7312a,a7315a,a7319a,a7320a,a7321a,a7324a,a7328a,a7329a,a7330a,a7333a,a7337a,a7338a,a7339a,a7342a,a7346a,a7347a,a7348a,a7351a,a7355a,a7356a,a7357a,a7360a,a7364a,a7365a,a7366a,a7369a,a7373a,a7374a,a7375a,a7378a,a7382a,a7383a,a7384a,a7387a,a7391a,a7392a,a7393a,a7396a,a7400a,a7401a,a7402a,a7405a,a7409a,a7410a,a7411a,a7414a,a7418a,a7419a,a7420a,a7423a,a7427a,a7428a,a7429a,a7432a,a7436a,a7437a,a7438a,a7441a,a7445a,a7446a,a7447a,a7450a,a7454a,a7455a,a7456a,a7459a,a7463a,a7464a,a7465a,a7468a,a7472a,a7473a,a7474a,a7477a,a7481a,a7482a,a7483a,a7486a,a7490a,a7491a,a7492a,a7495a,a7499a,a7500a,a7501a,a7504a,a7508a,a7509a,a7510a,a7513a,a7517a,a7518a,a7519a,a7522a,a7526a,a7527a,a7528a,a7531a,a7535a,a7536a,a7537a,a7540a,a7544a,a7545a,a7546a,a7549a,a7553a,a7554a,a7555a,a7558a,a7562a,a7563a,a7564a,a7567a,a7571a,a7572a,a7573a,a7576a,a7580a,a7581a,a7582a,a7585a,a7589a,a7590a,a7591a,a7594a,a7598a,a7599a,a7600a,a7603a,a7607a,a7608a,a7609a,a7612a,a7616a,a7617a,a7618a,a7621a,a7625a,a7626a,a7627a,a7630a,a7634a,a7635a,a7636a,a7639a,a7643a,a7644a,a7645a,a7648a,a7652a,a7653a,a7654a,a7657a,a7661a,a7662a,a7663a,a7666a,a7670a,a7671a,a7672a,a7675a,a7679a,a7680a,a7681a,a7684a,a7688a,a7689a,a7690a,a7693a,a7697a,a7698a,a7699a,a7702a,a7706a,a7707a,a7708a,a7711a,a7715a,a7716a,a7717a,a7720a,a7724a,a7725a,a7726a,a7729a,a7733a,a7734a,a7735a,a7738a,a7742a,a7743a,a7744a,a7747a,a7751a,a7752a,a7753a,a7756a,a7760a,a7761a,a7762a,a7765a,a7769a,a7770a,a7771a,a7774a,a7778a,a7779a,a7780a,a7783a,a7787a,a7788a,a7789a,a7792a,a7796a,a7797a,a7798a,a7801a,a7805a,a7806a,a7807a,a7810a,a7814a,a7815a,a7816a,a7819a,a7823a,a7824a,a7825a,a7828a,a7832a,a7833a,a7834a,a7837a,a7841a,a7842a,a7843a,a7846a,a7850a,a7851a,a7852a,a7855a,a7859a,a7860a,a7861a,a7864a,a7868a,a7869a,a7870a,a7873a,a7877a,a7878a,a7879a,a7882a,a7886a,a7887a,a7888a,a7891a,a7895a,a7896a,a7897a,a7900a,a7904a,a7905a,a7906a,a7909a,a7913a,a7914a,a7915a,a7918a,a7922a,a7923a,a7924a,a7927a,a7931a,a7932a,a7933a,a7936a,a7940a,a7941a,a7942a,a7945a,a7949a,a7950a,a7951a,a7954a,a7958a,a7959a,a7960a,a7963a,a7967a,a7968a,a7969a,a7972a,a7976a,a7977a,a7978a,a7981a,a7985a,a7986a,a7987a,a7990a,a7994a,a7995a,a7996a,a7999a,a8003a,a8004a,a8005a,a8008a,a8012a,a8013a,a8014a,a8017a,a8021a,a8022a,a8023a,a8026a,a8030a,a8031a,a8032a,a8035a,a8039a,a8040a,a8041a,a8044a,a8048a,a8049a,a8050a,a8053a,a8057a,a8058a,a8059a,a8062a,a8066a,a8067a,a8068a,a8071a,a8075a,a8076a,a8077a,a8080a,a8084a,a8085a,a8086a,a8089a,a8093a,a8094a,a8095a,a8098a,a8102a,a8103a,a8104a,a8107a,a8111a,a8112a,a8113a,a8116a,a8120a,a8121a,a8122a,a8125a,a8129a,a8130a,a8131a,a8134a,a8138a,a8139a,a8140a,a8143a,a8147a,a8148a,a8149a,a8152a,a8156a,a8157a,a8158a,a8161a,a8165a,a8166a,a8167a,a8170a,a8174a,a8175a,a8176a,a8179a,a8183a,a8184a,a8185a,a8188a,a8192a,a8193a,a8194a,a8197a,a8201a,a8202a,a8203a,a8206a,a8210a,a8211a,a8212a,a8215a,a8219a,a8220a,a8221a,a8224a,a8228a,a8229a,a8230a,a8233a,a8237a,a8238a,a8239a,a8242a,a8246a,a8247a,a8248a,a8251a,a8255a,a8256a,a8257a,a8260a,a8264a,a8265a,a8266a,a8269a,a8273a,a8274a,a8275a,a8278a,a8282a,a8283a,a8284a,a8287a,a8291a,a8292a,a8293a,a8296a,a8300a,a8301a,a8302a,a8305a,a8309a,a8310a,a8311a,a8314a,a8318a,a8319a,a8320a,a8323a,a8327a,a8328a,a8329a,a8332a,a8336a,a8337a,a8338a,a8341a,a8345a,a8346a,a8347a,a8350a,a8354a,a8355a,a8356a,a8359a,a8363a,a8364a,a8365a,a8368a,a8372a,a8373a,a8374a,a8377a,a8381a,a8382a,a8383a,a8386a,a8390a,a8391a,a8392a,a8395a,a8399a,a8400a,a8401a,a8404a,a8408a,a8409a,a8410a,a8413a,a8417a,a8418a,a8419a,a8422a,a8426a,a8427a,a8428a,a8431a,a8435a,a8436a,a8437a,a8440a,a8444a,a8445a,a8446a,a8449a,a8453a,a8454a,a8455a,a8458a,a8462a,a8463a,a8464a,a8467a,a8471a,a8472a,a8473a,a8476a,a8480a,a8481a,a8482a,a8485a,a8489a,a8490a,a8491a,a8494a,a8498a,a8499a,a8500a,a8503a,a8507a,a8508a,a8509a,a8512a,a8516a,a8517a,a8518a,a8521a,a8525a,a8526a,a8527a,a8530a,a8534a,a8535a,a8536a,a8539a,a8543a,a8544a,a8545a,a8548a,a8552a,a8553a,a8554a,a8557a,a8561a,a8562a,a8563a,a8566a,a8570a,a8571a,a8572a,a8575a,a8579a,a8580a,a8581a,a8584a,a8588a,a8589a,a8590a,a8593a,a8597a,a8598a,a8599a,a8602a,a8606a,a8607a,a8608a,a8611a,a8615a,a8616a,a8617a,a8620a,a8624a,a8625a,a8626a,a8629a,a8633a,a8634a,a8635a,a8638a,a8642a,a8643a,a8644a,a8647a,a8651a,a8652a,a8653a,a8656a,a8660a,a8661a,a8662a,a8665a,a8669a,a8670a,a8671a,a8674a,a8678a,a8679a,a8680a,a8683a,a8687a,a8688a,a8689a,a8692a,a8696a,a8697a,a8698a,a8701a,a8705a,a8706a,a8707a,a8710a,a8714a,a8715a,a8716a,a8719a,a8723a,a8724a,a8725a,a8728a,a8732a,a8733a,a8734a,a8737a,a8741a,a8742a,a8743a,a8746a,a8750a,a8751a,a8752a,a8755a,a8759a,a8760a,a8761a,a8764a,a8768a,a8769a,a8770a,a8773a,a8777a,a8778a,a8779a,a8782a,a8786a,a8787a,a8788a,a8791a,a8795a,a8796a,a8797a,a8800a,a8804a,a8805a,a8806a,a8809a,a8813a,a8814a,a8815a,a8818a,a8822a,a8823a,a8824a,a8827a,a8831a,a8832a,a8833a,a8836a,a8840a,a8841a,a8842a,a8845a,a8849a,a8850a,a8851a,a8854a,a8858a,a8859a,a8860a,a8863a,a8867a,a8868a,a8869a,a8872a,a8876a,a8877a,a8878a,a8881a,a8885a,a8886a,a8887a,a8890a,a8894a,a8895a,a8896a,a8899a,a8903a,a8904a,a8905a,a8908a,a8912a,a8913a,a8914a,a8917a,a8921a,a8922a,a8923a,a8926a,a8930a,a8931a,a8932a,a8935a,a8939a,a8940a,a8941a,a8944a,a8948a,a8949a,a8950a,a8953a,a8957a,a8958a,a8959a,a8962a,a8966a,a8967a,a8968a,a8971a,a8975a,a8976a,a8977a,a8980a,a8984a,a8985a,a8986a,a8989a,a8993a,a8994a,a8995a,a8998a,a9002a,a9003a,a9004a,a9007a,a9011a,a9012a,a9013a,a9016a,a9020a,a9021a,a9022a,a9025a,a9029a,a9030a,a9031a,a9034a,a9038a,a9039a,a9040a,a9043a,a9047a,a9048a,a9049a,a9052a,a9056a,a9057a,a9058a,a9061a,a9065a,a9066a,a9067a,a9070a,a9074a,a9075a,a9076a,a9079a,a9083a,a9084a,a9085a,a9088a,a9092a,a9093a,a9094a,a9097a,a9101a,a9102a,a9103a,a9106a,a9110a,a9111a,a9112a,a9115a,a9119a,a9120a,a9121a,a9124a,a9128a,a9129a,a9130a,a9133a,a9137a,a9138a,a9139a,a9142a,a9146a,a9147a,a9148a,a9151a,a9155a,a9156a,a9157a,a9160a,a9164a,a9165a,a9166a,a9169a,a9173a,a9174a,a9175a,a9178a,a9182a,a9183a,a9184a,a9187a,a9191a,a9192a,a9193a,a9196a,a9200a,a9201a,a9202a,a9205a,a9209a,a9210a,a9211a,a9214a,a9218a,a9219a,a9220a,a9223a,a9227a,a9228a,a9229a,a9232a,a9236a,a9237a,a9238a,a9241a,a9245a,a9246a,a9247a,a9250a,a9254a,a9255a,a9256a,a9259a,a9263a,a9264a,a9265a,a9268a,a9272a,a9273a,a9274a,a9277a,a9281a,a9282a,a9283a,a9286a,a9290a,a9291a,a9292a,a9295a,a9299a,a9300a,a9301a,a9304a,a9308a,a9309a,a9310a,a9313a,a9317a,a9318a,a9319a,a9322a,a9326a,a9327a,a9328a,a9331a,a9335a,a9336a,a9337a,a9340a,a9344a,a9345a,a9346a,a9349a,a9353a,a9354a,a9355a,a9358a,a9362a,a9363a,a9364a,a9367a,a9371a,a9372a,a9373a,a9376a,a9380a,a9381a,a9382a,a9385a,a9389a,a9390a,a9391a,a9394a,a9398a,a9399a,a9400a,a9403a,a9407a,a9408a,a9409a,a9412a,a9416a,a9417a,a9418a,a9421a,a9425a,a9426a,a9427a,a9430a,a9434a,a9435a,a9436a,a9439a,a9443a,a9444a,a9445a,a9448a,a9452a,a9453a,a9454a,a9457a,a9461a,a9462a,a9463a,a9466a,a9470a,a9471a,a9472a,a9475a,a9479a,a9480a,a9481a,a9484a,a9488a,a9489a,a9490a,a9493a,a9497a,a9498a,a9499a,a9502a,a9506a,a9507a,a9508a,a9511a,a9515a,a9516a,a9517a,a9520a,a9524a,a9525a,a9526a,a9529a,a9533a,a9534a,a9535a,a9538a,a9542a,a9543a,a9544a,a9547a,a9551a,a9552a,a9553a,a9556a,a9560a,a9561a,a9562a,a9565a,a9569a,a9570a,a9571a,a9574a,a9578a,a9579a,a9580a,a9583a,a9587a,a9588a,a9589a,a9592a,a9596a,a9597a,a9598a,a9601a,a9605a,a9606a,a9607a,a9610a,a9614a,a9615a,a9616a,a9619a,a9623a,a9624a,a9625a,a9628a,a9632a,a9633a,a9634a,a9637a,a9641a,a9642a,a9643a,a9646a,a9650a,a9651a,a9652a,a9655a,a9659a,a9660a,a9661a,a9664a,a9668a,a9669a,a9670a,a9673a,a9677a,a9678a,a9679a,a9682a,a9686a,a9687a,a9688a,a9691a,a9695a,a9696a,a9697a,a9700a,a9704a,a9705a,a9706a,a9709a,a9713a,a9714a,a9715a,a9718a,a9722a,a9723a,a9724a,a9727a,a9731a,a9732a,a9733a,a9736a,a9740a,a9741a,a9742a,a9745a,a9749a,a9750a,a9751a,a9754a,a9758a,a9759a,a9760a,a9763a,a9767a,a9768a,a9769a,a9772a,a9776a,a9777a,a9778a,a9781a,a9785a,a9786a,a9787a,a9790a,a9794a,a9795a,a9796a,a9799a,a9803a,a9804a,a9805a,a9808a,a9812a,a9813a,a9814a,a9817a,a9821a,a9822a,a9823a,a9826a,a9830a,a9831a,a9832a,a9835a,a9839a,a9840a,a9841a,a9844a,a9848a,a9849a,a9850a,a9853a,a9857a,a9858a,a9859a,a9862a,a9866a,a9867a,a9868a,a9871a,a9875a,a9876a,a9877a,a9880a,a9884a,a9885a,a9886a,a9889a,a9893a,a9894a,a9895a,a9898a,a9902a,a9903a,a9904a,a9907a,a9911a,a9912a,a9913a,a9916a,a9920a,a9921a,a9922a,a9925a,a9929a,a9930a,a9931a,a9934a,a9938a,a9939a,a9940a,a9943a,a9947a,a9948a,a9949a,a9952a,a9956a,a9957a,a9958a,a9961a,a9965a,a9966a,a9967a,a9970a,a9974a,a9975a,a9976a,a9979a,a9983a,a9984a,a9985a,a9989a,a9990a,a9994a,a9995a,a9996a,a9999a,a10003a,a10004a,a10005a,a10009a,a10010a,a10014a,a10015a,a10016a,a10019a,a10023a,a10024a,a10025a,a10029a,a10030a,a10034a,a10035a,a10036a,a10039a,a10043a,a10044a,a10045a,a10049a,a10050a,a10054a,a10055a,a10056a,a10059a,a10063a,a10064a,a10065a,a10069a,a10070a,a10074a,a10075a,a10076a,a10079a,a10083a,a10084a,a10085a,a10089a,a10090a,a10094a,a10095a,a10096a,a10099a,a10103a,a10104a,a10105a,a10109a,a10110a,a10114a,a10115a,a10116a,a10119a,a10123a,a10124a,a10125a,a10129a,a10130a,a10134a,a10135a,a10136a,a10139a,a10143a,a10144a,a10145a,a10149a,a10150a,a10154a,a10155a,a10156a,a10159a,a10163a,a10164a,a10165a,a10169a,a10170a,a10174a,a10175a,a10176a,a10179a,a10183a,a10184a,a10185a,a10189a,a10190a,a10194a,a10195a,a10196a,a10199a,a10203a,a10204a,a10205a,a10209a,a10210a,a10214a,a10215a,a10216a,a10219a,a10223a,a10224a,a10225a,a10229a,a10230a,a10234a,a10235a,a10236a,a10239a,a10243a,a10244a,a10245a,a10249a,a10250a,a10254a,a10255a,a10256a,a10259a,a10263a,a10264a,a10265a,a10269a,a10270a,a10274a,a10275a,a10276a,a10279a,a10283a,a10284a,a10285a,a10289a,a10290a,a10294a,a10295a,a10296a,a10299a,a10303a,a10304a,a10305a,a10309a,a10310a,a10314a,a10315a,a10316a,a10319a,a10323a,a10324a,a10325a,a10329a,a10330a,a10334a,a10335a,a10336a,a10339a,a10343a,a10344a,a10345a,a10349a,a10350a,a10354a,a10355a,a10356a,a10359a,a10363a,a10364a,a10365a,a10369a,a10370a,a10374a,a10375a,a10376a,a10379a,a10383a,a10384a,a10385a,a10389a,a10390a,a10394a,a10395a,a10396a,a10399a,a10403a,a10404a,a10405a,a10409a,a10410a,a10414a,a10415a,a10416a,a10419a,a10423a,a10424a,a10425a,a10429a,a10430a,a10434a,a10435a,a10436a,a10439a,a10443a,a10444a,a10445a,a10449a,a10450a,a10454a,a10455a,a10456a,a10459a,a10463a,a10464a,a10465a,a10469a,a10470a,a10474a,a10475a,a10476a,a10479a,a10483a,a10484a,a10485a,a10489a,a10490a,a10494a,a10495a,a10496a,a10499a,a10503a,a10504a,a10505a,a10509a,a10510a,a10514a,a10515a,a10516a,a10519a,a10523a,a10524a,a10525a,a10529a,a10530a,a10534a,a10535a,a10536a,a10539a,a10543a,a10544a,a10545a,a10549a,a10550a,a10554a,a10555a,a10556a,a10559a,a10563a,a10564a,a10565a,a10569a,a10570a,a10574a,a10575a,a10576a,a10579a,a10583a,a10584a,a10585a,a10589a,a10590a,a10594a,a10595a,a10596a,a10599a,a10603a,a10604a,a10605a,a10609a,a10610a,a10614a,a10615a,a10616a,a10619a,a10623a,a10624a,a10625a,a10629a,a10630a,a10634a,a10635a,a10636a,a10639a,a10643a,a10644a,a10645a,a10649a,a10650a,a10654a,a10655a,a10656a,a10659a,a10663a,a10664a,a10665a,a10669a,a10670a,a10674a,a10675a,a10676a,a10679a,a10683a,a10684a,a10685a,a10689a,a10690a,a10694a,a10695a,a10696a,a10699a,a10703a,a10704a,a10705a,a10709a,a10710a,a10714a,a10715a,a10716a,a10719a,a10723a,a10724a,a10725a,a10729a,a10730a,a10734a,a10735a,a10736a,a10739a,a10743a,a10744a,a10745a,a10749a,a10750a,a10754a,a10755a,a10756a,a10759a,a10763a,a10764a,a10765a,a10769a,a10770a,a10774a,a10775a,a10776a,a10779a,a10783a,a10784a,a10785a,a10789a,a10790a,a10794a,a10795a,a10796a,a10799a,a10803a,a10804a,a10805a,a10809a,a10810a,a10814a,a10815a,a10816a,a10819a,a10823a,a10824a,a10825a,a10829a,a10830a,a10834a,a10835a,a10836a,a10839a,a10843a,a10844a,a10845a,a10849a,a10850a,a10854a,a10855a,a10856a,a10859a,a10863a,a10864a,a10865a,a10869a,a10870a,a10874a,a10875a,a10876a,a10879a,a10883a,a10884a,a10885a,a10889a,a10890a,a10894a,a10895a,a10896a,a10899a,a10903a,a10904a,a10905a,a10909a,a10910a,a10914a,a10915a,a10916a,a10919a,a10923a,a10924a,a10925a,a10929a,a10930a,a10934a,a10935a,a10936a,a10939a,a10943a,a10944a,a10945a,a10949a,a10950a,a10954a,a10955a,a10956a,a10959a,a10963a,a10964a,a10965a,a10969a,a10970a,a10974a,a10975a,a10976a,a10979a,a10983a,a10984a,a10985a,a10989a,a10990a,a10994a,a10995a,a10996a,a10999a,a11003a,a11004a,a11005a,a11009a,a11010a,a11014a,a11015a,a11016a,a11019a,a11023a,a11024a,a11025a,a11029a,a11030a,a11034a,a11035a,a11036a,a11039a,a11043a,a11044a,a11045a,a11049a,a11050a,a11054a,a11055a,a11056a,a11059a,a11063a,a11064a,a11065a,a11069a,a11070a,a11074a,a11075a,a11076a,a11079a,a11083a,a11084a,a11085a,a11089a,a11090a,a11094a,a11095a,a11096a,a11099a,a11103a,a11104a,a11105a,a11109a,a11110a,a11114a,a11115a,a11116a,a11119a,a11123a,a11124a,a11125a,a11129a,a11130a,a11134a,a11135a,a11136a,a11139a,a11143a,a11144a,a11145a,a11149a,a11150a,a11154a,a11155a,a11156a,a11159a,a11163a,a11164a,a11165a,a11169a,a11170a,a11174a,a11175a,a11176a,a11179a,a11183a,a11184a,a11185a,a11189a,a11190a,a11194a,a11195a,a11196a,a11199a,a11203a,a11204a,a11205a,a11209a,a11210a,a11214a,a11215a,a11216a,a11219a,a11223a,a11224a,a11225a,a11229a,a11230a,a11234a,a11235a,a11236a,a11239a,a11243a,a11244a,a11245a,a11249a,a11250a,a11254a,a11255a,a11256a,a11259a,a11263a,a11264a,a11265a,a11269a,a11270a,a11274a,a11275a,a11276a,a11279a,a11283a,a11284a,a11285a,a11289a,a11290a,a11294a,a11295a,a11296a,a11299a,a11303a,a11304a,a11305a,a11309a,a11310a,a11314a,a11315a,a11316a,a11319a,a11323a,a11324a,a11325a,a11329a,a11330a,a11334a,a11335a,a11336a,a11339a,a11343a,a11344a,a11345a,a11349a,a11350a,a11354a,a11355a,a11356a,a11359a,a11363a,a11364a,a11365a,a11369a,a11370a,a11374a,a11375a,a11376a,a11379a,a11383a,a11384a,a11385a,a11389a,a11390a,a11394a,a11395a,a11396a,a11399a,a11403a,a11404a,a11405a,a11409a,a11410a,a11414a,a11415a,a11416a,a11419a,a11423a,a11424a,a11425a,a11429a,a11430a,a11434a,a11435a,a11436a,a11439a,a11443a,a11444a,a11445a,a11449a,a11450a,a11454a,a11455a,a11456a,a11459a,a11463a,a11464a,a11465a,a11469a,a11470a,a11474a,a11475a,a11476a,a11479a,a11483a,a11484a,a11485a,a11489a,a11490a,a11494a,a11495a,a11496a,a11499a,a11503a,a11504a,a11505a,a11509a,a11510a,a11514a,a11515a,a11516a,a11519a,a11523a,a11524a,a11525a,a11529a,a11530a,a11534a,a11535a,a11536a,a11539a,a11543a,a11544a,a11545a,a11549a,a11550a,a11554a,a11555a,a11556a,a11559a,a11563a,a11564a,a11565a,a11569a,a11570a,a11574a,a11575a,a11576a,a11579a,a11583a,a11584a,a11585a,a11589a,a11590a,a11594a,a11595a,a11596a,a11599a,a11603a,a11604a,a11605a,a11609a,a11610a,a11614a,a11615a,a11616a,a11619a,a11623a,a11624a,a11625a,a11629a,a11630a,a11634a,a11635a,a11636a,a11639a,a11643a,a11644a,a11645a,a11649a,a11650a,a11654a,a11655a,a11656a,a11659a,a11663a,a11664a,a11665a,a11669a,a11670a,a11674a,a11675a,a11676a,a11679a,a11683a,a11684a,a11685a,a11689a,a11690a,a11694a,a11695a,a11696a,a11699a,a11703a,a11704a,a11705a,a11709a,a11710a,a11714a,a11715a,a11716a,a11719a,a11723a,a11724a,a11725a,a11729a,a11730a,a11734a,a11735a,a11736a,a11739a,a11743a,a11744a,a11745a,a11749a,a11750a,a11754a,a11755a,a11756a,a11759a,a11763a,a11764a,a11765a,a11769a,a11770a,a11774a,a11775a,a11776a,a11779a,a11783a,a11784a,a11785a,a11789a,a11790a,a11794a,a11795a,a11796a,a11799a,a11803a,a11804a,a11805a,a11809a,a11810a,a11814a,a11815a,a11816a,a11819a,a11823a,a11824a,a11825a,a11829a,a11830a,a11834a,a11835a,a11836a,a11839a,a11843a,a11844a,a11845a,a11849a,a11850a,a11854a,a11855a,a11856a,a11859a,a11863a,a11864a,a11865a,a11869a,a11870a,a11874a,a11875a,a11876a,a11879a,a11883a,a11884a,a11885a,a11889a,a11890a,a11894a,a11895a,a11896a,a11899a,a11903a,a11904a,a11905a,a11909a,a11910a,a11914a,a11915a,a11916a,a11919a,a11923a,a11924a,a11925a,a11929a,a11930a,a11934a,a11935a,a11936a,a11939a,a11943a,a11944a,a11945a,a11949a,a11950a,a11954a,a11955a,a11956a,a11959a,a11963a,a11964a,a11965a,a11969a,a11970a,a11974a,a11975a,a11976a,a11979a,a11983a,a11984a,a11985a,a11989a,a11990a,a11994a,a11995a,a11996a,a11999a,a12003a,a12004a,a12005a,a12009a,a12010a,a12014a,a12015a,a12016a,a12019a,a12023a,a12024a,a12025a,a12029a,a12030a,a12034a,a12035a,a12036a,a12039a,a12043a,a12044a,a12045a,a12049a,a12050a,a12054a,a12055a,a12056a,a12059a,a12063a,a12064a,a12065a,a12069a,a12070a,a12074a,a12075a,a12076a,a12079a,a12083a,a12084a,a12085a,a12089a,a12090a,a12094a,a12095a,a12096a,a12099a,a12103a,a12104a,a12105a,a12109a,a12110a,a12114a,a12115a,a12116a,a12119a,a12123a,a12124a,a12125a,a12129a,a12130a,a12134a,a12135a,a12136a,a12139a,a12143a,a12144a,a12145a,a12149a,a12150a,a12154a,a12155a,a12156a,a12159a,a12163a,a12164a,a12165a,a12169a,a12170a,a12174a,a12175a,a12176a,a12179a,a12183a,a12184a,a12185a,a12189a,a12190a,a12194a,a12195a,a12196a,a12199a,a12203a,a12204a,a12205a,a12209a,a12210a,a12214a,a12215a,a12216a,a12219a,a12223a,a12224a,a12225a,a12229a,a12230a,a12234a,a12235a,a12236a,a12239a,a12243a,a12244a,a12245a,a12249a,a12250a,a12254a,a12255a,a12256a,a12259a,a12263a,a12264a,a12265a,a12269a,a12270a,a12274a,a12275a,a12276a,a12279a,a12283a,a12284a,a12285a,a12289a,a12290a,a12294a,a12295a,a12296a,a12299a,a12303a,a12304a,a12305a,a12309a,a12310a,a12314a,a12315a,a12316a,a12319a,a12323a,a12324a,a12325a,a12329a,a12330a,a12334a,a12335a,a12336a,a12339a,a12343a,a12344a,a12345a,a12349a,a12350a,a12354a,a12355a,a12356a,a12359a,a12363a,a12364a,a12365a,a12369a,a12370a,a12374a,a12375a,a12376a,a12379a,a12383a,a12384a,a12385a,a12389a,a12390a,a12394a,a12395a,a12396a,a12399a,a12403a,a12404a,a12405a,a12409a,a12410a,a12414a,a12415a,a12416a,a12419a,a12423a,a12424a,a12425a,a12429a,a12430a,a12434a,a12435a,a12436a,a12439a,a12443a,a12444a,a12445a,a12449a,a12450a,a12454a,a12455a,a12456a,a12459a,a12463a,a12464a,a12465a,a12469a,a12470a,a12474a,a12475a,a12476a,a12479a,a12483a,a12484a,a12485a,a12489a,a12490a,a12494a,a12495a,a12496a,a12499a,a12503a,a12504a,a12505a,a12509a,a12510a,a12514a,a12515a,a12516a,a12519a,a12523a,a12524a,a12525a,a12529a,a12530a,a12534a,a12535a,a12536a,a12539a,a12543a,a12544a,a12545a,a12549a,a12550a,a12554a,a12555a,a12556a,a12559a,a12563a,a12564a,a12565a,a12569a,a12570a,a12574a,a12575a,a12576a,a12579a,a12583a,a12584a,a12585a,a12589a,a12590a,a12594a,a12595a,a12596a,a12599a,a12603a,a12604a,a12605a,a12609a,a12610a,a12614a,a12615a,a12616a,a12619a,a12623a,a12624a,a12625a,a12629a,a12630a,a12634a,a12635a,a12636a,a12639a,a12643a,a12644a,a12645a,a12649a,a12650a,a12654a,a12655a,a12656a,a12659a,a12663a,a12664a,a12665a,a12669a,a12670a,a12674a,a12675a,a12676a,a12679a,a12683a,a12684a,a12685a,a12689a,a12690a,a12694a,a12695a,a12696a,a12699a,a12703a,a12704a,a12705a,a12709a,a12710a,a12714a,a12715a,a12716a,a12719a,a12723a,a12724a,a12725a,a12729a,a12730a,a12734a,a12735a,a12736a,a12739a,a12743a,a12744a,a12745a,a12749a,a12750a,a12754a,a12755a,a12756a,a12759a,a12763a,a12764a,a12765a,a12769a,a12770a,a12774a,a12775a,a12776a,a12779a,a12783a,a12784a,a12785a,a12789a,a12790a,a12794a,a12795a,a12796a,a12799a,a12803a,a12804a,a12805a,a12809a,a12810a,a12814a,a12815a,a12816a,a12819a,a12823a,a12824a,a12825a,a12829a,a12830a,a12834a,a12835a,a12836a,a12839a,a12843a,a12844a,a12845a,a12849a,a12850a,a12854a,a12855a,a12856a,a12859a,a12863a,a12864a,a12865a,a12869a,a12870a,a12874a,a12875a,a12876a,a12879a,a12883a,a12884a,a12885a,a12889a,a12890a,a12894a,a12895a,a12896a,a12899a,a12903a,a12904a,a12905a,a12909a,a12910a,a12914a,a12915a,a12916a,a12919a,a12923a,a12924a,a12925a,a12929a,a12930a,a12934a,a12935a,a12936a,a12939a,a12943a,a12944a,a12945a,a12949a,a12950a,a12954a,a12955a,a12956a,a12959a,a12963a,a12964a,a12965a,a12969a,a12970a,a12974a,a12975a,a12976a,a12979a,a12983a,a12984a,a12985a,a12989a,a12990a,a12994a,a12995a,a12996a,a12999a,a13003a,a13004a,a13005a,a13009a,a13010a,a13014a,a13015a,a13016a,a13019a,a13023a,a13024a,a13025a,a13029a,a13030a,a13034a,a13035a,a13036a,a13039a,a13043a,a13044a,a13045a,a13049a,a13050a,a13054a,a13055a,a13056a,a13059a,a13063a,a13064a,a13065a,a13069a,a13070a,a13074a,a13075a,a13076a,a13079a,a13083a,a13084a,a13085a,a13089a,a13090a,a13094a,a13095a,a13096a,a13099a,a13103a,a13104a,a13105a,a13109a,a13110a,a13114a,a13115a,a13116a,a13119a,a13123a,a13124a,a13125a,a13129a,a13130a,a13134a,a13135a,a13136a,a13139a,a13143a,a13144a,a13145a,a13149a,a13150a,a13154a,a13155a,a13156a,a13159a,a13163a,a13164a,a13165a,a13169a,a13170a,a13174a,a13175a,a13176a,a13179a,a13183a,a13184a,a13185a,a13189a,a13190a,a13194a,a13195a,a13196a,a13199a,a13203a,a13204a,a13205a,a13209a,a13210a,a13214a,a13215a,a13216a,a13219a,a13223a,a13224a,a13225a,a13229a,a13230a,a13234a,a13235a,a13236a,a13239a,a13243a,a13244a,a13245a,a13249a,a13250a,a13254a,a13255a,a13256a,a13259a,a13263a,a13264a,a13265a,a13269a,a13270a,a13274a,a13275a,a13276a,a13279a,a13283a,a13284a,a13285a,a13289a,a13290a,a13294a,a13295a,a13296a,a13299a,a13303a,a13304a,a13305a,a13309a,a13310a,a13314a,a13315a,a13316a,a13319a,a13323a,a13324a,a13325a,a13329a,a13330a,a13334a,a13335a,a13336a,a13339a,a13343a,a13344a,a13345a,a13349a,a13350a,a13354a,a13355a,a13356a,a13359a,a13363a,a13364a,a13365a,a13369a,a13370a,a13374a,a13375a,a13376a,a13379a,a13383a,a13384a,a13385a,a13389a,a13390a,a13394a,a13395a,a13396a,a13399a,a13403a,a13404a,a13405a,a13409a,a13410a,a13414a,a13415a,a13416a,a13419a,a13423a,a13424a,a13425a,a13429a,a13430a,a13434a,a13435a,a13436a,a13439a,a13443a,a13444a,a13445a,a13449a,a13450a,a13454a,a13455a,a13456a,a13459a,a13463a,a13464a,a13465a,a13469a,a13470a,a13474a,a13475a,a13476a,a13479a,a13483a,a13484a,a13485a,a13489a,a13490a,a13494a,a13495a,a13496a,a13499a,a13503a,a13504a,a13505a,a13509a,a13510a,a13514a,a13515a,a13516a,a13519a,a13523a,a13524a,a13525a,a13529a,a13530a,a13534a,a13535a,a13536a,a13539a,a13543a,a13544a,a13545a,a13549a,a13550a,a13554a,a13555a,a13556a,a13559a,a13563a,a13564a,a13565a,a13569a,a13570a,a13574a,a13575a,a13576a,a13579a,a13583a,a13584a,a13585a,a13589a,a13590a,a13594a,a13595a,a13596a,a13599a,a13603a,a13604a,a13605a,a13609a,a13610a,a13614a,a13615a,a13616a,a13619a,a13623a,a13624a,a13625a,a13629a,a13630a,a13634a,a13635a,a13636a,a13639a,a13643a,a13644a,a13645a,a13649a,a13650a,a13654a,a13655a,a13656a,a13659a,a13663a,a13664a,a13665a,a13669a,a13670a,a13674a,a13675a,a13676a,a13679a,a13683a,a13684a,a13685a,a13689a,a13690a,a13694a,a13695a,a13696a,a13699a,a13703a,a13704a,a13705a,a13709a,a13710a,a13714a,a13715a,a13716a,a13719a,a13723a,a13724a,a13725a,a13729a,a13730a,a13734a,a13735a,a13736a,a13739a,a13743a,a13744a,a13745a,a13749a,a13750a,a13754a,a13755a,a13756a,a13759a,a13763a,a13764a,a13765a,a13769a,a13770a,a13774a,a13775a,a13776a,a13779a,a13783a,a13784a,a13785a,a13789a,a13790a,a13794a,a13795a,a13796a,a13799a,a13803a,a13804a,a13805a,a13809a,a13810a,a13814a,a13815a,a13816a,a13819a,a13823a,a13824a,a13825a,a13829a,a13830a,a13834a,a13835a,a13836a,a13839a,a13843a,a13844a,a13845a,a13849a,a13850a,a13854a,a13855a,a13856a,a13859a,a13863a,a13864a,a13865a,a13869a,a13870a,a13874a,a13875a,a13876a,a13879a,a13883a,a13884a,a13885a,a13889a,a13890a,a13894a,a13895a,a13896a,a13899a,a13903a,a13904a,a13905a,a13909a,a13910a,a13914a,a13915a,a13916a,a13919a,a13923a,a13924a,a13925a,a13929a,a13930a,a13934a,a13935a,a13936a,a13939a,a13943a,a13944a,a13945a,a13949a,a13950a,a13954a,a13955a,a13956a,a13959a,a13963a,a13964a,a13965a,a13969a,a13970a,a13974a,a13975a,a13976a,a13979a,a13983a,a13984a,a13985a,a13989a,a13990a,a13994a,a13995a,a13996a,a13999a,a14003a,a14004a,a14005a,a14009a,a14010a,a14014a,a14015a,a14016a,a14019a,a14023a,a14024a,a14025a,a14029a,a14030a,a14034a,a14035a,a14036a,a14039a,a14043a,a14044a,a14045a,a14049a,a14050a,a14054a,a14055a,a14056a,a14059a,a14063a,a14064a,a14065a,a14069a,a14070a,a14074a,a14075a,a14076a,a14079a,a14083a,a14084a,a14085a,a14089a,a14090a,a14094a,a14095a,a14096a,a14099a,a14103a,a14104a,a14105a,a14109a,a14110a,a14114a,a14115a,a14116a,a14119a,a14123a,a14124a,a14125a,a14129a,a14130a,a14134a,a14135a,a14136a,a14139a,a14143a,a14144a,a14145a,a14149a,a14150a,a14154a,a14155a,a14156a,a14159a,a14163a,a14164a,a14165a,a14169a,a14170a,a14174a,a14175a,a14176a,a14179a,a14183a,a14184a,a14185a,a14189a,a14190a,a14194a,a14195a,a14196a,a14199a,a14203a,a14204a,a14205a,a14209a,a14210a,a14214a,a14215a,a14216a,a14219a,a14223a,a14224a,a14225a,a14229a,a14230a,a14234a,a14235a,a14236a,a14239a,a14243a,a14244a,a14245a,a14249a,a14250a,a14254a,a14255a,a14256a,a14259a,a14263a,a14264a,a14265a,a14269a,a14270a,a14274a,a14275a,a14276a,a14279a,a14283a,a14284a,a14285a,a14289a,a14290a,a14294a,a14295a,a14296a,a14299a,a14303a,a14304a,a14305a,a14309a,a14310a,a14314a,a14315a,a14316a,a14319a,a14323a,a14324a,a14325a,a14329a,a14330a,a14334a,a14335a,a14336a,a14339a,a14343a,a14344a,a14345a,a14349a,a14350a,a14354a,a14355a,a14356a,a14359a,a14363a,a14364a,a14365a,a14369a,a14370a,a14374a,a14375a,a14376a,a14379a,a14383a,a14384a,a14385a,a14389a,a14390a,a14394a,a14395a,a14396a,a14399a,a14403a,a14404a,a14405a,a14409a,a14410a,a14414a,a14415a,a14416a,a14419a,a14423a,a14424a,a14425a,a14429a,a14430a,a14434a,a14435a,a14436a,a14439a,a14443a,a14444a,a14445a,a14449a,a14450a,a14454a,a14455a,a14456a,a14459a,a14463a,a14464a,a14465a,a14469a,a14470a,a14474a,a14475a,a14476a,a14479a,a14483a,a14484a,a14485a,a14489a,a14490a,a14494a,a14495a,a14496a,a14499a,a14503a,a14504a,a14505a,a14509a,a14510a,a14514a,a14515a,a14516a,a14519a,a14523a,a14524a,a14525a,a14529a,a14530a,a14534a,a14535a,a14536a,a14539a,a14543a,a14544a,a14545a,a14549a,a14550a,a14554a,a14555a,a14556a,a14559a,a14563a,a14564a,a14565a,a14569a,a14570a,a14574a,a14575a,a14576a,a14579a,a14583a,a14584a,a14585a,a14589a,a14590a,a14594a,a14595a,a14596a,a14599a,a14603a,a14604a,a14605a,a14609a,a14610a,a14614a,a14615a,a14616a,a14619a,a14623a,a14624a,a14625a,a14629a,a14630a,a14634a,a14635a,a14636a,a14639a,a14643a,a14644a,a14645a,a14649a,a14650a,a14654a,a14655a,a14656a,a14659a,a14663a,a14664a,a14665a,a14669a,a14670a,a14674a,a14675a,a14676a,a14679a,a14683a,a14684a,a14685a,a14689a,a14690a,a14694a,a14695a,a14696a,a14699a,a14703a,a14704a,a14705a,a14709a,a14710a,a14714a,a14715a,a14716a,a14719a,a14723a,a14724a,a14725a,a14729a,a14730a,a14734a,a14735a,a14736a,a14739a,a14743a,a14744a,a14745a,a14749a,a14750a,a14754a,a14755a,a14756a,a14759a,a14763a,a14764a,a14765a,a14769a,a14770a,a14774a,a14775a,a14776a,a14779a,a14783a,a14784a,a14785a,a14789a,a14790a,a14794a,a14795a,a14796a,a14799a,a14803a,a14804a,a14805a,a14809a,a14810a,a14814a,a14815a,a14816a,a14819a,a14823a,a14824a,a14825a,a14829a,a14830a,a14834a,a14835a,a14836a,a14839a,a14843a,a14844a,a14845a,a14849a,a14850a,a14854a,a14855a,a14856a,a14859a,a14863a,a14864a,a14865a,a14869a,a14870a,a14874a,a14875a,a14876a,a14879a,a14883a,a14884a,a14885a,a14889a,a14890a,a14894a,a14895a,a14896a,a14899a,a14903a,a14904a,a14905a,a14909a,a14910a,a14914a,a14915a,a14916a,a14919a,a14923a,a14924a,a14925a,a14929a,a14930a,a14934a,a14935a,a14936a,a14940a,a14941a,a14945a,a14946a,a14947a,a14951a,a14952a,a14956a,a14957a,a14958a,a14962a,a14963a,a14967a,a14968a,a14969a,a14973a,a14974a,a14978a,a14979a,a14980a,a14984a,a14985a,a14989a,a14990a,a14991a,a14995a,a14996a,a15000a,a15001a,a15002a,a15006a,a15007a,a15011a,a15012a,a15013a,a15017a,a15018a,a15022a,a15023a,a15024a,a15028a,a15029a,a15033a,a15034a,a15035a,a15039a,a15040a,a15044a,a15045a,a15046a,a15050a,a15051a,a15055a,a15056a,a15057a,a15061a,a15062a,a15066a,a15067a,a15068a,a15072a,a15073a,a15077a,a15078a,a15079a,a15083a,a15084a,a15088a,a15089a,a15090a,a15094a,a15095a,a15099a,a15100a,a15101a,a15105a,a15106a,a15110a,a15111a,a15112a,a15116a,a15117a,a15121a,a15122a,a15123a,a15127a,a15128a,a15132a,a15133a,a15134a,a15138a,a15139a,a15143a,a15144a,a15145a,a15149a,a15150a,a15154a,a15155a,a15156a,a15160a,a15161a,a15165a,a15166a,a15167a,a15171a,a15172a,a15176a,a15177a,a15178a,a15182a,a15183a,a15187a,a15188a,a15189a,a15193a,a15194a,a15198a,a15199a,a15200a,a15204a,a15205a,a15209a,a15210a,a15211a,a15215a,a15216a,a15220a,a15221a,a15222a,a15226a,a15227a,a15231a,a15232a,a15233a,a15237a,a15238a,a15242a,a15243a,a15244a,a15248a,a15249a,a15253a,a15254a,a15255a,a15259a,a15260a,a15264a,a15265a,a15266a,a15270a,a15271a,a15275a,a15276a,a15277a,a15281a,a15282a,a15286a,a15287a,a15288a,a15292a,a15293a,a15297a,a15298a,a15299a,a15303a,a15304a,a15308a,a15309a,a15310a,a15314a,a15315a,a15319a,a15320a,a15321a,a15325a,a15326a,a15330a,a15331a,a15332a,a15336a,a15337a,a15341a,a15342a,a15343a,a15347a,a15348a,a15352a,a15353a,a15354a,a15358a,a15359a,a15363a,a15364a,a15365a,a15369a,a15370a,a15374a,a15375a,a15376a,a15380a,a15381a,a15385a,a15386a,a15387a,a15391a,a15392a,a15396a,a15397a,a15398a,a15402a,a15403a,a15407a,a15408a,a15409a,a15413a,a15414a,a15418a,a15419a,a15420a,a15424a,a15425a,a15429a,a15430a,a15431a,a15435a,a15436a,a15440a,a15441a,a15442a,a15446a,a15447a,a15451a,a15452a,a15453a,a15457a,a15458a,a15462a,a15463a,a15464a,a15468a,a15469a,a15473a,a15474a,a15475a,a15479a,a15480a,a15484a,a15485a,a15486a,a15490a,a15491a,a15495a,a15496a,a15497a,a15501a,a15502a,a15506a,a15507a,a15508a,a15512a,a15513a,a15517a,a15518a,a15519a,a15523a,a15524a,a15528a,a15529a,a15530a,a15534a,a15535a,a15539a,a15540a,a15541a,a15545a,a15546a,a15550a,a15551a,a15552a,a15556a,a15557a,a15561a,a15562a,a15563a,a15567a,a15568a,a15572a,a15573a,a15574a,a15578a,a15579a,a15583a,a15584a,a15585a,a15589a,a15590a,a15594a,a15595a,a15596a,a15600a,a15601a,a15605a,a15606a,a15607a,a15611a,a15612a,a15616a,a15617a,a15618a,a15622a,a15623a,a15627a,a15628a,a15629a,a15633a,a15634a,a15638a,a15639a,a15640a,a15644a,a15645a,a15649a,a15650a,a15651a,a15655a,a15656a,a15660a,a15661a,a15662a,a15666a,a15667a,a15671a,a15672a,a15673a,a15677a,a15678a,a15682a,a15683a,a15684a,a15688a,a15689a,a15693a,a15694a,a15695a,a15699a,a15700a,a15704a,a15705a,a15706a,a15710a,a15711a,a15715a,a15716a,a15717a,a15721a,a15722a,a15726a,a15727a,a15728a,a15732a,a15733a,a15737a,a15738a,a15739a,a15743a,a15744a,a15748a,a15749a,a15750a,a15754a,a15755a,a15759a,a15760a,a15761a,a15765a,a15766a,a15770a,a15771a,a15772a,a15776a,a15777a,a15781a,a15782a,a15783a,a15787a,a15788a,a15792a,a15793a,a15794a,a15798a,a15799a,a15803a,a15804a,a15805a,a15809a,a15810a,a15814a,a15815a,a15816a,a15820a,a15821a,a15825a,a15826a,a15827a,a15831a,a15832a,a15836a,a15837a,a15838a,a15842a,a15843a,a15847a,a15848a,a15849a,a15853a,a15854a,a15858a,a15859a,a15860a,a15864a,a15865a,a15869a,a15870a,a15871a,a15875a,a15876a,a15880a,a15881a,a15882a,a15886a,a15887a,a15891a,a15892a,a15893a,a15897a,a15898a,a15902a,a15903a,a15904a,a15908a,a15909a,a15913a,a15914a,a15915a,a15919a,a15920a,a15924a,a15925a,a15926a,a15930a,a15931a,a15935a,a15936a,a15937a,a15941a,a15942a,a15946a,a15947a,a15948a,a15952a,a15953a,a15957a,a15958a,a15959a,a15963a,a15964a,a15968a,a15969a,a15970a,a15974a,a15975a,a15979a,a15980a,a15981a,a15985a,a15986a,a15990a,a15991a,a15992a,a15996a,a15997a,a16001a,a16002a,a16003a,a16007a,a16008a,a16012a,a16013a,a16014a,a16018a,a16019a,a16023a,a16024a,a16025a,a16029a,a16030a,a16034a,a16035a,a16036a,a16040a,a16041a,a16045a,a16046a,a16047a,a16051a,a16052a,a16056a,a16057a,a16058a,a16062a,a16063a,a16067a,a16068a,a16069a,a16073a,a16074a,a16078a,a16079a,a16080a,a16084a,a16085a,a16089a,a16090a,a16091a,a16095a,a16096a,a16100a,a16101a,a16102a,a16106a,a16107a,a16111a,a16112a,a16113a,a16117a,a16118a,a16122a,a16123a,a16124a,a16128a,a16129a,a16133a,a16134a,a16135a,a16139a,a16140a,a16144a,a16145a,a16146a,a16150a,a16151a,a16155a,a16156a,a16157a,a16161a,a16162a,a16166a,a16167a,a16168a,a16172a,a16173a,a16177a,a16178a,a16179a,a16183a,a16184a,a16188a,a16189a,a16190a,a16194a,a16195a,a16199a,a16200a,a16201a,a16205a,a16206a,a16210a,a16211a,a16212a,a16216a,a16217a,a16221a,a16222a,a16223a,a16227a,a16228a,a16232a,a16233a,a16234a,a16238a,a16239a,a16243a,a16244a,a16245a,a16249a,a16250a,a16254a,a16255a,a16256a,a16260a,a16261a,a16265a,a16266a,a16267a,a16271a,a16272a,a16276a,a16277a,a16278a,a16282a,a16283a,a16287a,a16288a,a16289a,a16293a,a16294a,a16298a,a16299a,a16300a,a16304a,a16305a,a16309a,a16310a,a16311a,a16315a,a16316a,a16320a,a16321a,a16322a,a16326a,a16327a,a16331a,a16332a,a16333a,a16337a,a16338a,a16342a,a16343a,a16344a,a16348a,a16349a,a16353a,a16354a,a16355a,a16359a,a16360a,a16364a,a16365a,a16366a,a16370a,a16371a,a16375a,a16376a,a16377a,a16381a,a16382a,a16386a,a16387a,a16388a,a16392a,a16393a,a16397a,a16398a,a16399a,a16403a,a16404a,a16408a,a16409a,a16410a,a16414a,a16415a,a16419a,a16420a,a16421a,a16425a,a16426a,a16430a,a16431a,a16432a,a16436a,a16437a,a16441a,a16442a,a16443a,a16447a,a16448a,a16452a,a16453a,a16454a,a16458a,a16459a,a16463a,a16464a,a16465a,a16469a,a16470a,a16474a,a16475a,a16476a,a16480a,a16481a,a16485a,a16486a,a16487a,a16491a,a16492a,a16496a,a16497a,a16498a,a16502a,a16503a,a16507a,a16508a,a16509a,a16513a,a16514a,a16518a,a16519a,a16520a,a16524a,a16525a,a16529a,a16530a,a16531a,a16535a,a16536a,a16540a,a16541a,a16542a,a16546a,a16547a,a16551a,a16552a,a16553a,a16557a,a16558a,a16562a,a16563a,a16564a,a16568a,a16569a,a16573a,a16574a,a16575a,a16579a,a16580a,a16584a,a16585a,a16586a,a16590a,a16591a,a16595a,a16596a,a16597a,a16601a,a16602a,a16606a,a16607a,a16608a,a16612a,a16613a,a16617a,a16618a,a16619a,a16623a,a16624a,a16628a,a16629a,a16630a,a16634a,a16635a,a16639a,a16640a,a16641a,a16645a,a16646a,a16650a,a16651a,a16652a,a16656a,a16657a,a16661a,a16662a,a16663a,a16667a,a16668a,a16672a,a16673a,a16674a,a16678a,a16679a,a16683a,a16684a,a16685a,a16689a,a16690a,a16694a,a16695a,a16696a,a16700a,a16701a,a16705a,a16706a,a16707a,a16711a,a16712a,a16716a,a16717a,a16718a,a16722a,a16723a,a16727a,a16728a,a16729a,a16733a,a16734a,a16738a,a16739a,a16740a,a16744a,a16745a,a16749a,a16750a,a16751a,a16755a,a16756a,a16760a,a16761a,a16762a,a16766a,a16767a,a16771a,a16772a,a16773a,a16777a,a16778a,a16782a,a16783a,a16784a,a16788a,a16789a,a16793a,a16794a,a16795a,a16799a,a16800a,a16804a,a16805a,a16806a,a16810a,a16811a,a16815a,a16816a,a16817a,a16821a,a16822a,a16826a,a16827a,a16828a,a16832a,a16833a,a16837a,a16838a,a16839a,a16843a,a16844a,a16848a,a16849a,a16850a,a16854a,a16855a,a16859a,a16860a,a16861a,a16865a,a16866a,a16870a,a16871a,a16872a,a16876a,a16877a,a16881a,a16882a,a16883a,a16887a,a16888a,a16892a,a16893a,a16894a,a16898a,a16899a,a16903a,a16904a,a16905a,a16909a,a16910a,a16914a,a16915a,a16916a,a16920a,a16921a,a16925a,a16926a,a16927a,a16931a,a16932a,a16936a,a16937a,a16938a,a16942a,a16943a,a16947a,a16948a,a16949a,a16953a,a16954a,a16958a,a16959a,a16960a,a16964a,a16965a,a16969a,a16970a,a16971a,a16975a,a16976a,a16980a,a16981a,a16982a,a16986a,a16987a,a16991a,a16992a,a16993a,a16997a,a16998a,a17002a,a17003a,a17004a,a17008a,a17009a,a17013a,a17014a,a17015a,a17019a,a17020a,a17024a,a17025a,a17026a,a17030a,a17031a,a17035a,a17036a,a17037a,a17041a,a17042a,a17046a,a17047a,a17048a,a17052a,a17053a,a17057a,a17058a,a17059a,a17063a,a17064a,a17068a,a17069a,a17070a,a17074a,a17075a,a17079a,a17080a,a17081a,a17085a,a17086a,a17090a,a17091a,a17092a,a17096a,a17097a,a17101a,a17102a,a17103a,a17107a,a17108a,a17112a,a17113a,a17114a,a17118a,a17119a,a17123a,a17124a,a17125a,a17129a,a17130a,a17134a,a17135a,a17136a,a17140a,a17141a,a17145a,a17146a,a17147a,a17151a,a17152a,a17156a,a17157a,a17158a,a17162a,a17163a,a17167a,a17168a,a17169a,a17173a,a17174a,a17178a,a17179a,a17180a,a17184a,a17185a,a17189a,a17190a,a17191a,a17195a,a17196a,a17200a,a17201a,a17202a,a17206a,a17207a,a17211a,a17212a,a17213a,a17217a,a17218a,a17222a,a17223a,a17224a,a17228a,a17229a,a17233a,a17234a,a17235a,a17239a,a17240a,a17244a,a17245a,a17246a,a17250a,a17251a,a17255a,a17256a,a17257a,a17261a,a17262a,a17266a,a17267a,a17268a,a17272a,a17273a,a17277a,a17278a,a17279a,a17283a,a17284a,a17288a,a17289a,a17290a,a17294a,a17295a,a17299a,a17300a,a17301a,a17305a,a17306a,a17310a,a17311a,a17312a,a17316a,a17317a,a17321a,a17322a,a17323a,a17327a,a17328a,a17332a,a17333a,a17334a,a17338a,a17339a,a17343a,a17344a,a17345a,a17349a,a17350a,a17354a,a17355a,a17356a,a17360a,a17361a,a17365a,a17366a,a17367a,a17371a,a17372a,a17376a,a17377a,a17378a,a17382a,a17383a,a17387a,a17388a,a17389a,a17393a,a17394a,a17398a,a17399a,a17400a,a17404a,a17405a,a17409a,a17410a,a17411a,a17415a,a17416a,a17420a,a17421a,a17422a,a17426a,a17427a,a17431a,a17432a,a17433a,a17437a,a17438a,a17442a,a17443a,a17444a,a17448a,a17449a,a17453a,a17454a,a17455a,a17459a,a17460a,a17464a,a17465a,a17466a,a17470a,a17471a,a17475a,a17476a,a17477a,a17481a,a17482a,a17486a,a17487a,a17488a,a17492a,a17493a,a17497a,a17498a,a17499a,a17503a,a17504a,a17508a,a17509a,a17510a,a17514a,a17515a,a17519a,a17520a,a17521a,a17525a,a17526a,a17530a,a17531a,a17532a,a17536a,a17537a,a17541a,a17542a,a17543a,a17547a,a17548a,a17552a,a17553a,a17554a,a17558a,a17559a,a17563a,a17564a,a17565a,a17569a,a17570a,a17574a,a17575a,a17576a,a17580a,a17581a,a17585a,a17586a,a17587a,a17591a,a17592a,a17596a,a17597a,a17598a,a17602a,a17603a,a17607a,a17608a,a17609a,a17613a,a17614a,a17618a,a17619a,a17620a,a17624a,a17625a,a17629a,a17630a,a17631a,a17635a,a17636a,a17640a,a17641a,a17642a,a17646a,a17647a,a17651a,a17652a,a17653a,a17657a,a17658a,a17662a,a17663a,a17664a,a17668a,a17669a,a17673a,a17674a,a17675a,a17679a,a17680a,a17684a,a17685a,a17686a,a17690a,a17691a,a17695a,a17696a,a17697a,a17701a,a17702a,a17706a,a17707a,a17708a,a17712a,a17713a,a17717a,a17718a,a17719a,a17723a,a17724a,a17728a,a17729a,a17730a,a17734a,a17735a,a17739a,a17740a,a17741a,a17745a,a17746a,a17750a,a17751a,a17752a,a17756a,a17757a,a17761a,a17762a,a17763a,a17767a,a17768a,a17772a,a17773a,a17774a,a17778a,a17779a,a17783a,a17784a,a17785a,a17789a,a17790a,a17794a,a17795a,a17796a,a17800a,a17801a,a17805a,a17806a,a17807a,a17811a,a17812a,a17816a,a17817a,a17818a,a17822a,a17823a,a17827a,a17828a,a17829a,a17833a,a17834a,a17838a,a17839a,a17840a,a17844a,a17845a,a17849a,a17850a,a17851a,a17855a,a17856a,a17860a,a17861a,a17862a,a17866a,a17867a,a17871a,a17872a,a17873a,a17877a,a17878a,a17882a,a17883a,a17884a,a17888a,a17889a,a17893a,a17894a,a17895a,a17899a,a17900a,a17904a,a17905a,a17906a,a17910a,a17911a,a17915a,a17916a,a17917a,a17921a,a17922a,a17926a,a17927a,a17928a,a17932a,a17933a,a17937a,a17938a,a17939a,a17943a,a17944a,a17948a,a17949a,a17950a,a17954a,a17955a,a17959a,a17960a,a17961a,a17965a,a17966a,a17970a,a17971a,a17972a,a17976a,a17977a,a17981a,a17982a,a17983a,a17987a,a17988a,a17992a,a17993a,a17994a,a17998a,a17999a,a18003a,a18004a,a18005a,a18009a,a18010a,a18014a,a18015a,a18016a,a18020a,a18021a,a18025a,a18026a,a18027a,a18031a,a18032a,a18036a,a18037a,a18038a,a18042a,a18043a,a18047a,a18048a,a18049a,a18053a,a18054a,a18058a,a18059a,a18060a,a18064a,a18065a,a18069a,a18070a,a18071a,a18075a,a18076a,a18080a,a18081a,a18082a,a18086a,a18087a,a18091a,a18092a,a18093a,a18097a,a18098a,a18102a,a18103a,a18104a,a18108a,a18109a,a18113a,a18114a,a18115a,a18119a,a18120a,a18124a,a18125a,a18126a,a18130a,a18131a,a18135a,a18136a,a18137a,a18141a,a18142a,a18146a,a18147a,a18148a,a18152a,a18153a,a18157a,a18158a,a18159a,a18163a,a18164a,a18168a,a18169a,a18170a,a18174a,a18175a,a18179a,a18180a,a18181a,a18185a,a18186a,a18190a,a18191a,a18192a,a18196a,a18197a,a18201a,a18202a,a18203a,a18207a,a18208a,a18212a,a18213a,a18214a,a18218a,a18219a,a18223a,a18224a,a18225a,a18229a,a18230a,a18234a,a18235a,a18236a,a18240a,a18241a,a18245a,a18246a,a18247a,a18251a,a18252a,a18256a,a18257a,a18258a,a18262a,a18263a,a18267a,a18268a,a18269a,a18273a,a18274a,a18278a,a18279a,a18280a,a18284a,a18285a,a18289a,a18290a,a18291a,a18295a,a18296a,a18300a,a18301a,a18302a,a18306a,a18307a,a18311a,a18312a,a18313a,a18317a,a18318a,a18322a,a18323a,a18324a,a18328a,a18329a,a18333a,a18334a,a18335a,a18339a,a18340a,a18344a,a18345a,a18346a,a18350a,a18351a,a18355a,a18356a,a18357a,a18361a,a18362a,a18366a,a18367a,a18368a,a18372a,a18373a,a18377a,a18378a,a18379a,a18383a,a18384a,a18388a,a18389a,a18390a,a18394a,a18395a,a18399a,a18400a,a18401a,a18405a,a18406a,a18410a,a18411a,a18412a,a18416a,a18417a,a18421a,a18422a,a18423a,a18427a,a18428a,a18432a,a18433a,a18434a,a18438a,a18439a,a18443a,a18444a,a18445a,a18449a,a18450a,a18454a,a18455a,a18456a,a18460a,a18461a,a18465a,a18466a,a18467a,a18471a,a18472a,a18476a,a18477a,a18478a,a18482a,a18483a,a18487a,a18488a,a18489a,a18493a,a18494a,a18498a,a18499a,a18500a,a18504a,a18505a,a18509a,a18510a,a18511a,a18515a,a18516a,a18520a,a18521a,a18522a,a18526a,a18527a,a18531a,a18532a,a18533a,a18537a,a18538a,a18542a,a18543a,a18544a,a18548a,a18549a,a18553a,a18554a,a18555a,a18559a,a18560a,a18564a,a18565a,a18566a,a18570a,a18571a,a18575a,a18576a,a18577a,a18581a,a18582a,a18586a,a18587a,a18588a,a18592a,a18593a,a18597a,a18598a,a18599a,a18603a,a18604a,a18608a,a18609a,a18610a,a18614a,a18615a,a18619a,a18620a,a18621a,a18625a,a18626a,a18630a,a18631a,a18632a,a18636a,a18637a,a18641a,a18642a,a18643a,a18647a,a18648a,a18652a,a18653a,a18654a,a18658a,a18659a,a18663a,a18664a,a18665a,a18669a,a18670a,a18674a,a18675a,a18676a,a18680a,a18681a,a18685a,a18686a,a18687a,a18691a,a18692a,a18696a,a18697a,a18698a,a18702a,a18703a,a18707a,a18708a,a18709a,a18713a,a18714a,a18718a,a18719a,a18720a,a18724a,a18725a,a18729a,a18730a,a18731a,a18735a,a18736a,a18740a,a18741a,a18742a,a18746a,a18747a,a18751a,a18752a,a18753a,a18757a,a18758a,a18762a,a18763a,a18764a,a18768a,a18769a,a18773a,a18774a,a18775a,a18779a,a18780a,a18784a,a18785a,a18786a,a18790a,a18791a,a18795a,a18796a,a18797a,a18801a,a18802a,a18806a,a18807a,a18808a,a18812a,a18813a,a18817a,a18818a,a18819a,a18823a,a18824a,a18828a,a18829a,a18830a,a18834a,a18835a,a18839a,a18840a,a18841a,a18845a,a18846a,a18850a,a18851a,a18852a,a18856a,a18857a,a18861a,a18862a,a18863a,a18867a,a18868a,a18872a,a18873a,a18874a,a18878a,a18879a,a18883a,a18884a,a18885a,a18889a,a18890a,a18894a,a18895a,a18896a,a18900a,a18901a,a18905a,a18906a,a18907a,a18911a,a18912a,a18916a,a18917a,a18918a,a18922a,a18923a,a18927a,a18928a,a18929a,a18933a,a18934a,a18938a,a18939a,a18940a,a18944a,a18945a,a18949a,a18950a,a18951a,a18955a,a18956a,a18960a,a18961a,a18962a,a18966a,a18967a,a18971a,a18972a,a18973a,a18977a,a18978a,a18982a,a18983a,a18984a,a18988a,a18989a,a18993a,a18994a,a18995a,a18999a,a19000a,a19004a,a19005a,a19006a,a19010a,a19011a,a19015a,a19016a,a19017a,a19021a,a19022a,a19026a,a19027a,a19028a,a19032a,a19033a,a19037a,a19038a,a19039a,a19043a,a19044a,a19048a,a19049a,a19050a,a19054a,a19055a,a19059a,a19060a,a19061a,a19065a,a19066a,a19070a,a19071a,a19072a,a19076a,a19077a,a19081a,a19082a,a19083a,a19087a,a19088a,a19092a,a19093a,a19094a,a19098a,a19099a,a19103a,a19104a,a19105a,a19109a,a19110a,a19114a,a19115a,a19116a,a19120a,a19121a,a19125a,a19126a,a19127a,a19131a,a19132a,a19136a,a19137a,a19138a,a19142a,a19143a,a19147a,a19148a,a19149a,a19153a,a19154a,a19158a,a19159a,a19160a,a19164a,a19165a,a19169a,a19170a,a19171a,a19175a,a19176a,a19180a,a19181a,a19182a,a19186a,a19187a,a19191a,a19192a,a19193a,a19197a,a19198a,a19202a,a19203a,a19204a,a19208a,a19209a,a19213a,a19214a,a19215a,a19219a,a19220a,a19224a,a19225a,a19226a,a19230a,a19231a,a19235a,a19236a,a19237a,a19241a,a19242a,a19246a,a19247a,a19248a,a19252a,a19253a,a19257a,a19258a,a19259a,a19263a,a19264a,a19268a,a19269a,a19270a,a19274a,a19275a,a19279a,a19280a,a19281a,a19285a,a19286a,a19290a,a19291a,a19292a,a19296a,a19297a,a19301a,a19302a,a19303a,a19307a,a19308a,a19311a,a19314a,a19315a,a19316a,a19320a,a19321a,a19325a,a19326a,a19327a,a19331a,a19332a,a19335a,a19338a,a19339a,a19340a,a19344a,a19345a,a19349a,a19350a,a19351a,a19355a,a19356a,a19359a,a19362a,a19363a,a19364a,a19368a,a19369a,a19373a,a19374a,a19375a,a19379a,a19380a,a19383a,a19386a,a19387a,a19388a,a19392a,a19393a,a19397a,a19398a,a19399a,a19403a,a19404a,a19407a,a19410a,a19411a,a19412a,a19416a,a19417a,a19421a,a19422a,a19423a,a19427a,a19428a,a19431a,a19434a,a19435a,a19436a,a19440a,a19441a,a19445a,a19446a,a19447a,a19451a,a19452a,a19455a,a19458a,a19459a,a19460a,a19464a,a19465a,a19469a,a19470a,a19471a,a19475a,a19476a,a19479a,a19482a,a19483a,a19484a,a19488a,a19489a,a19493a,a19494a,a19495a,a19499a,a19500a,a19503a,a19506a,a19507a,a19508a,a19512a,a19513a,a19517a,a19518a,a19519a,a19523a,a19524a,a19527a,a19530a,a19531a,a19532a,a19536a,a19537a,a19541a,a19542a,a19543a,a19547a,a19548a,a19551a,a19554a,a19555a,a19556a,a19560a,a19561a,a19565a,a19566a,a19567a,a19571a,a19572a,a19575a,a19578a,a19579a,a19580a,a19584a,a19585a,a19589a,a19590a,a19591a,a19595a,a19596a,a19599a,a19602a,a19603a,a19604a,a19608a,a19609a,a19613a,a19614a,a19615a,a19619a,a19620a,a19623a,a19626a,a19627a,a19628a,a19632a,a19633a,a19637a,a19638a,a19639a,a19643a,a19644a,a19647a,a19650a,a19651a,a19652a,a19656a,a19657a,a19661a,a19662a,a19663a,a19667a,a19668a,a19671a,a19674a,a19675a,a19676a,a19680a,a19681a,a19685a,a19686a,a19687a,a19691a,a19692a,a19695a,a19698a,a19699a,a19700a,a19704a,a19705a,a19709a,a19710a,a19711a,a19715a,a19716a,a19719a,a19722a,a19723a,a19724a,a19728a,a19729a,a19733a,a19734a,a19735a,a19739a,a19740a,a19743a,a19746a,a19747a,a19748a,a19752a,a19753a,a19757a,a19758a,a19759a,a19763a,a19764a,a19767a,a19770a,a19771a,a19772a,a19776a,a19777a,a19781a,a19782a,a19783a,a19787a,a19788a,a19791a,a19794a,a19795a,a19796a,a19800a,a19801a,a19805a,a19806a,a19807a,a19811a,a19812a,a19815a,a19818a,a19819a,a19820a,a19824a,a19825a,a19829a,a19830a,a19831a,a19835a,a19836a,a19839a,a19842a,a19843a,a19844a,a19848a,a19849a,a19853a,a19854a,a19855a,a19859a,a19860a,a19863a,a19866a,a19867a,a19868a,a19872a,a19873a,a19877a,a19878a,a19879a,a19883a,a19884a,a19887a,a19890a,a19891a,a19892a,a19896a,a19897a,a19901a,a19902a,a19903a,a19907a,a19908a,a19911a,a19914a,a19915a,a19916a,a19920a,a19921a,a19925a,a19926a,a19927a,a19931a,a19932a,a19935a,a19938a,a19939a,a19940a,a19944a,a19945a,a19949a,a19950a,a19951a,a19955a,a19956a,a19959a,a19962a,a19963a,a19964a,a19968a,a19969a,a19973a,a19974a,a19975a,a19979a,a19980a,a19983a,a19986a,a19987a,a19988a,a19992a,a19993a,a19997a,a19998a,a19999a,a20003a,a20004a,a20007a,a20010a,a20011a,a20012a,a20016a,a20017a,a20021a,a20022a,a20023a,a20027a,a20028a,a20031a,a20034a,a20035a,a20036a,a20040a,a20041a,a20045a,a20046a,a20047a,a20051a,a20052a,a20055a,a20058a,a20059a,a20060a,a20064a,a20065a,a20069a,a20070a,a20071a,a20075a,a20076a,a20079a,a20082a,a20083a,a20084a,a20088a,a20089a,a20093a,a20094a,a20095a,a20099a,a20100a,a20103a,a20106a,a20107a,a20108a,a20112a,a20113a,a20117a,a20118a,a20119a,a20123a,a20124a,a20127a,a20130a,a20131a,a20132a,a20136a,a20137a,a20141a,a20142a,a20143a,a20147a,a20148a,a20151a,a20154a,a20155a,a20156a,a20160a,a20161a,a20165a,a20166a,a20167a,a20171a,a20172a,a20175a,a20178a,a20179a,a20180a,a20184a,a20185a,a20189a,a20190a,a20191a,a20195a,a20196a,a20199a,a20202a,a20203a,a20204a,a20208a,a20209a,a20213a,a20214a,a20215a,a20219a,a20220a,a20223a,a20226a,a20227a,a20228a,a20232a,a20233a,a20237a,a20238a,a20239a,a20243a,a20244a,a20247a,a20250a,a20251a,a20252a,a20256a,a20257a,a20261a,a20262a,a20263a,a20267a,a20268a,a20271a,a20274a,a20275a,a20276a,a20280a,a20281a,a20285a,a20286a,a20287a,a20291a,a20292a,a20295a,a20298a,a20299a,a20300a,a20304a,a20305a,a20309a,a20310a,a20311a,a20315a,a20316a,a20319a,a20322a,a20323a,a20324a,a20328a,a20329a,a20333a,a20334a,a20335a,a20339a,a20340a,a20343a,a20346a,a20347a,a20348a,a20352a,a20353a,a20357a,a20358a,a20359a,a20363a,a20364a,a20367a,a20370a,a20371a,a20372a,a20376a,a20377a,a20381a,a20382a,a20383a,a20387a,a20388a,a20391a,a20394a,a20395a,a20396a,a20400a,a20401a,a20405a,a20406a,a20407a,a20411a,a20412a,a20415a,a20418a,a20419a,a20420a,a20424a,a20425a,a20429a,a20430a,a20431a,a20435a,a20436a,a20439a,a20442a,a20443a,a20444a,a20448a,a20449a,a20453a,a20454a,a20455a,a20459a,a20460a,a20463a,a20466a,a20467a,a20468a,a20472a,a20473a,a20477a,a20478a,a20479a,a20483a,a20484a,a20487a,a20490a,a20491a,a20492a,a20496a,a20497a,a20501a,a20502a,a20503a,a20507a,a20508a,a20511a,a20514a,a20515a,a20516a,a20520a,a20521a,a20525a,a20526a,a20527a,a20531a,a20532a,a20535a,a20538a,a20539a,a20540a,a20544a,a20545a,a20549a,a20550a,a20551a,a20555a,a20556a,a20559a,a20562a,a20563a,a20564a,a20568a,a20569a,a20573a,a20574a,a20575a,a20579a,a20580a,a20583a,a20586a,a20587a,a20588a,a20592a,a20593a,a20597a,a20598a,a20599a,a20603a,a20604a,a20607a,a20610a,a20611a,a20612a,a20616a,a20617a,a20621a,a20622a,a20623a,a20627a,a20628a,a20631a,a20634a,a20635a,a20636a,a20640a,a20641a,a20645a,a20646a,a20647a,a20651a,a20652a,a20655a,a20658a,a20659a,a20660a,a20664a,a20665a,a20669a,a20670a,a20671a,a20675a,a20676a,a20679a,a20682a,a20683a,a20684a,a20688a,a20689a,a20693a,a20694a,a20695a,a20699a,a20700a,a20703a,a20706a,a20707a,a20708a,a20712a,a20713a,a20717a,a20718a,a20719a,a20723a,a20724a,a20727a,a20730a,a20731a,a20732a,a20736a,a20737a,a20741a,a20742a,a20743a,a20747a,a20748a,a20751a,a20754a,a20755a,a20756a,a20760a,a20761a,a20765a,a20766a,a20767a,a20771a,a20772a,a20775a,a20778a,a20779a,a20780a,a20784a,a20785a,a20789a,a20790a,a20791a,a20795a,a20796a,a20799a,a20802a,a20803a,a20804a,a20808a,a20809a,a20813a,a20814a,a20815a,a20819a,a20820a,a20823a,a20826a,a20827a,a20828a,a20832a,a20833a,a20837a,a20838a,a20839a,a20843a,a20844a,a20847a,a20850a,a20851a,a20852a,a20856a,a20857a,a20861a,a20862a,a20863a,a20867a,a20868a,a20871a,a20874a,a20875a,a20876a,a20880a,a20881a,a20885a,a20886a,a20887a,a20891a,a20892a,a20895a,a20898a,a20899a,a20900a,a20904a,a20905a,a20909a,a20910a,a20911a,a20915a,a20916a,a20919a,a20922a,a20923a,a20924a,a20928a,a20929a,a20933a,a20934a,a20935a,a20939a,a20940a,a20943a,a20946a,a20947a,a20948a,a20952a,a20953a,a20957a,a20958a,a20959a,a20963a,a20964a,a20967a,a20970a,a20971a,a20972a,a20976a,a20977a,a20981a,a20982a,a20983a,a20987a,a20988a,a20991a,a20994a,a20995a,a20996a,a21000a,a21001a,a21005a,a21006a,a21007a,a21011a,a21012a,a21015a,a21018a,a21019a,a21020a,a21024a,a21025a,a21029a,a21030a,a21031a,a21035a,a21036a,a21039a,a21042a,a21043a,a21044a,a21048a,a21049a,a21053a,a21054a,a21055a,a21059a,a21060a,a21063a,a21066a,a21067a,a21068a,a21072a,a21073a,a21077a,a21078a,a21079a,a21083a,a21084a,a21087a,a21090a,a21091a,a21092a,a21096a,a21097a,a21101a,a21102a,a21103a,a21107a,a21108a,a21111a,a21114a,a21115a,a21116a,a21120a,a21121a,a21125a,a21126a,a21127a,a21131a,a21132a,a21135a,a21138a,a21139a,a21140a,a21144a,a21145a,a21149a,a21150a,a21151a,a21155a,a21156a,a21159a,a21162a,a21163a,a21164a,a21168a,a21169a,a21173a,a21174a,a21175a,a21179a,a21180a,a21183a,a21186a,a21187a,a21188a,a21192a,a21193a,a21197a,a21198a,a21199a,a21203a,a21204a,a21207a,a21210a,a21211a,a21212a,a21216a,a21217a,a21221a,a21222a,a21223a,a21227a,a21228a,a21231a,a21234a,a21235a,a21236a,a21240a,a21241a,a21245a,a21246a,a21247a,a21251a,a21252a,a21255a,a21258a,a21259a,a21260a,a21264a,a21265a,a21269a,a21270a,a21271a,a21275a,a21276a,a21279a,a21282a,a21283a,a21284a,a21288a,a21289a,a21293a,a21294a,a21295a,a21299a,a21300a,a21303a,a21306a,a21307a,a21308a,a21312a,a21313a,a21317a,a21318a,a21319a,a21323a,a21324a,a21327a,a21330a,a21331a,a21332a,a21336a,a21337a,a21341a,a21342a,a21343a,a21347a,a21348a,a21351a,a21354a,a21355a,a21356a,a21360a,a21361a,a21365a,a21366a,a21367a,a21371a,a21372a,a21375a,a21378a,a21379a,a21380a,a21384a,a21385a,a21389a,a21390a,a21391a,a21395a,a21396a,a21399a,a21402a,a21403a,a21404a,a21408a,a21409a,a21413a,a21414a,a21415a,a21419a,a21420a,a21423a,a21426a,a21427a,a21428a,a21432a,a21433a,a21437a,a21438a,a21439a,a21443a,a21444a,a21447a,a21450a,a21451a,a21452a,a21456a,a21457a,a21461a,a21462a,a21463a,a21467a,a21468a,a21471a,a21474a,a21475a,a21476a,a21480a,a21481a,a21485a,a21486a,a21487a,a21491a,a21492a,a21495a,a21498a,a21499a,a21500a,a21504a,a21505a,a21509a,a21510a,a21511a,a21515a,a21516a,a21519a,a21522a,a21523a,a21524a,a21528a,a21529a,a21532a,a21535a,a21536a,a21537a,a21541a,a21542a,a21545a,a21548a,a21549a,a21550a,a21554a,a21555a,a21558a,a21561a,a21562a,a21563a,a21567a,a21568a,a21571a,a21574a,a21575a,a21576a,a21580a,a21581a,a21584a,a21587a,a21588a,a21589a,a21593a,a21594a,a21597a,a21600a,a21601a,a21602a,a21606a,a21607a,a21610a,a21613a,a21614a,a21615a,a21619a,a21620a,a21623a,a21626a,a21627a,a21628a,a21632a,a21633a,a21636a,a21639a,a21640a,a21641a,a21645a,a21646a,a21649a,a21652a,a21653a,a21654a,a21658a,a21659a,a21662a,a21665a,a21666a,a21667a,a21671a,a21672a,a21675a,a21678a,a21679a,a21680a,a21684a,a21685a,a21688a,a21691a,a21692a,a21693a,a21697a,a21698a,a21701a,a21704a,a21705a,a21706a,a21710a,a21711a,a21714a,a21717a,a21718a,a21719a,a21723a,a21724a,a21727a,a21730a,a21731a,a21732a,a21736a,a21737a,a21740a,a21743a,a21744a,a21745a,a21749a,a21750a,a21753a,a21756a,a21757a,a21758a,a21762a,a21763a,a21766a,a21769a,a21770a,a21771a,a21775a,a21776a,a21779a,a21782a,a21783a,a21784a,a21788a,a21789a,a21792a,a21795a,a21796a,a21797a,a21801a,a21802a,a21805a,a21808a,a21809a,a21810a,a21814a,a21815a,a21818a,a21821a,a21822a,a21823a,a21827a,a21828a,a21831a,a21834a,a21835a,a21836a,a21840a,a21841a,a21844a,a21847a,a21848a,a21849a,a21853a,a21854a,a21857a,a21860a,a21861a,a21862a,a21866a,a21867a,a21870a,a21873a,a21874a,a21875a,a21879a,a21880a,a21883a,a21886a,a21887a,a21888a,a21892a,a21893a,a21896a,a21899a,a21900a,a21901a,a21905a,a21906a,a21909a,a21912a,a21913a,a21914a,a21918a,a21919a,a21922a,a21925a,a21926a,a21927a,a21931a,a21932a,a21935a,a21938a,a21939a,a21940a,a21944a,a21945a,a21948a,a21951a,a21952a,a21953a,a21957a,a21958a,a21961a,a21964a,a21965a,a21966a,a21970a,a21971a,a21974a,a21977a,a21978a,a21979a,a21983a,a21984a,a21987a,a21990a,a21991a,a21992a,a21996a,a21997a,a22000a,a22003a,a22004a,a22005a,a22009a,a22010a,a22013a,a22016a,a22017a,a22018a,a22022a,a22023a,a22026a,a22029a,a22030a,a22031a,a22035a,a22036a,a22039a,a22042a,a22043a,a22044a,a22048a,a22049a,a22052a,a22055a,a22056a,a22057a,a22061a,a22062a,a22065a,a22068a,a22069a,a22070a,a22074a,a22075a,a22078a,a22081a,a22082a,a22083a,a22087a,a22088a,a22091a,a22094a,a22095a,a22096a,a22100a,a22101a,a22104a,a22107a,a22108a,a22109a,a22112a,a22115a,a22116a,a22119a,a22122a,a22123a,a22124a,a22128a,a22129a,a22132a,a22135a,a22136a,a22137a,a22140a,a22143a,a22144a,a22147a,a22150a,a22151a,a22152a: std_logic; begin A73 <=( a3028a ) or ( a2019a ); a1a <=( a22152a and a22137a ); a2a <=( a22124a and a22109a ); a3a <=( a22096a and a22083a ); a4a <=( a22070a and a22057a ); a5a <=( a22044a and a22031a ); a6a <=( a22018a and a22005a ); a7a <=( a21992a and a21979a ); a8a <=( a21966a and a21953a ); a9a <=( a21940a and a21927a ); a10a <=( a21914a and a21901a ); a11a <=( a21888a and a21875a ); a12a <=( a21862a and a21849a ); a13a <=( a21836a and a21823a ); a14a <=( a21810a and a21797a ); a15a <=( a21784a and a21771a ); a16a <=( a21758a and a21745a ); a17a <=( a21732a and a21719a ); a18a <=( a21706a and a21693a ); a19a <=( a21680a and a21667a ); a20a <=( a21654a and a21641a ); a21a <=( a21628a and a21615a ); a22a <=( a21602a and a21589a ); a23a <=( a21576a and a21563a ); a24a <=( a21550a and a21537a ); a25a <=( a21524a and a21511a ); a26a <=( a21500a and a21487a ); a27a <=( a21476a and a21463a ); a28a <=( a21452a and a21439a ); a29a <=( a21428a and a21415a ); a30a <=( a21404a and a21391a ); a31a <=( a21380a and a21367a ); a32a <=( a21356a and a21343a ); a33a <=( a21332a and a21319a ); a34a <=( a21308a and a21295a ); a35a <=( a21284a and a21271a ); a36a <=( a21260a and a21247a ); a37a <=( a21236a and a21223a ); a38a <=( a21212a and a21199a ); a39a <=( a21188a and a21175a ); a40a <=( a21164a and a21151a ); a41a <=( a21140a and a21127a ); a42a <=( a21116a and a21103a ); a43a <=( a21092a and a21079a ); a44a <=( a21068a and a21055a ); a45a <=( a21044a and a21031a ); a46a <=( a21020a and a21007a ); a47a <=( a20996a and a20983a ); a48a <=( a20972a and a20959a ); a49a <=( a20948a and a20935a ); a50a <=( a20924a and a20911a ); a51a <=( a20900a and a20887a ); a52a <=( a20876a and a20863a ); a53a <=( a20852a and a20839a ); a54a <=( a20828a and a20815a ); a55a <=( a20804a and a20791a ); a56a <=( a20780a and a20767a ); a57a <=( a20756a and a20743a ); a58a <=( a20732a and a20719a ); a59a <=( a20708a and a20695a ); a60a <=( a20684a and a20671a ); a61a <=( a20660a and a20647a ); a62a <=( a20636a and a20623a ); a63a <=( a20612a and a20599a ); a64a <=( a20588a and a20575a ); a65a <=( a20564a and a20551a ); a66a <=( a20540a and a20527a ); a67a <=( a20516a and a20503a ); a68a <=( a20492a and a20479a ); a69a <=( a20468a and a20455a ); a70a <=( a20444a and a20431a ); a71a <=( a20420a and a20407a ); a72a <=( a20396a and a20383a ); a73a <=( a20372a and a20359a ); a74a <=( a20348a and a20335a ); a75a <=( a20324a and a20311a ); a76a <=( a20300a and a20287a ); a77a <=( a20276a and a20263a ); a78a <=( a20252a and a20239a ); a79a <=( a20228a and a20215a ); a80a <=( a20204a and a20191a ); a81a <=( a20180a and a20167a ); a82a <=( a20156a and a20143a ); a83a <=( a20132a and a20119a ); a84a <=( a20108a and a20095a ); a85a <=( a20084a and a20071a ); a86a <=( a20060a and a20047a ); a87a <=( a20036a and a20023a ); a88a <=( a20012a and a19999a ); a89a <=( a19988a and a19975a ); a90a <=( a19964a and a19951a ); a91a <=( a19940a and a19927a ); a92a <=( a19916a and a19903a ); a93a <=( a19892a and a19879a ); a94a <=( a19868a and a19855a ); a95a <=( a19844a and a19831a ); a96a <=( a19820a and a19807a ); a97a <=( a19796a and a19783a ); a98a <=( a19772a and a19759a ); a99a <=( a19748a and a19735a ); a100a <=( a19724a and a19711a ); a101a <=( a19700a and a19687a ); a102a <=( a19676a and a19663a ); a103a <=( a19652a and a19639a ); a104a <=( a19628a and a19615a ); a105a <=( a19604a and a19591a ); a106a <=( a19580a and a19567a ); a107a <=( a19556a and a19543a ); a108a <=( a19532a and a19519a ); a109a <=( a19508a and a19495a ); a110a <=( a19484a and a19471a ); a111a <=( a19460a and a19447a ); a112a <=( a19436a and a19423a ); a113a <=( a19412a and a19399a ); a114a <=( a19388a and a19375a ); a115a <=( a19364a and a19351a ); a116a <=( a19340a and a19327a ); a117a <=( a19316a and a19303a ); a118a <=( a19292a and a19281a ); a119a <=( a19270a and a19259a ); a120a <=( a19248a and a19237a ); a121a <=( a19226a and a19215a ); a122a <=( a19204a and a19193a ); a123a <=( a19182a and a19171a ); a124a <=( a19160a and a19149a ); a125a <=( a19138a and a19127a ); a126a <=( a19116a and a19105a ); a127a <=( a19094a and a19083a ); a128a <=( a19072a and a19061a ); a129a <=( a19050a and a19039a ); a130a <=( a19028a and a19017a ); a131a <=( a19006a and a18995a ); a132a <=( a18984a and a18973a ); a133a <=( a18962a and a18951a ); a134a <=( a18940a and a18929a ); a135a <=( a18918a and a18907a ); a136a <=( a18896a and a18885a ); a137a <=( a18874a and a18863a ); a138a <=( a18852a and a18841a ); a139a <=( a18830a and a18819a ); a140a <=( a18808a and a18797a ); a141a <=( a18786a and a18775a ); a142a <=( a18764a and a18753a ); a143a <=( a18742a and a18731a ); a144a <=( a18720a and a18709a ); a145a <=( a18698a and a18687a ); a146a <=( a18676a and a18665a ); a147a <=( a18654a and a18643a ); a148a <=( a18632a and a18621a ); a149a <=( a18610a and a18599a ); a150a <=( a18588a and a18577a ); a151a <=( a18566a and a18555a ); a152a <=( a18544a and a18533a ); a153a <=( a18522a and a18511a ); a154a <=( a18500a and a18489a ); a155a <=( a18478a and a18467a ); a156a <=( a18456a and a18445a ); a157a <=( a18434a and a18423a ); a158a <=( a18412a and a18401a ); a159a <=( a18390a and a18379a ); a160a <=( a18368a and a18357a ); a161a <=( a18346a and a18335a ); a162a <=( a18324a and a18313a ); a163a <=( a18302a and a18291a ); a164a <=( a18280a and a18269a ); a165a <=( a18258a and a18247a ); a166a <=( a18236a and a18225a ); a167a <=( a18214a and a18203a ); a168a <=( a18192a and a18181a ); a169a <=( a18170a and a18159a ); a170a <=( a18148a and a18137a ); a171a <=( a18126a and a18115a ); a172a <=( a18104a and a18093a ); a173a <=( a18082a and a18071a ); a174a <=( a18060a and a18049a ); a175a <=( a18038a and a18027a ); a176a <=( a18016a and a18005a ); a177a <=( a17994a and a17983a ); a178a <=( a17972a and a17961a ); a179a <=( a17950a and a17939a ); a180a <=( a17928a and a17917a ); a181a <=( a17906a and a17895a ); a182a <=( a17884a and a17873a ); a183a <=( a17862a and a17851a ); a184a <=( a17840a and a17829a ); a185a <=( a17818a and a17807a ); a186a <=( a17796a and a17785a ); a187a <=( a17774a and a17763a ); a188a <=( a17752a and a17741a ); a189a <=( a17730a and a17719a ); a190a <=( a17708a and a17697a ); a191a <=( a17686a and a17675a ); a192a <=( a17664a and a17653a ); a193a <=( a17642a and a17631a ); a194a <=( a17620a and a17609a ); a195a <=( a17598a and a17587a ); a196a <=( a17576a and a17565a ); a197a <=( a17554a and a17543a ); a198a <=( a17532a and a17521a ); a199a <=( a17510a and a17499a ); a200a <=( a17488a and a17477a ); a201a <=( a17466a and a17455a ); a202a <=( a17444a and a17433a ); a203a <=( a17422a and a17411a ); a204a <=( a17400a and a17389a ); a205a <=( a17378a and a17367a ); a206a <=( a17356a and a17345a ); a207a <=( a17334a and a17323a ); a208a <=( a17312a and a17301a ); a209a <=( a17290a and a17279a ); a210a <=( a17268a and a17257a ); a211a <=( a17246a and a17235a ); a212a <=( a17224a and a17213a ); a213a <=( a17202a and a17191a ); a214a <=( a17180a and a17169a ); a215a <=( a17158a and a17147a ); a216a <=( a17136a and a17125a ); a217a <=( a17114a and a17103a ); a218a <=( a17092a and a17081a ); a219a <=( a17070a and a17059a ); a220a <=( a17048a and a17037a ); a221a <=( a17026a and a17015a ); a222a <=( a17004a and a16993a ); a223a <=( a16982a and a16971a ); a224a <=( a16960a and a16949a ); a225a <=( a16938a and a16927a ); a226a <=( a16916a and a16905a ); a227a <=( a16894a and a16883a ); a228a <=( a16872a and a16861a ); a229a <=( a16850a and a16839a ); a230a <=( a16828a and a16817a ); a231a <=( a16806a and a16795a ); a232a <=( a16784a and a16773a ); a233a <=( a16762a and a16751a ); a234a <=( a16740a and a16729a ); a235a <=( a16718a and a16707a ); a236a <=( a16696a and a16685a ); a237a <=( a16674a and a16663a ); a238a <=( a16652a and a16641a ); a239a <=( a16630a and a16619a ); a240a <=( a16608a and a16597a ); a241a <=( a16586a and a16575a ); a242a <=( a16564a and a16553a ); a243a <=( a16542a and a16531a ); a244a <=( a16520a and a16509a ); a245a <=( a16498a and a16487a ); a246a <=( a16476a and a16465a ); a247a <=( a16454a and a16443a ); a248a <=( a16432a and a16421a ); a249a <=( a16410a and a16399a ); a250a <=( a16388a and a16377a ); a251a <=( a16366a and a16355a ); a252a <=( a16344a and a16333a ); a253a <=( a16322a and a16311a ); a254a <=( a16300a and a16289a ); a255a <=( a16278a and a16267a ); a256a <=( a16256a and a16245a ); a257a <=( a16234a and a16223a ); a258a <=( a16212a and a16201a ); a259a <=( a16190a and a16179a ); a260a <=( a16168a and a16157a ); a261a <=( a16146a and a16135a ); a262a <=( a16124a and a16113a ); a263a <=( a16102a and a16091a ); a264a <=( a16080a and a16069a ); a265a <=( a16058a and a16047a ); a266a <=( a16036a and a16025a ); a267a <=( a16014a and a16003a ); a268a <=( a15992a and a15981a ); a269a <=( a15970a and a15959a ); a270a <=( a15948a and a15937a ); a271a <=( a15926a and a15915a ); a272a <=( a15904a and a15893a ); a273a <=( a15882a and a15871a ); a274a <=( a15860a and a15849a ); a275a <=( a15838a and a15827a ); a276a <=( a15816a and a15805a ); a277a <=( a15794a and a15783a ); a278a <=( a15772a and a15761a ); a279a <=( a15750a and a15739a ); a280a <=( a15728a and a15717a ); a281a <=( a15706a and a15695a ); a282a <=( a15684a and a15673a ); a283a <=( a15662a and a15651a ); a284a <=( a15640a and a15629a ); a285a <=( a15618a and a15607a ); a286a <=( a15596a and a15585a ); a287a <=( a15574a and a15563a ); a288a <=( a15552a and a15541a ); a289a <=( a15530a and a15519a ); a290a <=( a15508a and a15497a ); a291a <=( a15486a and a15475a ); a292a <=( a15464a and a15453a ); a293a <=( a15442a and a15431a ); a294a <=( a15420a and a15409a ); a295a <=( a15398a and a15387a ); a296a <=( a15376a and a15365a ); a297a <=( a15354a and a15343a ); a298a <=( a15332a and a15321a ); a299a <=( a15310a and a15299a ); a300a <=( a15288a and a15277a ); a301a <=( a15266a and a15255a ); a302a <=( a15244a and a15233a ); a303a <=( a15222a and a15211a ); a304a <=( a15200a and a15189a ); a305a <=( a15178a and a15167a ); a306a <=( a15156a and a15145a ); a307a <=( a15134a and a15123a ); a308a <=( a15112a and a15101a ); a309a <=( a15090a and a15079a ); a310a <=( a15068a and a15057a ); a311a <=( a15046a and a15035a ); a312a <=( a15024a and a15013a ); a313a <=( a15002a and a14991a ); a314a <=( a14980a and a14969a ); a315a <=( a14958a and a14947a ); a316a <=( a14936a and a14925a ); a317a <=( a14916a and a14905a ); a318a <=( a14896a and a14885a ); a319a <=( a14876a and a14865a ); a320a <=( a14856a and a14845a ); a321a <=( a14836a and a14825a ); a322a <=( a14816a and a14805a ); a323a <=( a14796a and a14785a ); a324a <=( a14776a and a14765a ); a325a <=( a14756a and a14745a ); a326a <=( a14736a and a14725a ); a327a <=( a14716a and a14705a ); a328a <=( a14696a and a14685a ); a329a <=( a14676a and a14665a ); a330a <=( a14656a and a14645a ); a331a <=( a14636a and a14625a ); a332a <=( a14616a and a14605a ); a333a <=( a14596a and a14585a ); a334a <=( a14576a and a14565a ); a335a <=( a14556a and a14545a ); a336a <=( a14536a and a14525a ); a337a <=( a14516a and a14505a ); a338a <=( a14496a and a14485a ); a339a <=( a14476a and a14465a ); a340a <=( a14456a and a14445a ); a341a <=( a14436a and a14425a ); a342a <=( a14416a and a14405a ); a343a <=( a14396a and a14385a ); a344a <=( a14376a and a14365a ); a345a <=( a14356a and a14345a ); a346a <=( a14336a and a14325a ); a347a <=( a14316a and a14305a ); a348a <=( a14296a and a14285a ); a349a <=( a14276a and a14265a ); a350a <=( a14256a and a14245a ); a351a <=( a14236a and a14225a ); a352a <=( a14216a and a14205a ); a353a <=( a14196a and a14185a ); a354a <=( a14176a and a14165a ); a355a <=( a14156a and a14145a ); a356a <=( a14136a and a14125a ); a357a <=( a14116a and a14105a ); a358a <=( a14096a and a14085a ); a359a <=( a14076a and a14065a ); a360a <=( a14056a and a14045a ); a361a <=( a14036a and a14025a ); a362a <=( a14016a and a14005a ); a363a <=( a13996a and a13985a ); a364a <=( a13976a and a13965a ); a365a <=( a13956a and a13945a ); a366a <=( a13936a and a13925a ); a367a <=( a13916a and a13905a ); a368a <=( a13896a and a13885a ); a369a <=( a13876a and a13865a ); a370a <=( a13856a and a13845a ); a371a <=( a13836a and a13825a ); a372a <=( a13816a and a13805a ); a373a <=( a13796a and a13785a ); a374a <=( a13776a and a13765a ); a375a <=( a13756a and a13745a ); a376a <=( a13736a and a13725a ); a377a <=( a13716a and a13705a ); a378a <=( a13696a and a13685a ); a379a <=( a13676a and a13665a ); a380a <=( a13656a and a13645a ); a381a <=( a13636a and a13625a ); a382a <=( a13616a and a13605a ); a383a <=( a13596a and a13585a ); a384a <=( a13576a and a13565a ); a385a <=( a13556a and a13545a ); a386a <=( a13536a and a13525a ); a387a <=( a13516a and a13505a ); a388a <=( a13496a and a13485a ); a389a <=( a13476a and a13465a ); a390a <=( a13456a and a13445a ); a391a <=( a13436a and a13425a ); a392a <=( a13416a and a13405a ); a393a <=( a13396a and a13385a ); a394a <=( a13376a and a13365a ); a395a <=( a13356a and a13345a ); a396a <=( a13336a and a13325a ); a397a <=( a13316a and a13305a ); a398a <=( a13296a and a13285a ); a399a <=( a13276a and a13265a ); a400a <=( a13256a and a13245a ); a401a <=( a13236a and a13225a ); a402a <=( a13216a and a13205a ); a403a <=( a13196a and a13185a ); a404a <=( a13176a and a13165a ); a405a <=( a13156a and a13145a ); a406a <=( a13136a and a13125a ); a407a <=( a13116a and a13105a ); a408a <=( a13096a and a13085a ); a409a <=( a13076a and a13065a ); a410a <=( a13056a and a13045a ); a411a <=( a13036a and a13025a ); a412a <=( a13016a and a13005a ); a413a <=( a12996a and a12985a ); a414a <=( a12976a and a12965a ); a415a <=( a12956a and a12945a ); a416a <=( a12936a and a12925a ); a417a <=( a12916a and a12905a ); a418a <=( a12896a and a12885a ); a419a <=( a12876a and a12865a ); a420a <=( a12856a and a12845a ); a421a <=( a12836a and a12825a ); a422a <=( a12816a and a12805a ); a423a <=( a12796a and a12785a ); a424a <=( a12776a and a12765a ); a425a <=( a12756a and a12745a ); a426a <=( a12736a and a12725a ); a427a <=( a12716a and a12705a ); a428a <=( a12696a and a12685a ); a429a <=( a12676a and a12665a ); a430a <=( a12656a and a12645a ); a431a <=( a12636a and a12625a ); a432a <=( a12616a and a12605a ); a433a <=( a12596a and a12585a ); a434a <=( a12576a and a12565a ); a435a <=( a12556a and a12545a ); a436a <=( a12536a and a12525a ); a437a <=( a12516a and a12505a ); a438a <=( a12496a and a12485a ); a439a <=( a12476a and a12465a ); a440a <=( a12456a and a12445a ); a441a <=( a12436a and a12425a ); a442a <=( a12416a and a12405a ); a443a <=( a12396a and a12385a ); a444a <=( a12376a and a12365a ); a445a <=( a12356a and a12345a ); a446a <=( a12336a and a12325a ); a447a <=( a12316a and a12305a ); a448a <=( a12296a and a12285a ); a449a <=( a12276a and a12265a ); a450a <=( a12256a and a12245a ); a451a <=( a12236a and a12225a ); a452a <=( a12216a and a12205a ); a453a <=( a12196a and a12185a ); a454a <=( a12176a and a12165a ); a455a <=( a12156a and a12145a ); a456a <=( a12136a and a12125a ); a457a <=( a12116a and a12105a ); a458a <=( a12096a and a12085a ); a459a <=( a12076a and a12065a ); a460a <=( a12056a and a12045a ); a461a <=( a12036a and a12025a ); a462a <=( a12016a and a12005a ); a463a <=( a11996a and a11985a ); a464a <=( a11976a and a11965a ); a465a <=( a11956a and a11945a ); a466a <=( a11936a and a11925a ); a467a <=( a11916a and a11905a ); a468a <=( a11896a and a11885a ); a469a <=( a11876a and a11865a ); a470a <=( a11856a and a11845a ); a471a <=( a11836a and a11825a ); a472a <=( a11816a and a11805a ); a473a <=( a11796a and a11785a ); a474a <=( a11776a and a11765a ); a475a <=( a11756a and a11745a ); a476a <=( a11736a and a11725a ); a477a <=( a11716a and a11705a ); a478a <=( a11696a and a11685a ); a479a <=( a11676a and a11665a ); a480a <=( a11656a and a11645a ); a481a <=( a11636a and a11625a ); a482a <=( a11616a and a11605a ); a483a <=( a11596a and a11585a ); a484a <=( a11576a and a11565a ); a485a <=( a11556a and a11545a ); a486a <=( a11536a and a11525a ); a487a <=( a11516a and a11505a ); a488a <=( a11496a and a11485a ); a489a <=( a11476a and a11465a ); a490a <=( a11456a and a11445a ); a491a <=( a11436a and a11425a ); a492a <=( a11416a and a11405a ); a493a <=( a11396a and a11385a ); a494a <=( a11376a and a11365a ); a495a <=( a11356a and a11345a ); a496a <=( a11336a and a11325a ); a497a <=( a11316a and a11305a ); a498a <=( a11296a and a11285a ); a499a <=( a11276a and a11265a ); a500a <=( a11256a and a11245a ); a501a <=( a11236a and a11225a ); a502a <=( a11216a and a11205a ); a503a <=( a11196a and a11185a ); a504a <=( a11176a and a11165a ); a505a <=( a11156a and a11145a ); a506a <=( a11136a and a11125a ); a507a <=( a11116a and a11105a ); a508a <=( a11096a and a11085a ); a509a <=( a11076a and a11065a ); a510a <=( a11056a and a11045a ); a511a <=( a11036a and a11025a ); a512a <=( a11016a and a11005a ); a513a <=( a10996a and a10985a ); a514a <=( a10976a and a10965a ); a515a <=( a10956a and a10945a ); a516a <=( a10936a and a10925a ); a517a <=( a10916a and a10905a ); a518a <=( a10896a and a10885a ); a519a <=( a10876a and a10865a ); a520a <=( a10856a and a10845a ); a521a <=( a10836a and a10825a ); a522a <=( a10816a and a10805a ); a523a <=( a10796a and a10785a ); a524a <=( a10776a and a10765a ); a525a <=( a10756a and a10745a ); a526a <=( a10736a and a10725a ); a527a <=( a10716a and a10705a ); a528a <=( a10696a and a10685a ); a529a <=( a10676a and a10665a ); a530a <=( a10656a and a10645a ); a531a <=( a10636a and a10625a ); a532a <=( a10616a and a10605a ); a533a <=( a10596a and a10585a ); a534a <=( a10576a and a10565a ); a535a <=( a10556a and a10545a ); a536a <=( a10536a and a10525a ); a537a <=( a10516a and a10505a ); a538a <=( a10496a and a10485a ); a539a <=( a10476a and a10465a ); a540a <=( a10456a and a10445a ); a541a <=( a10436a and a10425a ); a542a <=( a10416a and a10405a ); a543a <=( a10396a and a10385a ); a544a <=( a10376a and a10365a ); a545a <=( a10356a and a10345a ); a546a <=( a10336a and a10325a ); a547a <=( a10316a and a10305a ); a548a <=( a10296a and a10285a ); a549a <=( a10276a and a10265a ); a550a <=( a10256a and a10245a ); a551a <=( a10236a and a10225a ); a552a <=( a10216a and a10205a ); a553a <=( a10196a and a10185a ); a554a <=( a10176a and a10165a ); a555a <=( a10156a and a10145a ); a556a <=( a10136a and a10125a ); a557a <=( a10116a and a10105a ); a558a <=( a10096a and a10085a ); a559a <=( a10076a and a10065a ); a560a <=( a10056a and a10045a ); a561a <=( a10036a and a10025a ); a562a <=( a10016a and a10005a ); a563a <=( a9996a and a9985a ); a564a <=( a9976a and a9967a ); a565a <=( a9958a and a9949a ); a566a <=( a9940a and a9931a ); a567a <=( a9922a and a9913a ); a568a <=( a9904a and a9895a ); a569a <=( a9886a and a9877a ); a570a <=( a9868a and a9859a ); a571a <=( a9850a and a9841a ); a572a <=( a9832a and a9823a ); a573a <=( a9814a and a9805a ); a574a <=( a9796a and a9787a ); a575a <=( a9778a and a9769a ); a576a <=( a9760a and a9751a ); a577a <=( a9742a and a9733a ); a578a <=( a9724a and a9715a ); a579a <=( a9706a and a9697a ); a580a <=( a9688a and a9679a ); a581a <=( a9670a and a9661a ); a582a <=( a9652a and a9643a ); a583a <=( a9634a and a9625a ); a584a <=( a9616a and a9607a ); a585a <=( a9598a and a9589a ); a586a <=( a9580a and a9571a ); a587a <=( a9562a and a9553a ); a588a <=( a9544a and a9535a ); a589a <=( a9526a and a9517a ); a590a <=( a9508a and a9499a ); a591a <=( a9490a and a9481a ); a592a <=( a9472a and a9463a ); a593a <=( a9454a and a9445a ); a594a <=( a9436a and a9427a ); a595a <=( a9418a and a9409a ); a596a <=( a9400a and a9391a ); a597a <=( a9382a and a9373a ); a598a <=( a9364a and a9355a ); a599a <=( a9346a and a9337a ); a600a <=( a9328a and a9319a ); a601a <=( a9310a and a9301a ); a602a <=( a9292a and a9283a ); a603a <=( a9274a and a9265a ); a604a <=( a9256a and a9247a ); a605a <=( a9238a and a9229a ); a606a <=( a9220a and a9211a ); a607a <=( a9202a and a9193a ); a608a <=( a9184a and a9175a ); a609a <=( a9166a and a9157a ); a610a <=( a9148a and a9139a ); a611a <=( a9130a and a9121a ); a612a <=( a9112a and a9103a ); a613a <=( a9094a and a9085a ); a614a <=( a9076a and a9067a ); a615a <=( a9058a and a9049a ); a616a <=( a9040a and a9031a ); a617a <=( a9022a and a9013a ); a618a <=( a9004a and a8995a ); a619a <=( a8986a and a8977a ); a620a <=( a8968a and a8959a ); a621a <=( a8950a and a8941a ); a622a <=( a8932a and a8923a ); a623a <=( a8914a and a8905a ); a624a <=( a8896a and a8887a ); a625a <=( a8878a and a8869a ); a626a <=( a8860a and a8851a ); a627a <=( a8842a and a8833a ); a628a <=( a8824a and a8815a ); a629a <=( a8806a and a8797a ); a630a <=( a8788a and a8779a ); a631a <=( a8770a and a8761a ); a632a <=( a8752a and a8743a ); a633a <=( a8734a and a8725a ); a634a <=( a8716a and a8707a ); a635a <=( a8698a and a8689a ); a636a <=( a8680a and a8671a ); a637a <=( a8662a and a8653a ); a638a <=( a8644a and a8635a ); a639a <=( a8626a and a8617a ); a640a <=( a8608a and a8599a ); a641a <=( a8590a and a8581a ); a642a <=( a8572a and a8563a ); a643a <=( a8554a and a8545a ); a644a <=( a8536a and a8527a ); a645a <=( a8518a and a8509a ); a646a <=( a8500a and a8491a ); a647a <=( a8482a and a8473a ); a648a <=( a8464a and a8455a ); a649a <=( a8446a and a8437a ); a650a <=( a8428a and a8419a ); a651a <=( a8410a and a8401a ); a652a <=( a8392a and a8383a ); a653a <=( a8374a and a8365a ); a654a <=( a8356a and a8347a ); a655a <=( a8338a and a8329a ); a656a <=( a8320a and a8311a ); a657a <=( a8302a and a8293a ); a658a <=( a8284a and a8275a ); a659a <=( a8266a and a8257a ); a660a <=( a8248a and a8239a ); a661a <=( a8230a and a8221a ); a662a <=( a8212a and a8203a ); a663a <=( a8194a and a8185a ); a664a <=( a8176a and a8167a ); a665a <=( a8158a and a8149a ); a666a <=( a8140a and a8131a ); a667a <=( a8122a and a8113a ); a668a <=( a8104a and a8095a ); a669a <=( a8086a and a8077a ); a670a <=( a8068a and a8059a ); a671a <=( a8050a and a8041a ); a672a <=( a8032a and a8023a ); a673a <=( a8014a and a8005a ); a674a <=( a7996a and a7987a ); a675a <=( a7978a and a7969a ); a676a <=( a7960a and a7951a ); a677a <=( a7942a and a7933a ); a678a <=( a7924a and a7915a ); a679a <=( a7906a and a7897a ); a680a <=( a7888a and a7879a ); a681a <=( a7870a and a7861a ); a682a <=( a7852a and a7843a ); a683a <=( a7834a and a7825a ); a684a <=( a7816a and a7807a ); a685a <=( a7798a and a7789a ); a686a <=( a7780a and a7771a ); a687a <=( a7762a and a7753a ); a688a <=( a7744a and a7735a ); a689a <=( a7726a and a7717a ); a690a <=( a7708a and a7699a ); a691a <=( a7690a and a7681a ); a692a <=( a7672a and a7663a ); a693a <=( a7654a and a7645a ); a694a <=( a7636a and a7627a ); a695a <=( a7618a and a7609a ); a696a <=( a7600a and a7591a ); a697a <=( a7582a and a7573a ); a698a <=( a7564a and a7555a ); a699a <=( a7546a and a7537a ); a700a <=( a7528a and a7519a ); a701a <=( a7510a and a7501a ); a702a <=( a7492a and a7483a ); a703a <=( a7474a and a7465a ); a704a <=( a7456a and a7447a ); a705a <=( a7438a and a7429a ); a706a <=( a7420a and a7411a ); a707a <=( a7402a and a7393a ); a708a <=( a7384a and a7375a ); a709a <=( a7366a and a7357a ); a710a <=( a7348a and a7339a ); a711a <=( a7330a and a7321a ); a712a <=( a7312a and a7303a ); a713a <=( a7294a and a7285a ); a714a <=( a7276a and a7267a ); a715a <=( a7258a and a7249a ); a716a <=( a7240a and a7231a ); a717a <=( a7222a and a7213a ); a718a <=( a7204a and a7195a ); a719a <=( a7186a and a7177a ); a720a <=( a7168a and a7159a ); a721a <=( a7150a and a7141a ); a722a <=( a7132a and a7123a ); a723a <=( a7114a and a7105a ); a724a <=( a7096a and a7087a ); a725a <=( a7078a and a7069a ); a726a <=( a7060a and a7051a ); a727a <=( a7042a and a7033a ); a728a <=( a7024a and a7015a ); a729a <=( a7006a and a6997a ); a730a <=( a6988a and a6979a ); a731a <=( a6970a and a6961a ); a732a <=( a6952a and a6943a ); a733a <=( a6934a and a6925a ); a734a <=( a6916a and a6907a ); a735a <=( a6898a and a6889a ); a736a <=( a6880a and a6871a ); a737a <=( a6862a and a6853a ); a738a <=( a6844a and a6835a ); a739a <=( a6826a and a6817a ); a740a <=( a6808a and a6799a ); a741a <=( a6790a and a6781a ); a742a <=( a6772a and a6763a ); a743a <=( a6754a and a6745a ); a744a <=( a6736a and a6727a ); a745a <=( a6718a and a6709a ); a746a <=( a6700a and a6691a ); a747a <=( a6682a and a6673a ); a748a <=( a6664a and a6655a ); a749a <=( a6646a and a6637a ); a750a <=( a6628a and a6619a ); a751a <=( a6610a and a6601a ); a752a <=( a6592a and a6583a ); a753a <=( a6574a and a6565a ); a754a <=( a6556a and a6547a ); a755a <=( a6538a and a6529a ); a756a <=( a6520a and a6511a ); a757a <=( a6502a and a6493a ); a758a <=( a6484a and a6475a ); a759a <=( a6466a and a6457a ); a760a <=( a6448a and a6439a ); a761a <=( a6430a and a6421a ); a762a <=( a6412a and a6403a ); a763a <=( a6394a and a6385a ); a764a <=( a6376a and a6367a ); a765a <=( a6358a and a6349a ); a766a <=( a6340a and a6331a ); a767a <=( a6322a and a6313a ); a768a <=( a6304a and a6295a ); a769a <=( a6286a and a6277a ); a770a <=( a6268a and a6259a ); a771a <=( a6250a and a6241a ); a772a <=( a6232a and a6223a ); a773a <=( a6214a and a6205a ); a774a <=( a6196a and a6187a ); a775a <=( a6180a and a6171a ); a776a <=( a6164a and a6155a ); a777a <=( a6148a and a6139a ); a778a <=( a6132a and a6123a ); a779a <=( a6116a and a6107a ); a780a <=( a6100a and a6091a ); a781a <=( a6084a and a6075a ); a782a <=( a6068a and a6059a ); a783a <=( a6052a and a6043a ); a784a <=( a6036a and a6027a ); a785a <=( a6020a and a6011a ); a786a <=( a6004a and a5995a ); a787a <=( a5988a and a5979a ); a788a <=( a5972a and a5963a ); a789a <=( a5956a and a5947a ); a790a <=( a5940a and a5931a ); a791a <=( a5924a and a5915a ); a792a <=( a5908a and a5899a ); a793a <=( a5892a and a5883a ); a794a <=( a5876a and a5867a ); a795a <=( a5860a and a5851a ); a796a <=( a5844a and a5835a ); a797a <=( a5828a and a5819a ); a798a <=( a5812a and a5803a ); a799a <=( a5796a and a5787a ); a800a <=( a5780a and a5771a ); a801a <=( a5764a and a5755a ); a802a <=( a5748a and a5739a ); a803a <=( a5732a and a5723a ); a804a <=( a5716a and a5707a ); a805a <=( a5700a and a5691a ); a806a <=( a5684a and a5675a ); a807a <=( a5668a and a5659a ); a808a <=( a5652a and a5643a ); a809a <=( a5636a and a5627a ); a810a <=( a5620a and a5611a ); a811a <=( a5604a and a5595a ); a812a <=( a5588a and a5579a ); a813a <=( a5572a and a5563a ); a814a <=( a5556a and a5547a ); a815a <=( a5540a and a5531a ); a816a <=( a5524a and a5515a ); a817a <=( a5508a and a5499a ); a818a <=( a5492a and a5483a ); a819a <=( a5476a and a5467a ); a820a <=( a5460a and a5451a ); a821a <=( a5444a and a5435a ); a822a <=( a5428a and a5419a ); a823a <=( a5412a and a5403a ); a824a <=( a5396a and a5387a ); a825a <=( a5380a and a5371a ); a826a <=( a5364a and a5355a ); a827a <=( a5348a and a5339a ); a828a <=( a5332a and a5323a ); a829a <=( a5316a and a5307a ); a830a <=( a5300a and a5291a ); a831a <=( a5284a and a5275a ); a832a <=( a5268a and a5259a ); a833a <=( a5252a and a5243a ); a834a <=( a5236a and a5227a ); a835a <=( a5220a and a5211a ); a836a <=( a5204a and a5195a ); a837a <=( a5188a and a5179a ); a838a <=( a5172a and a5163a ); a839a <=( a5156a and a5147a ); a840a <=( a5140a and a5131a ); a841a <=( a5124a and a5115a ); a842a <=( a5108a and a5099a ); a843a <=( a5092a and a5083a ); a844a <=( a5076a and a5067a ); a845a <=( a5060a and a5051a ); a846a <=( a5044a and a5035a ); a847a <=( a5028a and a5019a ); a848a <=( a5012a and a5003a ); a849a <=( a4996a and a4987a ); a850a <=( a4980a and a4971a ); a851a <=( a4964a and a4955a ); a852a <=( a4948a and a4939a ); a853a <=( a4932a and a4923a ); a854a <=( a4916a and a4907a ); a855a <=( a4900a and a4891a ); a856a <=( a4884a and a4875a ); a857a <=( a4868a and a4859a ); a858a <=( a4852a and a4843a ); a859a <=( a4836a and a4827a ); a860a <=( a4820a and a4811a ); a861a <=( a4804a and a4795a ); a862a <=( a4788a and a4779a ); a863a <=( a4772a and a4763a ); a864a <=( a4756a and a4747a ); a865a <=( a4740a and a4731a ); a866a <=( a4724a and a4715a ); a867a <=( a4708a and a4699a ); a868a <=( a4692a and a4683a ); a869a <=( a4676a and a4667a ); a870a <=( a4660a and a4651a ); a871a <=( a4644a and a4635a ); a872a <=( a4628a and a4619a ); a873a <=( a4612a and a4603a ); a874a <=( a4596a and a4587a ); a875a <=( a4580a and a4571a ); a876a <=( a4564a and a4555a ); a877a <=( a4548a and a4539a ); a878a <=( a4532a and a4523a ); a879a <=( a4516a and a4507a ); a880a <=( a4500a and a4491a ); a881a <=( a4484a and a4475a ); a882a <=( a4468a and a4459a ); a883a <=( a4452a and a4443a ); a884a <=( a4436a and a4427a ); a885a <=( a4420a and a4411a ); a886a <=( a4404a and a4395a ); a887a <=( a4388a and a4379a ); a888a <=( a4372a and a4363a ); a889a <=( a4356a and a4347a ); a890a <=( a4340a and a4331a ); a891a <=( a4324a and a4315a ); a892a <=( a4308a and a4299a ); a893a <=( a4292a and a4283a ); a894a <=( a4276a and a4267a ); a895a <=( a4260a and a4251a ); a896a <=( a4244a and a4237a ); a897a <=( a4230a and a4223a ); a898a <=( a4216a and a4209a ); a899a <=( a4202a and a4195a ); a900a <=( a4188a and a4181a ); a901a <=( a4174a and a4167a ); a902a <=( a4160a and a4153a ); a903a <=( a4146a and a4139a ); a904a <=( a4132a and a4125a ); a905a <=( a4118a and a4111a ); a906a <=( a4104a and a4097a ); a907a <=( a4090a and a4083a ); a908a <=( a4076a and a4069a ); a909a <=( a4062a and a4055a ); a910a <=( a4048a and a4041a ); a911a <=( a4034a and a4027a ); a912a <=( a4020a and a4013a ); a913a <=( a4006a and a3999a ); a914a <=( a3992a and a3985a ); a915a <=( a3978a and a3971a ); a916a <=( a3964a and a3957a ); a917a <=( a3950a and a3943a ); a918a <=( a3936a and a3929a ); a919a <=( a3922a and a3915a ); a920a <=( a3908a and a3901a ); a921a <=( a3894a and a3887a ); a922a <=( a3880a and a3873a ); a923a <=( a3866a and a3859a ); a924a <=( a3852a and a3845a ); a925a <=( a3838a and a3831a ); a926a <=( a3824a and a3817a ); a927a <=( a3810a and a3803a ); a928a <=( a3796a and a3789a ); a929a <=( a3782a and a3775a ); a930a <=( a3768a and a3761a ); a931a <=( a3754a and a3747a ); a932a <=( a3740a and a3733a ); a933a <=( a3726a and a3719a ); a934a <=( a3712a and a3705a ); a935a <=( a3698a and a3691a ); a936a <=( a3684a and a3677a ); a937a <=( a3670a and a3663a ); a938a <=( a3656a and a3649a ); a939a <=( a3642a and a3635a ); a940a <=( a3628a and a3621a ); a941a <=( a3614a and a3607a ); a942a <=( a3600a and a3593a ); a943a <=( a3586a and a3579a ); a944a <=( a3572a and a3565a ); a945a <=( a3558a and a3551a ); a946a <=( a3544a and a3537a ); a947a <=( a3532a and a3525a ); a948a <=( a3520a and a3513a ); a949a <=( a3508a and a3501a ); a950a <=( a3496a and a3489a ); a951a <=( a3484a and a3477a ); a952a <=( a3472a and a3465a ); a953a <=( a3460a and a3453a ); a954a <=( a3448a and a3441a ); a955a <=( a3436a and a3429a ); a956a <=( a3424a and a3417a ); a957a <=( a3412a and a3405a ); a958a <=( a3400a and a3393a ); a959a <=( a3388a and a3383a ); a960a <=( a3378a and a3373a ); a961a <=( a3368a and a3363a ); a962a <=( a3358a and a3353a ); a963a <=( a3348a and a3343a ); a964a <=( a3338a and a3333a ); a965a <=( a3328a and a3323a ); a966a <=( a3318a and a3313a ); a967a <=( a3308a and a3303a ); a968a <=( a3298a and a3293a ); a969a <=( a3288a and a3283a ); a970a <=( a3280a and a3275a ); a971a <=( a3272a and a3267a ); a972a <=( a3264a and a3259a ); a973a <=( a3256a and a3251a ); a974a <=( a3248a and a3243a ); a975a <=( a3240a and a3235a ); a976a <=( a3232a and a3227a ); a977a <=( a3224a and a3219a ); a978a <=( a3216a and a3211a ); a979a <=( a3208a and a3203a ); a980a <=( a3200a and a3195a ); a981a <=( a3192a and a3187a ); a982a <=( a3184a and a3179a ); a983a <=( a3176a and a3171a ); a984a <=( a3168a and a3163a ); a985a <=( a3160a and a3157a ); a986a <=( a3154a and a3151a ); a987a <=( a3148a and a3145a ); a988a <=( a3142a and a3139a ); a989a <=( a3136a and a3133a ); a990a <=( a3130a and a3127a ); a991a <=( a3124a and a3121a ); a992a <=( a3118a and a3115a ); a993a <=( a3112a and a3109a ); a994a <=( a3106a and a3103a ); a995a <=( a3100a and a3097a ); a996a <=( a3094a and a3091a ); a997a <=( a3088a and a3085a ); a998a <=( a3082a and a3079a ); a999a <=( a3076a and a3073a ); a1000a <=( a3070a and a3067a ); a1001a <=( A169 and a3064a ); a1002a <=( A169 and a3060a ); a1003a <=( A168 and a3056a ); a1004a <=( A168 and a3052a ); a1005a <=( A200 and a3048a ); a1006a <=( A199 and a3044a ); a1007a <=( A202 and a3040a ); a1008a <=( A202 and a3036a ); a1009a <=( A235 and A169 ); a1010a <=( A235 and A202 ); a1014a <=( a1008a ) or ( a1009a ); a1015a <=( a1010a ) or ( a1014a ); a1018a <=( a1006a ) or ( a1007a ); a1021a <=( a1004a ) or ( a1005a ); a1022a <=( a1021a ) or ( a1018a ); a1023a <=( a1022a ) or ( a1015a ); a1026a <=( a1002a ) or ( a1003a ); a1029a <=( a1000a ) or ( a1001a ); a1030a <=( a1029a ) or ( a1026a ); a1033a <=( a998a ) or ( a999a ); a1036a <=( a996a ) or ( a997a ); a1037a <=( a1036a ) or ( a1033a ); a1038a <=( a1037a ) or ( a1030a ); a1039a <=( a1038a ) or ( a1023a ); a1042a <=( a994a ) or ( a995a ); a1045a <=( a992a ) or ( a993a ); a1046a <=( a1045a ) or ( a1042a ); a1049a <=( a990a ) or ( a991a ); a1052a <=( a988a ) or ( a989a ); a1053a <=( a1052a ) or ( a1049a ); a1054a <=( a1053a ) or ( a1046a ); a1057a <=( a986a ) or ( a987a ); a1060a <=( a984a ) or ( a985a ); a1061a <=( a1060a ) or ( a1057a ); a1064a <=( a982a ) or ( a983a ); a1067a <=( a980a ) or ( a981a ); a1068a <=( a1067a ) or ( a1064a ); a1069a <=( a1068a ) or ( a1061a ); a1070a <=( a1069a ) or ( a1054a ); a1071a <=( a1070a ) or ( a1039a ); a1074a <=( a978a ) or ( a979a ); a1077a <=( a976a ) or ( a977a ); a1078a <=( a1077a ) or ( a1074a ); a1081a <=( a974a ) or ( a975a ); a1084a <=( a972a ) or ( a973a ); a1085a <=( a1084a ) or ( a1081a ); a1086a <=( a1085a ) or ( a1078a ); a1089a <=( a970a ) or ( a971a ); a1092a <=( a968a ) or ( a969a ); a1093a <=( a1092a ) or ( a1089a ); a1096a <=( a966a ) or ( a967a ); a1099a <=( a964a ) or ( a965a ); a1100a <=( a1099a ) or ( a1096a ); a1101a <=( a1100a ) or ( a1093a ); a1102a <=( a1101a ) or ( a1086a ); a1105a <=( a962a ) or ( a963a ); a1108a <=( a960a ) or ( a961a ); a1109a <=( a1108a ) or ( a1105a ); a1112a <=( a958a ) or ( a959a ); a1115a <=( a956a ) or ( a957a ); a1116a <=( a1115a ) or ( a1112a ); a1117a <=( a1116a ) or ( a1109a ); a1120a <=( a954a ) or ( a955a ); a1123a <=( a952a ) or ( a953a ); a1124a <=( a1123a ) or ( a1120a ); a1127a <=( a950a ) or ( a951a ); a1130a <=( a948a ) or ( a949a ); a1131a <=( a1130a ) or ( a1127a ); a1132a <=( a1131a ) or ( a1124a ); a1133a <=( a1132a ) or ( a1117a ); a1134a <=( a1133a ) or ( a1102a ); a1135a <=( a1134a ) or ( a1071a ); a1139a <=( a945a ) or ( a946a ); a1140a <=( a947a ) or ( a1139a ); a1143a <=( a943a ) or ( a944a ); a1146a <=( a941a ) or ( a942a ); a1147a <=( a1146a ) or ( a1143a ); a1148a <=( a1147a ) or ( a1140a ); a1151a <=( a939a ) or ( a940a ); a1154a <=( a937a ) or ( a938a ); a1155a <=( a1154a ) or ( a1151a ); a1158a <=( a935a ) or ( a936a ); a1161a <=( a933a ) or ( a934a ); a1162a <=( a1161a ) or ( a1158a ); a1163a <=( a1162a ) or ( a1155a ); a1164a <=( a1163a ) or ( a1148a ); a1167a <=( a931a ) or ( a932a ); a1170a <=( a929a ) or ( a930a ); a1171a <=( a1170a ) or ( a1167a ); a1174a <=( a927a ) or ( a928a ); a1177a <=( a925a ) or ( a926a ); a1178a <=( a1177a ) or ( a1174a ); a1179a <=( a1178a ) or ( a1171a ); a1182a <=( a923a ) or ( a924a ); a1185a <=( a921a ) or ( a922a ); a1186a <=( a1185a ) or ( a1182a ); a1189a <=( a919a ) or ( a920a ); a1192a <=( a917a ) or ( a918a ); a1193a <=( a1192a ) or ( a1189a ); a1194a <=( a1193a ) or ( a1186a ); a1195a <=( a1194a ) or ( a1179a ); a1196a <=( a1195a ) or ( a1164a ); a1199a <=( a915a ) or ( a916a ); a1202a <=( a913a ) or ( a914a ); a1203a <=( a1202a ) or ( a1199a ); a1206a <=( a911a ) or ( a912a ); a1209a <=( a909a ) or ( a910a ); a1210a <=( a1209a ) or ( a1206a ); a1211a <=( a1210a ) or ( a1203a ); a1214a <=( a907a ) or ( a908a ); a1217a <=( a905a ) or ( a906a ); a1218a <=( a1217a ) or ( a1214a ); a1221a <=( a903a ) or ( a904a ); a1224a <=( a901a ) or ( a902a ); a1225a <=( a1224a ) or ( a1221a ); a1226a <=( a1225a ) or ( a1218a ); a1227a <=( a1226a ) or ( a1211a ); a1230a <=( a899a ) or ( a900a ); a1233a <=( a897a ) or ( a898a ); a1234a <=( a1233a ) or ( a1230a ); a1237a <=( a895a ) or ( a896a ); a1240a <=( a893a ) or ( a894a ); a1241a <=( a1240a ) or ( a1237a ); a1242a <=( a1241a ) or ( a1234a ); a1245a <=( a891a ) or ( a892a ); a1248a <=( a889a ) or ( a890a ); a1249a <=( a1248a ) or ( a1245a ); a1252a <=( a887a ) or ( a888a ); a1255a <=( a885a ) or ( a886a ); a1256a <=( a1255a ) or ( a1252a ); a1257a <=( a1256a ) or ( a1249a ); a1258a <=( a1257a ) or ( a1242a ); a1259a <=( a1258a ) or ( a1227a ); a1260a <=( a1259a ) or ( a1196a ); a1261a <=( a1260a ) or ( a1135a ); a1265a <=( a882a ) or ( a883a ); a1266a <=( a884a ) or ( a1265a ); a1269a <=( a880a ) or ( a881a ); a1272a <=( a878a ) or ( a879a ); a1273a <=( a1272a ) or ( a1269a ); a1274a <=( a1273a ) or ( a1266a ); a1277a <=( a876a ) or ( a877a ); a1280a <=( a874a ) or ( a875a ); a1281a <=( a1280a ) or ( a1277a ); a1284a <=( a872a ) or ( a873a ); a1287a <=( a870a ) or ( a871a ); a1288a <=( a1287a ) or ( a1284a ); a1289a <=( a1288a ) or ( a1281a ); a1290a <=( a1289a ) or ( a1274a ); a1293a <=( a868a ) or ( a869a ); a1296a <=( a866a ) or ( a867a ); a1297a <=( a1296a ) or ( a1293a ); a1300a <=( a864a ) or ( a865a ); a1303a <=( a862a ) or ( a863a ); a1304a <=( a1303a ) or ( a1300a ); a1305a <=( a1304a ) or ( a1297a ); a1308a <=( a860a ) or ( a861a ); a1311a <=( a858a ) or ( a859a ); a1312a <=( a1311a ) or ( a1308a ); a1315a <=( a856a ) or ( a857a ); a1318a <=( a854a ) or ( a855a ); a1319a <=( a1318a ) or ( a1315a ); a1320a <=( a1319a ) or ( a1312a ); a1321a <=( a1320a ) or ( a1305a ); a1322a <=( a1321a ) or ( a1290a ); a1325a <=( a852a ) or ( a853a ); a1328a <=( a850a ) or ( a851a ); a1329a <=( a1328a ) or ( a1325a ); a1332a <=( a848a ) or ( a849a ); a1335a <=( a846a ) or ( a847a ); a1336a <=( a1335a ) or ( a1332a ); a1337a <=( a1336a ) or ( a1329a ); a1340a <=( a844a ) or ( a845a ); a1343a <=( a842a ) or ( a843a ); a1344a <=( a1343a ) or ( a1340a ); a1347a <=( a840a ) or ( a841a ); a1350a <=( a838a ) or ( a839a ); a1351a <=( a1350a ) or ( a1347a ); a1352a <=( a1351a ) or ( a1344a ); a1353a <=( a1352a ) or ( a1337a ); a1356a <=( a836a ) or ( a837a ); a1359a <=( a834a ) or ( a835a ); a1360a <=( a1359a ) or ( a1356a ); a1363a <=( a832a ) or ( a833a ); a1366a <=( a830a ) or ( a831a ); a1367a <=( a1366a ) or ( a1363a ); a1368a <=( a1367a ) or ( a1360a ); a1371a <=( a828a ) or ( a829a ); a1374a <=( a826a ) or ( a827a ); a1375a <=( a1374a ) or ( a1371a ); a1378a <=( a824a ) or ( a825a ); a1381a <=( a822a ) or ( a823a ); a1382a <=( a1381a ) or ( a1378a ); a1383a <=( a1382a ) or ( a1375a ); a1384a <=( a1383a ) or ( a1368a ); a1385a <=( a1384a ) or ( a1353a ); a1386a <=( a1385a ) or ( a1322a ); a1390a <=( a819a ) or ( a820a ); a1391a <=( a821a ) or ( a1390a ); a1394a <=( a817a ) or ( a818a ); a1397a <=( a815a ) or ( a816a ); a1398a <=( a1397a ) or ( a1394a ); a1399a <=( a1398a ) or ( a1391a ); a1402a <=( a813a ) or ( a814a ); a1405a <=( a811a ) or ( a812a ); a1406a <=( a1405a ) or ( a1402a ); a1409a <=( a809a ) or ( a810a ); a1412a <=( a807a ) or ( a808a ); a1413a <=( a1412a ) or ( a1409a ); a1414a <=( a1413a ) or ( a1406a ); a1415a <=( a1414a ) or ( a1399a ); a1418a <=( a805a ) or ( a806a ); a1421a <=( a803a ) or ( a804a ); a1422a <=( a1421a ) or ( a1418a ); a1425a <=( a801a ) or ( a802a ); a1428a <=( a799a ) or ( a800a ); a1429a <=( a1428a ) or ( a1425a ); a1430a <=( a1429a ) or ( a1422a ); a1433a <=( a797a ) or ( a798a ); a1436a <=( a795a ) or ( a796a ); a1437a <=( a1436a ) or ( a1433a ); a1440a <=( a793a ) or ( a794a ); a1443a <=( a791a ) or ( a792a ); a1444a <=( a1443a ) or ( a1440a ); a1445a <=( a1444a ) or ( a1437a ); a1446a <=( a1445a ) or ( a1430a ); a1447a <=( a1446a ) or ( a1415a ); a1450a <=( a789a ) or ( a790a ); a1453a <=( a787a ) or ( a788a ); a1454a <=( a1453a ) or ( a1450a ); a1457a <=( a785a ) or ( a786a ); a1460a <=( a783a ) or ( a784a ); a1461a <=( a1460a ) or ( a1457a ); a1462a <=( a1461a ) or ( a1454a ); a1465a <=( a781a ) or ( a782a ); a1468a <=( a779a ) or ( a780a ); a1469a <=( a1468a ) or ( a1465a ); a1472a <=( a777a ) or ( a778a ); a1475a <=( a775a ) or ( a776a ); a1476a <=( a1475a ) or ( a1472a ); a1477a <=( a1476a ) or ( a1469a ); a1478a <=( a1477a ) or ( a1462a ); a1481a <=( a773a ) or ( a774a ); a1484a <=( a771a ) or ( a772a ); a1485a <=( a1484a ) or ( a1481a ); a1488a <=( a769a ) or ( a770a ); a1491a <=( a767a ) or ( a768a ); a1492a <=( a1491a ) or ( a1488a ); a1493a <=( a1492a ) or ( a1485a ); a1496a <=( a765a ) or ( a766a ); a1499a <=( a763a ) or ( a764a ); a1500a <=( a1499a ) or ( a1496a ); a1503a <=( a761a ) or ( a762a ); a1506a <=( a759a ) or ( a760a ); a1507a <=( a1506a ) or ( a1503a ); a1508a <=( a1507a ) or ( a1500a ); a1509a <=( a1508a ) or ( a1493a ); a1510a <=( a1509a ) or ( a1478a ); a1511a <=( a1510a ) or ( a1447a ); a1512a <=( a1511a ) or ( a1386a ); a1513a <=( a1512a ) or ( a1261a ); a1517a <=( a756a ) or ( a757a ); a1518a <=( a758a ) or ( a1517a ); a1521a <=( a754a ) or ( a755a ); a1524a <=( a752a ) or ( a753a ); a1525a <=( a1524a ) or ( a1521a ); a1526a <=( a1525a ) or ( a1518a ); a1529a <=( a750a ) or ( a751a ); a1532a <=( a748a ) or ( a749a ); a1533a <=( a1532a ) or ( a1529a ); a1536a <=( a746a ) or ( a747a ); a1539a <=( a744a ) or ( a745a ); a1540a <=( a1539a ) or ( a1536a ); a1541a <=( a1540a ) or ( a1533a ); a1542a <=( a1541a ) or ( a1526a ); a1545a <=( a742a ) or ( a743a ); a1548a <=( a740a ) or ( a741a ); a1549a <=( a1548a ) or ( a1545a ); a1552a <=( a738a ) or ( a739a ); a1555a <=( a736a ) or ( a737a ); a1556a <=( a1555a ) or ( a1552a ); a1557a <=( a1556a ) or ( a1549a ); a1560a <=( a734a ) or ( a735a ); a1563a <=( a732a ) or ( a733a ); a1564a <=( a1563a ) or ( a1560a ); a1567a <=( a730a ) or ( a731a ); a1570a <=( a728a ) or ( a729a ); a1571a <=( a1570a ) or ( a1567a ); a1572a <=( a1571a ) or ( a1564a ); a1573a <=( a1572a ) or ( a1557a ); a1574a <=( a1573a ) or ( a1542a ); a1577a <=( a726a ) or ( a727a ); a1580a <=( a724a ) or ( a725a ); a1581a <=( a1580a ) or ( a1577a ); a1584a <=( a722a ) or ( a723a ); a1587a <=( a720a ) or ( a721a ); a1588a <=( a1587a ) or ( a1584a ); a1589a <=( a1588a ) or ( a1581a ); a1592a <=( a718a ) or ( a719a ); a1595a <=( a716a ) or ( a717a ); a1596a <=( a1595a ) or ( a1592a ); a1599a <=( a714a ) or ( a715a ); a1602a <=( a712a ) or ( a713a ); a1603a <=( a1602a ) or ( a1599a ); a1604a <=( a1603a ) or ( a1596a ); a1605a <=( a1604a ) or ( a1589a ); a1608a <=( a710a ) or ( a711a ); a1611a <=( a708a ) or ( a709a ); a1612a <=( a1611a ) or ( a1608a ); a1615a <=( a706a ) or ( a707a ); a1618a <=( a704a ) or ( a705a ); a1619a <=( a1618a ) or ( a1615a ); a1620a <=( a1619a ) or ( a1612a ); a1623a <=( a702a ) or ( a703a ); a1626a <=( a700a ) or ( a701a ); a1627a <=( a1626a ) or ( a1623a ); a1630a <=( a698a ) or ( a699a ); a1633a <=( a696a ) or ( a697a ); a1634a <=( a1633a ) or ( a1630a ); a1635a <=( a1634a ) or ( a1627a ); a1636a <=( a1635a ) or ( a1620a ); a1637a <=( a1636a ) or ( a1605a ); a1638a <=( a1637a ) or ( a1574a ); a1642a <=( a693a ) or ( a694a ); a1643a <=( a695a ) or ( a1642a ); a1646a <=( a691a ) or ( a692a ); a1649a <=( a689a ) or ( a690a ); a1650a <=( a1649a ) or ( a1646a ); a1651a <=( a1650a ) or ( a1643a ); a1654a <=( a687a ) or ( a688a ); a1657a <=( a685a ) or ( a686a ); a1658a <=( a1657a ) or ( a1654a ); a1661a <=( a683a ) or ( a684a ); a1664a <=( a681a ) or ( a682a ); a1665a <=( a1664a ) or ( a1661a ); a1666a <=( a1665a ) or ( a1658a ); a1667a <=( a1666a ) or ( a1651a ); a1670a <=( a679a ) or ( a680a ); a1673a <=( a677a ) or ( a678a ); a1674a <=( a1673a ) or ( a1670a ); a1677a <=( a675a ) or ( a676a ); a1680a <=( a673a ) or ( a674a ); a1681a <=( a1680a ) or ( a1677a ); a1682a <=( a1681a ) or ( a1674a ); a1685a <=( a671a ) or ( a672a ); a1688a <=( a669a ) or ( a670a ); a1689a <=( a1688a ) or ( a1685a ); a1692a <=( a667a ) or ( a668a ); a1695a <=( a665a ) or ( a666a ); a1696a <=( a1695a ) or ( a1692a ); a1697a <=( a1696a ) or ( a1689a ); a1698a <=( a1697a ) or ( a1682a ); a1699a <=( a1698a ) or ( a1667a ); a1702a <=( a663a ) or ( a664a ); a1705a <=( a661a ) or ( a662a ); a1706a <=( a1705a ) or ( a1702a ); a1709a <=( a659a ) or ( a660a ); a1712a <=( a657a ) or ( a658a ); a1713a <=( a1712a ) or ( a1709a ); a1714a <=( a1713a ) or ( a1706a ); a1717a <=( a655a ) or ( a656a ); a1720a <=( a653a ) or ( a654a ); a1721a <=( a1720a ) or ( a1717a ); a1724a <=( a651a ) or ( a652a ); a1727a <=( a649a ) or ( a650a ); a1728a <=( a1727a ) or ( a1724a ); a1729a <=( a1728a ) or ( a1721a ); a1730a <=( a1729a ) or ( a1714a ); a1733a <=( a647a ) or ( a648a ); a1736a <=( a645a ) or ( a646a ); a1737a <=( a1736a ) or ( a1733a ); a1740a <=( a643a ) or ( a644a ); a1743a <=( a641a ) or ( a642a ); a1744a <=( a1743a ) or ( a1740a ); a1745a <=( a1744a ) or ( a1737a ); a1748a <=( a639a ) or ( a640a ); a1751a <=( a637a ) or ( a638a ); a1752a <=( a1751a ) or ( a1748a ); a1755a <=( a635a ) or ( a636a ); a1758a <=( a633a ) or ( a634a ); a1759a <=( a1758a ) or ( a1755a ); a1760a <=( a1759a ) or ( a1752a ); a1761a <=( a1760a ) or ( a1745a ); a1762a <=( a1761a ) or ( a1730a ); a1763a <=( a1762a ) or ( a1699a ); a1764a <=( a1763a ) or ( a1638a ); a1768a <=( a630a ) or ( a631a ); a1769a <=( a632a ) or ( a1768a ); a1772a <=( a628a ) or ( a629a ); a1775a <=( a626a ) or ( a627a ); a1776a <=( a1775a ) or ( a1772a ); a1777a <=( a1776a ) or ( a1769a ); a1780a <=( a624a ) or ( a625a ); a1783a <=( a622a ) or ( a623a ); a1784a <=( a1783a ) or ( a1780a ); a1787a <=( a620a ) or ( a621a ); a1790a <=( a618a ) or ( a619a ); a1791a <=( a1790a ) or ( a1787a ); a1792a <=( a1791a ) or ( a1784a ); a1793a <=( a1792a ) or ( a1777a ); a1796a <=( a616a ) or ( a617a ); a1799a <=( a614a ) or ( a615a ); a1800a <=( a1799a ) or ( a1796a ); a1803a <=( a612a ) or ( a613a ); a1806a <=( a610a ) or ( a611a ); a1807a <=( a1806a ) or ( a1803a ); a1808a <=( a1807a ) or ( a1800a ); a1811a <=( a608a ) or ( a609a ); a1814a <=( a606a ) or ( a607a ); a1815a <=( a1814a ) or ( a1811a ); a1818a <=( a604a ) or ( a605a ); a1821a <=( a602a ) or ( a603a ); a1822a <=( a1821a ) or ( a1818a ); a1823a <=( a1822a ) or ( a1815a ); a1824a <=( a1823a ) or ( a1808a ); a1825a <=( a1824a ) or ( a1793a ); a1828a <=( a600a ) or ( a601a ); a1831a <=( a598a ) or ( a599a ); a1832a <=( a1831a ) or ( a1828a ); a1835a <=( a596a ) or ( a597a ); a1838a <=( a594a ) or ( a595a ); a1839a <=( a1838a ) or ( a1835a ); a1840a <=( a1839a ) or ( a1832a ); a1843a <=( a592a ) or ( a593a ); a1846a <=( a590a ) or ( a591a ); a1847a <=( a1846a ) or ( a1843a ); a1850a <=( a588a ) or ( a589a ); a1853a <=( a586a ) or ( a587a ); a1854a <=( a1853a ) or ( a1850a ); a1855a <=( a1854a ) or ( a1847a ); a1856a <=( a1855a ) or ( a1840a ); a1859a <=( a584a ) or ( a585a ); a1862a <=( a582a ) or ( a583a ); a1863a <=( a1862a ) or ( a1859a ); a1866a <=( a580a ) or ( a581a ); a1869a <=( a578a ) or ( a579a ); a1870a <=( a1869a ) or ( a1866a ); a1871a <=( a1870a ) or ( a1863a ); a1874a <=( a576a ) or ( a577a ); a1877a <=( a574a ) or ( a575a ); a1878a <=( a1877a ) or ( a1874a ); a1881a <=( a572a ) or ( a573a ); a1884a <=( a570a ) or ( a571a ); a1885a <=( a1884a ) or ( a1881a ); a1886a <=( a1885a ) or ( a1878a ); a1887a <=( a1886a ) or ( a1871a ); a1888a <=( a1887a ) or ( a1856a ); a1889a <=( a1888a ) or ( a1825a ); a1892a <=( a568a ) or ( a569a ); a1895a <=( a566a ) or ( a567a ); a1896a <=( a1895a ) or ( a1892a ); a1899a <=( a564a ) or ( a565a ); a1902a <=( a562a ) or ( a563a ); a1903a <=( a1902a ) or ( a1899a ); a1904a <=( a1903a ) or ( a1896a ); a1907a <=( a560a ) or ( a561a ); a1910a <=( a558a ) or ( a559a ); a1911a <=( a1910a ) or ( a1907a ); a1914a <=( a556a ) or ( a557a ); a1917a <=( a554a ) or ( a555a ); a1918a <=( a1917a ) or ( a1914a ); a1919a <=( a1918a ) or ( a1911a ); a1920a <=( a1919a ) or ( a1904a ); a1923a <=( a552a ) or ( a553a ); a1926a <=( a550a ) or ( a551a ); a1927a <=( a1926a ) or ( a1923a ); a1930a <=( a548a ) or ( a549a ); a1933a <=( a546a ) or ( a547a ); a1934a <=( a1933a ) or ( a1930a ); a1935a <=( a1934a ) or ( a1927a ); a1938a <=( a544a ) or ( a545a ); a1941a <=( a542a ) or ( a543a ); a1942a <=( a1941a ) or ( a1938a ); a1945a <=( a540a ) or ( a541a ); a1948a <=( a538a ) or ( a539a ); a1949a <=( a1948a ) or ( a1945a ); a1950a <=( a1949a ) or ( a1942a ); a1951a <=( a1950a ) or ( a1935a ); a1952a <=( a1951a ) or ( a1920a ); a1955a <=( a536a ) or ( a537a ); a1958a <=( a534a ) or ( a535a ); a1959a <=( a1958a ) or ( a1955a ); a1962a <=( a532a ) or ( a533a ); a1965a <=( a530a ) or ( a531a ); a1966a <=( a1965a ) or ( a1962a ); a1967a <=( a1966a ) or ( a1959a ); a1970a <=( a528a ) or ( a529a ); a1973a <=( a526a ) or ( a527a ); a1974a <=( a1973a ) or ( a1970a ); a1977a <=( a524a ) or ( a525a ); a1980a <=( a522a ) or ( a523a ); a1981a <=( a1980a ) or ( a1977a ); a1982a <=( a1981a ) or ( a1974a ); a1983a <=( a1982a ) or ( a1967a ); a1986a <=( a520a ) or ( a521a ); a1989a <=( a518a ) or ( a519a ); a1990a <=( a1989a ) or ( a1986a ); a1993a <=( a516a ) or ( a517a ); a1996a <=( a514a ) or ( a515a ); a1997a <=( a1996a ) or ( a1993a ); a1998a <=( a1997a ) or ( a1990a ); a2001a <=( a512a ) or ( a513a ); a2004a <=( a510a ) or ( a511a ); a2005a <=( a2004a ) or ( a2001a ); a2008a <=( a508a ) or ( a509a ); a2011a <=( a506a ) or ( a507a ); a2012a <=( a2011a ) or ( a2008a ); a2013a <=( a2012a ) or ( a2005a ); a2014a <=( a2013a ) or ( a1998a ); a2015a <=( a2014a ) or ( a1983a ); a2016a <=( a2015a ) or ( a1952a ); a2017a <=( a2016a ) or ( a1889a ); a2018a <=( a2017a ) or ( a1764a ); a2019a <=( a2018a ) or ( a1513a ); a2023a <=( a503a ) or ( a504a ); a2024a <=( a505a ) or ( a2023a ); a2027a <=( a501a ) or ( a502a ); a2030a <=( a499a ) or ( a500a ); a2031a <=( a2030a ) or ( a2027a ); a2032a <=( a2031a ) or ( a2024a ); a2035a <=( a497a ) or ( a498a ); a2038a <=( a495a ) or ( a496a ); a2039a <=( a2038a ) or ( a2035a ); a2042a <=( a493a ) or ( a494a ); a2045a <=( a491a ) or ( a492a ); a2046a <=( a2045a ) or ( a2042a ); a2047a <=( a2046a ) or ( a2039a ); a2048a <=( a2047a ) or ( a2032a ); a2051a <=( a489a ) or ( a490a ); a2054a <=( a487a ) or ( a488a ); a2055a <=( a2054a ) or ( a2051a ); a2058a <=( a485a ) or ( a486a ); a2061a <=( a483a ) or ( a484a ); a2062a <=( a2061a ) or ( a2058a ); a2063a <=( a2062a ) or ( a2055a ); a2066a <=( a481a ) or ( a482a ); a2069a <=( a479a ) or ( a480a ); a2070a <=( a2069a ) or ( a2066a ); a2073a <=( a477a ) or ( a478a ); a2076a <=( a475a ) or ( a476a ); a2077a <=( a2076a ) or ( a2073a ); a2078a <=( a2077a ) or ( a2070a ); a2079a <=( a2078a ) or ( a2063a ); a2080a <=( a2079a ) or ( a2048a ); a2083a <=( a473a ) or ( a474a ); a2086a <=( a471a ) or ( a472a ); a2087a <=( a2086a ) or ( a2083a ); a2090a <=( a469a ) or ( a470a ); a2093a <=( a467a ) or ( a468a ); a2094a <=( a2093a ) or ( a2090a ); a2095a <=( a2094a ) or ( a2087a ); a2098a <=( a465a ) or ( a466a ); a2101a <=( a463a ) or ( a464a ); a2102a <=( a2101a ) or ( a2098a ); a2105a <=( a461a ) or ( a462a ); a2108a <=( a459a ) or ( a460a ); a2109a <=( a2108a ) or ( a2105a ); a2110a <=( a2109a ) or ( a2102a ); a2111a <=( a2110a ) or ( a2095a ); a2114a <=( a457a ) or ( a458a ); a2117a <=( a455a ) or ( a456a ); a2118a <=( a2117a ) or ( a2114a ); a2121a <=( a453a ) or ( a454a ); a2124a <=( a451a ) or ( a452a ); a2125a <=( a2124a ) or ( a2121a ); a2126a <=( a2125a ) or ( a2118a ); a2129a <=( a449a ) or ( a450a ); a2132a <=( a447a ) or ( a448a ); a2133a <=( a2132a ) or ( a2129a ); a2136a <=( a445a ) or ( a446a ); a2139a <=( a443a ) or ( a444a ); a2140a <=( a2139a ) or ( a2136a ); a2141a <=( a2140a ) or ( a2133a ); a2142a <=( a2141a ) or ( a2126a ); a2143a <=( a2142a ) or ( a2111a ); a2144a <=( a2143a ) or ( a2080a ); a2148a <=( a440a ) or ( a441a ); a2149a <=( a442a ) or ( a2148a ); a2152a <=( a438a ) or ( a439a ); a2155a <=( a436a ) or ( a437a ); a2156a <=( a2155a ) or ( a2152a ); a2157a <=( a2156a ) or ( a2149a ); a2160a <=( a434a ) or ( a435a ); a2163a <=( a432a ) or ( a433a ); a2164a <=( a2163a ) or ( a2160a ); a2167a <=( a430a ) or ( a431a ); a2170a <=( a428a ) or ( a429a ); a2171a <=( a2170a ) or ( a2167a ); a2172a <=( a2171a ) or ( a2164a ); a2173a <=( a2172a ) or ( a2157a ); a2176a <=( a426a ) or ( a427a ); a2179a <=( a424a ) or ( a425a ); a2180a <=( a2179a ) or ( a2176a ); a2183a <=( a422a ) or ( a423a ); a2186a <=( a420a ) or ( a421a ); a2187a <=( a2186a ) or ( a2183a ); a2188a <=( a2187a ) or ( a2180a ); a2191a <=( a418a ) or ( a419a ); a2194a <=( a416a ) or ( a417a ); a2195a <=( a2194a ) or ( a2191a ); a2198a <=( a414a ) or ( a415a ); a2201a <=( a412a ) or ( a413a ); a2202a <=( a2201a ) or ( a2198a ); a2203a <=( a2202a ) or ( a2195a ); a2204a <=( a2203a ) or ( a2188a ); a2205a <=( a2204a ) or ( a2173a ); a2208a <=( a410a ) or ( a411a ); a2211a <=( a408a ) or ( a409a ); a2212a <=( a2211a ) or ( a2208a ); a2215a <=( a406a ) or ( a407a ); a2218a <=( a404a ) or ( a405a ); a2219a <=( a2218a ) or ( a2215a ); a2220a <=( a2219a ) or ( a2212a ); a2223a <=( a402a ) or ( a403a ); a2226a <=( a400a ) or ( a401a ); a2227a <=( a2226a ) or ( a2223a ); a2230a <=( a398a ) or ( a399a ); a2233a <=( a396a ) or ( a397a ); a2234a <=( a2233a ) or ( a2230a ); a2235a <=( a2234a ) or ( a2227a ); a2236a <=( a2235a ) or ( a2220a ); a2239a <=( a394a ) or ( a395a ); a2242a <=( a392a ) or ( a393a ); a2243a <=( a2242a ) or ( a2239a ); a2246a <=( a390a ) or ( a391a ); a2249a <=( a388a ) or ( a389a ); a2250a <=( a2249a ) or ( a2246a ); a2251a <=( a2250a ) or ( a2243a ); a2254a <=( a386a ) or ( a387a ); a2257a <=( a384a ) or ( a385a ); a2258a <=( a2257a ) or ( a2254a ); a2261a <=( a382a ) or ( a383a ); a2264a <=( a380a ) or ( a381a ); a2265a <=( a2264a ) or ( a2261a ); a2266a <=( a2265a ) or ( a2258a ); a2267a <=( a2266a ) or ( a2251a ); a2268a <=( a2267a ) or ( a2236a ); a2269a <=( a2268a ) or ( a2205a ); a2270a <=( a2269a ) or ( a2144a ); a2274a <=( a377a ) or ( a378a ); a2275a <=( a379a ) or ( a2274a ); a2278a <=( a375a ) or ( a376a ); a2281a <=( a373a ) or ( a374a ); a2282a <=( a2281a ) or ( a2278a ); a2283a <=( a2282a ) or ( a2275a ); a2286a <=( a371a ) or ( a372a ); a2289a <=( a369a ) or ( a370a ); a2290a <=( a2289a ) or ( a2286a ); a2293a <=( a367a ) or ( a368a ); a2296a <=( a365a ) or ( a366a ); a2297a <=( a2296a ) or ( a2293a ); a2298a <=( a2297a ) or ( a2290a ); a2299a <=( a2298a ) or ( a2283a ); a2302a <=( a363a ) or ( a364a ); a2305a <=( a361a ) or ( a362a ); a2306a <=( a2305a ) or ( a2302a ); a2309a <=( a359a ) or ( a360a ); a2312a <=( a357a ) or ( a358a ); a2313a <=( a2312a ) or ( a2309a ); a2314a <=( a2313a ) or ( a2306a ); a2317a <=( a355a ) or ( a356a ); a2320a <=( a353a ) or ( a354a ); a2321a <=( a2320a ) or ( a2317a ); a2324a <=( a351a ) or ( a352a ); a2327a <=( a349a ) or ( a350a ); a2328a <=( a2327a ) or ( a2324a ); a2329a <=( a2328a ) or ( a2321a ); a2330a <=( a2329a ) or ( a2314a ); a2331a <=( a2330a ) or ( a2299a ); a2334a <=( a347a ) or ( a348a ); a2337a <=( a345a ) or ( a346a ); a2338a <=( a2337a ) or ( a2334a ); a2341a <=( a343a ) or ( a344a ); a2344a <=( a341a ) or ( a342a ); a2345a <=( a2344a ) or ( a2341a ); a2346a <=( a2345a ) or ( a2338a ); a2349a <=( a339a ) or ( a340a ); a2352a <=( a337a ) or ( a338a ); a2353a <=( a2352a ) or ( a2349a ); a2356a <=( a335a ) or ( a336a ); a2359a <=( a333a ) or ( a334a ); a2360a <=( a2359a ) or ( a2356a ); a2361a <=( a2360a ) or ( a2353a ); a2362a <=( a2361a ) or ( a2346a ); a2365a <=( a331a ) or ( a332a ); a2368a <=( a329a ) or ( a330a ); a2369a <=( a2368a ) or ( a2365a ); a2372a <=( a327a ) or ( a328a ); a2375a <=( a325a ) or ( a326a ); a2376a <=( a2375a ) or ( a2372a ); a2377a <=( a2376a ) or ( a2369a ); a2380a <=( a323a ) or ( a324a ); a2383a <=( a321a ) or ( a322a ); a2384a <=( a2383a ) or ( a2380a ); a2387a <=( a319a ) or ( a320a ); a2390a <=( a317a ) or ( a318a ); a2391a <=( a2390a ) or ( a2387a ); a2392a <=( a2391a ) or ( a2384a ); a2393a <=( a2392a ) or ( a2377a ); a2394a <=( a2393a ) or ( a2362a ); a2395a <=( a2394a ) or ( a2331a ); a2399a <=( a314a ) or ( a315a ); a2400a <=( a316a ) or ( a2399a ); a2403a <=( a312a ) or ( a313a ); a2406a <=( a310a ) or ( a311a ); a2407a <=( a2406a ) or ( a2403a ); a2408a <=( a2407a ) or ( a2400a ); a2411a <=( a308a ) or ( a309a ); a2414a <=( a306a ) or ( a307a ); a2415a <=( a2414a ) or ( a2411a ); a2418a <=( a304a ) or ( a305a ); a2421a <=( a302a ) or ( a303a ); a2422a <=( a2421a ) or ( a2418a ); a2423a <=( a2422a ) or ( a2415a ); a2424a <=( a2423a ) or ( a2408a ); a2427a <=( a300a ) or ( a301a ); a2430a <=( a298a ) or ( a299a ); a2431a <=( a2430a ) or ( a2427a ); a2434a <=( a296a ) or ( a297a ); a2437a <=( a294a ) or ( a295a ); a2438a <=( a2437a ) or ( a2434a ); a2439a <=( a2438a ) or ( a2431a ); a2442a <=( a292a ) or ( a293a ); a2445a <=( a290a ) or ( a291a ); a2446a <=( a2445a ) or ( a2442a ); a2449a <=( a288a ) or ( a289a ); a2452a <=( a286a ) or ( a287a ); a2453a <=( a2452a ) or ( a2449a ); a2454a <=( a2453a ) or ( a2446a ); a2455a <=( a2454a ) or ( a2439a ); a2456a <=( a2455a ) or ( a2424a ); a2459a <=( a284a ) or ( a285a ); a2462a <=( a282a ) or ( a283a ); a2463a <=( a2462a ) or ( a2459a ); a2466a <=( a280a ) or ( a281a ); a2469a <=( a278a ) or ( a279a ); a2470a <=( a2469a ) or ( a2466a ); a2471a <=( a2470a ) or ( a2463a ); a2474a <=( a276a ) or ( a277a ); a2477a <=( a274a ) or ( a275a ); a2478a <=( a2477a ) or ( a2474a ); a2481a <=( a272a ) or ( a273a ); a2484a <=( a270a ) or ( a271a ); a2485a <=( a2484a ) or ( a2481a ); a2486a <=( a2485a ) or ( a2478a ); a2487a <=( a2486a ) or ( a2471a ); a2490a <=( a268a ) or ( a269a ); a2493a <=( a266a ) or ( a267a ); a2494a <=( a2493a ) or ( a2490a ); a2497a <=( a264a ) or ( a265a ); a2500a <=( a262a ) or ( a263a ); a2501a <=( a2500a ) or ( a2497a ); a2502a <=( a2501a ) or ( a2494a ); a2505a <=( a260a ) or ( a261a ); a2508a <=( a258a ) or ( a259a ); a2509a <=( a2508a ) or ( a2505a ); a2512a <=( a256a ) or ( a257a ); a2515a <=( a254a ) or ( a255a ); a2516a <=( a2515a ) or ( a2512a ); a2517a <=( a2516a ) or ( a2509a ); a2518a <=( a2517a ) or ( a2502a ); a2519a <=( a2518a ) or ( a2487a ); a2520a <=( a2519a ) or ( a2456a ); a2521a <=( a2520a ) or ( a2395a ); a2522a <=( a2521a ) or ( a2270a ); a2526a <=( a251a ) or ( a252a ); a2527a <=( a253a ) or ( a2526a ); a2530a <=( a249a ) or ( a250a ); a2533a <=( a247a ) or ( a248a ); a2534a <=( a2533a ) or ( a2530a ); a2535a <=( a2534a ) or ( a2527a ); a2538a <=( a245a ) or ( a246a ); a2541a <=( a243a ) or ( a244a ); a2542a <=( a2541a ) or ( a2538a ); a2545a <=( a241a ) or ( a242a ); a2548a <=( a239a ) or ( a240a ); a2549a <=( a2548a ) or ( a2545a ); a2550a <=( a2549a ) or ( a2542a ); a2551a <=( a2550a ) or ( a2535a ); a2554a <=( a237a ) or ( a238a ); a2557a <=( a235a ) or ( a236a ); a2558a <=( a2557a ) or ( a2554a ); a2561a <=( a233a ) or ( a234a ); a2564a <=( a231a ) or ( a232a ); a2565a <=( a2564a ) or ( a2561a ); a2566a <=( a2565a ) or ( a2558a ); a2569a <=( a229a ) or ( a230a ); a2572a <=( a227a ) or ( a228a ); a2573a <=( a2572a ) or ( a2569a ); a2576a <=( a225a ) or ( a226a ); a2579a <=( a223a ) or ( a224a ); a2580a <=( a2579a ) or ( a2576a ); a2581a <=( a2580a ) or ( a2573a ); a2582a <=( a2581a ) or ( a2566a ); a2583a <=( a2582a ) or ( a2551a ); a2586a <=( a221a ) or ( a222a ); a2589a <=( a219a ) or ( a220a ); a2590a <=( a2589a ) or ( a2586a ); a2593a <=( a217a ) or ( a218a ); a2596a <=( a215a ) or ( a216a ); a2597a <=( a2596a ) or ( a2593a ); a2598a <=( a2597a ) or ( a2590a ); a2601a <=( a213a ) or ( a214a ); a2604a <=( a211a ) or ( a212a ); a2605a <=( a2604a ) or ( a2601a ); a2608a <=( a209a ) or ( a210a ); a2611a <=( a207a ) or ( a208a ); a2612a <=( a2611a ) or ( a2608a ); a2613a <=( a2612a ) or ( a2605a ); a2614a <=( a2613a ) or ( a2598a ); a2617a <=( a205a ) or ( a206a ); a2620a <=( a203a ) or ( a204a ); a2621a <=( a2620a ) or ( a2617a ); a2624a <=( a201a ) or ( a202a ); a2627a <=( a199a ) or ( a200a ); a2628a <=( a2627a ) or ( a2624a ); a2629a <=( a2628a ) or ( a2621a ); a2632a <=( a197a ) or ( a198a ); a2635a <=( a195a ) or ( a196a ); a2636a <=( a2635a ) or ( a2632a ); a2639a <=( a193a ) or ( a194a ); a2642a <=( a191a ) or ( a192a ); a2643a <=( a2642a ) or ( a2639a ); a2644a <=( a2643a ) or ( a2636a ); a2645a <=( a2644a ) or ( a2629a ); a2646a <=( a2645a ) or ( a2614a ); a2647a <=( a2646a ) or ( a2583a ); a2651a <=( a188a ) or ( a189a ); a2652a <=( a190a ) or ( a2651a ); a2655a <=( a186a ) or ( a187a ); a2658a <=( a184a ) or ( a185a ); a2659a <=( a2658a ) or ( a2655a ); a2660a <=( a2659a ) or ( a2652a ); a2663a <=( a182a ) or ( a183a ); a2666a <=( a180a ) or ( a181a ); a2667a <=( a2666a ) or ( a2663a ); a2670a <=( a178a ) or ( a179a ); a2673a <=( a176a ) or ( a177a ); a2674a <=( a2673a ) or ( a2670a ); a2675a <=( a2674a ) or ( a2667a ); a2676a <=( a2675a ) or ( a2660a ); a2679a <=( a174a ) or ( a175a ); a2682a <=( a172a ) or ( a173a ); a2683a <=( a2682a ) or ( a2679a ); a2686a <=( a170a ) or ( a171a ); a2689a <=( a168a ) or ( a169a ); a2690a <=( a2689a ) or ( a2686a ); a2691a <=( a2690a ) or ( a2683a ); a2694a <=( a166a ) or ( a167a ); a2697a <=( a164a ) or ( a165a ); a2698a <=( a2697a ) or ( a2694a ); a2701a <=( a162a ) or ( a163a ); a2704a <=( a160a ) or ( a161a ); a2705a <=( a2704a ) or ( a2701a ); a2706a <=( a2705a ) or ( a2698a ); a2707a <=( a2706a ) or ( a2691a ); a2708a <=( a2707a ) or ( a2676a ); a2711a <=( a158a ) or ( a159a ); a2714a <=( a156a ) or ( a157a ); a2715a <=( a2714a ) or ( a2711a ); a2718a <=( a154a ) or ( a155a ); a2721a <=( a152a ) or ( a153a ); a2722a <=( a2721a ) or ( a2718a ); a2723a <=( a2722a ) or ( a2715a ); a2726a <=( a150a ) or ( a151a ); a2729a <=( a148a ) or ( a149a ); a2730a <=( a2729a ) or ( a2726a ); a2733a <=( a146a ) or ( a147a ); a2736a <=( a144a ) or ( a145a ); a2737a <=( a2736a ) or ( a2733a ); a2738a <=( a2737a ) or ( a2730a ); a2739a <=( a2738a ) or ( a2723a ); a2742a <=( a142a ) or ( a143a ); a2745a <=( a140a ) or ( a141a ); a2746a <=( a2745a ) or ( a2742a ); a2749a <=( a138a ) or ( a139a ); a2752a <=( a136a ) or ( a137a ); a2753a <=( a2752a ) or ( a2749a ); a2754a <=( a2753a ) or ( a2746a ); a2757a <=( a134a ) or ( a135a ); a2760a <=( a132a ) or ( a133a ); a2761a <=( a2760a ) or ( a2757a ); a2764a <=( a130a ) or ( a131a ); a2767a <=( a128a ) or ( a129a ); a2768a <=( a2767a ) or ( a2764a ); a2769a <=( a2768a ) or ( a2761a ); a2770a <=( a2769a ) or ( a2754a ); a2771a <=( a2770a ) or ( a2739a ); a2772a <=( a2771a ) or ( a2708a ); a2773a <=( a2772a ) or ( a2647a ); a2777a <=( a125a ) or ( a126a ); a2778a <=( a127a ) or ( a2777a ); a2781a <=( a123a ) or ( a124a ); a2784a <=( a121a ) or ( a122a ); a2785a <=( a2784a ) or ( a2781a ); a2786a <=( a2785a ) or ( a2778a ); a2789a <=( a119a ) or ( a120a ); a2792a <=( a117a ) or ( a118a ); a2793a <=( a2792a ) or ( a2789a ); a2796a <=( a115a ) or ( a116a ); a2799a <=( a113a ) or ( a114a ); a2800a <=( a2799a ) or ( a2796a ); a2801a <=( a2800a ) or ( a2793a ); a2802a <=( a2801a ) or ( a2786a ); a2805a <=( a111a ) or ( a112a ); a2808a <=( a109a ) or ( a110a ); a2809a <=( a2808a ) or ( a2805a ); a2812a <=( a107a ) or ( a108a ); a2815a <=( a105a ) or ( a106a ); a2816a <=( a2815a ) or ( a2812a ); a2817a <=( a2816a ) or ( a2809a ); a2820a <=( a103a ) or ( a104a ); a2823a <=( a101a ) or ( a102a ); a2824a <=( a2823a ) or ( a2820a ); a2827a <=( a99a ) or ( a100a ); a2830a <=( a97a ) or ( a98a ); a2831a <=( a2830a ) or ( a2827a ); a2832a <=( a2831a ) or ( a2824a ); a2833a <=( a2832a ) or ( a2817a ); a2834a <=( a2833a ) or ( a2802a ); a2837a <=( a95a ) or ( a96a ); a2840a <=( a93a ) or ( a94a ); a2841a <=( a2840a ) or ( a2837a ); a2844a <=( a91a ) or ( a92a ); a2847a <=( a89a ) or ( a90a ); a2848a <=( a2847a ) or ( a2844a ); a2849a <=( a2848a ) or ( a2841a ); a2852a <=( a87a ) or ( a88a ); a2855a <=( a85a ) or ( a86a ); a2856a <=( a2855a ) or ( a2852a ); a2859a <=( a83a ) or ( a84a ); a2862a <=( a81a ) or ( a82a ); a2863a <=( a2862a ) or ( a2859a ); a2864a <=( a2863a ) or ( a2856a ); a2865a <=( a2864a ) or ( a2849a ); a2868a <=( a79a ) or ( a80a ); a2871a <=( a77a ) or ( a78a ); a2872a <=( a2871a ) or ( a2868a ); a2875a <=( a75a ) or ( a76a ); a2878a <=( a73a ) or ( a74a ); a2879a <=( a2878a ) or ( a2875a ); a2880a <=( a2879a ) or ( a2872a ); a2883a <=( a71a ) or ( a72a ); a2886a <=( a69a ) or ( a70a ); a2887a <=( a2886a ) or ( a2883a ); a2890a <=( a67a ) or ( a68a ); a2893a <=( a65a ) or ( a66a ); a2894a <=( a2893a ) or ( a2890a ); a2895a <=( a2894a ) or ( a2887a ); a2896a <=( a2895a ) or ( a2880a ); a2897a <=( a2896a ) or ( a2865a ); a2898a <=( a2897a ) or ( a2834a ); a2901a <=( a63a ) or ( a64a ); a2904a <=( a61a ) or ( a62a ); a2905a <=( a2904a ) or ( a2901a ); a2908a <=( a59a ) or ( a60a ); a2911a <=( a57a ) or ( a58a ); a2912a <=( a2911a ) or ( a2908a ); a2913a <=( a2912a ) or ( a2905a ); a2916a <=( a55a ) or ( a56a ); a2919a <=( a53a ) or ( a54a ); a2920a <=( a2919a ) or ( a2916a ); a2923a <=( a51a ) or ( a52a ); a2926a <=( a49a ) or ( a50a ); a2927a <=( a2926a ) or ( a2923a ); a2928a <=( a2927a ) or ( a2920a ); a2929a <=( a2928a ) or ( a2913a ); a2932a <=( a47a ) or ( a48a ); a2935a <=( a45a ) or ( a46a ); a2936a <=( a2935a ) or ( a2932a ); a2939a <=( a43a ) or ( a44a ); a2942a <=( a41a ) or ( a42a ); a2943a <=( a2942a ) or ( a2939a ); a2944a <=( a2943a ) or ( a2936a ); a2947a <=( a39a ) or ( a40a ); a2950a <=( a37a ) or ( a38a ); a2951a <=( a2950a ) or ( a2947a ); a2954a <=( a35a ) or ( a36a ); a2957a <=( a33a ) or ( a34a ); a2958a <=( a2957a ) or ( a2954a ); a2959a <=( a2958a ) or ( a2951a ); a2960a <=( a2959a ) or ( a2944a ); a2961a <=( a2960a ) or ( a2929a ); a2964a <=( a31a ) or ( a32a ); a2967a <=( a29a ) or ( a30a ); a2968a <=( a2967a ) or ( a2964a ); a2971a <=( a27a ) or ( a28a ); a2974a <=( a25a ) or ( a26a ); a2975a <=( a2974a ) or ( a2971a ); a2976a <=( a2975a ) or ( a2968a ); a2979a <=( a23a ) or ( a24a ); a2982a <=( a21a ) or ( a22a ); a2983a <=( a2982a ) or ( a2979a ); a2986a <=( a19a ) or ( a20a ); a2989a <=( a17a ) or ( a18a ); a2990a <=( a2989a ) or ( a2986a ); a2991a <=( a2990a ) or ( a2983a ); a2992a <=( a2991a ) or ( a2976a ); a2995a <=( a15a ) or ( a16a ); a2998a <=( a13a ) or ( a14a ); a2999a <=( a2998a ) or ( a2995a ); a3002a <=( a11a ) or ( a12a ); a3005a <=( a9a ) or ( a10a ); a3006a <=( a3005a ) or ( a3002a ); a3007a <=( a3006a ) or ( a2999a ); a3010a <=( a7a ) or ( a8a ); a3013a <=( a5a ) or ( a6a ); a3014a <=( a3013a ) or ( a3010a ); a3017a <=( a3a ) or ( a4a ); a3020a <=( a1a ) or ( a2a ); a3021a <=( a3020a ) or ( a3017a ); a3022a <=( a3021a ) or ( a3014a ); a3023a <=( a3022a ) or ( a3007a ); a3024a <=( a3023a ) or ( a2992a ); a3025a <=( a3024a ) or ( a2961a ); a3026a <=( a3025a ) or ( a2898a ); a3027a <=( a3026a ) or ( a2773a ); a3028a <=( a3027a ) or ( a2522a ); a3036a <=( A234 and A232 ); a3040a <=( A234 and A233 ); a3044a <=( A235 and A201 ); a3048a <=( A235 and A201 ); a3052a <=( A235 and A166 ); a3056a <=( A235 and A167 ); a3060a <=( A234 and A232 ); a3064a <=( A234 and A233 ); a3067a <=( (not A232) and A202 ); a3070a <=( A236 and A233 ); a3073a <=( A232 and A202 ); a3076a <=( A236 and (not A233) ); a3079a <=( A201 and A199 ); a3082a <=( A234 and A232 ); a3085a <=( A201 and A199 ); a3088a <=( A234 and A233 ); a3091a <=( A201 and A200 ); a3094a <=( A234 and A232 ); a3097a <=( A201 and A200 ); a3100a <=( A234 and A233 ); a3103a <=( A200 and (not A199) ); a3106a <=( A235 and A203 ); a3109a <=( (not A200) and A199 ); a3112a <=( A235 and A203 ); a3115a <=( A166 and A168 ); a3118a <=( A234 and A232 ); a3121a <=( A166 and A168 ); a3124a <=( A234 and A233 ); a3127a <=( A167 and A168 ); a3130a <=( A234 and A232 ); a3133a <=( A167 and A168 ); a3136a <=( A234 and A233 ); a3139a <=( A167 and A170 ); a3142a <=( A235 and (not A166) ); a3145a <=( (not A167) and A170 ); a3148a <=( A235 and A166 ); a3151a <=( (not A232) and A169 ); a3154a <=( A236 and A233 ); a3157a <=( A232 and A169 ); a3160a <=( A236 and (not A233) ); a3163a <=( A201 and A199 ); a3167a <=( A236 and A233 ); a3168a <=( (not A232) and a3167a ); a3171a <=( A201 and A199 ); a3175a <=( A236 and (not A233) ); a3176a <=( A232 and a3175a ); a3179a <=( A201 and A200 ); a3183a <=( A236 and A233 ); a3184a <=( (not A232) and a3183a ); a3187a <=( A201 and A200 ); a3191a <=( A236 and (not A233) ); a3192a <=( A232 and a3191a ); a3195a <=( A200 and (not A199) ); a3199a <=( A234 and A232 ); a3200a <=( A203 and a3199a ); a3203a <=( A200 and (not A199) ); a3207a <=( A234 and A233 ); a3208a <=( A203 and a3207a ); a3211a <=( (not A200) and A199 ); a3215a <=( A234 and A232 ); a3216a <=( A203 and a3215a ); a3219a <=( (not A200) and A199 ); a3223a <=( A234 and A233 ); a3224a <=( A203 and a3223a ); a3227a <=( A166 and A168 ); a3231a <=( A236 and A233 ); a3232a <=( (not A232) and a3231a ); a3235a <=( A166 and A168 ); a3239a <=( A236 and (not A233) ); a3240a <=( A232 and a3239a ); a3243a <=( A167 and A168 ); a3247a <=( A236 and A233 ); a3248a <=( (not A232) and a3247a ); a3251a <=( A167 and A168 ); a3255a <=( A236 and (not A233) ); a3256a <=( A232 and a3255a ); a3259a <=( A167 and A170 ); a3263a <=( A234 and A232 ); a3264a <=( (not A166) and a3263a ); a3267a <=( A167 and A170 ); a3271a <=( A234 and A233 ); a3272a <=( (not A166) and a3271a ); a3275a <=( (not A167) and A170 ); a3279a <=( A234 and A232 ); a3280a <=( A166 and a3279a ); a3283a <=( (not A167) and A170 ); a3287a <=( A234 and A233 ); a3288a <=( A166 and a3287a ); a3292a <=( A203 and A200 ); a3293a <=( (not A199) and a3292a ); a3297a <=( A236 and A233 ); a3298a <=( (not A232) and a3297a ); a3302a <=( A203 and A200 ); a3303a <=( (not A199) and a3302a ); a3307a <=( A236 and (not A233) ); a3308a <=( A232 and a3307a ); a3312a <=( A203 and (not A200) ); a3313a <=( A199 and a3312a ); a3317a <=( A236 and A233 ); a3318a <=( (not A232) and a3317a ); a3322a <=( A203 and (not A200) ); a3323a <=( A199 and a3322a ); a3327a <=( A236 and (not A233) ); a3328a <=( A232 and a3327a ); a3332a <=( (not A166) and A167 ); a3333a <=( A170 and a3332a ); a3337a <=( A236 and A233 ); a3338a <=( (not A232) and a3337a ); a3342a <=( (not A166) and A167 ); a3343a <=( A170 and a3342a ); a3347a <=( A236 and (not A233) ); a3348a <=( A232 and a3347a ); a3352a <=( A166 and (not A167) ); a3353a <=( A170 and a3352a ); a3357a <=( A236 and A233 ); a3358a <=( (not A232) and a3357a ); a3362a <=( A166 and (not A167) ); a3363a <=( A170 and a3362a ); a3367a <=( A236 and (not A233) ); a3368a <=( A232 and a3367a ); a3372a <=( A268 and A202 ); a3373a <=( A169 and a3372a ); a3377a <=( (not A302) and (not A301) ); a3378a <=( (not A300) and a3377a ); a3382a <=( A268 and A202 ); a3383a <=( A169 and a3382a ); a3387a <=( (not A301) and (not A299) ); a3388a <=( (not A298) and a3387a ); a3392a <=( A202 and A166 ); a3393a <=( A168 and a3392a ); a3396a <=( (not A300) and A268 ); a3399a <=( (not A302) and (not A301) ); a3400a <=( a3399a and a3396a ); a3404a <=( A202 and A166 ); a3405a <=( A168 and a3404a ); a3408a <=( (not A298) and A268 ); a3411a <=( (not A301) and (not A299) ); a3412a <=( a3411a and a3408a ); a3416a <=( A202 and A167 ); a3417a <=( A168 and a3416a ); a3420a <=( (not A300) and A268 ); a3423a <=( (not A302) and (not A301) ); a3424a <=( a3423a and a3420a ); a3428a <=( A202 and A167 ); a3429a <=( A168 and a3428a ); a3432a <=( (not A298) and A268 ); a3435a <=( (not A301) and (not A299) ); a3436a <=( a3435a and a3432a ); a3440a <=( A268 and A202 ); a3441a <=( A169 and a3440a ); a3444a <=( A299 and A298 ); a3447a <=( (not A301) and (not A300) ); a3448a <=( a3447a and a3444a ); a3452a <=( A265 and A202 ); a3453a <=( A169 and a3452a ); a3456a <=( (not A300) and A267 ); a3459a <=( (not A302) and (not A301) ); a3460a <=( a3459a and a3456a ); a3464a <=( A265 and A202 ); a3465a <=( A169 and a3464a ); a3468a <=( (not A298) and A267 ); a3471a <=( (not A301) and (not A299) ); a3472a <=( a3471a and a3468a ); a3476a <=( A266 and A202 ); a3477a <=( A169 and a3476a ); a3480a <=( (not A300) and A267 ); a3483a <=( (not A302) and (not A301) ); a3484a <=( a3483a and a3480a ); a3488a <=( A266 and A202 ); a3489a <=( A169 and a3488a ); a3492a <=( (not A298) and A267 ); a3495a <=( (not A301) and (not A299) ); a3496a <=( a3495a and a3492a ); a3500a <=( A201 and A199 ); a3501a <=( A169 and a3500a ); a3504a <=( (not A300) and A268 ); a3507a <=( (not A302) and (not A301) ); a3508a <=( a3507a and a3504a ); a3512a <=( A201 and A199 ); a3513a <=( A169 and a3512a ); a3516a <=( (not A298) and A268 ); a3519a <=( (not A301) and (not A299) ); a3520a <=( a3519a and a3516a ); a3524a <=( A201 and A200 ); a3525a <=( A169 and a3524a ); a3528a <=( (not A300) and A268 ); a3531a <=( (not A302) and (not A301) ); a3532a <=( a3531a and a3528a ); a3536a <=( A201 and A200 ); a3537a <=( A169 and a3536a ); a3540a <=( (not A298) and A268 ); a3543a <=( (not A301) and (not A299) ); a3544a <=( a3543a and a3540a ); a3547a <=( A166 and A168 ); a3550a <=( A268 and A202 ); a3551a <=( a3550a and a3547a ); a3554a <=( A299 and A298 ); a3557a <=( (not A301) and (not A300) ); a3558a <=( a3557a and a3554a ); a3561a <=( A166 and A168 ); a3564a <=( A265 and A202 ); a3565a <=( a3564a and a3561a ); a3568a <=( (not A300) and A267 ); a3571a <=( (not A302) and (not A301) ); a3572a <=( a3571a and a3568a ); a3575a <=( A166 and A168 ); a3578a <=( A265 and A202 ); a3579a <=( a3578a and a3575a ); a3582a <=( (not A298) and A267 ); a3585a <=( (not A301) and (not A299) ); a3586a <=( a3585a and a3582a ); a3589a <=( A166 and A168 ); a3592a <=( A266 and A202 ); a3593a <=( a3592a and a3589a ); a3596a <=( (not A300) and A267 ); a3599a <=( (not A302) and (not A301) ); a3600a <=( a3599a and a3596a ); a3603a <=( A166 and A168 ); a3606a <=( A266 and A202 ); a3607a <=( a3606a and a3603a ); a3610a <=( (not A298) and A267 ); a3613a <=( (not A301) and (not A299) ); a3614a <=( a3613a and a3610a ); a3617a <=( A166 and A168 ); a3620a <=( A201 and A199 ); a3621a <=( a3620a and a3617a ); a3624a <=( (not A300) and A268 ); a3627a <=( (not A302) and (not A301) ); a3628a <=( a3627a and a3624a ); a3631a <=( A166 and A168 ); a3634a <=( A201 and A199 ); a3635a <=( a3634a and a3631a ); a3638a <=( (not A298) and A268 ); a3641a <=( (not A301) and (not A299) ); a3642a <=( a3641a and a3638a ); a3645a <=( A166 and A168 ); a3648a <=( A201 and A200 ); a3649a <=( a3648a and a3645a ); a3652a <=( (not A300) and A268 ); a3655a <=( (not A302) and (not A301) ); a3656a <=( a3655a and a3652a ); a3659a <=( A166 and A168 ); a3662a <=( A201 and A200 ); a3663a <=( a3662a and a3659a ); a3666a <=( (not A298) and A268 ); a3669a <=( (not A301) and (not A299) ); a3670a <=( a3669a and a3666a ); a3673a <=( A167 and A168 ); a3676a <=( A268 and A202 ); a3677a <=( a3676a and a3673a ); a3680a <=( A299 and A298 ); a3683a <=( (not A301) and (not A300) ); a3684a <=( a3683a and a3680a ); a3687a <=( A167 and A168 ); a3690a <=( A265 and A202 ); a3691a <=( a3690a and a3687a ); a3694a <=( (not A300) and A267 ); a3697a <=( (not A302) and (not A301) ); a3698a <=( a3697a and a3694a ); a3701a <=( A167 and A168 ); a3704a <=( A265 and A202 ); a3705a <=( a3704a and a3701a ); a3708a <=( (not A298) and A267 ); a3711a <=( (not A301) and (not A299) ); a3712a <=( a3711a and a3708a ); a3715a <=( A167 and A168 ); a3718a <=( A266 and A202 ); a3719a <=( a3718a and a3715a ); a3722a <=( (not A300) and A267 ); a3725a <=( (not A302) and (not A301) ); a3726a <=( a3725a and a3722a ); a3729a <=( A167 and A168 ); a3732a <=( A266 and A202 ); a3733a <=( a3732a and a3729a ); a3736a <=( (not A298) and A267 ); a3739a <=( (not A301) and (not A299) ); a3740a <=( a3739a and a3736a ); a3743a <=( A167 and A168 ); a3746a <=( A201 and A199 ); a3747a <=( a3746a and a3743a ); a3750a <=( (not A300) and A268 ); a3753a <=( (not A302) and (not A301) ); a3754a <=( a3753a and a3750a ); a3757a <=( A167 and A168 ); a3760a <=( A201 and A199 ); a3761a <=( a3760a and a3757a ); a3764a <=( (not A298) and A268 ); a3767a <=( (not A301) and (not A299) ); a3768a <=( a3767a and a3764a ); a3771a <=( A167 and A168 ); a3774a <=( A201 and A200 ); a3775a <=( a3774a and a3771a ); a3778a <=( (not A300) and A268 ); a3781a <=( (not A302) and (not A301) ); a3782a <=( a3781a and a3778a ); a3785a <=( A167 and A168 ); a3788a <=( A201 and A200 ); a3789a <=( a3788a and a3785a ); a3792a <=( (not A298) and A268 ); a3795a <=( (not A301) and (not A299) ); a3796a <=( a3795a and a3792a ); a3799a <=( A167 and A170 ); a3802a <=( A202 and (not A166) ); a3803a <=( a3802a and a3799a ); a3806a <=( (not A300) and A268 ); a3809a <=( (not A302) and (not A301) ); a3810a <=( a3809a and a3806a ); a3813a <=( A167 and A170 ); a3816a <=( A202 and (not A166) ); a3817a <=( a3816a and a3813a ); a3820a <=( (not A298) and A268 ); a3823a <=( (not A301) and (not A299) ); a3824a <=( a3823a and a3820a ); a3827a <=( (not A167) and A170 ); a3830a <=( A202 and A166 ); a3831a <=( a3830a and a3827a ); a3834a <=( (not A300) and A268 ); a3837a <=( (not A302) and (not A301) ); a3838a <=( a3837a and a3834a ); a3841a <=( (not A167) and A170 ); a3844a <=( A202 and A166 ); a3845a <=( a3844a and a3841a ); a3848a <=( (not A298) and A268 ); a3851a <=( (not A301) and (not A299) ); a3852a <=( a3851a and a3848a ); a3855a <=( A202 and A169 ); a3858a <=( A267 and A265 ); a3859a <=( a3858a and a3855a ); a3862a <=( A299 and A298 ); a3865a <=( (not A301) and (not A300) ); a3866a <=( a3865a and a3862a ); a3869a <=( A202 and A169 ); a3872a <=( A267 and A266 ); a3873a <=( a3872a and a3869a ); a3876a <=( A299 and A298 ); a3879a <=( (not A301) and (not A300) ); a3880a <=( a3879a and a3876a ); a3883a <=( A202 and A169 ); a3886a <=( A266 and (not A265) ); a3887a <=( a3886a and a3883a ); a3890a <=( (not A300) and A269 ); a3893a <=( (not A302) and (not A301) ); a3894a <=( a3893a and a3890a ); a3897a <=( A202 and A169 ); a3900a <=( A266 and (not A265) ); a3901a <=( a3900a and a3897a ); a3904a <=( (not A298) and A269 ); a3907a <=( (not A301) and (not A299) ); a3908a <=( a3907a and a3904a ); a3911a <=( A202 and A169 ); a3914a <=( (not A266) and A265 ); a3915a <=( a3914a and a3911a ); a3918a <=( (not A300) and A269 ); a3921a <=( (not A302) and (not A301) ); a3922a <=( a3921a and a3918a ); a3925a <=( A202 and A169 ); a3928a <=( (not A266) and A265 ); a3929a <=( a3928a and a3925a ); a3932a <=( (not A298) and A269 ); a3935a <=( (not A301) and (not A299) ); a3936a <=( a3935a and a3932a ); a3939a <=( (not A201) and A169 ); a3942a <=( (not A203) and (not A202) ); a3943a <=( a3942a and a3939a ); a3946a <=( (not A268) and (not A267) ); a3949a <=( A301 and (not A269) ); a3950a <=( a3949a and a3946a ); a3953a <=( (not A201) and A169 ); a3956a <=( (not A203) and (not A202) ); a3957a <=( a3956a and a3953a ); a3960a <=( (not A266) and (not A265) ); a3963a <=( A301 and (not A268) ); a3964a <=( a3963a and a3960a ); a3967a <=( A199 and A169 ); a3970a <=( A268 and A201 ); a3971a <=( a3970a and a3967a ); a3974a <=( A299 and A298 ); a3977a <=( (not A301) and (not A300) ); a3978a <=( a3977a and a3974a ); a3981a <=( A199 and A169 ); a3984a <=( A265 and A201 ); a3985a <=( a3984a and a3981a ); a3988a <=( (not A300) and A267 ); a3991a <=( (not A302) and (not A301) ); a3992a <=( a3991a and a3988a ); a3995a <=( A199 and A169 ); a3998a <=( A265 and A201 ); a3999a <=( a3998a and a3995a ); a4002a <=( (not A298) and A267 ); a4005a <=( (not A301) and (not A299) ); a4006a <=( a4005a and a4002a ); a4009a <=( A199 and A169 ); a4012a <=( A266 and A201 ); a4013a <=( a4012a and a4009a ); a4016a <=( (not A300) and A267 ); a4019a <=( (not A302) and (not A301) ); a4020a <=( a4019a and a4016a ); a4023a <=( A199 and A169 ); a4026a <=( A266 and A201 ); a4027a <=( a4026a and a4023a ); a4030a <=( (not A298) and A267 ); a4033a <=( (not A301) and (not A299) ); a4034a <=( a4033a and a4030a ); a4037a <=( A200 and A169 ); a4040a <=( A268 and A201 ); a4041a <=( a4040a and a4037a ); a4044a <=( A299 and A298 ); a4047a <=( (not A301) and (not A300) ); a4048a <=( a4047a and a4044a ); a4051a <=( A200 and A169 ); a4054a <=( A265 and A201 ); a4055a <=( a4054a and a4051a ); a4058a <=( (not A300) and A267 ); a4061a <=( (not A302) and (not A301) ); a4062a <=( a4061a and a4058a ); a4065a <=( A200 and A169 ); a4068a <=( A265 and A201 ); a4069a <=( a4068a and a4065a ); a4072a <=( (not A298) and A267 ); a4075a <=( (not A301) and (not A299) ); a4076a <=( a4075a and a4072a ); a4079a <=( A200 and A169 ); a4082a <=( A266 and A201 ); a4083a <=( a4082a and a4079a ); a4086a <=( (not A300) and A267 ); a4089a <=( (not A302) and (not A301) ); a4090a <=( a4089a and a4086a ); a4093a <=( A200 and A169 ); a4096a <=( A266 and A201 ); a4097a <=( a4096a and a4093a ); a4100a <=( (not A298) and A267 ); a4103a <=( (not A301) and (not A299) ); a4104a <=( a4103a and a4100a ); a4107a <=( (not A199) and A169 ); a4110a <=( A203 and A200 ); a4111a <=( a4110a and a4107a ); a4114a <=( (not A300) and A268 ); a4117a <=( (not A302) and (not A301) ); a4118a <=( a4117a and a4114a ); a4121a <=( (not A199) and A169 ); a4124a <=( A203 and A200 ); a4125a <=( a4124a and a4121a ); a4128a <=( (not A298) and A268 ); a4131a <=( (not A301) and (not A299) ); a4132a <=( a4131a and a4128a ); a4135a <=( A199 and A169 ); a4138a <=( A203 and (not A200) ); a4139a <=( a4138a and a4135a ); a4142a <=( (not A300) and A268 ); a4145a <=( (not A302) and (not A301) ); a4146a <=( a4145a and a4142a ); a4149a <=( A199 and A169 ); a4152a <=( A203 and (not A200) ); a4153a <=( a4152a and a4149a ); a4156a <=( (not A298) and A268 ); a4159a <=( (not A301) and (not A299) ); a4160a <=( a4159a and a4156a ); a4163a <=( (not A199) and A169 ); a4166a <=( (not A202) and (not A200) ); a4167a <=( a4166a and a4163a ); a4170a <=( (not A268) and (not A267) ); a4173a <=( A301 and (not A269) ); a4174a <=( a4173a and a4170a ); a4177a <=( (not A199) and A169 ); a4180a <=( (not A202) and (not A200) ); a4181a <=( a4180a and a4177a ); a4184a <=( (not A266) and (not A265) ); a4187a <=( A301 and (not A268) ); a4188a <=( a4187a and a4184a ); a4191a <=( (not A167) and (not A169) ); a4194a <=( A202 and (not A166) ); a4195a <=( a4194a and a4191a ); a4198a <=( (not A268) and (not A267) ); a4201a <=( A301 and (not A269) ); a4202a <=( a4201a and a4198a ); a4205a <=( (not A167) and (not A169) ); a4208a <=( A202 and (not A166) ); a4209a <=( a4208a and a4205a ); a4212a <=( (not A266) and (not A265) ); a4215a <=( A301 and (not A268) ); a4216a <=( a4215a and a4212a ); a4219a <=( (not A169) and (not A170) ); a4222a <=( A202 and (not A168) ); a4223a <=( a4222a and a4219a ); a4226a <=( (not A268) and (not A267) ); a4229a <=( A301 and (not A269) ); a4230a <=( a4229a and a4226a ); a4233a <=( (not A169) and (not A170) ); a4236a <=( A202 and (not A168) ); a4237a <=( a4236a and a4233a ); a4240a <=( (not A266) and (not A265) ); a4243a <=( A301 and (not A268) ); a4244a <=( a4243a and a4240a ); a4247a <=( A166 and A168 ); a4250a <=( A265 and A202 ); a4251a <=( a4250a and a4247a ); a4254a <=( A298 and A267 ); a4258a <=( (not A301) and (not A300) ); a4259a <=( A299 and a4258a ); a4260a <=( a4259a and a4254a ); a4263a <=( A166 and A168 ); a4266a <=( A266 and A202 ); a4267a <=( a4266a and a4263a ); a4270a <=( A298 and A267 ); a4274a <=( (not A301) and (not A300) ); a4275a <=( A299 and a4274a ); a4276a <=( a4275a and a4270a ); a4279a <=( A166 and A168 ); a4282a <=( (not A265) and A202 ); a4283a <=( a4282a and a4279a ); a4286a <=( A269 and A266 ); a4290a <=( (not A302) and (not A301) ); a4291a <=( (not A300) and a4290a ); a4292a <=( a4291a and a4286a ); a4295a <=( A166 and A168 ); a4298a <=( (not A265) and A202 ); a4299a <=( a4298a and a4295a ); a4302a <=( A269 and A266 ); a4306a <=( (not A301) and (not A299) ); a4307a <=( (not A298) and a4306a ); a4308a <=( a4307a and a4302a ); a4311a <=( A166 and A168 ); a4314a <=( A265 and A202 ); a4315a <=( a4314a and a4311a ); a4318a <=( A269 and (not A266) ); a4322a <=( (not A302) and (not A301) ); a4323a <=( (not A300) and a4322a ); a4324a <=( a4323a and a4318a ); a4327a <=( A166 and A168 ); a4330a <=( A265 and A202 ); a4331a <=( a4330a and a4327a ); a4334a <=( A269 and (not A266) ); a4338a <=( (not A301) and (not A299) ); a4339a <=( (not A298) and a4338a ); a4340a <=( a4339a and a4334a ); a4343a <=( A166 and A168 ); a4346a <=( (not A202) and (not A201) ); a4347a <=( a4346a and a4343a ); a4350a <=( (not A267) and (not A203) ); a4354a <=( A301 and (not A269) ); a4355a <=( (not A268) and a4354a ); a4356a <=( a4355a and a4350a ); a4359a <=( A166 and A168 ); a4362a <=( (not A202) and (not A201) ); a4363a <=( a4362a and a4359a ); a4366a <=( (not A265) and (not A203) ); a4370a <=( A301 and (not A268) ); a4371a <=( (not A266) and a4370a ); a4372a <=( a4371a and a4366a ); a4375a <=( A166 and A168 ); a4378a <=( A201 and A199 ); a4379a <=( a4378a and a4375a ); a4382a <=( A298 and A268 ); a4386a <=( (not A301) and (not A300) ); a4387a <=( A299 and a4386a ); a4388a <=( a4387a and a4382a ); a4391a <=( A166 and A168 ); a4394a <=( A201 and A199 ); a4395a <=( a4394a and a4391a ); a4398a <=( A267 and A265 ); a4402a <=( (not A302) and (not A301) ); a4403a <=( (not A300) and a4402a ); a4404a <=( a4403a and a4398a ); a4407a <=( A166 and A168 ); a4410a <=( A201 and A199 ); a4411a <=( a4410a and a4407a ); a4414a <=( A267 and A265 ); a4418a <=( (not A301) and (not A299) ); a4419a <=( (not A298) and a4418a ); a4420a <=( a4419a and a4414a ); a4423a <=( A166 and A168 ); a4426a <=( A201 and A199 ); a4427a <=( a4426a and a4423a ); a4430a <=( A267 and A266 ); a4434a <=( (not A302) and (not A301) ); a4435a <=( (not A300) and a4434a ); a4436a <=( a4435a and a4430a ); a4439a <=( A166 and A168 ); a4442a <=( A201 and A199 ); a4443a <=( a4442a and a4439a ); a4446a <=( A267 and A266 ); a4450a <=( (not A301) and (not A299) ); a4451a <=( (not A298) and a4450a ); a4452a <=( a4451a and a4446a ); a4455a <=( A166 and A168 ); a4458a <=( A201 and A200 ); a4459a <=( a4458a and a4455a ); a4462a <=( A298 and A268 ); a4466a <=( (not A301) and (not A300) ); a4467a <=( A299 and a4466a ); a4468a <=( a4467a and a4462a ); a4471a <=( A166 and A168 ); a4474a <=( A201 and A200 ); a4475a <=( a4474a and a4471a ); a4478a <=( A267 and A265 ); a4482a <=( (not A302) and (not A301) ); a4483a <=( (not A300) and a4482a ); a4484a <=( a4483a and a4478a ); a4487a <=( A166 and A168 ); a4490a <=( A201 and A200 ); a4491a <=( a4490a and a4487a ); a4494a <=( A267 and A265 ); a4498a <=( (not A301) and (not A299) ); a4499a <=( (not A298) and a4498a ); a4500a <=( a4499a and a4494a ); a4503a <=( A166 and A168 ); a4506a <=( A201 and A200 ); a4507a <=( a4506a and a4503a ); a4510a <=( A267 and A266 ); a4514a <=( (not A302) and (not A301) ); a4515a <=( (not A300) and a4514a ); a4516a <=( a4515a and a4510a ); a4519a <=( A166 and A168 ); a4522a <=( A201 and A200 ); a4523a <=( a4522a and a4519a ); a4526a <=( A267 and A266 ); a4530a <=( (not A301) and (not A299) ); a4531a <=( (not A298) and a4530a ); a4532a <=( a4531a and a4526a ); a4535a <=( A166 and A168 ); a4538a <=( A200 and (not A199) ); a4539a <=( a4538a and a4535a ); a4542a <=( A268 and A203 ); a4546a <=( (not A302) and (not A301) ); a4547a <=( (not A300) and a4546a ); a4548a <=( a4547a and a4542a ); a4551a <=( A166 and A168 ); a4554a <=( A200 and (not A199) ); a4555a <=( a4554a and a4551a ); a4558a <=( A268 and A203 ); a4562a <=( (not A301) and (not A299) ); a4563a <=( (not A298) and a4562a ); a4564a <=( a4563a and a4558a ); a4567a <=( A166 and A168 ); a4570a <=( (not A200) and A199 ); a4571a <=( a4570a and a4567a ); a4574a <=( A268 and A203 ); a4578a <=( (not A302) and (not A301) ); a4579a <=( (not A300) and a4578a ); a4580a <=( a4579a and a4574a ); a4583a <=( A166 and A168 ); a4586a <=( (not A200) and A199 ); a4587a <=( a4586a and a4583a ); a4590a <=( A268 and A203 ); a4594a <=( (not A301) and (not A299) ); a4595a <=( (not A298) and a4594a ); a4596a <=( a4595a and a4590a ); a4599a <=( A166 and A168 ); a4602a <=( (not A200) and (not A199) ); a4603a <=( a4602a and a4599a ); a4606a <=( (not A267) and (not A202) ); a4610a <=( A301 and (not A269) ); a4611a <=( (not A268) and a4610a ); a4612a <=( a4611a and a4606a ); a4615a <=( A166 and A168 ); a4618a <=( (not A200) and (not A199) ); a4619a <=( a4618a and a4615a ); a4622a <=( (not A265) and (not A202) ); a4626a <=( A301 and (not A268) ); a4627a <=( (not A266) and a4626a ); a4628a <=( a4627a and a4622a ); a4631a <=( A167 and A168 ); a4634a <=( A265 and A202 ); a4635a <=( a4634a and a4631a ); a4638a <=( A298 and A267 ); a4642a <=( (not A301) and (not A300) ); a4643a <=( A299 and a4642a ); a4644a <=( a4643a and a4638a ); a4647a <=( A167 and A168 ); a4650a <=( A266 and A202 ); a4651a <=( a4650a and a4647a ); a4654a <=( A298 and A267 ); a4658a <=( (not A301) and (not A300) ); a4659a <=( A299 and a4658a ); a4660a <=( a4659a and a4654a ); a4663a <=( A167 and A168 ); a4666a <=( (not A265) and A202 ); a4667a <=( a4666a and a4663a ); a4670a <=( A269 and A266 ); a4674a <=( (not A302) and (not A301) ); a4675a <=( (not A300) and a4674a ); a4676a <=( a4675a and a4670a ); a4679a <=( A167 and A168 ); a4682a <=( (not A265) and A202 ); a4683a <=( a4682a and a4679a ); a4686a <=( A269 and A266 ); a4690a <=( (not A301) and (not A299) ); a4691a <=( (not A298) and a4690a ); a4692a <=( a4691a and a4686a ); a4695a <=( A167 and A168 ); a4698a <=( A265 and A202 ); a4699a <=( a4698a and a4695a ); a4702a <=( A269 and (not A266) ); a4706a <=( (not A302) and (not A301) ); a4707a <=( (not A300) and a4706a ); a4708a <=( a4707a and a4702a ); a4711a <=( A167 and A168 ); a4714a <=( A265 and A202 ); a4715a <=( a4714a and a4711a ); a4718a <=( A269 and (not A266) ); a4722a <=( (not A301) and (not A299) ); a4723a <=( (not A298) and a4722a ); a4724a <=( a4723a and a4718a ); a4727a <=( A167 and A168 ); a4730a <=( (not A202) and (not A201) ); a4731a <=( a4730a and a4727a ); a4734a <=( (not A267) and (not A203) ); a4738a <=( A301 and (not A269) ); a4739a <=( (not A268) and a4738a ); a4740a <=( a4739a and a4734a ); a4743a <=( A167 and A168 ); a4746a <=( (not A202) and (not A201) ); a4747a <=( a4746a and a4743a ); a4750a <=( (not A265) and (not A203) ); a4754a <=( A301 and (not A268) ); a4755a <=( (not A266) and a4754a ); a4756a <=( a4755a and a4750a ); a4759a <=( A167 and A168 ); a4762a <=( A201 and A199 ); a4763a <=( a4762a and a4759a ); a4766a <=( A298 and A268 ); a4770a <=( (not A301) and (not A300) ); a4771a <=( A299 and a4770a ); a4772a <=( a4771a and a4766a ); a4775a <=( A167 and A168 ); a4778a <=( A201 and A199 ); a4779a <=( a4778a and a4775a ); a4782a <=( A267 and A265 ); a4786a <=( (not A302) and (not A301) ); a4787a <=( (not A300) and a4786a ); a4788a <=( a4787a and a4782a ); a4791a <=( A167 and A168 ); a4794a <=( A201 and A199 ); a4795a <=( a4794a and a4791a ); a4798a <=( A267 and A265 ); a4802a <=( (not A301) and (not A299) ); a4803a <=( (not A298) and a4802a ); a4804a <=( a4803a and a4798a ); a4807a <=( A167 and A168 ); a4810a <=( A201 and A199 ); a4811a <=( a4810a and a4807a ); a4814a <=( A267 and A266 ); a4818a <=( (not A302) and (not A301) ); a4819a <=( (not A300) and a4818a ); a4820a <=( a4819a and a4814a ); a4823a <=( A167 and A168 ); a4826a <=( A201 and A199 ); a4827a <=( a4826a and a4823a ); a4830a <=( A267 and A266 ); a4834a <=( (not A301) and (not A299) ); a4835a <=( (not A298) and a4834a ); a4836a <=( a4835a and a4830a ); a4839a <=( A167 and A168 ); a4842a <=( A201 and A200 ); a4843a <=( a4842a and a4839a ); a4846a <=( A298 and A268 ); a4850a <=( (not A301) and (not A300) ); a4851a <=( A299 and a4850a ); a4852a <=( a4851a and a4846a ); a4855a <=( A167 and A168 ); a4858a <=( A201 and A200 ); a4859a <=( a4858a and a4855a ); a4862a <=( A267 and A265 ); a4866a <=( (not A302) and (not A301) ); a4867a <=( (not A300) and a4866a ); a4868a <=( a4867a and a4862a ); a4871a <=( A167 and A168 ); a4874a <=( A201 and A200 ); a4875a <=( a4874a and a4871a ); a4878a <=( A267 and A265 ); a4882a <=( (not A301) and (not A299) ); a4883a <=( (not A298) and a4882a ); a4884a <=( a4883a and a4878a ); a4887a <=( A167 and A168 ); a4890a <=( A201 and A200 ); a4891a <=( a4890a and a4887a ); a4894a <=( A267 and A266 ); a4898a <=( (not A302) and (not A301) ); a4899a <=( (not A300) and a4898a ); a4900a <=( a4899a and a4894a ); a4903a <=( A167 and A168 ); a4906a <=( A201 and A200 ); a4907a <=( a4906a and a4903a ); a4910a <=( A267 and A266 ); a4914a <=( (not A301) and (not A299) ); a4915a <=( (not A298) and a4914a ); a4916a <=( a4915a and a4910a ); a4919a <=( A167 and A168 ); a4922a <=( A200 and (not A199) ); a4923a <=( a4922a and a4919a ); a4926a <=( A268 and A203 ); a4930a <=( (not A302) and (not A301) ); a4931a <=( (not A300) and a4930a ); a4932a <=( a4931a and a4926a ); a4935a <=( A167 and A168 ); a4938a <=( A200 and (not A199) ); a4939a <=( a4938a and a4935a ); a4942a <=( A268 and A203 ); a4946a <=( (not A301) and (not A299) ); a4947a <=( (not A298) and a4946a ); a4948a <=( a4947a and a4942a ); a4951a <=( A167 and A168 ); a4954a <=( (not A200) and A199 ); a4955a <=( a4954a and a4951a ); a4958a <=( A268 and A203 ); a4962a <=( (not A302) and (not A301) ); a4963a <=( (not A300) and a4962a ); a4964a <=( a4963a and a4958a ); a4967a <=( A167 and A168 ); a4970a <=( (not A200) and A199 ); a4971a <=( a4970a and a4967a ); a4974a <=( A268 and A203 ); a4978a <=( (not A301) and (not A299) ); a4979a <=( (not A298) and a4978a ); a4980a <=( a4979a and a4974a ); a4983a <=( A167 and A168 ); a4986a <=( (not A200) and (not A199) ); a4987a <=( a4986a and a4983a ); a4990a <=( (not A267) and (not A202) ); a4994a <=( A301 and (not A269) ); a4995a <=( (not A268) and a4994a ); a4996a <=( a4995a and a4990a ); a4999a <=( A167 and A168 ); a5002a <=( (not A200) and (not A199) ); a5003a <=( a5002a and a4999a ); a5006a <=( (not A265) and (not A202) ); a5010a <=( A301 and (not A268) ); a5011a <=( (not A266) and a5010a ); a5012a <=( a5011a and a5006a ); a5015a <=( A167 and A170 ); a5018a <=( A202 and (not A166) ); a5019a <=( a5018a and a5015a ); a5022a <=( A298 and A268 ); a5026a <=( (not A301) and (not A300) ); a5027a <=( A299 and a5026a ); a5028a <=( a5027a and a5022a ); a5031a <=( A167 and A170 ); a5034a <=( A202 and (not A166) ); a5035a <=( a5034a and a5031a ); a5038a <=( A267 and A265 ); a5042a <=( (not A302) and (not A301) ); a5043a <=( (not A300) and a5042a ); a5044a <=( a5043a and a5038a ); a5047a <=( A167 and A170 ); a5050a <=( A202 and (not A166) ); a5051a <=( a5050a and a5047a ); a5054a <=( A267 and A265 ); a5058a <=( (not A301) and (not A299) ); a5059a <=( (not A298) and a5058a ); a5060a <=( a5059a and a5054a ); a5063a <=( A167 and A170 ); a5066a <=( A202 and (not A166) ); a5067a <=( a5066a and a5063a ); a5070a <=( A267 and A266 ); a5074a <=( (not A302) and (not A301) ); a5075a <=( (not A300) and a5074a ); a5076a <=( a5075a and a5070a ); a5079a <=( A167 and A170 ); a5082a <=( A202 and (not A166) ); a5083a <=( a5082a and a5079a ); a5086a <=( A267 and A266 ); a5090a <=( (not A301) and (not A299) ); a5091a <=( (not A298) and a5090a ); a5092a <=( a5091a and a5086a ); a5095a <=( A167 and A170 ); a5098a <=( A199 and (not A166) ); a5099a <=( a5098a and a5095a ); a5102a <=( A268 and A201 ); a5106a <=( (not A302) and (not A301) ); a5107a <=( (not A300) and a5106a ); a5108a <=( a5107a and a5102a ); a5111a <=( A167 and A170 ); a5114a <=( A199 and (not A166) ); a5115a <=( a5114a and a5111a ); a5118a <=( A268 and A201 ); a5122a <=( (not A301) and (not A299) ); a5123a <=( (not A298) and a5122a ); a5124a <=( a5123a and a5118a ); a5127a <=( A167 and A170 ); a5130a <=( A200 and (not A166) ); a5131a <=( a5130a and a5127a ); a5134a <=( A268 and A201 ); a5138a <=( (not A302) and (not A301) ); a5139a <=( (not A300) and a5138a ); a5140a <=( a5139a and a5134a ); a5143a <=( A167 and A170 ); a5146a <=( A200 and (not A166) ); a5147a <=( a5146a and a5143a ); a5150a <=( A268 and A201 ); a5154a <=( (not A301) and (not A299) ); a5155a <=( (not A298) and a5154a ); a5156a <=( a5155a and a5150a ); a5159a <=( (not A167) and A170 ); a5162a <=( A202 and A166 ); a5163a <=( a5162a and a5159a ); a5166a <=( A298 and A268 ); a5170a <=( (not A301) and (not A300) ); a5171a <=( A299 and a5170a ); a5172a <=( a5171a and a5166a ); a5175a <=( (not A167) and A170 ); a5178a <=( A202 and A166 ); a5179a <=( a5178a and a5175a ); a5182a <=( A267 and A265 ); a5186a <=( (not A302) and (not A301) ); a5187a <=( (not A300) and a5186a ); a5188a <=( a5187a and a5182a ); a5191a <=( (not A167) and A170 ); a5194a <=( A202 and A166 ); a5195a <=( a5194a and a5191a ); a5198a <=( A267 and A265 ); a5202a <=( (not A301) and (not A299) ); a5203a <=( (not A298) and a5202a ); a5204a <=( a5203a and a5198a ); a5207a <=( (not A167) and A170 ); a5210a <=( A202 and A166 ); a5211a <=( a5210a and a5207a ); a5214a <=( A267 and A266 ); a5218a <=( (not A302) and (not A301) ); a5219a <=( (not A300) and a5218a ); a5220a <=( a5219a and a5214a ); a5223a <=( (not A167) and A170 ); a5226a <=( A202 and A166 ); a5227a <=( a5226a and a5223a ); a5230a <=( A267 and A266 ); a5234a <=( (not A301) and (not A299) ); a5235a <=( (not A298) and a5234a ); a5236a <=( a5235a and a5230a ); a5239a <=( (not A167) and A170 ); a5242a <=( A199 and A166 ); a5243a <=( a5242a and a5239a ); a5246a <=( A268 and A201 ); a5250a <=( (not A302) and (not A301) ); a5251a <=( (not A300) and a5250a ); a5252a <=( a5251a and a5246a ); a5255a <=( (not A167) and A170 ); a5258a <=( A199 and A166 ); a5259a <=( a5258a and a5255a ); a5262a <=( A268 and A201 ); a5266a <=( (not A301) and (not A299) ); a5267a <=( (not A298) and a5266a ); a5268a <=( a5267a and a5262a ); a5271a <=( (not A167) and A170 ); a5274a <=( A200 and A166 ); a5275a <=( a5274a and a5271a ); a5278a <=( A268 and A201 ); a5282a <=( (not A302) and (not A301) ); a5283a <=( (not A300) and a5282a ); a5284a <=( a5283a and a5278a ); a5287a <=( (not A167) and A170 ); a5290a <=( A200 and A166 ); a5291a <=( a5290a and a5287a ); a5294a <=( A268 and A201 ); a5298a <=( (not A301) and (not A299) ); a5299a <=( (not A298) and a5298a ); a5300a <=( a5299a and a5294a ); a5303a <=( A202 and A169 ); a5306a <=( A266 and (not A265) ); a5307a <=( a5306a and a5303a ); a5310a <=( A298 and A269 ); a5314a <=( (not A301) and (not A300) ); a5315a <=( A299 and a5314a ); a5316a <=( a5315a and a5310a ); a5319a <=( A202 and A169 ); a5322a <=( (not A266) and A265 ); a5323a <=( a5322a and a5319a ); a5326a <=( A298 and A269 ); a5330a <=( (not A301) and (not A300) ); a5331a <=( A299 and a5330a ); a5332a <=( a5331a and a5326a ); a5335a <=( (not A201) and A169 ); a5338a <=( (not A203) and (not A202) ); a5339a <=( a5338a and a5335a ); a5342a <=( (not A268) and (not A267) ); a5346a <=( A300 and A299 ); a5347a <=( (not A269) and a5346a ); a5348a <=( a5347a and a5342a ); a5351a <=( (not A201) and A169 ); a5354a <=( (not A203) and (not A202) ); a5355a <=( a5354a and a5351a ); a5358a <=( (not A268) and (not A267) ); a5362a <=( A300 and A298 ); a5363a <=( (not A269) and a5362a ); a5364a <=( a5363a and a5358a ); a5367a <=( (not A201) and A169 ); a5370a <=( (not A203) and (not A202) ); a5371a <=( a5370a and a5367a ); a5374a <=( A266 and A265 ); a5378a <=( A301 and (not A268) ); a5379a <=( (not A267) and a5378a ); a5380a <=( a5379a and a5374a ); a5383a <=( (not A201) and A169 ); a5386a <=( (not A203) and (not A202) ); a5387a <=( a5386a and a5383a ); a5390a <=( (not A266) and (not A265) ); a5394a <=( A300 and A299 ); a5395a <=( (not A268) and a5394a ); a5396a <=( a5395a and a5390a ); a5399a <=( (not A201) and A169 ); a5402a <=( (not A203) and (not A202) ); a5403a <=( a5402a and a5399a ); a5406a <=( (not A266) and (not A265) ); a5410a <=( A300 and A298 ); a5411a <=( (not A268) and a5410a ); a5412a <=( a5411a and a5406a ); a5415a <=( A199 and A169 ); a5418a <=( A265 and A201 ); a5419a <=( a5418a and a5415a ); a5422a <=( A298 and A267 ); a5426a <=( (not A301) and (not A300) ); a5427a <=( A299 and a5426a ); a5428a <=( a5427a and a5422a ); a5431a <=( A199 and A169 ); a5434a <=( A266 and A201 ); a5435a <=( a5434a and a5431a ); a5438a <=( A298 and A267 ); a5442a <=( (not A301) and (not A300) ); a5443a <=( A299 and a5442a ); a5444a <=( a5443a and a5438a ); a5447a <=( A199 and A169 ); a5450a <=( (not A265) and A201 ); a5451a <=( a5450a and a5447a ); a5454a <=( A269 and A266 ); a5458a <=( (not A302) and (not A301) ); a5459a <=( (not A300) and a5458a ); a5460a <=( a5459a and a5454a ); a5463a <=( A199 and A169 ); a5466a <=( (not A265) and A201 ); a5467a <=( a5466a and a5463a ); a5470a <=( A269 and A266 ); a5474a <=( (not A301) and (not A299) ); a5475a <=( (not A298) and a5474a ); a5476a <=( a5475a and a5470a ); a5479a <=( A199 and A169 ); a5482a <=( A265 and A201 ); a5483a <=( a5482a and a5479a ); a5486a <=( A269 and (not A266) ); a5490a <=( (not A302) and (not A301) ); a5491a <=( (not A300) and a5490a ); a5492a <=( a5491a and a5486a ); a5495a <=( A199 and A169 ); a5498a <=( A265 and A201 ); a5499a <=( a5498a and a5495a ); a5502a <=( A269 and (not A266) ); a5506a <=( (not A301) and (not A299) ); a5507a <=( (not A298) and a5506a ); a5508a <=( a5507a and a5502a ); a5511a <=( A200 and A169 ); a5514a <=( A265 and A201 ); a5515a <=( a5514a and a5511a ); a5518a <=( A298 and A267 ); a5522a <=( (not A301) and (not A300) ); a5523a <=( A299 and a5522a ); a5524a <=( a5523a and a5518a ); a5527a <=( A200 and A169 ); a5530a <=( A266 and A201 ); a5531a <=( a5530a and a5527a ); a5534a <=( A298 and A267 ); a5538a <=( (not A301) and (not A300) ); a5539a <=( A299 and a5538a ); a5540a <=( a5539a and a5534a ); a5543a <=( A200 and A169 ); a5546a <=( (not A265) and A201 ); a5547a <=( a5546a and a5543a ); a5550a <=( A269 and A266 ); a5554a <=( (not A302) and (not A301) ); a5555a <=( (not A300) and a5554a ); a5556a <=( a5555a and a5550a ); a5559a <=( A200 and A169 ); a5562a <=( (not A265) and A201 ); a5563a <=( a5562a and a5559a ); a5566a <=( A269 and A266 ); a5570a <=( (not A301) and (not A299) ); a5571a <=( (not A298) and a5570a ); a5572a <=( a5571a and a5566a ); a5575a <=( A200 and A169 ); a5578a <=( A265 and A201 ); a5579a <=( a5578a and a5575a ); a5582a <=( A269 and (not A266) ); a5586a <=( (not A302) and (not A301) ); a5587a <=( (not A300) and a5586a ); a5588a <=( a5587a and a5582a ); a5591a <=( A200 and A169 ); a5594a <=( A265 and A201 ); a5595a <=( a5594a and a5591a ); a5598a <=( A269 and (not A266) ); a5602a <=( (not A301) and (not A299) ); a5603a <=( (not A298) and a5602a ); a5604a <=( a5603a and a5598a ); a5607a <=( A199 and A169 ); a5610a <=( (not A201) and A200 ); a5611a <=( a5610a and a5607a ); a5614a <=( (not A267) and (not A202) ); a5618a <=( A301 and (not A269) ); a5619a <=( (not A268) and a5618a ); a5620a <=( a5619a and a5614a ); a5623a <=( A199 and A169 ); a5626a <=( (not A201) and A200 ); a5627a <=( a5626a and a5623a ); a5630a <=( (not A265) and (not A202) ); a5634a <=( A301 and (not A268) ); a5635a <=( (not A266) and a5634a ); a5636a <=( a5635a and a5630a ); a5639a <=( (not A199) and A169 ); a5642a <=( A203 and A200 ); a5643a <=( a5642a and a5639a ); a5646a <=( A298 and A268 ); a5650a <=( (not A301) and (not A300) ); a5651a <=( A299 and a5650a ); a5652a <=( a5651a and a5646a ); a5655a <=( (not A199) and A169 ); a5658a <=( A203 and A200 ); a5659a <=( a5658a and a5655a ); a5662a <=( A267 and A265 ); a5666a <=( (not A302) and (not A301) ); a5667a <=( (not A300) and a5666a ); a5668a <=( a5667a and a5662a ); a5671a <=( (not A199) and A169 ); a5674a <=( A203 and A200 ); a5675a <=( a5674a and a5671a ); a5678a <=( A267 and A265 ); a5682a <=( (not A301) and (not A299) ); a5683a <=( (not A298) and a5682a ); a5684a <=( a5683a and a5678a ); a5687a <=( (not A199) and A169 ); a5690a <=( A203 and A200 ); a5691a <=( a5690a and a5687a ); a5694a <=( A267 and A266 ); a5698a <=( (not A302) and (not A301) ); a5699a <=( (not A300) and a5698a ); a5700a <=( a5699a and a5694a ); a5703a <=( (not A199) and A169 ); a5706a <=( A203 and A200 ); a5707a <=( a5706a and a5703a ); a5710a <=( A267 and A266 ); a5714a <=( (not A301) and (not A299) ); a5715a <=( (not A298) and a5714a ); a5716a <=( a5715a and a5710a ); a5719a <=( A199 and A169 ); a5722a <=( A203 and (not A200) ); a5723a <=( a5722a and a5719a ); a5726a <=( A298 and A268 ); a5730a <=( (not A301) and (not A300) ); a5731a <=( A299 and a5730a ); a5732a <=( a5731a and a5726a ); a5735a <=( A199 and A169 ); a5738a <=( A203 and (not A200) ); a5739a <=( a5738a and a5735a ); a5742a <=( A267 and A265 ); a5746a <=( (not A302) and (not A301) ); a5747a <=( (not A300) and a5746a ); a5748a <=( a5747a and a5742a ); a5751a <=( A199 and A169 ); a5754a <=( A203 and (not A200) ); a5755a <=( a5754a and a5751a ); a5758a <=( A267 and A265 ); a5762a <=( (not A301) and (not A299) ); a5763a <=( (not A298) and a5762a ); a5764a <=( a5763a and a5758a ); a5767a <=( A199 and A169 ); a5770a <=( A203 and (not A200) ); a5771a <=( a5770a and a5767a ); a5774a <=( A267 and A266 ); a5778a <=( (not A302) and (not A301) ); a5779a <=( (not A300) and a5778a ); a5780a <=( a5779a and a5774a ); a5783a <=( A199 and A169 ); a5786a <=( A203 and (not A200) ); a5787a <=( a5786a and a5783a ); a5790a <=( A267 and A266 ); a5794a <=( (not A301) and (not A299) ); a5795a <=( (not A298) and a5794a ); a5796a <=( a5795a and a5790a ); a5799a <=( (not A199) and A169 ); a5802a <=( (not A202) and (not A200) ); a5803a <=( a5802a and a5799a ); a5806a <=( (not A268) and (not A267) ); a5810a <=( A300 and A299 ); a5811a <=( (not A269) and a5810a ); a5812a <=( a5811a and a5806a ); a5815a <=( (not A199) and A169 ); a5818a <=( (not A202) and (not A200) ); a5819a <=( a5818a and a5815a ); a5822a <=( (not A268) and (not A267) ); a5826a <=( A300 and A298 ); a5827a <=( (not A269) and a5826a ); a5828a <=( a5827a and a5822a ); a5831a <=( (not A199) and A169 ); a5834a <=( (not A202) and (not A200) ); a5835a <=( a5834a and a5831a ); a5838a <=( A266 and A265 ); a5842a <=( A301 and (not A268) ); a5843a <=( (not A267) and a5842a ); a5844a <=( a5843a and a5838a ); a5847a <=( (not A199) and A169 ); a5850a <=( (not A202) and (not A200) ); a5851a <=( a5850a and a5847a ); a5854a <=( (not A266) and (not A265) ); a5858a <=( A300 and A299 ); a5859a <=( (not A268) and a5858a ); a5860a <=( a5859a and a5854a ); a5863a <=( (not A199) and A169 ); a5866a <=( (not A202) and (not A200) ); a5867a <=( a5866a and a5863a ); a5870a <=( (not A266) and (not A265) ); a5874a <=( A300 and A298 ); a5875a <=( (not A268) and a5874a ); a5876a <=( a5875a and a5870a ); a5879a <=( (not A167) and (not A169) ); a5882a <=( A202 and (not A166) ); a5883a <=( a5882a and a5879a ); a5886a <=( (not A268) and (not A267) ); a5890a <=( A300 and A299 ); a5891a <=( (not A269) and a5890a ); a5892a <=( a5891a and a5886a ); a5895a <=( (not A167) and (not A169) ); a5898a <=( A202 and (not A166) ); a5899a <=( a5898a and a5895a ); a5902a <=( (not A268) and (not A267) ); a5906a <=( A300 and A298 ); a5907a <=( (not A269) and a5906a ); a5908a <=( a5907a and a5902a ); a5911a <=( (not A167) and (not A169) ); a5914a <=( A202 and (not A166) ); a5915a <=( a5914a and a5911a ); a5918a <=( A266 and A265 ); a5922a <=( A301 and (not A268) ); a5923a <=( (not A267) and a5922a ); a5924a <=( a5923a and a5918a ); a5927a <=( (not A167) and (not A169) ); a5930a <=( A202 and (not A166) ); a5931a <=( a5930a and a5927a ); a5934a <=( (not A266) and (not A265) ); a5938a <=( A300 and A299 ); a5939a <=( (not A268) and a5938a ); a5940a <=( a5939a and a5934a ); a5943a <=( (not A167) and (not A169) ); a5946a <=( A202 and (not A166) ); a5947a <=( a5946a and a5943a ); a5950a <=( (not A266) and (not A265) ); a5954a <=( A300 and A298 ); a5955a <=( (not A268) and a5954a ); a5956a <=( a5955a and a5950a ); a5959a <=( (not A167) and (not A169) ); a5962a <=( A199 and (not A166) ); a5963a <=( a5962a and a5959a ); a5966a <=( (not A267) and A201 ); a5970a <=( A301 and (not A269) ); a5971a <=( (not A268) and a5970a ); a5972a <=( a5971a and a5966a ); a5975a <=( (not A167) and (not A169) ); a5978a <=( A199 and (not A166) ); a5979a <=( a5978a and a5975a ); a5982a <=( (not A265) and A201 ); a5986a <=( A301 and (not A268) ); a5987a <=( (not A266) and a5986a ); a5988a <=( a5987a and a5982a ); a5991a <=( (not A167) and (not A169) ); a5994a <=( A200 and (not A166) ); a5995a <=( a5994a and a5991a ); a5998a <=( (not A267) and A201 ); a6002a <=( A301 and (not A269) ); a6003a <=( (not A268) and a6002a ); a6004a <=( a6003a and a5998a ); a6007a <=( (not A167) and (not A169) ); a6010a <=( A200 and (not A166) ); a6011a <=( a6010a and a6007a ); a6014a <=( (not A265) and A201 ); a6018a <=( A301 and (not A268) ); a6019a <=( (not A266) and a6018a ); a6020a <=( a6019a and a6014a ); a6023a <=( (not A168) and (not A169) ); a6026a <=( A166 and A167 ); a6027a <=( a6026a and a6023a ); a6030a <=( (not A267) and A202 ); a6034a <=( A301 and (not A269) ); a6035a <=( (not A268) and a6034a ); a6036a <=( a6035a and a6030a ); a6039a <=( (not A168) and (not A169) ); a6042a <=( A166 and A167 ); a6043a <=( a6042a and a6039a ); a6046a <=( (not A265) and A202 ); a6050a <=( A301 and (not A268) ); a6051a <=( (not A266) and a6050a ); a6052a <=( a6051a and a6046a ); a6055a <=( (not A169) and (not A170) ); a6058a <=( A202 and (not A168) ); a6059a <=( a6058a and a6055a ); a6062a <=( (not A268) and (not A267) ); a6066a <=( A300 and A299 ); a6067a <=( (not A269) and a6066a ); a6068a <=( a6067a and a6062a ); a6071a <=( (not A169) and (not A170) ); a6074a <=( A202 and (not A168) ); a6075a <=( a6074a and a6071a ); a6078a <=( (not A268) and (not A267) ); a6082a <=( A300 and A298 ); a6083a <=( (not A269) and a6082a ); a6084a <=( a6083a and a6078a ); a6087a <=( (not A169) and (not A170) ); a6090a <=( A202 and (not A168) ); a6091a <=( a6090a and a6087a ); a6094a <=( A266 and A265 ); a6098a <=( A301 and (not A268) ); a6099a <=( (not A267) and a6098a ); a6100a <=( a6099a and a6094a ); a6103a <=( (not A169) and (not A170) ); a6106a <=( A202 and (not A168) ); a6107a <=( a6106a and a6103a ); a6110a <=( (not A266) and (not A265) ); a6114a <=( A300 and A299 ); a6115a <=( (not A268) and a6114a ); a6116a <=( a6115a and a6110a ); a6119a <=( (not A169) and (not A170) ); a6122a <=( A202 and (not A168) ); a6123a <=( a6122a and a6119a ); a6126a <=( (not A266) and (not A265) ); a6130a <=( A300 and A298 ); a6131a <=( (not A268) and a6130a ); a6132a <=( a6131a and a6126a ); a6135a <=( (not A169) and (not A170) ); a6138a <=( A199 and (not A168) ); a6139a <=( a6138a and a6135a ); a6142a <=( (not A267) and A201 ); a6146a <=( A301 and (not A269) ); a6147a <=( (not A268) and a6146a ); a6148a <=( a6147a and a6142a ); a6151a <=( (not A169) and (not A170) ); a6154a <=( A199 and (not A168) ); a6155a <=( a6154a and a6151a ); a6158a <=( (not A265) and A201 ); a6162a <=( A301 and (not A268) ); a6163a <=( (not A266) and a6162a ); a6164a <=( a6163a and a6158a ); a6167a <=( (not A169) and (not A170) ); a6170a <=( A200 and (not A168) ); a6171a <=( a6170a and a6167a ); a6174a <=( (not A267) and A201 ); a6178a <=( A301 and (not A269) ); a6179a <=( (not A268) and a6178a ); a6180a <=( a6179a and a6174a ); a6183a <=( (not A169) and (not A170) ); a6186a <=( A200 and (not A168) ); a6187a <=( a6186a and a6183a ); a6190a <=( (not A265) and A201 ); a6194a <=( A301 and (not A268) ); a6195a <=( (not A266) and a6194a ); a6196a <=( a6195a and a6190a ); a6199a <=( A166 and A168 ); a6203a <=( A266 and (not A265) ); a6204a <=( A202 and a6203a ); a6205a <=( a6204a and a6199a ); a6208a <=( A298 and A269 ); a6212a <=( (not A301) and (not A300) ); a6213a <=( A299 and a6212a ); a6214a <=( a6213a and a6208a ); a6217a <=( A166 and A168 ); a6221a <=( (not A266) and A265 ); a6222a <=( A202 and a6221a ); a6223a <=( a6222a and a6217a ); a6226a <=( A298 and A269 ); a6230a <=( (not A301) and (not A300) ); a6231a <=( A299 and a6230a ); a6232a <=( a6231a and a6226a ); a6235a <=( A166 and A168 ); a6239a <=( (not A203) and (not A202) ); a6240a <=( (not A201) and a6239a ); a6241a <=( a6240a and a6235a ); a6244a <=( (not A268) and (not A267) ); a6248a <=( A300 and A299 ); a6249a <=( (not A269) and a6248a ); a6250a <=( a6249a and a6244a ); a6253a <=( A166 and A168 ); a6257a <=( (not A203) and (not A202) ); a6258a <=( (not A201) and a6257a ); a6259a <=( a6258a and a6253a ); a6262a <=( (not A268) and (not A267) ); a6266a <=( A300 and A298 ); a6267a <=( (not A269) and a6266a ); a6268a <=( a6267a and a6262a ); a6271a <=( A166 and A168 ); a6275a <=( (not A203) and (not A202) ); a6276a <=( (not A201) and a6275a ); a6277a <=( a6276a and a6271a ); a6280a <=( A266 and A265 ); a6284a <=( A301 and (not A268) ); a6285a <=( (not A267) and a6284a ); a6286a <=( a6285a and a6280a ); a6289a <=( A166 and A168 ); a6293a <=( (not A203) and (not A202) ); a6294a <=( (not A201) and a6293a ); a6295a <=( a6294a and a6289a ); a6298a <=( (not A266) and (not A265) ); a6302a <=( A300 and A299 ); a6303a <=( (not A268) and a6302a ); a6304a <=( a6303a and a6298a ); a6307a <=( A166 and A168 ); a6311a <=( (not A203) and (not A202) ); a6312a <=( (not A201) and a6311a ); a6313a <=( a6312a and a6307a ); a6316a <=( (not A266) and (not A265) ); a6320a <=( A300 and A298 ); a6321a <=( (not A268) and a6320a ); a6322a <=( a6321a and a6316a ); a6325a <=( A166 and A168 ); a6329a <=( A265 and A201 ); a6330a <=( A199 and a6329a ); a6331a <=( a6330a and a6325a ); a6334a <=( A298 and A267 ); a6338a <=( (not A301) and (not A300) ); a6339a <=( A299 and a6338a ); a6340a <=( a6339a and a6334a ); a6343a <=( A166 and A168 ); a6347a <=( A266 and A201 ); a6348a <=( A199 and a6347a ); a6349a <=( a6348a and a6343a ); a6352a <=( A298 and A267 ); a6356a <=( (not A301) and (not A300) ); a6357a <=( A299 and a6356a ); a6358a <=( a6357a and a6352a ); a6361a <=( A166 and A168 ); a6365a <=( (not A265) and A201 ); a6366a <=( A199 and a6365a ); a6367a <=( a6366a and a6361a ); a6370a <=( A269 and A266 ); a6374a <=( (not A302) and (not A301) ); a6375a <=( (not A300) and a6374a ); a6376a <=( a6375a and a6370a ); a6379a <=( A166 and A168 ); a6383a <=( (not A265) and A201 ); a6384a <=( A199 and a6383a ); a6385a <=( a6384a and a6379a ); a6388a <=( A269 and A266 ); a6392a <=( (not A301) and (not A299) ); a6393a <=( (not A298) and a6392a ); a6394a <=( a6393a and a6388a ); a6397a <=( A166 and A168 ); a6401a <=( A265 and A201 ); a6402a <=( A199 and a6401a ); a6403a <=( a6402a and a6397a ); a6406a <=( A269 and (not A266) ); a6410a <=( (not A302) and (not A301) ); a6411a <=( (not A300) and a6410a ); a6412a <=( a6411a and a6406a ); a6415a <=( A166 and A168 ); a6419a <=( A265 and A201 ); a6420a <=( A199 and a6419a ); a6421a <=( a6420a and a6415a ); a6424a <=( A269 and (not A266) ); a6428a <=( (not A301) and (not A299) ); a6429a <=( (not A298) and a6428a ); a6430a <=( a6429a and a6424a ); a6433a <=( A166 and A168 ); a6437a <=( A265 and A201 ); a6438a <=( A200 and a6437a ); a6439a <=( a6438a and a6433a ); a6442a <=( A298 and A267 ); a6446a <=( (not A301) and (not A300) ); a6447a <=( A299 and a6446a ); a6448a <=( a6447a and a6442a ); a6451a <=( A166 and A168 ); a6455a <=( A266 and A201 ); a6456a <=( A200 and a6455a ); a6457a <=( a6456a and a6451a ); a6460a <=( A298 and A267 ); a6464a <=( (not A301) and (not A300) ); a6465a <=( A299 and a6464a ); a6466a <=( a6465a and a6460a ); a6469a <=( A166 and A168 ); a6473a <=( (not A265) and A201 ); a6474a <=( A200 and a6473a ); a6475a <=( a6474a and a6469a ); a6478a <=( A269 and A266 ); a6482a <=( (not A302) and (not A301) ); a6483a <=( (not A300) and a6482a ); a6484a <=( a6483a and a6478a ); a6487a <=( A166 and A168 ); a6491a <=( (not A265) and A201 ); a6492a <=( A200 and a6491a ); a6493a <=( a6492a and a6487a ); a6496a <=( A269 and A266 ); a6500a <=( (not A301) and (not A299) ); a6501a <=( (not A298) and a6500a ); a6502a <=( a6501a and a6496a ); a6505a <=( A166 and A168 ); a6509a <=( A265 and A201 ); a6510a <=( A200 and a6509a ); a6511a <=( a6510a and a6505a ); a6514a <=( A269 and (not A266) ); a6518a <=( (not A302) and (not A301) ); a6519a <=( (not A300) and a6518a ); a6520a <=( a6519a and a6514a ); a6523a <=( A166 and A168 ); a6527a <=( A265 and A201 ); a6528a <=( A200 and a6527a ); a6529a <=( a6528a and a6523a ); a6532a <=( A269 and (not A266) ); a6536a <=( (not A301) and (not A299) ); a6537a <=( (not A298) and a6536a ); a6538a <=( a6537a and a6532a ); a6541a <=( A166 and A168 ); a6545a <=( (not A201) and A200 ); a6546a <=( A199 and a6545a ); a6547a <=( a6546a and a6541a ); a6550a <=( (not A267) and (not A202) ); a6554a <=( A301 and (not A269) ); a6555a <=( (not A268) and a6554a ); a6556a <=( a6555a and a6550a ); a6559a <=( A166 and A168 ); a6563a <=( (not A201) and A200 ); a6564a <=( A199 and a6563a ); a6565a <=( a6564a and a6559a ); a6568a <=( (not A265) and (not A202) ); a6572a <=( A301 and (not A268) ); a6573a <=( (not A266) and a6572a ); a6574a <=( a6573a and a6568a ); a6577a <=( A166 and A168 ); a6581a <=( A203 and A200 ); a6582a <=( (not A199) and a6581a ); a6583a <=( a6582a and a6577a ); a6586a <=( A298 and A268 ); a6590a <=( (not A301) and (not A300) ); a6591a <=( A299 and a6590a ); a6592a <=( a6591a and a6586a ); a6595a <=( A166 and A168 ); a6599a <=( A203 and A200 ); a6600a <=( (not A199) and a6599a ); a6601a <=( a6600a and a6595a ); a6604a <=( A267 and A265 ); a6608a <=( (not A302) and (not A301) ); a6609a <=( (not A300) and a6608a ); a6610a <=( a6609a and a6604a ); a6613a <=( A166 and A168 ); a6617a <=( A203 and A200 ); a6618a <=( (not A199) and a6617a ); a6619a <=( a6618a and a6613a ); a6622a <=( A267 and A265 ); a6626a <=( (not A301) and (not A299) ); a6627a <=( (not A298) and a6626a ); a6628a <=( a6627a and a6622a ); a6631a <=( A166 and A168 ); a6635a <=( A203 and A200 ); a6636a <=( (not A199) and a6635a ); a6637a <=( a6636a and a6631a ); a6640a <=( A267 and A266 ); a6644a <=( (not A302) and (not A301) ); a6645a <=( (not A300) and a6644a ); a6646a <=( a6645a and a6640a ); a6649a <=( A166 and A168 ); a6653a <=( A203 and A200 ); a6654a <=( (not A199) and a6653a ); a6655a <=( a6654a and a6649a ); a6658a <=( A267 and A266 ); a6662a <=( (not A301) and (not A299) ); a6663a <=( (not A298) and a6662a ); a6664a <=( a6663a and a6658a ); a6667a <=( A166 and A168 ); a6671a <=( A203 and (not A200) ); a6672a <=( A199 and a6671a ); a6673a <=( a6672a and a6667a ); a6676a <=( A298 and A268 ); a6680a <=( (not A301) and (not A300) ); a6681a <=( A299 and a6680a ); a6682a <=( a6681a and a6676a ); a6685a <=( A166 and A168 ); a6689a <=( A203 and (not A200) ); a6690a <=( A199 and a6689a ); a6691a <=( a6690a and a6685a ); a6694a <=( A267 and A265 ); a6698a <=( (not A302) and (not A301) ); a6699a <=( (not A300) and a6698a ); a6700a <=( a6699a and a6694a ); a6703a <=( A166 and A168 ); a6707a <=( A203 and (not A200) ); a6708a <=( A199 and a6707a ); a6709a <=( a6708a and a6703a ); a6712a <=( A267 and A265 ); a6716a <=( (not A301) and (not A299) ); a6717a <=( (not A298) and a6716a ); a6718a <=( a6717a and a6712a ); a6721a <=( A166 and A168 ); a6725a <=( A203 and (not A200) ); a6726a <=( A199 and a6725a ); a6727a <=( a6726a and a6721a ); a6730a <=( A267 and A266 ); a6734a <=( (not A302) and (not A301) ); a6735a <=( (not A300) and a6734a ); a6736a <=( a6735a and a6730a ); a6739a <=( A166 and A168 ); a6743a <=( A203 and (not A200) ); a6744a <=( A199 and a6743a ); a6745a <=( a6744a and a6739a ); a6748a <=( A267 and A266 ); a6752a <=( (not A301) and (not A299) ); a6753a <=( (not A298) and a6752a ); a6754a <=( a6753a and a6748a ); a6757a <=( A166 and A168 ); a6761a <=( (not A202) and (not A200) ); a6762a <=( (not A199) and a6761a ); a6763a <=( a6762a and a6757a ); a6766a <=( (not A268) and (not A267) ); a6770a <=( A300 and A299 ); a6771a <=( (not A269) and a6770a ); a6772a <=( a6771a and a6766a ); a6775a <=( A166 and A168 ); a6779a <=( (not A202) and (not A200) ); a6780a <=( (not A199) and a6779a ); a6781a <=( a6780a and a6775a ); a6784a <=( (not A268) and (not A267) ); a6788a <=( A300 and A298 ); a6789a <=( (not A269) and a6788a ); a6790a <=( a6789a and a6784a ); a6793a <=( A166 and A168 ); a6797a <=( (not A202) and (not A200) ); a6798a <=( (not A199) and a6797a ); a6799a <=( a6798a and a6793a ); a6802a <=( A266 and A265 ); a6806a <=( A301 and (not A268) ); a6807a <=( (not A267) and a6806a ); a6808a <=( a6807a and a6802a ); a6811a <=( A166 and A168 ); a6815a <=( (not A202) and (not A200) ); a6816a <=( (not A199) and a6815a ); a6817a <=( a6816a and a6811a ); a6820a <=( (not A266) and (not A265) ); a6824a <=( A300 and A299 ); a6825a <=( (not A268) and a6824a ); a6826a <=( a6825a and a6820a ); a6829a <=( A166 and A168 ); a6833a <=( (not A202) and (not A200) ); a6834a <=( (not A199) and a6833a ); a6835a <=( a6834a and a6829a ); a6838a <=( (not A266) and (not A265) ); a6842a <=( A300 and A298 ); a6843a <=( (not A268) and a6842a ); a6844a <=( a6843a and a6838a ); a6847a <=( A167 and A168 ); a6851a <=( A266 and (not A265) ); a6852a <=( A202 and a6851a ); a6853a <=( a6852a and a6847a ); a6856a <=( A298 and A269 ); a6860a <=( (not A301) and (not A300) ); a6861a <=( A299 and a6860a ); a6862a <=( a6861a and a6856a ); a6865a <=( A167 and A168 ); a6869a <=( (not A266) and A265 ); a6870a <=( A202 and a6869a ); a6871a <=( a6870a and a6865a ); a6874a <=( A298 and A269 ); a6878a <=( (not A301) and (not A300) ); a6879a <=( A299 and a6878a ); a6880a <=( a6879a and a6874a ); a6883a <=( A167 and A168 ); a6887a <=( (not A203) and (not A202) ); a6888a <=( (not A201) and a6887a ); a6889a <=( a6888a and a6883a ); a6892a <=( (not A268) and (not A267) ); a6896a <=( A300 and A299 ); a6897a <=( (not A269) and a6896a ); a6898a <=( a6897a and a6892a ); a6901a <=( A167 and A168 ); a6905a <=( (not A203) and (not A202) ); a6906a <=( (not A201) and a6905a ); a6907a <=( a6906a and a6901a ); a6910a <=( (not A268) and (not A267) ); a6914a <=( A300 and A298 ); a6915a <=( (not A269) and a6914a ); a6916a <=( a6915a and a6910a ); a6919a <=( A167 and A168 ); a6923a <=( (not A203) and (not A202) ); a6924a <=( (not A201) and a6923a ); a6925a <=( a6924a and a6919a ); a6928a <=( A266 and A265 ); a6932a <=( A301 and (not A268) ); a6933a <=( (not A267) and a6932a ); a6934a <=( a6933a and a6928a ); a6937a <=( A167 and A168 ); a6941a <=( (not A203) and (not A202) ); a6942a <=( (not A201) and a6941a ); a6943a <=( a6942a and a6937a ); a6946a <=( (not A266) and (not A265) ); a6950a <=( A300 and A299 ); a6951a <=( (not A268) and a6950a ); a6952a <=( a6951a and a6946a ); a6955a <=( A167 and A168 ); a6959a <=( (not A203) and (not A202) ); a6960a <=( (not A201) and a6959a ); a6961a <=( a6960a and a6955a ); a6964a <=( (not A266) and (not A265) ); a6968a <=( A300 and A298 ); a6969a <=( (not A268) and a6968a ); a6970a <=( a6969a and a6964a ); a6973a <=( A167 and A168 ); a6977a <=( A265 and A201 ); a6978a <=( A199 and a6977a ); a6979a <=( a6978a and a6973a ); a6982a <=( A298 and A267 ); a6986a <=( (not A301) and (not A300) ); a6987a <=( A299 and a6986a ); a6988a <=( a6987a and a6982a ); a6991a <=( A167 and A168 ); a6995a <=( A266 and A201 ); a6996a <=( A199 and a6995a ); a6997a <=( a6996a and a6991a ); a7000a <=( A298 and A267 ); a7004a <=( (not A301) and (not A300) ); a7005a <=( A299 and a7004a ); a7006a <=( a7005a and a7000a ); a7009a <=( A167 and A168 ); a7013a <=( (not A265) and A201 ); a7014a <=( A199 and a7013a ); a7015a <=( a7014a and a7009a ); a7018a <=( A269 and A266 ); a7022a <=( (not A302) and (not A301) ); a7023a <=( (not A300) and a7022a ); a7024a <=( a7023a and a7018a ); a7027a <=( A167 and A168 ); a7031a <=( (not A265) and A201 ); a7032a <=( A199 and a7031a ); a7033a <=( a7032a and a7027a ); a7036a <=( A269 and A266 ); a7040a <=( (not A301) and (not A299) ); a7041a <=( (not A298) and a7040a ); a7042a <=( a7041a and a7036a ); a7045a <=( A167 and A168 ); a7049a <=( A265 and A201 ); a7050a <=( A199 and a7049a ); a7051a <=( a7050a and a7045a ); a7054a <=( A269 and (not A266) ); a7058a <=( (not A302) and (not A301) ); a7059a <=( (not A300) and a7058a ); a7060a <=( a7059a and a7054a ); a7063a <=( A167 and A168 ); a7067a <=( A265 and A201 ); a7068a <=( A199 and a7067a ); a7069a <=( a7068a and a7063a ); a7072a <=( A269 and (not A266) ); a7076a <=( (not A301) and (not A299) ); a7077a <=( (not A298) and a7076a ); a7078a <=( a7077a and a7072a ); a7081a <=( A167 and A168 ); a7085a <=( A265 and A201 ); a7086a <=( A200 and a7085a ); a7087a <=( a7086a and a7081a ); a7090a <=( A298 and A267 ); a7094a <=( (not A301) and (not A300) ); a7095a <=( A299 and a7094a ); a7096a <=( a7095a and a7090a ); a7099a <=( A167 and A168 ); a7103a <=( A266 and A201 ); a7104a <=( A200 and a7103a ); a7105a <=( a7104a and a7099a ); a7108a <=( A298 and A267 ); a7112a <=( (not A301) and (not A300) ); a7113a <=( A299 and a7112a ); a7114a <=( a7113a and a7108a ); a7117a <=( A167 and A168 ); a7121a <=( (not A265) and A201 ); a7122a <=( A200 and a7121a ); a7123a <=( a7122a and a7117a ); a7126a <=( A269 and A266 ); a7130a <=( (not A302) and (not A301) ); a7131a <=( (not A300) and a7130a ); a7132a <=( a7131a and a7126a ); a7135a <=( A167 and A168 ); a7139a <=( (not A265) and A201 ); a7140a <=( A200 and a7139a ); a7141a <=( a7140a and a7135a ); a7144a <=( A269 and A266 ); a7148a <=( (not A301) and (not A299) ); a7149a <=( (not A298) and a7148a ); a7150a <=( a7149a and a7144a ); a7153a <=( A167 and A168 ); a7157a <=( A265 and A201 ); a7158a <=( A200 and a7157a ); a7159a <=( a7158a and a7153a ); a7162a <=( A269 and (not A266) ); a7166a <=( (not A302) and (not A301) ); a7167a <=( (not A300) and a7166a ); a7168a <=( a7167a and a7162a ); a7171a <=( A167 and A168 ); a7175a <=( A265 and A201 ); a7176a <=( A200 and a7175a ); a7177a <=( a7176a and a7171a ); a7180a <=( A269 and (not A266) ); a7184a <=( (not A301) and (not A299) ); a7185a <=( (not A298) and a7184a ); a7186a <=( a7185a and a7180a ); a7189a <=( A167 and A168 ); a7193a <=( (not A201) and A200 ); a7194a <=( A199 and a7193a ); a7195a <=( a7194a and a7189a ); a7198a <=( (not A267) and (not A202) ); a7202a <=( A301 and (not A269) ); a7203a <=( (not A268) and a7202a ); a7204a <=( a7203a and a7198a ); a7207a <=( A167 and A168 ); a7211a <=( (not A201) and A200 ); a7212a <=( A199 and a7211a ); a7213a <=( a7212a and a7207a ); a7216a <=( (not A265) and (not A202) ); a7220a <=( A301 and (not A268) ); a7221a <=( (not A266) and a7220a ); a7222a <=( a7221a and a7216a ); a7225a <=( A167 and A168 ); a7229a <=( A203 and A200 ); a7230a <=( (not A199) and a7229a ); a7231a <=( a7230a and a7225a ); a7234a <=( A298 and A268 ); a7238a <=( (not A301) and (not A300) ); a7239a <=( A299 and a7238a ); a7240a <=( a7239a and a7234a ); a7243a <=( A167 and A168 ); a7247a <=( A203 and A200 ); a7248a <=( (not A199) and a7247a ); a7249a <=( a7248a and a7243a ); a7252a <=( A267 and A265 ); a7256a <=( (not A302) and (not A301) ); a7257a <=( (not A300) and a7256a ); a7258a <=( a7257a and a7252a ); a7261a <=( A167 and A168 ); a7265a <=( A203 and A200 ); a7266a <=( (not A199) and a7265a ); a7267a <=( a7266a and a7261a ); a7270a <=( A267 and A265 ); a7274a <=( (not A301) and (not A299) ); a7275a <=( (not A298) and a7274a ); a7276a <=( a7275a and a7270a ); a7279a <=( A167 and A168 ); a7283a <=( A203 and A200 ); a7284a <=( (not A199) and a7283a ); a7285a <=( a7284a and a7279a ); a7288a <=( A267 and A266 ); a7292a <=( (not A302) and (not A301) ); a7293a <=( (not A300) and a7292a ); a7294a <=( a7293a and a7288a ); a7297a <=( A167 and A168 ); a7301a <=( A203 and A200 ); a7302a <=( (not A199) and a7301a ); a7303a <=( a7302a and a7297a ); a7306a <=( A267 and A266 ); a7310a <=( (not A301) and (not A299) ); a7311a <=( (not A298) and a7310a ); a7312a <=( a7311a and a7306a ); a7315a <=( A167 and A168 ); a7319a <=( A203 and (not A200) ); a7320a <=( A199 and a7319a ); a7321a <=( a7320a and a7315a ); a7324a <=( A298 and A268 ); a7328a <=( (not A301) and (not A300) ); a7329a <=( A299 and a7328a ); a7330a <=( a7329a and a7324a ); a7333a <=( A167 and A168 ); a7337a <=( A203 and (not A200) ); a7338a <=( A199 and a7337a ); a7339a <=( a7338a and a7333a ); a7342a <=( A267 and A265 ); a7346a <=( (not A302) and (not A301) ); a7347a <=( (not A300) and a7346a ); a7348a <=( a7347a and a7342a ); a7351a <=( A167 and A168 ); a7355a <=( A203 and (not A200) ); a7356a <=( A199 and a7355a ); a7357a <=( a7356a and a7351a ); a7360a <=( A267 and A265 ); a7364a <=( (not A301) and (not A299) ); a7365a <=( (not A298) and a7364a ); a7366a <=( a7365a and a7360a ); a7369a <=( A167 and A168 ); a7373a <=( A203 and (not A200) ); a7374a <=( A199 and a7373a ); a7375a <=( a7374a and a7369a ); a7378a <=( A267 and A266 ); a7382a <=( (not A302) and (not A301) ); a7383a <=( (not A300) and a7382a ); a7384a <=( a7383a and a7378a ); a7387a <=( A167 and A168 ); a7391a <=( A203 and (not A200) ); a7392a <=( A199 and a7391a ); a7393a <=( a7392a and a7387a ); a7396a <=( A267 and A266 ); a7400a <=( (not A301) and (not A299) ); a7401a <=( (not A298) and a7400a ); a7402a <=( a7401a and a7396a ); a7405a <=( A167 and A168 ); a7409a <=( (not A202) and (not A200) ); a7410a <=( (not A199) and a7409a ); a7411a <=( a7410a and a7405a ); a7414a <=( (not A268) and (not A267) ); a7418a <=( A300 and A299 ); a7419a <=( (not A269) and a7418a ); a7420a <=( a7419a and a7414a ); a7423a <=( A167 and A168 ); a7427a <=( (not A202) and (not A200) ); a7428a <=( (not A199) and a7427a ); a7429a <=( a7428a and a7423a ); a7432a <=( (not A268) and (not A267) ); a7436a <=( A300 and A298 ); a7437a <=( (not A269) and a7436a ); a7438a <=( a7437a and a7432a ); a7441a <=( A167 and A168 ); a7445a <=( (not A202) and (not A200) ); a7446a <=( (not A199) and a7445a ); a7447a <=( a7446a and a7441a ); a7450a <=( A266 and A265 ); a7454a <=( A301 and (not A268) ); a7455a <=( (not A267) and a7454a ); a7456a <=( a7455a and a7450a ); a7459a <=( A167 and A168 ); a7463a <=( (not A202) and (not A200) ); a7464a <=( (not A199) and a7463a ); a7465a <=( a7464a and a7459a ); a7468a <=( (not A266) and (not A265) ); a7472a <=( A300 and A299 ); a7473a <=( (not A268) and a7472a ); a7474a <=( a7473a and a7468a ); a7477a <=( A167 and A168 ); a7481a <=( (not A202) and (not A200) ); a7482a <=( (not A199) and a7481a ); a7483a <=( a7482a and a7477a ); a7486a <=( (not A266) and (not A265) ); a7490a <=( A300 and A298 ); a7491a <=( (not A268) and a7490a ); a7492a <=( a7491a and a7486a ); a7495a <=( A167 and A170 ); a7499a <=( A265 and A202 ); a7500a <=( (not A166) and a7499a ); a7501a <=( a7500a and a7495a ); a7504a <=( A298 and A267 ); a7508a <=( (not A301) and (not A300) ); a7509a <=( A299 and a7508a ); a7510a <=( a7509a and a7504a ); a7513a <=( A167 and A170 ); a7517a <=( A266 and A202 ); a7518a <=( (not A166) and a7517a ); a7519a <=( a7518a and a7513a ); a7522a <=( A298 and A267 ); a7526a <=( (not A301) and (not A300) ); a7527a <=( A299 and a7526a ); a7528a <=( a7527a and a7522a ); a7531a <=( A167 and A170 ); a7535a <=( (not A265) and A202 ); a7536a <=( (not A166) and a7535a ); a7537a <=( a7536a and a7531a ); a7540a <=( A269 and A266 ); a7544a <=( (not A302) and (not A301) ); a7545a <=( (not A300) and a7544a ); a7546a <=( a7545a and a7540a ); a7549a <=( A167 and A170 ); a7553a <=( (not A265) and A202 ); a7554a <=( (not A166) and a7553a ); a7555a <=( a7554a and a7549a ); a7558a <=( A269 and A266 ); a7562a <=( (not A301) and (not A299) ); a7563a <=( (not A298) and a7562a ); a7564a <=( a7563a and a7558a ); a7567a <=( A167 and A170 ); a7571a <=( A265 and A202 ); a7572a <=( (not A166) and a7571a ); a7573a <=( a7572a and a7567a ); a7576a <=( A269 and (not A266) ); a7580a <=( (not A302) and (not A301) ); a7581a <=( (not A300) and a7580a ); a7582a <=( a7581a and a7576a ); a7585a <=( A167 and A170 ); a7589a <=( A265 and A202 ); a7590a <=( (not A166) and a7589a ); a7591a <=( a7590a and a7585a ); a7594a <=( A269 and (not A266) ); a7598a <=( (not A301) and (not A299) ); a7599a <=( (not A298) and a7598a ); a7600a <=( a7599a and a7594a ); a7603a <=( A167 and A170 ); a7607a <=( (not A202) and (not A201) ); a7608a <=( (not A166) and a7607a ); a7609a <=( a7608a and a7603a ); a7612a <=( (not A267) and (not A203) ); a7616a <=( A301 and (not A269) ); a7617a <=( (not A268) and a7616a ); a7618a <=( a7617a and a7612a ); a7621a <=( A167 and A170 ); a7625a <=( (not A202) and (not A201) ); a7626a <=( (not A166) and a7625a ); a7627a <=( a7626a and a7621a ); a7630a <=( (not A265) and (not A203) ); a7634a <=( A301 and (not A268) ); a7635a <=( (not A266) and a7634a ); a7636a <=( a7635a and a7630a ); a7639a <=( A167 and A170 ); a7643a <=( A201 and A199 ); a7644a <=( (not A166) and a7643a ); a7645a <=( a7644a and a7639a ); a7648a <=( A298 and A268 ); a7652a <=( (not A301) and (not A300) ); a7653a <=( A299 and a7652a ); a7654a <=( a7653a and a7648a ); a7657a <=( A167 and A170 ); a7661a <=( A201 and A199 ); a7662a <=( (not A166) and a7661a ); a7663a <=( a7662a and a7657a ); a7666a <=( A267 and A265 ); a7670a <=( (not A302) and (not A301) ); a7671a <=( (not A300) and a7670a ); a7672a <=( a7671a and a7666a ); a7675a <=( A167 and A170 ); a7679a <=( A201 and A199 ); a7680a <=( (not A166) and a7679a ); a7681a <=( a7680a and a7675a ); a7684a <=( A267 and A265 ); a7688a <=( (not A301) and (not A299) ); a7689a <=( (not A298) and a7688a ); a7690a <=( a7689a and a7684a ); a7693a <=( A167 and A170 ); a7697a <=( A201 and A199 ); a7698a <=( (not A166) and a7697a ); a7699a <=( a7698a and a7693a ); a7702a <=( A267 and A266 ); a7706a <=( (not A302) and (not A301) ); a7707a <=( (not A300) and a7706a ); a7708a <=( a7707a and a7702a ); a7711a <=( A167 and A170 ); a7715a <=( A201 and A199 ); a7716a <=( (not A166) and a7715a ); a7717a <=( a7716a and a7711a ); a7720a <=( A267 and A266 ); a7724a <=( (not A301) and (not A299) ); a7725a <=( (not A298) and a7724a ); a7726a <=( a7725a and a7720a ); a7729a <=( A167 and A170 ); a7733a <=( A201 and A200 ); a7734a <=( (not A166) and a7733a ); a7735a <=( a7734a and a7729a ); a7738a <=( A298 and A268 ); a7742a <=( (not A301) and (not A300) ); a7743a <=( A299 and a7742a ); a7744a <=( a7743a and a7738a ); a7747a <=( A167 and A170 ); a7751a <=( A201 and A200 ); a7752a <=( (not A166) and a7751a ); a7753a <=( a7752a and a7747a ); a7756a <=( A267 and A265 ); a7760a <=( (not A302) and (not A301) ); a7761a <=( (not A300) and a7760a ); a7762a <=( a7761a and a7756a ); a7765a <=( A167 and A170 ); a7769a <=( A201 and A200 ); a7770a <=( (not A166) and a7769a ); a7771a <=( a7770a and a7765a ); a7774a <=( A267 and A265 ); a7778a <=( (not A301) and (not A299) ); a7779a <=( (not A298) and a7778a ); a7780a <=( a7779a and a7774a ); a7783a <=( A167 and A170 ); a7787a <=( A201 and A200 ); a7788a <=( (not A166) and a7787a ); a7789a <=( a7788a and a7783a ); a7792a <=( A267 and A266 ); a7796a <=( (not A302) and (not A301) ); a7797a <=( (not A300) and a7796a ); a7798a <=( a7797a and a7792a ); a7801a <=( A167 and A170 ); a7805a <=( A201 and A200 ); a7806a <=( (not A166) and a7805a ); a7807a <=( a7806a and a7801a ); a7810a <=( A267 and A266 ); a7814a <=( (not A301) and (not A299) ); a7815a <=( (not A298) and a7814a ); a7816a <=( a7815a and a7810a ); a7819a <=( A167 and A170 ); a7823a <=( A200 and (not A199) ); a7824a <=( (not A166) and a7823a ); a7825a <=( a7824a and a7819a ); a7828a <=( A268 and A203 ); a7832a <=( (not A302) and (not A301) ); a7833a <=( (not A300) and a7832a ); a7834a <=( a7833a and a7828a ); a7837a <=( A167 and A170 ); a7841a <=( A200 and (not A199) ); a7842a <=( (not A166) and a7841a ); a7843a <=( a7842a and a7837a ); a7846a <=( A268 and A203 ); a7850a <=( (not A301) and (not A299) ); a7851a <=( (not A298) and a7850a ); a7852a <=( a7851a and a7846a ); a7855a <=( A167 and A170 ); a7859a <=( (not A200) and A199 ); a7860a <=( (not A166) and a7859a ); a7861a <=( a7860a and a7855a ); a7864a <=( A268 and A203 ); a7868a <=( (not A302) and (not A301) ); a7869a <=( (not A300) and a7868a ); a7870a <=( a7869a and a7864a ); a7873a <=( A167 and A170 ); a7877a <=( (not A200) and A199 ); a7878a <=( (not A166) and a7877a ); a7879a <=( a7878a and a7873a ); a7882a <=( A268 and A203 ); a7886a <=( (not A301) and (not A299) ); a7887a <=( (not A298) and a7886a ); a7888a <=( a7887a and a7882a ); a7891a <=( A167 and A170 ); a7895a <=( (not A200) and (not A199) ); a7896a <=( (not A166) and a7895a ); a7897a <=( a7896a and a7891a ); a7900a <=( (not A267) and (not A202) ); a7904a <=( A301 and (not A269) ); a7905a <=( (not A268) and a7904a ); a7906a <=( a7905a and a7900a ); a7909a <=( A167 and A170 ); a7913a <=( (not A200) and (not A199) ); a7914a <=( (not A166) and a7913a ); a7915a <=( a7914a and a7909a ); a7918a <=( (not A265) and (not A202) ); a7922a <=( A301 and (not A268) ); a7923a <=( (not A266) and a7922a ); a7924a <=( a7923a and a7918a ); a7927a <=( (not A167) and A170 ); a7931a <=( A265 and A202 ); a7932a <=( A166 and a7931a ); a7933a <=( a7932a and a7927a ); a7936a <=( A298 and A267 ); a7940a <=( (not A301) and (not A300) ); a7941a <=( A299 and a7940a ); a7942a <=( a7941a and a7936a ); a7945a <=( (not A167) and A170 ); a7949a <=( A266 and A202 ); a7950a <=( A166 and a7949a ); a7951a <=( a7950a and a7945a ); a7954a <=( A298 and A267 ); a7958a <=( (not A301) and (not A300) ); a7959a <=( A299 and a7958a ); a7960a <=( a7959a and a7954a ); a7963a <=( (not A167) and A170 ); a7967a <=( (not A265) and A202 ); a7968a <=( A166 and a7967a ); a7969a <=( a7968a and a7963a ); a7972a <=( A269 and A266 ); a7976a <=( (not A302) and (not A301) ); a7977a <=( (not A300) and a7976a ); a7978a <=( a7977a and a7972a ); a7981a <=( (not A167) and A170 ); a7985a <=( (not A265) and A202 ); a7986a <=( A166 and a7985a ); a7987a <=( a7986a and a7981a ); a7990a <=( A269 and A266 ); a7994a <=( (not A301) and (not A299) ); a7995a <=( (not A298) and a7994a ); a7996a <=( a7995a and a7990a ); a7999a <=( (not A167) and A170 ); a8003a <=( A265 and A202 ); a8004a <=( A166 and a8003a ); a8005a <=( a8004a and a7999a ); a8008a <=( A269 and (not A266) ); a8012a <=( (not A302) and (not A301) ); a8013a <=( (not A300) and a8012a ); a8014a <=( a8013a and a8008a ); a8017a <=( (not A167) and A170 ); a8021a <=( A265 and A202 ); a8022a <=( A166 and a8021a ); a8023a <=( a8022a and a8017a ); a8026a <=( A269 and (not A266) ); a8030a <=( (not A301) and (not A299) ); a8031a <=( (not A298) and a8030a ); a8032a <=( a8031a and a8026a ); a8035a <=( (not A167) and A170 ); a8039a <=( (not A202) and (not A201) ); a8040a <=( A166 and a8039a ); a8041a <=( a8040a and a8035a ); a8044a <=( (not A267) and (not A203) ); a8048a <=( A301 and (not A269) ); a8049a <=( (not A268) and a8048a ); a8050a <=( a8049a and a8044a ); a8053a <=( (not A167) and A170 ); a8057a <=( (not A202) and (not A201) ); a8058a <=( A166 and a8057a ); a8059a <=( a8058a and a8053a ); a8062a <=( (not A265) and (not A203) ); a8066a <=( A301 and (not A268) ); a8067a <=( (not A266) and a8066a ); a8068a <=( a8067a and a8062a ); a8071a <=( (not A167) and A170 ); a8075a <=( A201 and A199 ); a8076a <=( A166 and a8075a ); a8077a <=( a8076a and a8071a ); a8080a <=( A298 and A268 ); a8084a <=( (not A301) and (not A300) ); a8085a <=( A299 and a8084a ); a8086a <=( a8085a and a8080a ); a8089a <=( (not A167) and A170 ); a8093a <=( A201 and A199 ); a8094a <=( A166 and a8093a ); a8095a <=( a8094a and a8089a ); a8098a <=( A267 and A265 ); a8102a <=( (not A302) and (not A301) ); a8103a <=( (not A300) and a8102a ); a8104a <=( a8103a and a8098a ); a8107a <=( (not A167) and A170 ); a8111a <=( A201 and A199 ); a8112a <=( A166 and a8111a ); a8113a <=( a8112a and a8107a ); a8116a <=( A267 and A265 ); a8120a <=( (not A301) and (not A299) ); a8121a <=( (not A298) and a8120a ); a8122a <=( a8121a and a8116a ); a8125a <=( (not A167) and A170 ); a8129a <=( A201 and A199 ); a8130a <=( A166 and a8129a ); a8131a <=( a8130a and a8125a ); a8134a <=( A267 and A266 ); a8138a <=( (not A302) and (not A301) ); a8139a <=( (not A300) and a8138a ); a8140a <=( a8139a and a8134a ); a8143a <=( (not A167) and A170 ); a8147a <=( A201 and A199 ); a8148a <=( A166 and a8147a ); a8149a <=( a8148a and a8143a ); a8152a <=( A267 and A266 ); a8156a <=( (not A301) and (not A299) ); a8157a <=( (not A298) and a8156a ); a8158a <=( a8157a and a8152a ); a8161a <=( (not A167) and A170 ); a8165a <=( A201 and A200 ); a8166a <=( A166 and a8165a ); a8167a <=( a8166a and a8161a ); a8170a <=( A298 and A268 ); a8174a <=( (not A301) and (not A300) ); a8175a <=( A299 and a8174a ); a8176a <=( a8175a and a8170a ); a8179a <=( (not A167) and A170 ); a8183a <=( A201 and A200 ); a8184a <=( A166 and a8183a ); a8185a <=( a8184a and a8179a ); a8188a <=( A267 and A265 ); a8192a <=( (not A302) and (not A301) ); a8193a <=( (not A300) and a8192a ); a8194a <=( a8193a and a8188a ); a8197a <=( (not A167) and A170 ); a8201a <=( A201 and A200 ); a8202a <=( A166 and a8201a ); a8203a <=( a8202a and a8197a ); a8206a <=( A267 and A265 ); a8210a <=( (not A301) and (not A299) ); a8211a <=( (not A298) and a8210a ); a8212a <=( a8211a and a8206a ); a8215a <=( (not A167) and A170 ); a8219a <=( A201 and A200 ); a8220a <=( A166 and a8219a ); a8221a <=( a8220a and a8215a ); a8224a <=( A267 and A266 ); a8228a <=( (not A302) and (not A301) ); a8229a <=( (not A300) and a8228a ); a8230a <=( a8229a and a8224a ); a8233a <=( (not A167) and A170 ); a8237a <=( A201 and A200 ); a8238a <=( A166 and a8237a ); a8239a <=( a8238a and a8233a ); a8242a <=( A267 and A266 ); a8246a <=( (not A301) and (not A299) ); a8247a <=( (not A298) and a8246a ); a8248a <=( a8247a and a8242a ); a8251a <=( (not A167) and A170 ); a8255a <=( A200 and (not A199) ); a8256a <=( A166 and a8255a ); a8257a <=( a8256a and a8251a ); a8260a <=( A268 and A203 ); a8264a <=( (not A302) and (not A301) ); a8265a <=( (not A300) and a8264a ); a8266a <=( a8265a and a8260a ); a8269a <=( (not A167) and A170 ); a8273a <=( A200 and (not A199) ); a8274a <=( A166 and a8273a ); a8275a <=( a8274a and a8269a ); a8278a <=( A268 and A203 ); a8282a <=( (not A301) and (not A299) ); a8283a <=( (not A298) and a8282a ); a8284a <=( a8283a and a8278a ); a8287a <=( (not A167) and A170 ); a8291a <=( (not A200) and A199 ); a8292a <=( A166 and a8291a ); a8293a <=( a8292a and a8287a ); a8296a <=( A268 and A203 ); a8300a <=( (not A302) and (not A301) ); a8301a <=( (not A300) and a8300a ); a8302a <=( a8301a and a8296a ); a8305a <=( (not A167) and A170 ); a8309a <=( (not A200) and A199 ); a8310a <=( A166 and a8309a ); a8311a <=( a8310a and a8305a ); a8314a <=( A268 and A203 ); a8318a <=( (not A301) and (not A299) ); a8319a <=( (not A298) and a8318a ); a8320a <=( a8319a and a8314a ); a8323a <=( (not A167) and A170 ); a8327a <=( (not A200) and (not A199) ); a8328a <=( A166 and a8327a ); a8329a <=( a8328a and a8323a ); a8332a <=( (not A267) and (not A202) ); a8336a <=( A301 and (not A269) ); a8337a <=( (not A268) and a8336a ); a8338a <=( a8337a and a8332a ); a8341a <=( (not A167) and A170 ); a8345a <=( (not A200) and (not A199) ); a8346a <=( A166 and a8345a ); a8347a <=( a8346a and a8341a ); a8350a <=( (not A265) and (not A202) ); a8354a <=( A301 and (not A268) ); a8355a <=( (not A266) and a8354a ); a8356a <=( a8355a and a8350a ); a8359a <=( (not A201) and A169 ); a8363a <=( (not A267) and (not A203) ); a8364a <=( (not A202) and a8363a ); a8365a <=( a8364a and a8359a ); a8368a <=( (not A269) and (not A268) ); a8372a <=( A302 and (not A299) ); a8373a <=( A298 and a8372a ); a8374a <=( a8373a and a8368a ); a8377a <=( (not A201) and A169 ); a8381a <=( (not A267) and (not A203) ); a8382a <=( (not A202) and a8381a ); a8383a <=( a8382a and a8377a ); a8386a <=( (not A269) and (not A268) ); a8390a <=( A302 and A299 ); a8391a <=( (not A298) and a8390a ); a8392a <=( a8391a and a8386a ); a8395a <=( (not A201) and A169 ); a8399a <=( A265 and (not A203) ); a8400a <=( (not A202) and a8399a ); a8401a <=( a8400a and a8395a ); a8404a <=( (not A267) and A266 ); a8408a <=( A300 and A299 ); a8409a <=( (not A268) and a8408a ); a8410a <=( a8409a and a8404a ); a8413a <=( (not A201) and A169 ); a8417a <=( A265 and (not A203) ); a8418a <=( (not A202) and a8417a ); a8419a <=( a8418a and a8413a ); a8422a <=( (not A267) and A266 ); a8426a <=( A300 and A298 ); a8427a <=( (not A268) and a8426a ); a8428a <=( a8427a and a8422a ); a8431a <=( (not A201) and A169 ); a8435a <=( (not A265) and (not A203) ); a8436a <=( (not A202) and a8435a ); a8437a <=( a8436a and a8431a ); a8440a <=( (not A268) and (not A266) ); a8444a <=( A302 and (not A299) ); a8445a <=( A298 and a8444a ); a8446a <=( a8445a and a8440a ); a8449a <=( (not A201) and A169 ); a8453a <=( (not A265) and (not A203) ); a8454a <=( (not A202) and a8453a ); a8455a <=( a8454a and a8449a ); a8458a <=( (not A268) and (not A266) ); a8462a <=( A302 and A299 ); a8463a <=( (not A298) and a8462a ); a8464a <=( a8463a and a8458a ); a8467a <=( A199 and A169 ); a8471a <=( A266 and (not A265) ); a8472a <=( A201 and a8471a ); a8473a <=( a8472a and a8467a ); a8476a <=( A298 and A269 ); a8480a <=( (not A301) and (not A300) ); a8481a <=( A299 and a8480a ); a8482a <=( a8481a and a8476a ); a8485a <=( A199 and A169 ); a8489a <=( (not A266) and A265 ); a8490a <=( A201 and a8489a ); a8491a <=( a8490a and a8485a ); a8494a <=( A298 and A269 ); a8498a <=( (not A301) and (not A300) ); a8499a <=( A299 and a8498a ); a8500a <=( a8499a and a8494a ); a8503a <=( A200 and A169 ); a8507a <=( A266 and (not A265) ); a8508a <=( A201 and a8507a ); a8509a <=( a8508a and a8503a ); a8512a <=( A298 and A269 ); a8516a <=( (not A301) and (not A300) ); a8517a <=( A299 and a8516a ); a8518a <=( a8517a and a8512a ); a8521a <=( A200 and A169 ); a8525a <=( (not A266) and A265 ); a8526a <=( A201 and a8525a ); a8527a <=( a8526a and a8521a ); a8530a <=( A298 and A269 ); a8534a <=( (not A301) and (not A300) ); a8535a <=( A299 and a8534a ); a8536a <=( a8535a and a8530a ); a8539a <=( A199 and A169 ); a8543a <=( (not A202) and (not A201) ); a8544a <=( A200 and a8543a ); a8545a <=( a8544a and a8539a ); a8548a <=( (not A268) and (not A267) ); a8552a <=( A300 and A299 ); a8553a <=( (not A269) and a8552a ); a8554a <=( a8553a and a8548a ); a8557a <=( A199 and A169 ); a8561a <=( (not A202) and (not A201) ); a8562a <=( A200 and a8561a ); a8563a <=( a8562a and a8557a ); a8566a <=( (not A268) and (not A267) ); a8570a <=( A300 and A298 ); a8571a <=( (not A269) and a8570a ); a8572a <=( a8571a and a8566a ); a8575a <=( A199 and A169 ); a8579a <=( (not A202) and (not A201) ); a8580a <=( A200 and a8579a ); a8581a <=( a8580a and a8575a ); a8584a <=( A266 and A265 ); a8588a <=( A301 and (not A268) ); a8589a <=( (not A267) and a8588a ); a8590a <=( a8589a and a8584a ); a8593a <=( A199 and A169 ); a8597a <=( (not A202) and (not A201) ); a8598a <=( A200 and a8597a ); a8599a <=( a8598a and a8593a ); a8602a <=( (not A266) and (not A265) ); a8606a <=( A300 and A299 ); a8607a <=( (not A268) and a8606a ); a8608a <=( a8607a and a8602a ); a8611a <=( A199 and A169 ); a8615a <=( (not A202) and (not A201) ); a8616a <=( A200 and a8615a ); a8617a <=( a8616a and a8611a ); a8620a <=( (not A266) and (not A265) ); a8624a <=( A300 and A298 ); a8625a <=( (not A268) and a8624a ); a8626a <=( a8625a and a8620a ); a8629a <=( (not A199) and A169 ); a8633a <=( A265 and A203 ); a8634a <=( A200 and a8633a ); a8635a <=( a8634a and a8629a ); a8638a <=( A298 and A267 ); a8642a <=( (not A301) and (not A300) ); a8643a <=( A299 and a8642a ); a8644a <=( a8643a and a8638a ); a8647a <=( (not A199) and A169 ); a8651a <=( A266 and A203 ); a8652a <=( A200 and a8651a ); a8653a <=( a8652a and a8647a ); a8656a <=( A298 and A267 ); a8660a <=( (not A301) and (not A300) ); a8661a <=( A299 and a8660a ); a8662a <=( a8661a and a8656a ); a8665a <=( (not A199) and A169 ); a8669a <=( (not A265) and A203 ); a8670a <=( A200 and a8669a ); a8671a <=( a8670a and a8665a ); a8674a <=( A269 and A266 ); a8678a <=( (not A302) and (not A301) ); a8679a <=( (not A300) and a8678a ); a8680a <=( a8679a and a8674a ); a8683a <=( (not A199) and A169 ); a8687a <=( (not A265) and A203 ); a8688a <=( A200 and a8687a ); a8689a <=( a8688a and a8683a ); a8692a <=( A269 and A266 ); a8696a <=( (not A301) and (not A299) ); a8697a <=( (not A298) and a8696a ); a8698a <=( a8697a and a8692a ); a8701a <=( (not A199) and A169 ); a8705a <=( A265 and A203 ); a8706a <=( A200 and a8705a ); a8707a <=( a8706a and a8701a ); a8710a <=( A269 and (not A266) ); a8714a <=( (not A302) and (not A301) ); a8715a <=( (not A300) and a8714a ); a8716a <=( a8715a and a8710a ); a8719a <=( (not A199) and A169 ); a8723a <=( A265 and A203 ); a8724a <=( A200 and a8723a ); a8725a <=( a8724a and a8719a ); a8728a <=( A269 and (not A266) ); a8732a <=( (not A301) and (not A299) ); a8733a <=( (not A298) and a8732a ); a8734a <=( a8733a and a8728a ); a8737a <=( A199 and A169 ); a8741a <=( A265 and A203 ); a8742a <=( (not A200) and a8741a ); a8743a <=( a8742a and a8737a ); a8746a <=( A298 and A267 ); a8750a <=( (not A301) and (not A300) ); a8751a <=( A299 and a8750a ); a8752a <=( a8751a and a8746a ); a8755a <=( A199 and A169 ); a8759a <=( A266 and A203 ); a8760a <=( (not A200) and a8759a ); a8761a <=( a8760a and a8755a ); a8764a <=( A298 and A267 ); a8768a <=( (not A301) and (not A300) ); a8769a <=( A299 and a8768a ); a8770a <=( a8769a and a8764a ); a8773a <=( A199 and A169 ); a8777a <=( (not A265) and A203 ); a8778a <=( (not A200) and a8777a ); a8779a <=( a8778a and a8773a ); a8782a <=( A269 and A266 ); a8786a <=( (not A302) and (not A301) ); a8787a <=( (not A300) and a8786a ); a8788a <=( a8787a and a8782a ); a8791a <=( A199 and A169 ); a8795a <=( (not A265) and A203 ); a8796a <=( (not A200) and a8795a ); a8797a <=( a8796a and a8791a ); a8800a <=( A269 and A266 ); a8804a <=( (not A301) and (not A299) ); a8805a <=( (not A298) and a8804a ); a8806a <=( a8805a and a8800a ); a8809a <=( A199 and A169 ); a8813a <=( A265 and A203 ); a8814a <=( (not A200) and a8813a ); a8815a <=( a8814a and a8809a ); a8818a <=( A269 and (not A266) ); a8822a <=( (not A302) and (not A301) ); a8823a <=( (not A300) and a8822a ); a8824a <=( a8823a and a8818a ); a8827a <=( A199 and A169 ); a8831a <=( A265 and A203 ); a8832a <=( (not A200) and a8831a ); a8833a <=( a8832a and a8827a ); a8836a <=( A269 and (not A266) ); a8840a <=( (not A301) and (not A299) ); a8841a <=( (not A298) and a8840a ); a8842a <=( a8841a and a8836a ); a8845a <=( (not A199) and A169 ); a8849a <=( (not A267) and (not A202) ); a8850a <=( (not A200) and a8849a ); a8851a <=( a8850a and a8845a ); a8854a <=( (not A269) and (not A268) ); a8858a <=( A302 and (not A299) ); a8859a <=( A298 and a8858a ); a8860a <=( a8859a and a8854a ); a8863a <=( (not A199) and A169 ); a8867a <=( (not A267) and (not A202) ); a8868a <=( (not A200) and a8867a ); a8869a <=( a8868a and a8863a ); a8872a <=( (not A269) and (not A268) ); a8876a <=( A302 and A299 ); a8877a <=( (not A298) and a8876a ); a8878a <=( a8877a and a8872a ); a8881a <=( (not A199) and A169 ); a8885a <=( A265 and (not A202) ); a8886a <=( (not A200) and a8885a ); a8887a <=( a8886a and a8881a ); a8890a <=( (not A267) and A266 ); a8894a <=( A300 and A299 ); a8895a <=( (not A268) and a8894a ); a8896a <=( a8895a and a8890a ); a8899a <=( (not A199) and A169 ); a8903a <=( A265 and (not A202) ); a8904a <=( (not A200) and a8903a ); a8905a <=( a8904a and a8899a ); a8908a <=( (not A267) and A266 ); a8912a <=( A300 and A298 ); a8913a <=( (not A268) and a8912a ); a8914a <=( a8913a and a8908a ); a8917a <=( (not A199) and A169 ); a8921a <=( (not A265) and (not A202) ); a8922a <=( (not A200) and a8921a ); a8923a <=( a8922a and a8917a ); a8926a <=( (not A268) and (not A266) ); a8930a <=( A302 and (not A299) ); a8931a <=( A298 and a8930a ); a8932a <=( a8931a and a8926a ); a8935a <=( (not A199) and A169 ); a8939a <=( (not A265) and (not A202) ); a8940a <=( (not A200) and a8939a ); a8941a <=( a8940a and a8935a ); a8944a <=( (not A268) and (not A266) ); a8948a <=( A302 and A299 ); a8949a <=( (not A298) and a8948a ); a8950a <=( a8949a and a8944a ); a8953a <=( (not A167) and (not A169) ); a8957a <=( (not A267) and A202 ); a8958a <=( (not A166) and a8957a ); a8959a <=( a8958a and a8953a ); a8962a <=( (not A269) and (not A268) ); a8966a <=( A302 and (not A299) ); a8967a <=( A298 and a8966a ); a8968a <=( a8967a and a8962a ); a8971a <=( (not A167) and (not A169) ); a8975a <=( (not A267) and A202 ); a8976a <=( (not A166) and a8975a ); a8977a <=( a8976a and a8971a ); a8980a <=( (not A269) and (not A268) ); a8984a <=( A302 and A299 ); a8985a <=( (not A298) and a8984a ); a8986a <=( a8985a and a8980a ); a8989a <=( (not A167) and (not A169) ); a8993a <=( A265 and A202 ); a8994a <=( (not A166) and a8993a ); a8995a <=( a8994a and a8989a ); a8998a <=( (not A267) and A266 ); a9002a <=( A300 and A299 ); a9003a <=( (not A268) and a9002a ); a9004a <=( a9003a and a8998a ); a9007a <=( (not A167) and (not A169) ); a9011a <=( A265 and A202 ); a9012a <=( (not A166) and a9011a ); a9013a <=( a9012a and a9007a ); a9016a <=( (not A267) and A266 ); a9020a <=( A300 and A298 ); a9021a <=( (not A268) and a9020a ); a9022a <=( a9021a and a9016a ); a9025a <=( (not A167) and (not A169) ); a9029a <=( (not A265) and A202 ); a9030a <=( (not A166) and a9029a ); a9031a <=( a9030a and a9025a ); a9034a <=( (not A268) and (not A266) ); a9038a <=( A302 and (not A299) ); a9039a <=( A298 and a9038a ); a9040a <=( a9039a and a9034a ); a9043a <=( (not A167) and (not A169) ); a9047a <=( (not A265) and A202 ); a9048a <=( (not A166) and a9047a ); a9049a <=( a9048a and a9043a ); a9052a <=( (not A268) and (not A266) ); a9056a <=( A302 and A299 ); a9057a <=( (not A298) and a9056a ); a9058a <=( a9057a and a9052a ); a9061a <=( (not A167) and (not A169) ); a9065a <=( (not A202) and (not A201) ); a9066a <=( (not A166) and a9065a ); a9067a <=( a9066a and a9061a ); a9070a <=( A268 and (not A203) ); a9074a <=( (not A302) and (not A301) ); a9075a <=( (not A300) and a9074a ); a9076a <=( a9075a and a9070a ); a9079a <=( (not A167) and (not A169) ); a9083a <=( (not A202) and (not A201) ); a9084a <=( (not A166) and a9083a ); a9085a <=( a9084a and a9079a ); a9088a <=( A268 and (not A203) ); a9092a <=( (not A301) and (not A299) ); a9093a <=( (not A298) and a9092a ); a9094a <=( a9093a and a9088a ); a9097a <=( (not A167) and (not A169) ); a9101a <=( A201 and A199 ); a9102a <=( (not A166) and a9101a ); a9103a <=( a9102a and a9097a ); a9106a <=( (not A268) and (not A267) ); a9110a <=( A300 and A299 ); a9111a <=( (not A269) and a9110a ); a9112a <=( a9111a and a9106a ); a9115a <=( (not A167) and (not A169) ); a9119a <=( A201 and A199 ); a9120a <=( (not A166) and a9119a ); a9121a <=( a9120a and a9115a ); a9124a <=( (not A268) and (not A267) ); a9128a <=( A300 and A298 ); a9129a <=( (not A269) and a9128a ); a9130a <=( a9129a and a9124a ); a9133a <=( (not A167) and (not A169) ); a9137a <=( A201 and A199 ); a9138a <=( (not A166) and a9137a ); a9139a <=( a9138a and a9133a ); a9142a <=( A266 and A265 ); a9146a <=( A301 and (not A268) ); a9147a <=( (not A267) and a9146a ); a9148a <=( a9147a and a9142a ); a9151a <=( (not A167) and (not A169) ); a9155a <=( A201 and A199 ); a9156a <=( (not A166) and a9155a ); a9157a <=( a9156a and a9151a ); a9160a <=( (not A266) and (not A265) ); a9164a <=( A300 and A299 ); a9165a <=( (not A268) and a9164a ); a9166a <=( a9165a and a9160a ); a9169a <=( (not A167) and (not A169) ); a9173a <=( A201 and A199 ); a9174a <=( (not A166) and a9173a ); a9175a <=( a9174a and a9169a ); a9178a <=( (not A266) and (not A265) ); a9182a <=( A300 and A298 ); a9183a <=( (not A268) and a9182a ); a9184a <=( a9183a and a9178a ); a9187a <=( (not A167) and (not A169) ); a9191a <=( A201 and A200 ); a9192a <=( (not A166) and a9191a ); a9193a <=( a9192a and a9187a ); a9196a <=( (not A268) and (not A267) ); a9200a <=( A300 and A299 ); a9201a <=( (not A269) and a9200a ); a9202a <=( a9201a and a9196a ); a9205a <=( (not A167) and (not A169) ); a9209a <=( A201 and A200 ); a9210a <=( (not A166) and a9209a ); a9211a <=( a9210a and a9205a ); a9214a <=( (not A268) and (not A267) ); a9218a <=( A300 and A298 ); a9219a <=( (not A269) and a9218a ); a9220a <=( a9219a and a9214a ); a9223a <=( (not A167) and (not A169) ); a9227a <=( A201 and A200 ); a9228a <=( (not A166) and a9227a ); a9229a <=( a9228a and a9223a ); a9232a <=( A266 and A265 ); a9236a <=( A301 and (not A268) ); a9237a <=( (not A267) and a9236a ); a9238a <=( a9237a and a9232a ); a9241a <=( (not A167) and (not A169) ); a9245a <=( A201 and A200 ); a9246a <=( (not A166) and a9245a ); a9247a <=( a9246a and a9241a ); a9250a <=( (not A266) and (not A265) ); a9254a <=( A300 and A299 ); a9255a <=( (not A268) and a9254a ); a9256a <=( a9255a and a9250a ); a9259a <=( (not A167) and (not A169) ); a9263a <=( A201 and A200 ); a9264a <=( (not A166) and a9263a ); a9265a <=( a9264a and a9259a ); a9268a <=( (not A266) and (not A265) ); a9272a <=( A300 and A298 ); a9273a <=( (not A268) and a9272a ); a9274a <=( a9273a and a9268a ); a9277a <=( (not A167) and (not A169) ); a9281a <=( A200 and (not A199) ); a9282a <=( (not A166) and a9281a ); a9283a <=( a9282a and a9277a ); a9286a <=( (not A267) and A203 ); a9290a <=( A301 and (not A269) ); a9291a <=( (not A268) and a9290a ); a9292a <=( a9291a and a9286a ); a9295a <=( (not A167) and (not A169) ); a9299a <=( A200 and (not A199) ); a9300a <=( (not A166) and a9299a ); a9301a <=( a9300a and a9295a ); a9304a <=( (not A265) and A203 ); a9308a <=( A301 and (not A268) ); a9309a <=( (not A266) and a9308a ); a9310a <=( a9309a and a9304a ); a9313a <=( (not A167) and (not A169) ); a9317a <=( (not A200) and A199 ); a9318a <=( (not A166) and a9317a ); a9319a <=( a9318a and a9313a ); a9322a <=( (not A267) and A203 ); a9326a <=( A301 and (not A269) ); a9327a <=( (not A268) and a9326a ); a9328a <=( a9327a and a9322a ); a9331a <=( (not A167) and (not A169) ); a9335a <=( (not A200) and A199 ); a9336a <=( (not A166) and a9335a ); a9337a <=( a9336a and a9331a ); a9340a <=( (not A265) and A203 ); a9344a <=( A301 and (not A268) ); a9345a <=( (not A266) and a9344a ); a9346a <=( a9345a and a9340a ); a9349a <=( (not A167) and (not A169) ); a9353a <=( (not A200) and (not A199) ); a9354a <=( (not A166) and a9353a ); a9355a <=( a9354a and a9349a ); a9358a <=( A268 and (not A202) ); a9362a <=( (not A302) and (not A301) ); a9363a <=( (not A300) and a9362a ); a9364a <=( a9363a and a9358a ); a9367a <=( (not A167) and (not A169) ); a9371a <=( (not A200) and (not A199) ); a9372a <=( (not A166) and a9371a ); a9373a <=( a9372a and a9367a ); a9376a <=( A268 and (not A202) ); a9380a <=( (not A301) and (not A299) ); a9381a <=( (not A298) and a9380a ); a9382a <=( a9381a and a9376a ); a9385a <=( (not A168) and (not A169) ); a9389a <=( A202 and A166 ); a9390a <=( A167 and a9389a ); a9391a <=( a9390a and a9385a ); a9394a <=( (not A268) and (not A267) ); a9398a <=( A300 and A299 ); a9399a <=( (not A269) and a9398a ); a9400a <=( a9399a and a9394a ); a9403a <=( (not A168) and (not A169) ); a9407a <=( A202 and A166 ); a9408a <=( A167 and a9407a ); a9409a <=( a9408a and a9403a ); a9412a <=( (not A268) and (not A267) ); a9416a <=( A300 and A298 ); a9417a <=( (not A269) and a9416a ); a9418a <=( a9417a and a9412a ); a9421a <=( (not A168) and (not A169) ); a9425a <=( A202 and A166 ); a9426a <=( A167 and a9425a ); a9427a <=( a9426a and a9421a ); a9430a <=( A266 and A265 ); a9434a <=( A301 and (not A268) ); a9435a <=( (not A267) and a9434a ); a9436a <=( a9435a and a9430a ); a9439a <=( (not A168) and (not A169) ); a9443a <=( A202 and A166 ); a9444a <=( A167 and a9443a ); a9445a <=( a9444a and a9439a ); a9448a <=( (not A266) and (not A265) ); a9452a <=( A300 and A299 ); a9453a <=( (not A268) and a9452a ); a9454a <=( a9453a and a9448a ); a9457a <=( (not A168) and (not A169) ); a9461a <=( A202 and A166 ); a9462a <=( A167 and a9461a ); a9463a <=( a9462a and a9457a ); a9466a <=( (not A266) and (not A265) ); a9470a <=( A300 and A298 ); a9471a <=( (not A268) and a9470a ); a9472a <=( a9471a and a9466a ); a9475a <=( (not A168) and (not A169) ); a9479a <=( A199 and A166 ); a9480a <=( A167 and a9479a ); a9481a <=( a9480a and a9475a ); a9484a <=( (not A267) and A201 ); a9488a <=( A301 and (not A269) ); a9489a <=( (not A268) and a9488a ); a9490a <=( a9489a and a9484a ); a9493a <=( (not A168) and (not A169) ); a9497a <=( A199 and A166 ); a9498a <=( A167 and a9497a ); a9499a <=( a9498a and a9493a ); a9502a <=( (not A265) and A201 ); a9506a <=( A301 and (not A268) ); a9507a <=( (not A266) and a9506a ); a9508a <=( a9507a and a9502a ); a9511a <=( (not A168) and (not A169) ); a9515a <=( A200 and A166 ); a9516a <=( A167 and a9515a ); a9517a <=( a9516a and a9511a ); a9520a <=( (not A267) and A201 ); a9524a <=( A301 and (not A269) ); a9525a <=( (not A268) and a9524a ); a9526a <=( a9525a and a9520a ); a9529a <=( (not A168) and (not A169) ); a9533a <=( A200 and A166 ); a9534a <=( A167 and a9533a ); a9535a <=( a9534a and a9529a ); a9538a <=( (not A265) and A201 ); a9542a <=( A301 and (not A268) ); a9543a <=( (not A266) and a9542a ); a9544a <=( a9543a and a9538a ); a9547a <=( (not A169) and (not A170) ); a9551a <=( (not A267) and A202 ); a9552a <=( (not A168) and a9551a ); a9553a <=( a9552a and a9547a ); a9556a <=( (not A269) and (not A268) ); a9560a <=( A302 and (not A299) ); a9561a <=( A298 and a9560a ); a9562a <=( a9561a and a9556a ); a9565a <=( (not A169) and (not A170) ); a9569a <=( (not A267) and A202 ); a9570a <=( (not A168) and a9569a ); a9571a <=( a9570a and a9565a ); a9574a <=( (not A269) and (not A268) ); a9578a <=( A302 and A299 ); a9579a <=( (not A298) and a9578a ); a9580a <=( a9579a and a9574a ); a9583a <=( (not A169) and (not A170) ); a9587a <=( A265 and A202 ); a9588a <=( (not A168) and a9587a ); a9589a <=( a9588a and a9583a ); a9592a <=( (not A267) and A266 ); a9596a <=( A300 and A299 ); a9597a <=( (not A268) and a9596a ); a9598a <=( a9597a and a9592a ); a9601a <=( (not A169) and (not A170) ); a9605a <=( A265 and A202 ); a9606a <=( (not A168) and a9605a ); a9607a <=( a9606a and a9601a ); a9610a <=( (not A267) and A266 ); a9614a <=( A300 and A298 ); a9615a <=( (not A268) and a9614a ); a9616a <=( a9615a and a9610a ); a9619a <=( (not A169) and (not A170) ); a9623a <=( (not A265) and A202 ); a9624a <=( (not A168) and a9623a ); a9625a <=( a9624a and a9619a ); a9628a <=( (not A268) and (not A266) ); a9632a <=( A302 and (not A299) ); a9633a <=( A298 and a9632a ); a9634a <=( a9633a and a9628a ); a9637a <=( (not A169) and (not A170) ); a9641a <=( (not A265) and A202 ); a9642a <=( (not A168) and a9641a ); a9643a <=( a9642a and a9637a ); a9646a <=( (not A268) and (not A266) ); a9650a <=( A302 and A299 ); a9651a <=( (not A298) and a9650a ); a9652a <=( a9651a and a9646a ); a9655a <=( (not A169) and (not A170) ); a9659a <=( (not A202) and (not A201) ); a9660a <=( (not A168) and a9659a ); a9661a <=( a9660a and a9655a ); a9664a <=( A268 and (not A203) ); a9668a <=( (not A302) and (not A301) ); a9669a <=( (not A300) and a9668a ); a9670a <=( a9669a and a9664a ); a9673a <=( (not A169) and (not A170) ); a9677a <=( (not A202) and (not A201) ); a9678a <=( (not A168) and a9677a ); a9679a <=( a9678a and a9673a ); a9682a <=( A268 and (not A203) ); a9686a <=( (not A301) and (not A299) ); a9687a <=( (not A298) and a9686a ); a9688a <=( a9687a and a9682a ); a9691a <=( (not A169) and (not A170) ); a9695a <=( A201 and A199 ); a9696a <=( (not A168) and a9695a ); a9697a <=( a9696a and a9691a ); a9700a <=( (not A268) and (not A267) ); a9704a <=( A300 and A299 ); a9705a <=( (not A269) and a9704a ); a9706a <=( a9705a and a9700a ); a9709a <=( (not A169) and (not A170) ); a9713a <=( A201 and A199 ); a9714a <=( (not A168) and a9713a ); a9715a <=( a9714a and a9709a ); a9718a <=( (not A268) and (not A267) ); a9722a <=( A300 and A298 ); a9723a <=( (not A269) and a9722a ); a9724a <=( a9723a and a9718a ); a9727a <=( (not A169) and (not A170) ); a9731a <=( A201 and A199 ); a9732a <=( (not A168) and a9731a ); a9733a <=( a9732a and a9727a ); a9736a <=( A266 and A265 ); a9740a <=( A301 and (not A268) ); a9741a <=( (not A267) and a9740a ); a9742a <=( a9741a and a9736a ); a9745a <=( (not A169) and (not A170) ); a9749a <=( A201 and A199 ); a9750a <=( (not A168) and a9749a ); a9751a <=( a9750a and a9745a ); a9754a <=( (not A266) and (not A265) ); a9758a <=( A300 and A299 ); a9759a <=( (not A268) and a9758a ); a9760a <=( a9759a and a9754a ); a9763a <=( (not A169) and (not A170) ); a9767a <=( A201 and A199 ); a9768a <=( (not A168) and a9767a ); a9769a <=( a9768a and a9763a ); a9772a <=( (not A266) and (not A265) ); a9776a <=( A300 and A298 ); a9777a <=( (not A268) and a9776a ); a9778a <=( a9777a and a9772a ); a9781a <=( (not A169) and (not A170) ); a9785a <=( A201 and A200 ); a9786a <=( (not A168) and a9785a ); a9787a <=( a9786a and a9781a ); a9790a <=( (not A268) and (not A267) ); a9794a <=( A300 and A299 ); a9795a <=( (not A269) and a9794a ); a9796a <=( a9795a and a9790a ); a9799a <=( (not A169) and (not A170) ); a9803a <=( A201 and A200 ); a9804a <=( (not A168) and a9803a ); a9805a <=( a9804a and a9799a ); a9808a <=( (not A268) and (not A267) ); a9812a <=( A300 and A298 ); a9813a <=( (not A269) and a9812a ); a9814a <=( a9813a and a9808a ); a9817a <=( (not A169) and (not A170) ); a9821a <=( A201 and A200 ); a9822a <=( (not A168) and a9821a ); a9823a <=( a9822a and a9817a ); a9826a <=( A266 and A265 ); a9830a <=( A301 and (not A268) ); a9831a <=( (not A267) and a9830a ); a9832a <=( a9831a and a9826a ); a9835a <=( (not A169) and (not A170) ); a9839a <=( A201 and A200 ); a9840a <=( (not A168) and a9839a ); a9841a <=( a9840a and a9835a ); a9844a <=( (not A266) and (not A265) ); a9848a <=( A300 and A299 ); a9849a <=( (not A268) and a9848a ); a9850a <=( a9849a and a9844a ); a9853a <=( (not A169) and (not A170) ); a9857a <=( A201 and A200 ); a9858a <=( (not A168) and a9857a ); a9859a <=( a9858a and a9853a ); a9862a <=( (not A266) and (not A265) ); a9866a <=( A300 and A298 ); a9867a <=( (not A268) and a9866a ); a9868a <=( a9867a and a9862a ); a9871a <=( (not A169) and (not A170) ); a9875a <=( A200 and (not A199) ); a9876a <=( (not A168) and a9875a ); a9877a <=( a9876a and a9871a ); a9880a <=( (not A267) and A203 ); a9884a <=( A301 and (not A269) ); a9885a <=( (not A268) and a9884a ); a9886a <=( a9885a and a9880a ); a9889a <=( (not A169) and (not A170) ); a9893a <=( A200 and (not A199) ); a9894a <=( (not A168) and a9893a ); a9895a <=( a9894a and a9889a ); a9898a <=( (not A265) and A203 ); a9902a <=( A301 and (not A268) ); a9903a <=( (not A266) and a9902a ); a9904a <=( a9903a and a9898a ); a9907a <=( (not A169) and (not A170) ); a9911a <=( (not A200) and A199 ); a9912a <=( (not A168) and a9911a ); a9913a <=( a9912a and a9907a ); a9916a <=( (not A267) and A203 ); a9920a <=( A301 and (not A269) ); a9921a <=( (not A268) and a9920a ); a9922a <=( a9921a and a9916a ); a9925a <=( (not A169) and (not A170) ); a9929a <=( (not A200) and A199 ); a9930a <=( (not A168) and a9929a ); a9931a <=( a9930a and a9925a ); a9934a <=( (not A265) and A203 ); a9938a <=( A301 and (not A268) ); a9939a <=( (not A266) and a9938a ); a9940a <=( a9939a and a9934a ); a9943a <=( (not A169) and (not A170) ); a9947a <=( (not A200) and (not A199) ); a9948a <=( (not A168) and a9947a ); a9949a <=( a9948a and a9943a ); a9952a <=( A268 and (not A202) ); a9956a <=( (not A302) and (not A301) ); a9957a <=( (not A300) and a9956a ); a9958a <=( a9957a and a9952a ); a9961a <=( (not A169) and (not A170) ); a9965a <=( (not A200) and (not A199) ); a9966a <=( (not A168) and a9965a ); a9967a <=( a9966a and a9961a ); a9970a <=( A268 and (not A202) ); a9974a <=( (not A301) and (not A299) ); a9975a <=( (not A298) and a9974a ); a9976a <=( a9975a and a9970a ); a9979a <=( A166 and A168 ); a9983a <=( (not A203) and (not A202) ); a9984a <=( (not A201) and a9983a ); a9985a <=( a9984a and a9979a ); a9989a <=( (not A269) and (not A268) ); a9990a <=( (not A267) and a9989a ); a9994a <=( A302 and (not A299) ); a9995a <=( A298 and a9994a ); a9996a <=( a9995a and a9990a ); a9999a <=( A166 and A168 ); a10003a <=( (not A203) and (not A202) ); a10004a <=( (not A201) and a10003a ); a10005a <=( a10004a and a9999a ); a10009a <=( (not A269) and (not A268) ); a10010a <=( (not A267) and a10009a ); a10014a <=( A302 and A299 ); a10015a <=( (not A298) and a10014a ); a10016a <=( a10015a and a10010a ); a10019a <=( A166 and A168 ); a10023a <=( (not A203) and (not A202) ); a10024a <=( (not A201) and a10023a ); a10025a <=( a10024a and a10019a ); a10029a <=( (not A267) and A266 ); a10030a <=( A265 and a10029a ); a10034a <=( A300 and A299 ); a10035a <=( (not A268) and a10034a ); a10036a <=( a10035a and a10030a ); a10039a <=( A166 and A168 ); a10043a <=( (not A203) and (not A202) ); a10044a <=( (not A201) and a10043a ); a10045a <=( a10044a and a10039a ); a10049a <=( (not A267) and A266 ); a10050a <=( A265 and a10049a ); a10054a <=( A300 and A298 ); a10055a <=( (not A268) and a10054a ); a10056a <=( a10055a and a10050a ); a10059a <=( A166 and A168 ); a10063a <=( (not A203) and (not A202) ); a10064a <=( (not A201) and a10063a ); a10065a <=( a10064a and a10059a ); a10069a <=( (not A268) and (not A266) ); a10070a <=( (not A265) and a10069a ); a10074a <=( A302 and (not A299) ); a10075a <=( A298 and a10074a ); a10076a <=( a10075a and a10070a ); a10079a <=( A166 and A168 ); a10083a <=( (not A203) and (not A202) ); a10084a <=( (not A201) and a10083a ); a10085a <=( a10084a and a10079a ); a10089a <=( (not A268) and (not A266) ); a10090a <=( (not A265) and a10089a ); a10094a <=( A302 and A299 ); a10095a <=( (not A298) and a10094a ); a10096a <=( a10095a and a10090a ); a10099a <=( A166 and A168 ); a10103a <=( (not A265) and A201 ); a10104a <=( A199 and a10103a ); a10105a <=( a10104a and a10099a ); a10109a <=( A298 and A269 ); a10110a <=( A266 and a10109a ); a10114a <=( (not A301) and (not A300) ); a10115a <=( A299 and a10114a ); a10116a <=( a10115a and a10110a ); a10119a <=( A166 and A168 ); a10123a <=( A265 and A201 ); a10124a <=( A199 and a10123a ); a10125a <=( a10124a and a10119a ); a10129a <=( A298 and A269 ); a10130a <=( (not A266) and a10129a ); a10134a <=( (not A301) and (not A300) ); a10135a <=( A299 and a10134a ); a10136a <=( a10135a and a10130a ); a10139a <=( A166 and A168 ); a10143a <=( (not A265) and A201 ); a10144a <=( A200 and a10143a ); a10145a <=( a10144a and a10139a ); a10149a <=( A298 and A269 ); a10150a <=( A266 and a10149a ); a10154a <=( (not A301) and (not A300) ); a10155a <=( A299 and a10154a ); a10156a <=( a10155a and a10150a ); a10159a <=( A166 and A168 ); a10163a <=( A265 and A201 ); a10164a <=( A200 and a10163a ); a10165a <=( a10164a and a10159a ); a10169a <=( A298 and A269 ); a10170a <=( (not A266) and a10169a ); a10174a <=( (not A301) and (not A300) ); a10175a <=( A299 and a10174a ); a10176a <=( a10175a and a10170a ); a10179a <=( A166 and A168 ); a10183a <=( (not A201) and A200 ); a10184a <=( A199 and a10183a ); a10185a <=( a10184a and a10179a ); a10189a <=( (not A268) and (not A267) ); a10190a <=( (not A202) and a10189a ); a10194a <=( A300 and A299 ); a10195a <=( (not A269) and a10194a ); a10196a <=( a10195a and a10190a ); a10199a <=( A166 and A168 ); a10203a <=( (not A201) and A200 ); a10204a <=( A199 and a10203a ); a10205a <=( a10204a and a10199a ); a10209a <=( (not A268) and (not A267) ); a10210a <=( (not A202) and a10209a ); a10214a <=( A300 and A298 ); a10215a <=( (not A269) and a10214a ); a10216a <=( a10215a and a10210a ); a10219a <=( A166 and A168 ); a10223a <=( (not A201) and A200 ); a10224a <=( A199 and a10223a ); a10225a <=( a10224a and a10219a ); a10229a <=( A266 and A265 ); a10230a <=( (not A202) and a10229a ); a10234a <=( A301 and (not A268) ); a10235a <=( (not A267) and a10234a ); a10236a <=( a10235a and a10230a ); a10239a <=( A166 and A168 ); a10243a <=( (not A201) and A200 ); a10244a <=( A199 and a10243a ); a10245a <=( a10244a and a10239a ); a10249a <=( (not A266) and (not A265) ); a10250a <=( (not A202) and a10249a ); a10254a <=( A300 and A299 ); a10255a <=( (not A268) and a10254a ); a10256a <=( a10255a and a10250a ); a10259a <=( A166 and A168 ); a10263a <=( (not A201) and A200 ); a10264a <=( A199 and a10263a ); a10265a <=( a10264a and a10259a ); a10269a <=( (not A266) and (not A265) ); a10270a <=( (not A202) and a10269a ); a10274a <=( A300 and A298 ); a10275a <=( (not A268) and a10274a ); a10276a <=( a10275a and a10270a ); a10279a <=( A166 and A168 ); a10283a <=( A203 and A200 ); a10284a <=( (not A199) and a10283a ); a10285a <=( a10284a and a10279a ); a10289a <=( A298 and A267 ); a10290a <=( A265 and a10289a ); a10294a <=( (not A301) and (not A300) ); a10295a <=( A299 and a10294a ); a10296a <=( a10295a and a10290a ); a10299a <=( A166 and A168 ); a10303a <=( A203 and A200 ); a10304a <=( (not A199) and a10303a ); a10305a <=( a10304a and a10299a ); a10309a <=( A298 and A267 ); a10310a <=( A266 and a10309a ); a10314a <=( (not A301) and (not A300) ); a10315a <=( A299 and a10314a ); a10316a <=( a10315a and a10310a ); a10319a <=( A166 and A168 ); a10323a <=( A203 and A200 ); a10324a <=( (not A199) and a10323a ); a10325a <=( a10324a and a10319a ); a10329a <=( A269 and A266 ); a10330a <=( (not A265) and a10329a ); a10334a <=( (not A302) and (not A301) ); a10335a <=( (not A300) and a10334a ); a10336a <=( a10335a and a10330a ); a10339a <=( A166 and A168 ); a10343a <=( A203 and A200 ); a10344a <=( (not A199) and a10343a ); a10345a <=( a10344a and a10339a ); a10349a <=( A269 and A266 ); a10350a <=( (not A265) and a10349a ); a10354a <=( (not A301) and (not A299) ); a10355a <=( (not A298) and a10354a ); a10356a <=( a10355a and a10350a ); a10359a <=( A166 and A168 ); a10363a <=( A203 and A200 ); a10364a <=( (not A199) and a10363a ); a10365a <=( a10364a and a10359a ); a10369a <=( A269 and (not A266) ); a10370a <=( A265 and a10369a ); a10374a <=( (not A302) and (not A301) ); a10375a <=( (not A300) and a10374a ); a10376a <=( a10375a and a10370a ); a10379a <=( A166 and A168 ); a10383a <=( A203 and A200 ); a10384a <=( (not A199) and a10383a ); a10385a <=( a10384a and a10379a ); a10389a <=( A269 and (not A266) ); a10390a <=( A265 and a10389a ); a10394a <=( (not A301) and (not A299) ); a10395a <=( (not A298) and a10394a ); a10396a <=( a10395a and a10390a ); a10399a <=( A166 and A168 ); a10403a <=( A203 and (not A200) ); a10404a <=( A199 and a10403a ); a10405a <=( a10404a and a10399a ); a10409a <=( A298 and A267 ); a10410a <=( A265 and a10409a ); a10414a <=( (not A301) and (not A300) ); a10415a <=( A299 and a10414a ); a10416a <=( a10415a and a10410a ); a10419a <=( A166 and A168 ); a10423a <=( A203 and (not A200) ); a10424a <=( A199 and a10423a ); a10425a <=( a10424a and a10419a ); a10429a <=( A298 and A267 ); a10430a <=( A266 and a10429a ); a10434a <=( (not A301) and (not A300) ); a10435a <=( A299 and a10434a ); a10436a <=( a10435a and a10430a ); a10439a <=( A166 and A168 ); a10443a <=( A203 and (not A200) ); a10444a <=( A199 and a10443a ); a10445a <=( a10444a and a10439a ); a10449a <=( A269 and A266 ); a10450a <=( (not A265) and a10449a ); a10454a <=( (not A302) and (not A301) ); a10455a <=( (not A300) and a10454a ); a10456a <=( a10455a and a10450a ); a10459a <=( A166 and A168 ); a10463a <=( A203 and (not A200) ); a10464a <=( A199 and a10463a ); a10465a <=( a10464a and a10459a ); a10469a <=( A269 and A266 ); a10470a <=( (not A265) and a10469a ); a10474a <=( (not A301) and (not A299) ); a10475a <=( (not A298) and a10474a ); a10476a <=( a10475a and a10470a ); a10479a <=( A166 and A168 ); a10483a <=( A203 and (not A200) ); a10484a <=( A199 and a10483a ); a10485a <=( a10484a and a10479a ); a10489a <=( A269 and (not A266) ); a10490a <=( A265 and a10489a ); a10494a <=( (not A302) and (not A301) ); a10495a <=( (not A300) and a10494a ); a10496a <=( a10495a and a10490a ); a10499a <=( A166 and A168 ); a10503a <=( A203 and (not A200) ); a10504a <=( A199 and a10503a ); a10505a <=( a10504a and a10499a ); a10509a <=( A269 and (not A266) ); a10510a <=( A265 and a10509a ); a10514a <=( (not A301) and (not A299) ); a10515a <=( (not A298) and a10514a ); a10516a <=( a10515a and a10510a ); a10519a <=( A166 and A168 ); a10523a <=( (not A202) and (not A200) ); a10524a <=( (not A199) and a10523a ); a10525a <=( a10524a and a10519a ); a10529a <=( (not A269) and (not A268) ); a10530a <=( (not A267) and a10529a ); a10534a <=( A302 and (not A299) ); a10535a <=( A298 and a10534a ); a10536a <=( a10535a and a10530a ); a10539a <=( A166 and A168 ); a10543a <=( (not A202) and (not A200) ); a10544a <=( (not A199) and a10543a ); a10545a <=( a10544a and a10539a ); a10549a <=( (not A269) and (not A268) ); a10550a <=( (not A267) and a10549a ); a10554a <=( A302 and A299 ); a10555a <=( (not A298) and a10554a ); a10556a <=( a10555a and a10550a ); a10559a <=( A166 and A168 ); a10563a <=( (not A202) and (not A200) ); a10564a <=( (not A199) and a10563a ); a10565a <=( a10564a and a10559a ); a10569a <=( (not A267) and A266 ); a10570a <=( A265 and a10569a ); a10574a <=( A300 and A299 ); a10575a <=( (not A268) and a10574a ); a10576a <=( a10575a and a10570a ); a10579a <=( A166 and A168 ); a10583a <=( (not A202) and (not A200) ); a10584a <=( (not A199) and a10583a ); a10585a <=( a10584a and a10579a ); a10589a <=( (not A267) and A266 ); a10590a <=( A265 and a10589a ); a10594a <=( A300 and A298 ); a10595a <=( (not A268) and a10594a ); a10596a <=( a10595a and a10590a ); a10599a <=( A166 and A168 ); a10603a <=( (not A202) and (not A200) ); a10604a <=( (not A199) and a10603a ); a10605a <=( a10604a and a10599a ); a10609a <=( (not A268) and (not A266) ); a10610a <=( (not A265) and a10609a ); a10614a <=( A302 and (not A299) ); a10615a <=( A298 and a10614a ); a10616a <=( a10615a and a10610a ); a10619a <=( A166 and A168 ); a10623a <=( (not A202) and (not A200) ); a10624a <=( (not A199) and a10623a ); a10625a <=( a10624a and a10619a ); a10629a <=( (not A268) and (not A266) ); a10630a <=( (not A265) and a10629a ); a10634a <=( A302 and A299 ); a10635a <=( (not A298) and a10634a ); a10636a <=( a10635a and a10630a ); a10639a <=( A167 and A168 ); a10643a <=( (not A203) and (not A202) ); a10644a <=( (not A201) and a10643a ); a10645a <=( a10644a and a10639a ); a10649a <=( (not A269) and (not A268) ); a10650a <=( (not A267) and a10649a ); a10654a <=( A302 and (not A299) ); a10655a <=( A298 and a10654a ); a10656a <=( a10655a and a10650a ); a10659a <=( A167 and A168 ); a10663a <=( (not A203) and (not A202) ); a10664a <=( (not A201) and a10663a ); a10665a <=( a10664a and a10659a ); a10669a <=( (not A269) and (not A268) ); a10670a <=( (not A267) and a10669a ); a10674a <=( A302 and A299 ); a10675a <=( (not A298) and a10674a ); a10676a <=( a10675a and a10670a ); a10679a <=( A167 and A168 ); a10683a <=( (not A203) and (not A202) ); a10684a <=( (not A201) and a10683a ); a10685a <=( a10684a and a10679a ); a10689a <=( (not A267) and A266 ); a10690a <=( A265 and a10689a ); a10694a <=( A300 and A299 ); a10695a <=( (not A268) and a10694a ); a10696a <=( a10695a and a10690a ); a10699a <=( A167 and A168 ); a10703a <=( (not A203) and (not A202) ); a10704a <=( (not A201) and a10703a ); a10705a <=( a10704a and a10699a ); a10709a <=( (not A267) and A266 ); a10710a <=( A265 and a10709a ); a10714a <=( A300 and A298 ); a10715a <=( (not A268) and a10714a ); a10716a <=( a10715a and a10710a ); a10719a <=( A167 and A168 ); a10723a <=( (not A203) and (not A202) ); a10724a <=( (not A201) and a10723a ); a10725a <=( a10724a and a10719a ); a10729a <=( (not A268) and (not A266) ); a10730a <=( (not A265) and a10729a ); a10734a <=( A302 and (not A299) ); a10735a <=( A298 and a10734a ); a10736a <=( a10735a and a10730a ); a10739a <=( A167 and A168 ); a10743a <=( (not A203) and (not A202) ); a10744a <=( (not A201) and a10743a ); a10745a <=( a10744a and a10739a ); a10749a <=( (not A268) and (not A266) ); a10750a <=( (not A265) and a10749a ); a10754a <=( A302 and A299 ); a10755a <=( (not A298) and a10754a ); a10756a <=( a10755a and a10750a ); a10759a <=( A167 and A168 ); a10763a <=( (not A265) and A201 ); a10764a <=( A199 and a10763a ); a10765a <=( a10764a and a10759a ); a10769a <=( A298 and A269 ); a10770a <=( A266 and a10769a ); a10774a <=( (not A301) and (not A300) ); a10775a <=( A299 and a10774a ); a10776a <=( a10775a and a10770a ); a10779a <=( A167 and A168 ); a10783a <=( A265 and A201 ); a10784a <=( A199 and a10783a ); a10785a <=( a10784a and a10779a ); a10789a <=( A298 and A269 ); a10790a <=( (not A266) and a10789a ); a10794a <=( (not A301) and (not A300) ); a10795a <=( A299 and a10794a ); a10796a <=( a10795a and a10790a ); a10799a <=( A167 and A168 ); a10803a <=( (not A265) and A201 ); a10804a <=( A200 and a10803a ); a10805a <=( a10804a and a10799a ); a10809a <=( A298 and A269 ); a10810a <=( A266 and a10809a ); a10814a <=( (not A301) and (not A300) ); a10815a <=( A299 and a10814a ); a10816a <=( a10815a and a10810a ); a10819a <=( A167 and A168 ); a10823a <=( A265 and A201 ); a10824a <=( A200 and a10823a ); a10825a <=( a10824a and a10819a ); a10829a <=( A298 and A269 ); a10830a <=( (not A266) and a10829a ); a10834a <=( (not A301) and (not A300) ); a10835a <=( A299 and a10834a ); a10836a <=( a10835a and a10830a ); a10839a <=( A167 and A168 ); a10843a <=( (not A201) and A200 ); a10844a <=( A199 and a10843a ); a10845a <=( a10844a and a10839a ); a10849a <=( (not A268) and (not A267) ); a10850a <=( (not A202) and a10849a ); a10854a <=( A300 and A299 ); a10855a <=( (not A269) and a10854a ); a10856a <=( a10855a and a10850a ); a10859a <=( A167 and A168 ); a10863a <=( (not A201) and A200 ); a10864a <=( A199 and a10863a ); a10865a <=( a10864a and a10859a ); a10869a <=( (not A268) and (not A267) ); a10870a <=( (not A202) and a10869a ); a10874a <=( A300 and A298 ); a10875a <=( (not A269) and a10874a ); a10876a <=( a10875a and a10870a ); a10879a <=( A167 and A168 ); a10883a <=( (not A201) and A200 ); a10884a <=( A199 and a10883a ); a10885a <=( a10884a and a10879a ); a10889a <=( A266 and A265 ); a10890a <=( (not A202) and a10889a ); a10894a <=( A301 and (not A268) ); a10895a <=( (not A267) and a10894a ); a10896a <=( a10895a and a10890a ); a10899a <=( A167 and A168 ); a10903a <=( (not A201) and A200 ); a10904a <=( A199 and a10903a ); a10905a <=( a10904a and a10899a ); a10909a <=( (not A266) and (not A265) ); a10910a <=( (not A202) and a10909a ); a10914a <=( A300 and A299 ); a10915a <=( (not A268) and a10914a ); a10916a <=( a10915a and a10910a ); a10919a <=( A167 and A168 ); a10923a <=( (not A201) and A200 ); a10924a <=( A199 and a10923a ); a10925a <=( a10924a and a10919a ); a10929a <=( (not A266) and (not A265) ); a10930a <=( (not A202) and a10929a ); a10934a <=( A300 and A298 ); a10935a <=( (not A268) and a10934a ); a10936a <=( a10935a and a10930a ); a10939a <=( A167 and A168 ); a10943a <=( A203 and A200 ); a10944a <=( (not A199) and a10943a ); a10945a <=( a10944a and a10939a ); a10949a <=( A298 and A267 ); a10950a <=( A265 and a10949a ); a10954a <=( (not A301) and (not A300) ); a10955a <=( A299 and a10954a ); a10956a <=( a10955a and a10950a ); a10959a <=( A167 and A168 ); a10963a <=( A203 and A200 ); a10964a <=( (not A199) and a10963a ); a10965a <=( a10964a and a10959a ); a10969a <=( A298 and A267 ); a10970a <=( A266 and a10969a ); a10974a <=( (not A301) and (not A300) ); a10975a <=( A299 and a10974a ); a10976a <=( a10975a and a10970a ); a10979a <=( A167 and A168 ); a10983a <=( A203 and A200 ); a10984a <=( (not A199) and a10983a ); a10985a <=( a10984a and a10979a ); a10989a <=( A269 and A266 ); a10990a <=( (not A265) and a10989a ); a10994a <=( (not A302) and (not A301) ); a10995a <=( (not A300) and a10994a ); a10996a <=( a10995a and a10990a ); a10999a <=( A167 and A168 ); a11003a <=( A203 and A200 ); a11004a <=( (not A199) and a11003a ); a11005a <=( a11004a and a10999a ); a11009a <=( A269 and A266 ); a11010a <=( (not A265) and a11009a ); a11014a <=( (not A301) and (not A299) ); a11015a <=( (not A298) and a11014a ); a11016a <=( a11015a and a11010a ); a11019a <=( A167 and A168 ); a11023a <=( A203 and A200 ); a11024a <=( (not A199) and a11023a ); a11025a <=( a11024a and a11019a ); a11029a <=( A269 and (not A266) ); a11030a <=( A265 and a11029a ); a11034a <=( (not A302) and (not A301) ); a11035a <=( (not A300) and a11034a ); a11036a <=( a11035a and a11030a ); a11039a <=( A167 and A168 ); a11043a <=( A203 and A200 ); a11044a <=( (not A199) and a11043a ); a11045a <=( a11044a and a11039a ); a11049a <=( A269 and (not A266) ); a11050a <=( A265 and a11049a ); a11054a <=( (not A301) and (not A299) ); a11055a <=( (not A298) and a11054a ); a11056a <=( a11055a and a11050a ); a11059a <=( A167 and A168 ); a11063a <=( A203 and (not A200) ); a11064a <=( A199 and a11063a ); a11065a <=( a11064a and a11059a ); a11069a <=( A298 and A267 ); a11070a <=( A265 and a11069a ); a11074a <=( (not A301) and (not A300) ); a11075a <=( A299 and a11074a ); a11076a <=( a11075a and a11070a ); a11079a <=( A167 and A168 ); a11083a <=( A203 and (not A200) ); a11084a <=( A199 and a11083a ); a11085a <=( a11084a and a11079a ); a11089a <=( A298 and A267 ); a11090a <=( A266 and a11089a ); a11094a <=( (not A301) and (not A300) ); a11095a <=( A299 and a11094a ); a11096a <=( a11095a and a11090a ); a11099a <=( A167 and A168 ); a11103a <=( A203 and (not A200) ); a11104a <=( A199 and a11103a ); a11105a <=( a11104a and a11099a ); a11109a <=( A269 and A266 ); a11110a <=( (not A265) and a11109a ); a11114a <=( (not A302) and (not A301) ); a11115a <=( (not A300) and a11114a ); a11116a <=( a11115a and a11110a ); a11119a <=( A167 and A168 ); a11123a <=( A203 and (not A200) ); a11124a <=( A199 and a11123a ); a11125a <=( a11124a and a11119a ); a11129a <=( A269 and A266 ); a11130a <=( (not A265) and a11129a ); a11134a <=( (not A301) and (not A299) ); a11135a <=( (not A298) and a11134a ); a11136a <=( a11135a and a11130a ); a11139a <=( A167 and A168 ); a11143a <=( A203 and (not A200) ); a11144a <=( A199 and a11143a ); a11145a <=( a11144a and a11139a ); a11149a <=( A269 and (not A266) ); a11150a <=( A265 and a11149a ); a11154a <=( (not A302) and (not A301) ); a11155a <=( (not A300) and a11154a ); a11156a <=( a11155a and a11150a ); a11159a <=( A167 and A168 ); a11163a <=( A203 and (not A200) ); a11164a <=( A199 and a11163a ); a11165a <=( a11164a and a11159a ); a11169a <=( A269 and (not A266) ); a11170a <=( A265 and a11169a ); a11174a <=( (not A301) and (not A299) ); a11175a <=( (not A298) and a11174a ); a11176a <=( a11175a and a11170a ); a11179a <=( A167 and A168 ); a11183a <=( (not A202) and (not A200) ); a11184a <=( (not A199) and a11183a ); a11185a <=( a11184a and a11179a ); a11189a <=( (not A269) and (not A268) ); a11190a <=( (not A267) and a11189a ); a11194a <=( A302 and (not A299) ); a11195a <=( A298 and a11194a ); a11196a <=( a11195a and a11190a ); a11199a <=( A167 and A168 ); a11203a <=( (not A202) and (not A200) ); a11204a <=( (not A199) and a11203a ); a11205a <=( a11204a and a11199a ); a11209a <=( (not A269) and (not A268) ); a11210a <=( (not A267) and a11209a ); a11214a <=( A302 and A299 ); a11215a <=( (not A298) and a11214a ); a11216a <=( a11215a and a11210a ); a11219a <=( A167 and A168 ); a11223a <=( (not A202) and (not A200) ); a11224a <=( (not A199) and a11223a ); a11225a <=( a11224a and a11219a ); a11229a <=( (not A267) and A266 ); a11230a <=( A265 and a11229a ); a11234a <=( A300 and A299 ); a11235a <=( (not A268) and a11234a ); a11236a <=( a11235a and a11230a ); a11239a <=( A167 and A168 ); a11243a <=( (not A202) and (not A200) ); a11244a <=( (not A199) and a11243a ); a11245a <=( a11244a and a11239a ); a11249a <=( (not A267) and A266 ); a11250a <=( A265 and a11249a ); a11254a <=( A300 and A298 ); a11255a <=( (not A268) and a11254a ); a11256a <=( a11255a and a11250a ); a11259a <=( A167 and A168 ); a11263a <=( (not A202) and (not A200) ); a11264a <=( (not A199) and a11263a ); a11265a <=( a11264a and a11259a ); a11269a <=( (not A268) and (not A266) ); a11270a <=( (not A265) and a11269a ); a11274a <=( A302 and (not A299) ); a11275a <=( A298 and a11274a ); a11276a <=( a11275a and a11270a ); a11279a <=( A167 and A168 ); a11283a <=( (not A202) and (not A200) ); a11284a <=( (not A199) and a11283a ); a11285a <=( a11284a and a11279a ); a11289a <=( (not A268) and (not A266) ); a11290a <=( (not A265) and a11289a ); a11294a <=( A302 and A299 ); a11295a <=( (not A298) and a11294a ); a11296a <=( a11295a and a11290a ); a11299a <=( A167 and A170 ); a11303a <=( (not A265) and A202 ); a11304a <=( (not A166) and a11303a ); a11305a <=( a11304a and a11299a ); a11309a <=( A298 and A269 ); a11310a <=( A266 and a11309a ); a11314a <=( (not A301) and (not A300) ); a11315a <=( A299 and a11314a ); a11316a <=( a11315a and a11310a ); a11319a <=( A167 and A170 ); a11323a <=( A265 and A202 ); a11324a <=( (not A166) and a11323a ); a11325a <=( a11324a and a11319a ); a11329a <=( A298 and A269 ); a11330a <=( (not A266) and a11329a ); a11334a <=( (not A301) and (not A300) ); a11335a <=( A299 and a11334a ); a11336a <=( a11335a and a11330a ); a11339a <=( A167 and A170 ); a11343a <=( (not A202) and (not A201) ); a11344a <=( (not A166) and a11343a ); a11345a <=( a11344a and a11339a ); a11349a <=( (not A268) and (not A267) ); a11350a <=( (not A203) and a11349a ); a11354a <=( A300 and A299 ); a11355a <=( (not A269) and a11354a ); a11356a <=( a11355a and a11350a ); a11359a <=( A167 and A170 ); a11363a <=( (not A202) and (not A201) ); a11364a <=( (not A166) and a11363a ); a11365a <=( a11364a and a11359a ); a11369a <=( (not A268) and (not A267) ); a11370a <=( (not A203) and a11369a ); a11374a <=( A300 and A298 ); a11375a <=( (not A269) and a11374a ); a11376a <=( a11375a and a11370a ); a11379a <=( A167 and A170 ); a11383a <=( (not A202) and (not A201) ); a11384a <=( (not A166) and a11383a ); a11385a <=( a11384a and a11379a ); a11389a <=( A266 and A265 ); a11390a <=( (not A203) and a11389a ); a11394a <=( A301 and (not A268) ); a11395a <=( (not A267) and a11394a ); a11396a <=( a11395a and a11390a ); a11399a <=( A167 and A170 ); a11403a <=( (not A202) and (not A201) ); a11404a <=( (not A166) and a11403a ); a11405a <=( a11404a and a11399a ); a11409a <=( (not A266) and (not A265) ); a11410a <=( (not A203) and a11409a ); a11414a <=( A300 and A299 ); a11415a <=( (not A268) and a11414a ); a11416a <=( a11415a and a11410a ); a11419a <=( A167 and A170 ); a11423a <=( (not A202) and (not A201) ); a11424a <=( (not A166) and a11423a ); a11425a <=( a11424a and a11419a ); a11429a <=( (not A266) and (not A265) ); a11430a <=( (not A203) and a11429a ); a11434a <=( A300 and A298 ); a11435a <=( (not A268) and a11434a ); a11436a <=( a11435a and a11430a ); a11439a <=( A167 and A170 ); a11443a <=( A201 and A199 ); a11444a <=( (not A166) and a11443a ); a11445a <=( a11444a and a11439a ); a11449a <=( A298 and A267 ); a11450a <=( A265 and a11449a ); a11454a <=( (not A301) and (not A300) ); a11455a <=( A299 and a11454a ); a11456a <=( a11455a and a11450a ); a11459a <=( A167 and A170 ); a11463a <=( A201 and A199 ); a11464a <=( (not A166) and a11463a ); a11465a <=( a11464a and a11459a ); a11469a <=( A298 and A267 ); a11470a <=( A266 and a11469a ); a11474a <=( (not A301) and (not A300) ); a11475a <=( A299 and a11474a ); a11476a <=( a11475a and a11470a ); a11479a <=( A167 and A170 ); a11483a <=( A201 and A199 ); a11484a <=( (not A166) and a11483a ); a11485a <=( a11484a and a11479a ); a11489a <=( A269 and A266 ); a11490a <=( (not A265) and a11489a ); a11494a <=( (not A302) and (not A301) ); a11495a <=( (not A300) and a11494a ); a11496a <=( a11495a and a11490a ); a11499a <=( A167 and A170 ); a11503a <=( A201 and A199 ); a11504a <=( (not A166) and a11503a ); a11505a <=( a11504a and a11499a ); a11509a <=( A269 and A266 ); a11510a <=( (not A265) and a11509a ); a11514a <=( (not A301) and (not A299) ); a11515a <=( (not A298) and a11514a ); a11516a <=( a11515a and a11510a ); a11519a <=( A167 and A170 ); a11523a <=( A201 and A199 ); a11524a <=( (not A166) and a11523a ); a11525a <=( a11524a and a11519a ); a11529a <=( A269 and (not A266) ); a11530a <=( A265 and a11529a ); a11534a <=( (not A302) and (not A301) ); a11535a <=( (not A300) and a11534a ); a11536a <=( a11535a and a11530a ); a11539a <=( A167 and A170 ); a11543a <=( A201 and A199 ); a11544a <=( (not A166) and a11543a ); a11545a <=( a11544a and a11539a ); a11549a <=( A269 and (not A266) ); a11550a <=( A265 and a11549a ); a11554a <=( (not A301) and (not A299) ); a11555a <=( (not A298) and a11554a ); a11556a <=( a11555a and a11550a ); a11559a <=( A167 and A170 ); a11563a <=( A201 and A200 ); a11564a <=( (not A166) and a11563a ); a11565a <=( a11564a and a11559a ); a11569a <=( A298 and A267 ); a11570a <=( A265 and a11569a ); a11574a <=( (not A301) and (not A300) ); a11575a <=( A299 and a11574a ); a11576a <=( a11575a and a11570a ); a11579a <=( A167 and A170 ); a11583a <=( A201 and A200 ); a11584a <=( (not A166) and a11583a ); a11585a <=( a11584a and a11579a ); a11589a <=( A298 and A267 ); a11590a <=( A266 and a11589a ); a11594a <=( (not A301) and (not A300) ); a11595a <=( A299 and a11594a ); a11596a <=( a11595a and a11590a ); a11599a <=( A167 and A170 ); a11603a <=( A201 and A200 ); a11604a <=( (not A166) and a11603a ); a11605a <=( a11604a and a11599a ); a11609a <=( A269 and A266 ); a11610a <=( (not A265) and a11609a ); a11614a <=( (not A302) and (not A301) ); a11615a <=( (not A300) and a11614a ); a11616a <=( a11615a and a11610a ); a11619a <=( A167 and A170 ); a11623a <=( A201 and A200 ); a11624a <=( (not A166) and a11623a ); a11625a <=( a11624a and a11619a ); a11629a <=( A269 and A266 ); a11630a <=( (not A265) and a11629a ); a11634a <=( (not A301) and (not A299) ); a11635a <=( (not A298) and a11634a ); a11636a <=( a11635a and a11630a ); a11639a <=( A167 and A170 ); a11643a <=( A201 and A200 ); a11644a <=( (not A166) and a11643a ); a11645a <=( a11644a and a11639a ); a11649a <=( A269 and (not A266) ); a11650a <=( A265 and a11649a ); a11654a <=( (not A302) and (not A301) ); a11655a <=( (not A300) and a11654a ); a11656a <=( a11655a and a11650a ); a11659a <=( A167 and A170 ); a11663a <=( A201 and A200 ); a11664a <=( (not A166) and a11663a ); a11665a <=( a11664a and a11659a ); a11669a <=( A269 and (not A266) ); a11670a <=( A265 and a11669a ); a11674a <=( (not A301) and (not A299) ); a11675a <=( (not A298) and a11674a ); a11676a <=( a11675a and a11670a ); a11679a <=( A167 and A170 ); a11683a <=( A200 and A199 ); a11684a <=( (not A166) and a11683a ); a11685a <=( a11684a and a11679a ); a11689a <=( (not A267) and (not A202) ); a11690a <=( (not A201) and a11689a ); a11694a <=( A301 and (not A269) ); a11695a <=( (not A268) and a11694a ); a11696a <=( a11695a and a11690a ); a11699a <=( A167 and A170 ); a11703a <=( A200 and A199 ); a11704a <=( (not A166) and a11703a ); a11705a <=( a11704a and a11699a ); a11709a <=( (not A265) and (not A202) ); a11710a <=( (not A201) and a11709a ); a11714a <=( A301 and (not A268) ); a11715a <=( (not A266) and a11714a ); a11716a <=( a11715a and a11710a ); a11719a <=( A167 and A170 ); a11723a <=( A200 and (not A199) ); a11724a <=( (not A166) and a11723a ); a11725a <=( a11724a and a11719a ); a11729a <=( A298 and A268 ); a11730a <=( A203 and a11729a ); a11734a <=( (not A301) and (not A300) ); a11735a <=( A299 and a11734a ); a11736a <=( a11735a and a11730a ); a11739a <=( A167 and A170 ); a11743a <=( A200 and (not A199) ); a11744a <=( (not A166) and a11743a ); a11745a <=( a11744a and a11739a ); a11749a <=( A267 and A265 ); a11750a <=( A203 and a11749a ); a11754a <=( (not A302) and (not A301) ); a11755a <=( (not A300) and a11754a ); a11756a <=( a11755a and a11750a ); a11759a <=( A167 and A170 ); a11763a <=( A200 and (not A199) ); a11764a <=( (not A166) and a11763a ); a11765a <=( a11764a and a11759a ); a11769a <=( A267 and A265 ); a11770a <=( A203 and a11769a ); a11774a <=( (not A301) and (not A299) ); a11775a <=( (not A298) and a11774a ); a11776a <=( a11775a and a11770a ); a11779a <=( A167 and A170 ); a11783a <=( A200 and (not A199) ); a11784a <=( (not A166) and a11783a ); a11785a <=( a11784a and a11779a ); a11789a <=( A267 and A266 ); a11790a <=( A203 and a11789a ); a11794a <=( (not A302) and (not A301) ); a11795a <=( (not A300) and a11794a ); a11796a <=( a11795a and a11790a ); a11799a <=( A167 and A170 ); a11803a <=( A200 and (not A199) ); a11804a <=( (not A166) and a11803a ); a11805a <=( a11804a and a11799a ); a11809a <=( A267 and A266 ); a11810a <=( A203 and a11809a ); a11814a <=( (not A301) and (not A299) ); a11815a <=( (not A298) and a11814a ); a11816a <=( a11815a and a11810a ); a11819a <=( A167 and A170 ); a11823a <=( (not A200) and A199 ); a11824a <=( (not A166) and a11823a ); a11825a <=( a11824a and a11819a ); a11829a <=( A298 and A268 ); a11830a <=( A203 and a11829a ); a11834a <=( (not A301) and (not A300) ); a11835a <=( A299 and a11834a ); a11836a <=( a11835a and a11830a ); a11839a <=( A167 and A170 ); a11843a <=( (not A200) and A199 ); a11844a <=( (not A166) and a11843a ); a11845a <=( a11844a and a11839a ); a11849a <=( A267 and A265 ); a11850a <=( A203 and a11849a ); a11854a <=( (not A302) and (not A301) ); a11855a <=( (not A300) and a11854a ); a11856a <=( a11855a and a11850a ); a11859a <=( A167 and A170 ); a11863a <=( (not A200) and A199 ); a11864a <=( (not A166) and a11863a ); a11865a <=( a11864a and a11859a ); a11869a <=( A267 and A265 ); a11870a <=( A203 and a11869a ); a11874a <=( (not A301) and (not A299) ); a11875a <=( (not A298) and a11874a ); a11876a <=( a11875a and a11870a ); a11879a <=( A167 and A170 ); a11883a <=( (not A200) and A199 ); a11884a <=( (not A166) and a11883a ); a11885a <=( a11884a and a11879a ); a11889a <=( A267 and A266 ); a11890a <=( A203 and a11889a ); a11894a <=( (not A302) and (not A301) ); a11895a <=( (not A300) and a11894a ); a11896a <=( a11895a and a11890a ); a11899a <=( A167 and A170 ); a11903a <=( (not A200) and A199 ); a11904a <=( (not A166) and a11903a ); a11905a <=( a11904a and a11899a ); a11909a <=( A267 and A266 ); a11910a <=( A203 and a11909a ); a11914a <=( (not A301) and (not A299) ); a11915a <=( (not A298) and a11914a ); a11916a <=( a11915a and a11910a ); a11919a <=( A167 and A170 ); a11923a <=( (not A200) and (not A199) ); a11924a <=( (not A166) and a11923a ); a11925a <=( a11924a and a11919a ); a11929a <=( (not A268) and (not A267) ); a11930a <=( (not A202) and a11929a ); a11934a <=( A300 and A299 ); a11935a <=( (not A269) and a11934a ); a11936a <=( a11935a and a11930a ); a11939a <=( A167 and A170 ); a11943a <=( (not A200) and (not A199) ); a11944a <=( (not A166) and a11943a ); a11945a <=( a11944a and a11939a ); a11949a <=( (not A268) and (not A267) ); a11950a <=( (not A202) and a11949a ); a11954a <=( A300 and A298 ); a11955a <=( (not A269) and a11954a ); a11956a <=( a11955a and a11950a ); a11959a <=( A167 and A170 ); a11963a <=( (not A200) and (not A199) ); a11964a <=( (not A166) and a11963a ); a11965a <=( a11964a and a11959a ); a11969a <=( A266 and A265 ); a11970a <=( (not A202) and a11969a ); a11974a <=( A301 and (not A268) ); a11975a <=( (not A267) and a11974a ); a11976a <=( a11975a and a11970a ); a11979a <=( A167 and A170 ); a11983a <=( (not A200) and (not A199) ); a11984a <=( (not A166) and a11983a ); a11985a <=( a11984a and a11979a ); a11989a <=( (not A266) and (not A265) ); a11990a <=( (not A202) and a11989a ); a11994a <=( A300 and A299 ); a11995a <=( (not A268) and a11994a ); a11996a <=( a11995a and a11990a ); a11999a <=( A167 and A170 ); a12003a <=( (not A200) and (not A199) ); a12004a <=( (not A166) and a12003a ); a12005a <=( a12004a and a11999a ); a12009a <=( (not A266) and (not A265) ); a12010a <=( (not A202) and a12009a ); a12014a <=( A300 and A298 ); a12015a <=( (not A268) and a12014a ); a12016a <=( a12015a and a12010a ); a12019a <=( (not A167) and A170 ); a12023a <=( (not A265) and A202 ); a12024a <=( A166 and a12023a ); a12025a <=( a12024a and a12019a ); a12029a <=( A298 and A269 ); a12030a <=( A266 and a12029a ); a12034a <=( (not A301) and (not A300) ); a12035a <=( A299 and a12034a ); a12036a <=( a12035a and a12030a ); a12039a <=( (not A167) and A170 ); a12043a <=( A265 and A202 ); a12044a <=( A166 and a12043a ); a12045a <=( a12044a and a12039a ); a12049a <=( A298 and A269 ); a12050a <=( (not A266) and a12049a ); a12054a <=( (not A301) and (not A300) ); a12055a <=( A299 and a12054a ); a12056a <=( a12055a and a12050a ); a12059a <=( (not A167) and A170 ); a12063a <=( (not A202) and (not A201) ); a12064a <=( A166 and a12063a ); a12065a <=( a12064a and a12059a ); a12069a <=( (not A268) and (not A267) ); a12070a <=( (not A203) and a12069a ); a12074a <=( A300 and A299 ); a12075a <=( (not A269) and a12074a ); a12076a <=( a12075a and a12070a ); a12079a <=( (not A167) and A170 ); a12083a <=( (not A202) and (not A201) ); a12084a <=( A166 and a12083a ); a12085a <=( a12084a and a12079a ); a12089a <=( (not A268) and (not A267) ); a12090a <=( (not A203) and a12089a ); a12094a <=( A300 and A298 ); a12095a <=( (not A269) and a12094a ); a12096a <=( a12095a and a12090a ); a12099a <=( (not A167) and A170 ); a12103a <=( (not A202) and (not A201) ); a12104a <=( A166 and a12103a ); a12105a <=( a12104a and a12099a ); a12109a <=( A266 and A265 ); a12110a <=( (not A203) and a12109a ); a12114a <=( A301 and (not A268) ); a12115a <=( (not A267) and a12114a ); a12116a <=( a12115a and a12110a ); a12119a <=( (not A167) and A170 ); a12123a <=( (not A202) and (not A201) ); a12124a <=( A166 and a12123a ); a12125a <=( a12124a and a12119a ); a12129a <=( (not A266) and (not A265) ); a12130a <=( (not A203) and a12129a ); a12134a <=( A300 and A299 ); a12135a <=( (not A268) and a12134a ); a12136a <=( a12135a and a12130a ); a12139a <=( (not A167) and A170 ); a12143a <=( (not A202) and (not A201) ); a12144a <=( A166 and a12143a ); a12145a <=( a12144a and a12139a ); a12149a <=( (not A266) and (not A265) ); a12150a <=( (not A203) and a12149a ); a12154a <=( A300 and A298 ); a12155a <=( (not A268) and a12154a ); a12156a <=( a12155a and a12150a ); a12159a <=( (not A167) and A170 ); a12163a <=( A201 and A199 ); a12164a <=( A166 and a12163a ); a12165a <=( a12164a and a12159a ); a12169a <=( A298 and A267 ); a12170a <=( A265 and a12169a ); a12174a <=( (not A301) and (not A300) ); a12175a <=( A299 and a12174a ); a12176a <=( a12175a and a12170a ); a12179a <=( (not A167) and A170 ); a12183a <=( A201 and A199 ); a12184a <=( A166 and a12183a ); a12185a <=( a12184a and a12179a ); a12189a <=( A298 and A267 ); a12190a <=( A266 and a12189a ); a12194a <=( (not A301) and (not A300) ); a12195a <=( A299 and a12194a ); a12196a <=( a12195a and a12190a ); a12199a <=( (not A167) and A170 ); a12203a <=( A201 and A199 ); a12204a <=( A166 and a12203a ); a12205a <=( a12204a and a12199a ); a12209a <=( A269 and A266 ); a12210a <=( (not A265) and a12209a ); a12214a <=( (not A302) and (not A301) ); a12215a <=( (not A300) and a12214a ); a12216a <=( a12215a and a12210a ); a12219a <=( (not A167) and A170 ); a12223a <=( A201 and A199 ); a12224a <=( A166 and a12223a ); a12225a <=( a12224a and a12219a ); a12229a <=( A269 and A266 ); a12230a <=( (not A265) and a12229a ); a12234a <=( (not A301) and (not A299) ); a12235a <=( (not A298) and a12234a ); a12236a <=( a12235a and a12230a ); a12239a <=( (not A167) and A170 ); a12243a <=( A201 and A199 ); a12244a <=( A166 and a12243a ); a12245a <=( a12244a and a12239a ); a12249a <=( A269 and (not A266) ); a12250a <=( A265 and a12249a ); a12254a <=( (not A302) and (not A301) ); a12255a <=( (not A300) and a12254a ); a12256a <=( a12255a and a12250a ); a12259a <=( (not A167) and A170 ); a12263a <=( A201 and A199 ); a12264a <=( A166 and a12263a ); a12265a <=( a12264a and a12259a ); a12269a <=( A269 and (not A266) ); a12270a <=( A265 and a12269a ); a12274a <=( (not A301) and (not A299) ); a12275a <=( (not A298) and a12274a ); a12276a <=( a12275a and a12270a ); a12279a <=( (not A167) and A170 ); a12283a <=( A201 and A200 ); a12284a <=( A166 and a12283a ); a12285a <=( a12284a and a12279a ); a12289a <=( A298 and A267 ); a12290a <=( A265 and a12289a ); a12294a <=( (not A301) and (not A300) ); a12295a <=( A299 and a12294a ); a12296a <=( a12295a and a12290a ); a12299a <=( (not A167) and A170 ); a12303a <=( A201 and A200 ); a12304a <=( A166 and a12303a ); a12305a <=( a12304a and a12299a ); a12309a <=( A298 and A267 ); a12310a <=( A266 and a12309a ); a12314a <=( (not A301) and (not A300) ); a12315a <=( A299 and a12314a ); a12316a <=( a12315a and a12310a ); a12319a <=( (not A167) and A170 ); a12323a <=( A201 and A200 ); a12324a <=( A166 and a12323a ); a12325a <=( a12324a and a12319a ); a12329a <=( A269 and A266 ); a12330a <=( (not A265) and a12329a ); a12334a <=( (not A302) and (not A301) ); a12335a <=( (not A300) and a12334a ); a12336a <=( a12335a and a12330a ); a12339a <=( (not A167) and A170 ); a12343a <=( A201 and A200 ); a12344a <=( A166 and a12343a ); a12345a <=( a12344a and a12339a ); a12349a <=( A269 and A266 ); a12350a <=( (not A265) and a12349a ); a12354a <=( (not A301) and (not A299) ); a12355a <=( (not A298) and a12354a ); a12356a <=( a12355a and a12350a ); a12359a <=( (not A167) and A170 ); a12363a <=( A201 and A200 ); a12364a <=( A166 and a12363a ); a12365a <=( a12364a and a12359a ); a12369a <=( A269 and (not A266) ); a12370a <=( A265 and a12369a ); a12374a <=( (not A302) and (not A301) ); a12375a <=( (not A300) and a12374a ); a12376a <=( a12375a and a12370a ); a12379a <=( (not A167) and A170 ); a12383a <=( A201 and A200 ); a12384a <=( A166 and a12383a ); a12385a <=( a12384a and a12379a ); a12389a <=( A269 and (not A266) ); a12390a <=( A265 and a12389a ); a12394a <=( (not A301) and (not A299) ); a12395a <=( (not A298) and a12394a ); a12396a <=( a12395a and a12390a ); a12399a <=( (not A167) and A170 ); a12403a <=( A200 and A199 ); a12404a <=( A166 and a12403a ); a12405a <=( a12404a and a12399a ); a12409a <=( (not A267) and (not A202) ); a12410a <=( (not A201) and a12409a ); a12414a <=( A301 and (not A269) ); a12415a <=( (not A268) and a12414a ); a12416a <=( a12415a and a12410a ); a12419a <=( (not A167) and A170 ); a12423a <=( A200 and A199 ); a12424a <=( A166 and a12423a ); a12425a <=( a12424a and a12419a ); a12429a <=( (not A265) and (not A202) ); a12430a <=( (not A201) and a12429a ); a12434a <=( A301 and (not A268) ); a12435a <=( (not A266) and a12434a ); a12436a <=( a12435a and a12430a ); a12439a <=( (not A167) and A170 ); a12443a <=( A200 and (not A199) ); a12444a <=( A166 and a12443a ); a12445a <=( a12444a and a12439a ); a12449a <=( A298 and A268 ); a12450a <=( A203 and a12449a ); a12454a <=( (not A301) and (not A300) ); a12455a <=( A299 and a12454a ); a12456a <=( a12455a and a12450a ); a12459a <=( (not A167) and A170 ); a12463a <=( A200 and (not A199) ); a12464a <=( A166 and a12463a ); a12465a <=( a12464a and a12459a ); a12469a <=( A267 and A265 ); a12470a <=( A203 and a12469a ); a12474a <=( (not A302) and (not A301) ); a12475a <=( (not A300) and a12474a ); a12476a <=( a12475a and a12470a ); a12479a <=( (not A167) and A170 ); a12483a <=( A200 and (not A199) ); a12484a <=( A166 and a12483a ); a12485a <=( a12484a and a12479a ); a12489a <=( A267 and A265 ); a12490a <=( A203 and a12489a ); a12494a <=( (not A301) and (not A299) ); a12495a <=( (not A298) and a12494a ); a12496a <=( a12495a and a12490a ); a12499a <=( (not A167) and A170 ); a12503a <=( A200 and (not A199) ); a12504a <=( A166 and a12503a ); a12505a <=( a12504a and a12499a ); a12509a <=( A267 and A266 ); a12510a <=( A203 and a12509a ); a12514a <=( (not A302) and (not A301) ); a12515a <=( (not A300) and a12514a ); a12516a <=( a12515a and a12510a ); a12519a <=( (not A167) and A170 ); a12523a <=( A200 and (not A199) ); a12524a <=( A166 and a12523a ); a12525a <=( a12524a and a12519a ); a12529a <=( A267 and A266 ); a12530a <=( A203 and a12529a ); a12534a <=( (not A301) and (not A299) ); a12535a <=( (not A298) and a12534a ); a12536a <=( a12535a and a12530a ); a12539a <=( (not A167) and A170 ); a12543a <=( (not A200) and A199 ); a12544a <=( A166 and a12543a ); a12545a <=( a12544a and a12539a ); a12549a <=( A298 and A268 ); a12550a <=( A203 and a12549a ); a12554a <=( (not A301) and (not A300) ); a12555a <=( A299 and a12554a ); a12556a <=( a12555a and a12550a ); a12559a <=( (not A167) and A170 ); a12563a <=( (not A200) and A199 ); a12564a <=( A166 and a12563a ); a12565a <=( a12564a and a12559a ); a12569a <=( A267 and A265 ); a12570a <=( A203 and a12569a ); a12574a <=( (not A302) and (not A301) ); a12575a <=( (not A300) and a12574a ); a12576a <=( a12575a and a12570a ); a12579a <=( (not A167) and A170 ); a12583a <=( (not A200) and A199 ); a12584a <=( A166 and a12583a ); a12585a <=( a12584a and a12579a ); a12589a <=( A267 and A265 ); a12590a <=( A203 and a12589a ); a12594a <=( (not A301) and (not A299) ); a12595a <=( (not A298) and a12594a ); a12596a <=( a12595a and a12590a ); a12599a <=( (not A167) and A170 ); a12603a <=( (not A200) and A199 ); a12604a <=( A166 and a12603a ); a12605a <=( a12604a and a12599a ); a12609a <=( A267 and A266 ); a12610a <=( A203 and a12609a ); a12614a <=( (not A302) and (not A301) ); a12615a <=( (not A300) and a12614a ); a12616a <=( a12615a and a12610a ); a12619a <=( (not A167) and A170 ); a12623a <=( (not A200) and A199 ); a12624a <=( A166 and a12623a ); a12625a <=( a12624a and a12619a ); a12629a <=( A267 and A266 ); a12630a <=( A203 and a12629a ); a12634a <=( (not A301) and (not A299) ); a12635a <=( (not A298) and a12634a ); a12636a <=( a12635a and a12630a ); a12639a <=( (not A167) and A170 ); a12643a <=( (not A200) and (not A199) ); a12644a <=( A166 and a12643a ); a12645a <=( a12644a and a12639a ); a12649a <=( (not A268) and (not A267) ); a12650a <=( (not A202) and a12649a ); a12654a <=( A300 and A299 ); a12655a <=( (not A269) and a12654a ); a12656a <=( a12655a and a12650a ); a12659a <=( (not A167) and A170 ); a12663a <=( (not A200) and (not A199) ); a12664a <=( A166 and a12663a ); a12665a <=( a12664a and a12659a ); a12669a <=( (not A268) and (not A267) ); a12670a <=( (not A202) and a12669a ); a12674a <=( A300 and A298 ); a12675a <=( (not A269) and a12674a ); a12676a <=( a12675a and a12670a ); a12679a <=( (not A167) and A170 ); a12683a <=( (not A200) and (not A199) ); a12684a <=( A166 and a12683a ); a12685a <=( a12684a and a12679a ); a12689a <=( A266 and A265 ); a12690a <=( (not A202) and a12689a ); a12694a <=( A301 and (not A268) ); a12695a <=( (not A267) and a12694a ); a12696a <=( a12695a and a12690a ); a12699a <=( (not A167) and A170 ); a12703a <=( (not A200) and (not A199) ); a12704a <=( A166 and a12703a ); a12705a <=( a12704a and a12699a ); a12709a <=( (not A266) and (not A265) ); a12710a <=( (not A202) and a12709a ); a12714a <=( A300 and A299 ); a12715a <=( (not A268) and a12714a ); a12716a <=( a12715a and a12710a ); a12719a <=( (not A167) and A170 ); a12723a <=( (not A200) and (not A199) ); a12724a <=( A166 and a12723a ); a12725a <=( a12724a and a12719a ); a12729a <=( (not A266) and (not A265) ); a12730a <=( (not A202) and a12729a ); a12734a <=( A300 and A298 ); a12735a <=( (not A268) and a12734a ); a12736a <=( a12735a and a12730a ); a12739a <=( (not A201) and A169 ); a12743a <=( A265 and (not A203) ); a12744a <=( (not A202) and a12743a ); a12745a <=( a12744a and a12739a ); a12749a <=( (not A268) and (not A267) ); a12750a <=( A266 and a12749a ); a12754a <=( A302 and (not A299) ); a12755a <=( A298 and a12754a ); a12756a <=( a12755a and a12750a ); a12759a <=( (not A201) and A169 ); a12763a <=( A265 and (not A203) ); a12764a <=( (not A202) and a12763a ); a12765a <=( a12764a and a12759a ); a12769a <=( (not A268) and (not A267) ); a12770a <=( A266 and a12769a ); a12774a <=( A302 and A299 ); a12775a <=( (not A298) and a12774a ); a12776a <=( a12775a and a12770a ); a12779a <=( A199 and A169 ); a12783a <=( (not A202) and (not A201) ); a12784a <=( A200 and a12783a ); a12785a <=( a12784a and a12779a ); a12789a <=( (not A269) and (not A268) ); a12790a <=( (not A267) and a12789a ); a12794a <=( A302 and (not A299) ); a12795a <=( A298 and a12794a ); a12796a <=( a12795a and a12790a ); a12799a <=( A199 and A169 ); a12803a <=( (not A202) and (not A201) ); a12804a <=( A200 and a12803a ); a12805a <=( a12804a and a12799a ); a12809a <=( (not A269) and (not A268) ); a12810a <=( (not A267) and a12809a ); a12814a <=( A302 and A299 ); a12815a <=( (not A298) and a12814a ); a12816a <=( a12815a and a12810a ); a12819a <=( A199 and A169 ); a12823a <=( (not A202) and (not A201) ); a12824a <=( A200 and a12823a ); a12825a <=( a12824a and a12819a ); a12829a <=( (not A267) and A266 ); a12830a <=( A265 and a12829a ); a12834a <=( A300 and A299 ); a12835a <=( (not A268) and a12834a ); a12836a <=( a12835a and a12830a ); a12839a <=( A199 and A169 ); a12843a <=( (not A202) and (not A201) ); a12844a <=( A200 and a12843a ); a12845a <=( a12844a and a12839a ); a12849a <=( (not A267) and A266 ); a12850a <=( A265 and a12849a ); a12854a <=( A300 and A298 ); a12855a <=( (not A268) and a12854a ); a12856a <=( a12855a and a12850a ); a12859a <=( A199 and A169 ); a12863a <=( (not A202) and (not A201) ); a12864a <=( A200 and a12863a ); a12865a <=( a12864a and a12859a ); a12869a <=( (not A268) and (not A266) ); a12870a <=( (not A265) and a12869a ); a12874a <=( A302 and (not A299) ); a12875a <=( A298 and a12874a ); a12876a <=( a12875a and a12870a ); a12879a <=( A199 and A169 ); a12883a <=( (not A202) and (not A201) ); a12884a <=( A200 and a12883a ); a12885a <=( a12884a and a12879a ); a12889a <=( (not A268) and (not A266) ); a12890a <=( (not A265) and a12889a ); a12894a <=( A302 and A299 ); a12895a <=( (not A298) and a12894a ); a12896a <=( a12895a and a12890a ); a12899a <=( (not A199) and A169 ); a12903a <=( (not A265) and A203 ); a12904a <=( A200 and a12903a ); a12905a <=( a12904a and a12899a ); a12909a <=( A298 and A269 ); a12910a <=( A266 and a12909a ); a12914a <=( (not A301) and (not A300) ); a12915a <=( A299 and a12914a ); a12916a <=( a12915a and a12910a ); a12919a <=( (not A199) and A169 ); a12923a <=( A265 and A203 ); a12924a <=( A200 and a12923a ); a12925a <=( a12924a and a12919a ); a12929a <=( A298 and A269 ); a12930a <=( (not A266) and a12929a ); a12934a <=( (not A301) and (not A300) ); a12935a <=( A299 and a12934a ); a12936a <=( a12935a and a12930a ); a12939a <=( A199 and A169 ); a12943a <=( (not A265) and A203 ); a12944a <=( (not A200) and a12943a ); a12945a <=( a12944a and a12939a ); a12949a <=( A298 and A269 ); a12950a <=( A266 and a12949a ); a12954a <=( (not A301) and (not A300) ); a12955a <=( A299 and a12954a ); a12956a <=( a12955a and a12950a ); a12959a <=( A199 and A169 ); a12963a <=( A265 and A203 ); a12964a <=( (not A200) and a12963a ); a12965a <=( a12964a and a12959a ); a12969a <=( A298 and A269 ); a12970a <=( (not A266) and a12969a ); a12974a <=( (not A301) and (not A300) ); a12975a <=( A299 and a12974a ); a12976a <=( a12975a and a12970a ); a12979a <=( (not A199) and A169 ); a12983a <=( A265 and (not A202) ); a12984a <=( (not A200) and a12983a ); a12985a <=( a12984a and a12979a ); a12989a <=( (not A268) and (not A267) ); a12990a <=( A266 and a12989a ); a12994a <=( A302 and (not A299) ); a12995a <=( A298 and a12994a ); a12996a <=( a12995a and a12990a ); a12999a <=( (not A199) and A169 ); a13003a <=( A265 and (not A202) ); a13004a <=( (not A200) and a13003a ); a13005a <=( a13004a and a12999a ); a13009a <=( (not A268) and (not A267) ); a13010a <=( A266 and a13009a ); a13014a <=( A302 and A299 ); a13015a <=( (not A298) and a13014a ); a13016a <=( a13015a and a13010a ); a13019a <=( (not A167) and (not A169) ); a13023a <=( A265 and A202 ); a13024a <=( (not A166) and a13023a ); a13025a <=( a13024a and a13019a ); a13029a <=( (not A268) and (not A267) ); a13030a <=( A266 and a13029a ); a13034a <=( A302 and (not A299) ); a13035a <=( A298 and a13034a ); a13036a <=( a13035a and a13030a ); a13039a <=( (not A167) and (not A169) ); a13043a <=( A265 and A202 ); a13044a <=( (not A166) and a13043a ); a13045a <=( a13044a and a13039a ); a13049a <=( (not A268) and (not A267) ); a13050a <=( A266 and a13049a ); a13054a <=( A302 and A299 ); a13055a <=( (not A298) and a13054a ); a13056a <=( a13055a and a13050a ); a13059a <=( (not A167) and (not A169) ); a13063a <=( (not A202) and (not A201) ); a13064a <=( (not A166) and a13063a ); a13065a <=( a13064a and a13059a ); a13069a <=( A298 and A268 ); a13070a <=( (not A203) and a13069a ); a13074a <=( (not A301) and (not A300) ); a13075a <=( A299 and a13074a ); a13076a <=( a13075a and a13070a ); a13079a <=( (not A167) and (not A169) ); a13083a <=( (not A202) and (not A201) ); a13084a <=( (not A166) and a13083a ); a13085a <=( a13084a and a13079a ); a13089a <=( A267 and A265 ); a13090a <=( (not A203) and a13089a ); a13094a <=( (not A302) and (not A301) ); a13095a <=( (not A300) and a13094a ); a13096a <=( a13095a and a13090a ); a13099a <=( (not A167) and (not A169) ); a13103a <=( (not A202) and (not A201) ); a13104a <=( (not A166) and a13103a ); a13105a <=( a13104a and a13099a ); a13109a <=( A267 and A265 ); a13110a <=( (not A203) and a13109a ); a13114a <=( (not A301) and (not A299) ); a13115a <=( (not A298) and a13114a ); a13116a <=( a13115a and a13110a ); a13119a <=( (not A167) and (not A169) ); a13123a <=( (not A202) and (not A201) ); a13124a <=( (not A166) and a13123a ); a13125a <=( a13124a and a13119a ); a13129a <=( A267 and A266 ); a13130a <=( (not A203) and a13129a ); a13134a <=( (not A302) and (not A301) ); a13135a <=( (not A300) and a13134a ); a13136a <=( a13135a and a13130a ); a13139a <=( (not A167) and (not A169) ); a13143a <=( (not A202) and (not A201) ); a13144a <=( (not A166) and a13143a ); a13145a <=( a13144a and a13139a ); a13149a <=( A267 and A266 ); a13150a <=( (not A203) and a13149a ); a13154a <=( (not A301) and (not A299) ); a13155a <=( (not A298) and a13154a ); a13156a <=( a13155a and a13150a ); a13159a <=( (not A167) and (not A169) ); a13163a <=( A201 and A199 ); a13164a <=( (not A166) and a13163a ); a13165a <=( a13164a and a13159a ); a13169a <=( (not A269) and (not A268) ); a13170a <=( (not A267) and a13169a ); a13174a <=( A302 and (not A299) ); a13175a <=( A298 and a13174a ); a13176a <=( a13175a and a13170a ); a13179a <=( (not A167) and (not A169) ); a13183a <=( A201 and A199 ); a13184a <=( (not A166) and a13183a ); a13185a <=( a13184a and a13179a ); a13189a <=( (not A269) and (not A268) ); a13190a <=( (not A267) and a13189a ); a13194a <=( A302 and A299 ); a13195a <=( (not A298) and a13194a ); a13196a <=( a13195a and a13190a ); a13199a <=( (not A167) and (not A169) ); a13203a <=( A201 and A199 ); a13204a <=( (not A166) and a13203a ); a13205a <=( a13204a and a13199a ); a13209a <=( (not A267) and A266 ); a13210a <=( A265 and a13209a ); a13214a <=( A300 and A299 ); a13215a <=( (not A268) and a13214a ); a13216a <=( a13215a and a13210a ); a13219a <=( (not A167) and (not A169) ); a13223a <=( A201 and A199 ); a13224a <=( (not A166) and a13223a ); a13225a <=( a13224a and a13219a ); a13229a <=( (not A267) and A266 ); a13230a <=( A265 and a13229a ); a13234a <=( A300 and A298 ); a13235a <=( (not A268) and a13234a ); a13236a <=( a13235a and a13230a ); a13239a <=( (not A167) and (not A169) ); a13243a <=( A201 and A199 ); a13244a <=( (not A166) and a13243a ); a13245a <=( a13244a and a13239a ); a13249a <=( (not A268) and (not A266) ); a13250a <=( (not A265) and a13249a ); a13254a <=( A302 and (not A299) ); a13255a <=( A298 and a13254a ); a13256a <=( a13255a and a13250a ); a13259a <=( (not A167) and (not A169) ); a13263a <=( A201 and A199 ); a13264a <=( (not A166) and a13263a ); a13265a <=( a13264a and a13259a ); a13269a <=( (not A268) and (not A266) ); a13270a <=( (not A265) and a13269a ); a13274a <=( A302 and A299 ); a13275a <=( (not A298) and a13274a ); a13276a <=( a13275a and a13270a ); a13279a <=( (not A167) and (not A169) ); a13283a <=( A201 and A200 ); a13284a <=( (not A166) and a13283a ); a13285a <=( a13284a and a13279a ); a13289a <=( (not A269) and (not A268) ); a13290a <=( (not A267) and a13289a ); a13294a <=( A302 and (not A299) ); a13295a <=( A298 and a13294a ); a13296a <=( a13295a and a13290a ); a13299a <=( (not A167) and (not A169) ); a13303a <=( A201 and A200 ); a13304a <=( (not A166) and a13303a ); a13305a <=( a13304a and a13299a ); a13309a <=( (not A269) and (not A268) ); a13310a <=( (not A267) and a13309a ); a13314a <=( A302 and A299 ); a13315a <=( (not A298) and a13314a ); a13316a <=( a13315a and a13310a ); a13319a <=( (not A167) and (not A169) ); a13323a <=( A201 and A200 ); a13324a <=( (not A166) and a13323a ); a13325a <=( a13324a and a13319a ); a13329a <=( (not A267) and A266 ); a13330a <=( A265 and a13329a ); a13334a <=( A300 and A299 ); a13335a <=( (not A268) and a13334a ); a13336a <=( a13335a and a13330a ); a13339a <=( (not A167) and (not A169) ); a13343a <=( A201 and A200 ); a13344a <=( (not A166) and a13343a ); a13345a <=( a13344a and a13339a ); a13349a <=( (not A267) and A266 ); a13350a <=( A265 and a13349a ); a13354a <=( A300 and A298 ); a13355a <=( (not A268) and a13354a ); a13356a <=( a13355a and a13350a ); a13359a <=( (not A167) and (not A169) ); a13363a <=( A201 and A200 ); a13364a <=( (not A166) and a13363a ); a13365a <=( a13364a and a13359a ); a13369a <=( (not A268) and (not A266) ); a13370a <=( (not A265) and a13369a ); a13374a <=( A302 and (not A299) ); a13375a <=( A298 and a13374a ); a13376a <=( a13375a and a13370a ); a13379a <=( (not A167) and (not A169) ); a13383a <=( A201 and A200 ); a13384a <=( (not A166) and a13383a ); a13385a <=( a13384a and a13379a ); a13389a <=( (not A268) and (not A266) ); a13390a <=( (not A265) and a13389a ); a13394a <=( A302 and A299 ); a13395a <=( (not A298) and a13394a ); a13396a <=( a13395a and a13390a ); a13399a <=( (not A167) and (not A169) ); a13403a <=( A200 and A199 ); a13404a <=( (not A166) and a13403a ); a13405a <=( a13404a and a13399a ); a13409a <=( A268 and (not A202) ); a13410a <=( (not A201) and a13409a ); a13414a <=( (not A302) and (not A301) ); a13415a <=( (not A300) and a13414a ); a13416a <=( a13415a and a13410a ); a13419a <=( (not A167) and (not A169) ); a13423a <=( A200 and A199 ); a13424a <=( (not A166) and a13423a ); a13425a <=( a13424a and a13419a ); a13429a <=( A268 and (not A202) ); a13430a <=( (not A201) and a13429a ); a13434a <=( (not A301) and (not A299) ); a13435a <=( (not A298) and a13434a ); a13436a <=( a13435a and a13430a ); a13439a <=( (not A167) and (not A169) ); a13443a <=( A200 and (not A199) ); a13444a <=( (not A166) and a13443a ); a13445a <=( a13444a and a13439a ); a13449a <=( (not A268) and (not A267) ); a13450a <=( A203 and a13449a ); a13454a <=( A300 and A299 ); a13455a <=( (not A269) and a13454a ); a13456a <=( a13455a and a13450a ); a13459a <=( (not A167) and (not A169) ); a13463a <=( A200 and (not A199) ); a13464a <=( (not A166) and a13463a ); a13465a <=( a13464a and a13459a ); a13469a <=( (not A268) and (not A267) ); a13470a <=( A203 and a13469a ); a13474a <=( A300 and A298 ); a13475a <=( (not A269) and a13474a ); a13476a <=( a13475a and a13470a ); a13479a <=( (not A167) and (not A169) ); a13483a <=( A200 and (not A199) ); a13484a <=( (not A166) and a13483a ); a13485a <=( a13484a and a13479a ); a13489a <=( A266 and A265 ); a13490a <=( A203 and a13489a ); a13494a <=( A301 and (not A268) ); a13495a <=( (not A267) and a13494a ); a13496a <=( a13495a and a13490a ); a13499a <=( (not A167) and (not A169) ); a13503a <=( A200 and (not A199) ); a13504a <=( (not A166) and a13503a ); a13505a <=( a13504a and a13499a ); a13509a <=( (not A266) and (not A265) ); a13510a <=( A203 and a13509a ); a13514a <=( A300 and A299 ); a13515a <=( (not A268) and a13514a ); a13516a <=( a13515a and a13510a ); a13519a <=( (not A167) and (not A169) ); a13523a <=( A200 and (not A199) ); a13524a <=( (not A166) and a13523a ); a13525a <=( a13524a and a13519a ); a13529a <=( (not A266) and (not A265) ); a13530a <=( A203 and a13529a ); a13534a <=( A300 and A298 ); a13535a <=( (not A268) and a13534a ); a13536a <=( a13535a and a13530a ); a13539a <=( (not A167) and (not A169) ); a13543a <=( (not A200) and A199 ); a13544a <=( (not A166) and a13543a ); a13545a <=( a13544a and a13539a ); a13549a <=( (not A268) and (not A267) ); a13550a <=( A203 and a13549a ); a13554a <=( A300 and A299 ); a13555a <=( (not A269) and a13554a ); a13556a <=( a13555a and a13550a ); a13559a <=( (not A167) and (not A169) ); a13563a <=( (not A200) and A199 ); a13564a <=( (not A166) and a13563a ); a13565a <=( a13564a and a13559a ); a13569a <=( (not A268) and (not A267) ); a13570a <=( A203 and a13569a ); a13574a <=( A300 and A298 ); a13575a <=( (not A269) and a13574a ); a13576a <=( a13575a and a13570a ); a13579a <=( (not A167) and (not A169) ); a13583a <=( (not A200) and A199 ); a13584a <=( (not A166) and a13583a ); a13585a <=( a13584a and a13579a ); a13589a <=( A266 and A265 ); a13590a <=( A203 and a13589a ); a13594a <=( A301 and (not A268) ); a13595a <=( (not A267) and a13594a ); a13596a <=( a13595a and a13590a ); a13599a <=( (not A167) and (not A169) ); a13603a <=( (not A200) and A199 ); a13604a <=( (not A166) and a13603a ); a13605a <=( a13604a and a13599a ); a13609a <=( (not A266) and (not A265) ); a13610a <=( A203 and a13609a ); a13614a <=( A300 and A299 ); a13615a <=( (not A268) and a13614a ); a13616a <=( a13615a and a13610a ); a13619a <=( (not A167) and (not A169) ); a13623a <=( (not A200) and A199 ); a13624a <=( (not A166) and a13623a ); a13625a <=( a13624a and a13619a ); a13629a <=( (not A266) and (not A265) ); a13630a <=( A203 and a13629a ); a13634a <=( A300 and A298 ); a13635a <=( (not A268) and a13634a ); a13636a <=( a13635a and a13630a ); a13639a <=( (not A167) and (not A169) ); a13643a <=( (not A200) and (not A199) ); a13644a <=( (not A166) and a13643a ); a13645a <=( a13644a and a13639a ); a13649a <=( A298 and A268 ); a13650a <=( (not A202) and a13649a ); a13654a <=( (not A301) and (not A300) ); a13655a <=( A299 and a13654a ); a13656a <=( a13655a and a13650a ); a13659a <=( (not A167) and (not A169) ); a13663a <=( (not A200) and (not A199) ); a13664a <=( (not A166) and a13663a ); a13665a <=( a13664a and a13659a ); a13669a <=( A267 and A265 ); a13670a <=( (not A202) and a13669a ); a13674a <=( (not A302) and (not A301) ); a13675a <=( (not A300) and a13674a ); a13676a <=( a13675a and a13670a ); a13679a <=( (not A167) and (not A169) ); a13683a <=( (not A200) and (not A199) ); a13684a <=( (not A166) and a13683a ); a13685a <=( a13684a and a13679a ); a13689a <=( A267 and A265 ); a13690a <=( (not A202) and a13689a ); a13694a <=( (not A301) and (not A299) ); a13695a <=( (not A298) and a13694a ); a13696a <=( a13695a and a13690a ); a13699a <=( (not A167) and (not A169) ); a13703a <=( (not A200) and (not A199) ); a13704a <=( (not A166) and a13703a ); a13705a <=( a13704a and a13699a ); a13709a <=( A267 and A266 ); a13710a <=( (not A202) and a13709a ); a13714a <=( (not A302) and (not A301) ); a13715a <=( (not A300) and a13714a ); a13716a <=( a13715a and a13710a ); a13719a <=( (not A167) and (not A169) ); a13723a <=( (not A200) and (not A199) ); a13724a <=( (not A166) and a13723a ); a13725a <=( a13724a and a13719a ); a13729a <=( A267 and A266 ); a13730a <=( (not A202) and a13729a ); a13734a <=( (not A301) and (not A299) ); a13735a <=( (not A298) and a13734a ); a13736a <=( a13735a and a13730a ); a13739a <=( (not A168) and (not A169) ); a13743a <=( A202 and A166 ); a13744a <=( A167 and a13743a ); a13745a <=( a13744a and a13739a ); a13749a <=( (not A269) and (not A268) ); a13750a <=( (not A267) and a13749a ); a13754a <=( A302 and (not A299) ); a13755a <=( A298 and a13754a ); a13756a <=( a13755a and a13750a ); a13759a <=( (not A168) and (not A169) ); a13763a <=( A202 and A166 ); a13764a <=( A167 and a13763a ); a13765a <=( a13764a and a13759a ); a13769a <=( (not A269) and (not A268) ); a13770a <=( (not A267) and a13769a ); a13774a <=( A302 and A299 ); a13775a <=( (not A298) and a13774a ); a13776a <=( a13775a and a13770a ); a13779a <=( (not A168) and (not A169) ); a13783a <=( A202 and A166 ); a13784a <=( A167 and a13783a ); a13785a <=( a13784a and a13779a ); a13789a <=( (not A267) and A266 ); a13790a <=( A265 and a13789a ); a13794a <=( A300 and A299 ); a13795a <=( (not A268) and a13794a ); a13796a <=( a13795a and a13790a ); a13799a <=( (not A168) and (not A169) ); a13803a <=( A202 and A166 ); a13804a <=( A167 and a13803a ); a13805a <=( a13804a and a13799a ); a13809a <=( (not A267) and A266 ); a13810a <=( A265 and a13809a ); a13814a <=( A300 and A298 ); a13815a <=( (not A268) and a13814a ); a13816a <=( a13815a and a13810a ); a13819a <=( (not A168) and (not A169) ); a13823a <=( A202 and A166 ); a13824a <=( A167 and a13823a ); a13825a <=( a13824a and a13819a ); a13829a <=( (not A268) and (not A266) ); a13830a <=( (not A265) and a13829a ); a13834a <=( A302 and (not A299) ); a13835a <=( A298 and a13834a ); a13836a <=( a13835a and a13830a ); a13839a <=( (not A168) and (not A169) ); a13843a <=( A202 and A166 ); a13844a <=( A167 and a13843a ); a13845a <=( a13844a and a13839a ); a13849a <=( (not A268) and (not A266) ); a13850a <=( (not A265) and a13849a ); a13854a <=( A302 and A299 ); a13855a <=( (not A298) and a13854a ); a13856a <=( a13855a and a13850a ); a13859a <=( (not A168) and (not A169) ); a13863a <=( (not A201) and A166 ); a13864a <=( A167 and a13863a ); a13865a <=( a13864a and a13859a ); a13869a <=( A268 and (not A203) ); a13870a <=( (not A202) and a13869a ); a13874a <=( (not A302) and (not A301) ); a13875a <=( (not A300) and a13874a ); a13876a <=( a13875a and a13870a ); a13879a <=( (not A168) and (not A169) ); a13883a <=( (not A201) and A166 ); a13884a <=( A167 and a13883a ); a13885a <=( a13884a and a13879a ); a13889a <=( A268 and (not A203) ); a13890a <=( (not A202) and a13889a ); a13894a <=( (not A301) and (not A299) ); a13895a <=( (not A298) and a13894a ); a13896a <=( a13895a and a13890a ); a13899a <=( (not A168) and (not A169) ); a13903a <=( A199 and A166 ); a13904a <=( A167 and a13903a ); a13905a <=( a13904a and a13899a ); a13909a <=( (not A268) and (not A267) ); a13910a <=( A201 and a13909a ); a13914a <=( A300 and A299 ); a13915a <=( (not A269) and a13914a ); a13916a <=( a13915a and a13910a ); a13919a <=( (not A168) and (not A169) ); a13923a <=( A199 and A166 ); a13924a <=( A167 and a13923a ); a13925a <=( a13924a and a13919a ); a13929a <=( (not A268) and (not A267) ); a13930a <=( A201 and a13929a ); a13934a <=( A300 and A298 ); a13935a <=( (not A269) and a13934a ); a13936a <=( a13935a and a13930a ); a13939a <=( (not A168) and (not A169) ); a13943a <=( A199 and A166 ); a13944a <=( A167 and a13943a ); a13945a <=( a13944a and a13939a ); a13949a <=( A266 and A265 ); a13950a <=( A201 and a13949a ); a13954a <=( A301 and (not A268) ); a13955a <=( (not A267) and a13954a ); a13956a <=( a13955a and a13950a ); a13959a <=( (not A168) and (not A169) ); a13963a <=( A199 and A166 ); a13964a <=( A167 and a13963a ); a13965a <=( a13964a and a13959a ); a13969a <=( (not A266) and (not A265) ); a13970a <=( A201 and a13969a ); a13974a <=( A300 and A299 ); a13975a <=( (not A268) and a13974a ); a13976a <=( a13975a and a13970a ); a13979a <=( (not A168) and (not A169) ); a13983a <=( A199 and A166 ); a13984a <=( A167 and a13983a ); a13985a <=( a13984a and a13979a ); a13989a <=( (not A266) and (not A265) ); a13990a <=( A201 and a13989a ); a13994a <=( A300 and A298 ); a13995a <=( (not A268) and a13994a ); a13996a <=( a13995a and a13990a ); a13999a <=( (not A168) and (not A169) ); a14003a <=( A200 and A166 ); a14004a <=( A167 and a14003a ); a14005a <=( a14004a and a13999a ); a14009a <=( (not A268) and (not A267) ); a14010a <=( A201 and a14009a ); a14014a <=( A300 and A299 ); a14015a <=( (not A269) and a14014a ); a14016a <=( a14015a and a14010a ); a14019a <=( (not A168) and (not A169) ); a14023a <=( A200 and A166 ); a14024a <=( A167 and a14023a ); a14025a <=( a14024a and a14019a ); a14029a <=( (not A268) and (not A267) ); a14030a <=( A201 and a14029a ); a14034a <=( A300 and A298 ); a14035a <=( (not A269) and a14034a ); a14036a <=( a14035a and a14030a ); a14039a <=( (not A168) and (not A169) ); a14043a <=( A200 and A166 ); a14044a <=( A167 and a14043a ); a14045a <=( a14044a and a14039a ); a14049a <=( A266 and A265 ); a14050a <=( A201 and a14049a ); a14054a <=( A301 and (not A268) ); a14055a <=( (not A267) and a14054a ); a14056a <=( a14055a and a14050a ); a14059a <=( (not A168) and (not A169) ); a14063a <=( A200 and A166 ); a14064a <=( A167 and a14063a ); a14065a <=( a14064a and a14059a ); a14069a <=( (not A266) and (not A265) ); a14070a <=( A201 and a14069a ); a14074a <=( A300 and A299 ); a14075a <=( (not A268) and a14074a ); a14076a <=( a14075a and a14070a ); a14079a <=( (not A168) and (not A169) ); a14083a <=( A200 and A166 ); a14084a <=( A167 and a14083a ); a14085a <=( a14084a and a14079a ); a14089a <=( (not A266) and (not A265) ); a14090a <=( A201 and a14089a ); a14094a <=( A300 and A298 ); a14095a <=( (not A268) and a14094a ); a14096a <=( a14095a and a14090a ); a14099a <=( (not A168) and (not A169) ); a14103a <=( (not A199) and A166 ); a14104a <=( A167 and a14103a ); a14105a <=( a14104a and a14099a ); a14109a <=( (not A267) and A203 ); a14110a <=( A200 and a14109a ); a14114a <=( A301 and (not A269) ); a14115a <=( (not A268) and a14114a ); a14116a <=( a14115a and a14110a ); a14119a <=( (not A168) and (not A169) ); a14123a <=( (not A199) and A166 ); a14124a <=( A167 and a14123a ); a14125a <=( a14124a and a14119a ); a14129a <=( (not A265) and A203 ); a14130a <=( A200 and a14129a ); a14134a <=( A301 and (not A268) ); a14135a <=( (not A266) and a14134a ); a14136a <=( a14135a and a14130a ); a14139a <=( (not A168) and (not A169) ); a14143a <=( A199 and A166 ); a14144a <=( A167 and a14143a ); a14145a <=( a14144a and a14139a ); a14149a <=( (not A267) and A203 ); a14150a <=( (not A200) and a14149a ); a14154a <=( A301 and (not A269) ); a14155a <=( (not A268) and a14154a ); a14156a <=( a14155a and a14150a ); a14159a <=( (not A168) and (not A169) ); a14163a <=( A199 and A166 ); a14164a <=( A167 and a14163a ); a14165a <=( a14164a and a14159a ); a14169a <=( (not A265) and A203 ); a14170a <=( (not A200) and a14169a ); a14174a <=( A301 and (not A268) ); a14175a <=( (not A266) and a14174a ); a14176a <=( a14175a and a14170a ); a14179a <=( (not A168) and (not A169) ); a14183a <=( (not A199) and A166 ); a14184a <=( A167 and a14183a ); a14185a <=( a14184a and a14179a ); a14189a <=( A268 and (not A202) ); a14190a <=( (not A200) and a14189a ); a14194a <=( (not A302) and (not A301) ); a14195a <=( (not A300) and a14194a ); a14196a <=( a14195a and a14190a ); a14199a <=( (not A168) and (not A169) ); a14203a <=( (not A199) and A166 ); a14204a <=( A167 and a14203a ); a14205a <=( a14204a and a14199a ); a14209a <=( A268 and (not A202) ); a14210a <=( (not A200) and a14209a ); a14214a <=( (not A301) and (not A299) ); a14215a <=( (not A298) and a14214a ); a14216a <=( a14215a and a14210a ); a14219a <=( (not A169) and (not A170) ); a14223a <=( A265 and A202 ); a14224a <=( (not A168) and a14223a ); a14225a <=( a14224a and a14219a ); a14229a <=( (not A268) and (not A267) ); a14230a <=( A266 and a14229a ); a14234a <=( A302 and (not A299) ); a14235a <=( A298 and a14234a ); a14236a <=( a14235a and a14230a ); a14239a <=( (not A169) and (not A170) ); a14243a <=( A265 and A202 ); a14244a <=( (not A168) and a14243a ); a14245a <=( a14244a and a14239a ); a14249a <=( (not A268) and (not A267) ); a14250a <=( A266 and a14249a ); a14254a <=( A302 and A299 ); a14255a <=( (not A298) and a14254a ); a14256a <=( a14255a and a14250a ); a14259a <=( (not A169) and (not A170) ); a14263a <=( (not A202) and (not A201) ); a14264a <=( (not A168) and a14263a ); a14265a <=( a14264a and a14259a ); a14269a <=( A298 and A268 ); a14270a <=( (not A203) and a14269a ); a14274a <=( (not A301) and (not A300) ); a14275a <=( A299 and a14274a ); a14276a <=( a14275a and a14270a ); a14279a <=( (not A169) and (not A170) ); a14283a <=( (not A202) and (not A201) ); a14284a <=( (not A168) and a14283a ); a14285a <=( a14284a and a14279a ); a14289a <=( A267 and A265 ); a14290a <=( (not A203) and a14289a ); a14294a <=( (not A302) and (not A301) ); a14295a <=( (not A300) and a14294a ); a14296a <=( a14295a and a14290a ); a14299a <=( (not A169) and (not A170) ); a14303a <=( (not A202) and (not A201) ); a14304a <=( (not A168) and a14303a ); a14305a <=( a14304a and a14299a ); a14309a <=( A267 and A265 ); a14310a <=( (not A203) and a14309a ); a14314a <=( (not A301) and (not A299) ); a14315a <=( (not A298) and a14314a ); a14316a <=( a14315a and a14310a ); a14319a <=( (not A169) and (not A170) ); a14323a <=( (not A202) and (not A201) ); a14324a <=( (not A168) and a14323a ); a14325a <=( a14324a and a14319a ); a14329a <=( A267 and A266 ); a14330a <=( (not A203) and a14329a ); a14334a <=( (not A302) and (not A301) ); a14335a <=( (not A300) and a14334a ); a14336a <=( a14335a and a14330a ); a14339a <=( (not A169) and (not A170) ); a14343a <=( (not A202) and (not A201) ); a14344a <=( (not A168) and a14343a ); a14345a <=( a14344a and a14339a ); a14349a <=( A267 and A266 ); a14350a <=( (not A203) and a14349a ); a14354a <=( (not A301) and (not A299) ); a14355a <=( (not A298) and a14354a ); a14356a <=( a14355a and a14350a ); a14359a <=( (not A169) and (not A170) ); a14363a <=( A201 and A199 ); a14364a <=( (not A168) and a14363a ); a14365a <=( a14364a and a14359a ); a14369a <=( (not A269) and (not A268) ); a14370a <=( (not A267) and a14369a ); a14374a <=( A302 and (not A299) ); a14375a <=( A298 and a14374a ); a14376a <=( a14375a and a14370a ); a14379a <=( (not A169) and (not A170) ); a14383a <=( A201 and A199 ); a14384a <=( (not A168) and a14383a ); a14385a <=( a14384a and a14379a ); a14389a <=( (not A269) and (not A268) ); a14390a <=( (not A267) and a14389a ); a14394a <=( A302 and A299 ); a14395a <=( (not A298) and a14394a ); a14396a <=( a14395a and a14390a ); a14399a <=( (not A169) and (not A170) ); a14403a <=( A201 and A199 ); a14404a <=( (not A168) and a14403a ); a14405a <=( a14404a and a14399a ); a14409a <=( (not A267) and A266 ); a14410a <=( A265 and a14409a ); a14414a <=( A300 and A299 ); a14415a <=( (not A268) and a14414a ); a14416a <=( a14415a and a14410a ); a14419a <=( (not A169) and (not A170) ); a14423a <=( A201 and A199 ); a14424a <=( (not A168) and a14423a ); a14425a <=( a14424a and a14419a ); a14429a <=( (not A267) and A266 ); a14430a <=( A265 and a14429a ); a14434a <=( A300 and A298 ); a14435a <=( (not A268) and a14434a ); a14436a <=( a14435a and a14430a ); a14439a <=( (not A169) and (not A170) ); a14443a <=( A201 and A199 ); a14444a <=( (not A168) and a14443a ); a14445a <=( a14444a and a14439a ); a14449a <=( (not A268) and (not A266) ); a14450a <=( (not A265) and a14449a ); a14454a <=( A302 and (not A299) ); a14455a <=( A298 and a14454a ); a14456a <=( a14455a and a14450a ); a14459a <=( (not A169) and (not A170) ); a14463a <=( A201 and A199 ); a14464a <=( (not A168) and a14463a ); a14465a <=( a14464a and a14459a ); a14469a <=( (not A268) and (not A266) ); a14470a <=( (not A265) and a14469a ); a14474a <=( A302 and A299 ); a14475a <=( (not A298) and a14474a ); a14476a <=( a14475a and a14470a ); a14479a <=( (not A169) and (not A170) ); a14483a <=( A201 and A200 ); a14484a <=( (not A168) and a14483a ); a14485a <=( a14484a and a14479a ); a14489a <=( (not A269) and (not A268) ); a14490a <=( (not A267) and a14489a ); a14494a <=( A302 and (not A299) ); a14495a <=( A298 and a14494a ); a14496a <=( a14495a and a14490a ); a14499a <=( (not A169) and (not A170) ); a14503a <=( A201 and A200 ); a14504a <=( (not A168) and a14503a ); a14505a <=( a14504a and a14499a ); a14509a <=( (not A269) and (not A268) ); a14510a <=( (not A267) and a14509a ); a14514a <=( A302 and A299 ); a14515a <=( (not A298) and a14514a ); a14516a <=( a14515a and a14510a ); a14519a <=( (not A169) and (not A170) ); a14523a <=( A201 and A200 ); a14524a <=( (not A168) and a14523a ); a14525a <=( a14524a and a14519a ); a14529a <=( (not A267) and A266 ); a14530a <=( A265 and a14529a ); a14534a <=( A300 and A299 ); a14535a <=( (not A268) and a14534a ); a14536a <=( a14535a and a14530a ); a14539a <=( (not A169) and (not A170) ); a14543a <=( A201 and A200 ); a14544a <=( (not A168) and a14543a ); a14545a <=( a14544a and a14539a ); a14549a <=( (not A267) and A266 ); a14550a <=( A265 and a14549a ); a14554a <=( A300 and A298 ); a14555a <=( (not A268) and a14554a ); a14556a <=( a14555a and a14550a ); a14559a <=( (not A169) and (not A170) ); a14563a <=( A201 and A200 ); a14564a <=( (not A168) and a14563a ); a14565a <=( a14564a and a14559a ); a14569a <=( (not A268) and (not A266) ); a14570a <=( (not A265) and a14569a ); a14574a <=( A302 and (not A299) ); a14575a <=( A298 and a14574a ); a14576a <=( a14575a and a14570a ); a14579a <=( (not A169) and (not A170) ); a14583a <=( A201 and A200 ); a14584a <=( (not A168) and a14583a ); a14585a <=( a14584a and a14579a ); a14589a <=( (not A268) and (not A266) ); a14590a <=( (not A265) and a14589a ); a14594a <=( A302 and A299 ); a14595a <=( (not A298) and a14594a ); a14596a <=( a14595a and a14590a ); a14599a <=( (not A169) and (not A170) ); a14603a <=( A200 and A199 ); a14604a <=( (not A168) and a14603a ); a14605a <=( a14604a and a14599a ); a14609a <=( A268 and (not A202) ); a14610a <=( (not A201) and a14609a ); a14614a <=( (not A302) and (not A301) ); a14615a <=( (not A300) and a14614a ); a14616a <=( a14615a and a14610a ); a14619a <=( (not A169) and (not A170) ); a14623a <=( A200 and A199 ); a14624a <=( (not A168) and a14623a ); a14625a <=( a14624a and a14619a ); a14629a <=( A268 and (not A202) ); a14630a <=( (not A201) and a14629a ); a14634a <=( (not A301) and (not A299) ); a14635a <=( (not A298) and a14634a ); a14636a <=( a14635a and a14630a ); a14639a <=( (not A169) and (not A170) ); a14643a <=( A200 and (not A199) ); a14644a <=( (not A168) and a14643a ); a14645a <=( a14644a and a14639a ); a14649a <=( (not A268) and (not A267) ); a14650a <=( A203 and a14649a ); a14654a <=( A300 and A299 ); a14655a <=( (not A269) and a14654a ); a14656a <=( a14655a and a14650a ); a14659a <=( (not A169) and (not A170) ); a14663a <=( A200 and (not A199) ); a14664a <=( (not A168) and a14663a ); a14665a <=( a14664a and a14659a ); a14669a <=( (not A268) and (not A267) ); a14670a <=( A203 and a14669a ); a14674a <=( A300 and A298 ); a14675a <=( (not A269) and a14674a ); a14676a <=( a14675a and a14670a ); a14679a <=( (not A169) and (not A170) ); a14683a <=( A200 and (not A199) ); a14684a <=( (not A168) and a14683a ); a14685a <=( a14684a and a14679a ); a14689a <=( A266 and A265 ); a14690a <=( A203 and a14689a ); a14694a <=( A301 and (not A268) ); a14695a <=( (not A267) and a14694a ); a14696a <=( a14695a and a14690a ); a14699a <=( (not A169) and (not A170) ); a14703a <=( A200 and (not A199) ); a14704a <=( (not A168) and a14703a ); a14705a <=( a14704a and a14699a ); a14709a <=( (not A266) and (not A265) ); a14710a <=( A203 and a14709a ); a14714a <=( A300 and A299 ); a14715a <=( (not A268) and a14714a ); a14716a <=( a14715a and a14710a ); a14719a <=( (not A169) and (not A170) ); a14723a <=( A200 and (not A199) ); a14724a <=( (not A168) and a14723a ); a14725a <=( a14724a and a14719a ); a14729a <=( (not A266) and (not A265) ); a14730a <=( A203 and a14729a ); a14734a <=( A300 and A298 ); a14735a <=( (not A268) and a14734a ); a14736a <=( a14735a and a14730a ); a14739a <=( (not A169) and (not A170) ); a14743a <=( (not A200) and A199 ); a14744a <=( (not A168) and a14743a ); a14745a <=( a14744a and a14739a ); a14749a <=( (not A268) and (not A267) ); a14750a <=( A203 and a14749a ); a14754a <=( A300 and A299 ); a14755a <=( (not A269) and a14754a ); a14756a <=( a14755a and a14750a ); a14759a <=( (not A169) and (not A170) ); a14763a <=( (not A200) and A199 ); a14764a <=( (not A168) and a14763a ); a14765a <=( a14764a and a14759a ); a14769a <=( (not A268) and (not A267) ); a14770a <=( A203 and a14769a ); a14774a <=( A300 and A298 ); a14775a <=( (not A269) and a14774a ); a14776a <=( a14775a and a14770a ); a14779a <=( (not A169) and (not A170) ); a14783a <=( (not A200) and A199 ); a14784a <=( (not A168) and a14783a ); a14785a <=( a14784a and a14779a ); a14789a <=( A266 and A265 ); a14790a <=( A203 and a14789a ); a14794a <=( A301 and (not A268) ); a14795a <=( (not A267) and a14794a ); a14796a <=( a14795a and a14790a ); a14799a <=( (not A169) and (not A170) ); a14803a <=( (not A200) and A199 ); a14804a <=( (not A168) and a14803a ); a14805a <=( a14804a and a14799a ); a14809a <=( (not A266) and (not A265) ); a14810a <=( A203 and a14809a ); a14814a <=( A300 and A299 ); a14815a <=( (not A268) and a14814a ); a14816a <=( a14815a and a14810a ); a14819a <=( (not A169) and (not A170) ); a14823a <=( (not A200) and A199 ); a14824a <=( (not A168) and a14823a ); a14825a <=( a14824a and a14819a ); a14829a <=( (not A266) and (not A265) ); a14830a <=( A203 and a14829a ); a14834a <=( A300 and A298 ); a14835a <=( (not A268) and a14834a ); a14836a <=( a14835a and a14830a ); a14839a <=( (not A169) and (not A170) ); a14843a <=( (not A200) and (not A199) ); a14844a <=( (not A168) and a14843a ); a14845a <=( a14844a and a14839a ); a14849a <=( A298 and A268 ); a14850a <=( (not A202) and a14849a ); a14854a <=( (not A301) and (not A300) ); a14855a <=( A299 and a14854a ); a14856a <=( a14855a and a14850a ); a14859a <=( (not A169) and (not A170) ); a14863a <=( (not A200) and (not A199) ); a14864a <=( (not A168) and a14863a ); a14865a <=( a14864a and a14859a ); a14869a <=( A267 and A265 ); a14870a <=( (not A202) and a14869a ); a14874a <=( (not A302) and (not A301) ); a14875a <=( (not A300) and a14874a ); a14876a <=( a14875a and a14870a ); a14879a <=( (not A169) and (not A170) ); a14883a <=( (not A200) and (not A199) ); a14884a <=( (not A168) and a14883a ); a14885a <=( a14884a and a14879a ); a14889a <=( A267 and A265 ); a14890a <=( (not A202) and a14889a ); a14894a <=( (not A301) and (not A299) ); a14895a <=( (not A298) and a14894a ); a14896a <=( a14895a and a14890a ); a14899a <=( (not A169) and (not A170) ); a14903a <=( (not A200) and (not A199) ); a14904a <=( (not A168) and a14903a ); a14905a <=( a14904a and a14899a ); a14909a <=( A267 and A266 ); a14910a <=( (not A202) and a14909a ); a14914a <=( (not A302) and (not A301) ); a14915a <=( (not A300) and a14914a ); a14916a <=( a14915a and a14910a ); a14919a <=( (not A169) and (not A170) ); a14923a <=( (not A200) and (not A199) ); a14924a <=( (not A168) and a14923a ); a14925a <=( a14924a and a14919a ); a14929a <=( A267 and A266 ); a14930a <=( (not A202) and a14929a ); a14934a <=( (not A301) and (not A299) ); a14935a <=( (not A298) and a14934a ); a14936a <=( a14935a and a14930a ); a14940a <=( (not A201) and A166 ); a14941a <=( A168 and a14940a ); a14945a <=( A265 and (not A203) ); a14946a <=( (not A202) and a14945a ); a14947a <=( a14946a and a14941a ); a14951a <=( (not A268) and (not A267) ); a14952a <=( A266 and a14951a ); a14956a <=( A302 and (not A299) ); a14957a <=( A298 and a14956a ); a14958a <=( a14957a and a14952a ); a14962a <=( (not A201) and A166 ); a14963a <=( A168 and a14962a ); a14967a <=( A265 and (not A203) ); a14968a <=( (not A202) and a14967a ); a14969a <=( a14968a and a14963a ); a14973a <=( (not A268) and (not A267) ); a14974a <=( A266 and a14973a ); a14978a <=( A302 and A299 ); a14979a <=( (not A298) and a14978a ); a14980a <=( a14979a and a14974a ); a14984a <=( A199 and A166 ); a14985a <=( A168 and a14984a ); a14989a <=( (not A202) and (not A201) ); a14990a <=( A200 and a14989a ); a14991a <=( a14990a and a14985a ); a14995a <=( (not A269) and (not A268) ); a14996a <=( (not A267) and a14995a ); a15000a <=( A302 and (not A299) ); a15001a <=( A298 and a15000a ); a15002a <=( a15001a and a14996a ); a15006a <=( A199 and A166 ); a15007a <=( A168 and a15006a ); a15011a <=( (not A202) and (not A201) ); a15012a <=( A200 and a15011a ); a15013a <=( a15012a and a15007a ); a15017a <=( (not A269) and (not A268) ); a15018a <=( (not A267) and a15017a ); a15022a <=( A302 and A299 ); a15023a <=( (not A298) and a15022a ); a15024a <=( a15023a and a15018a ); a15028a <=( A199 and A166 ); a15029a <=( A168 and a15028a ); a15033a <=( (not A202) and (not A201) ); a15034a <=( A200 and a15033a ); a15035a <=( a15034a and a15029a ); a15039a <=( (not A267) and A266 ); a15040a <=( A265 and a15039a ); a15044a <=( A300 and A299 ); a15045a <=( (not A268) and a15044a ); a15046a <=( a15045a and a15040a ); a15050a <=( A199 and A166 ); a15051a <=( A168 and a15050a ); a15055a <=( (not A202) and (not A201) ); a15056a <=( A200 and a15055a ); a15057a <=( a15056a and a15051a ); a15061a <=( (not A267) and A266 ); a15062a <=( A265 and a15061a ); a15066a <=( A300 and A298 ); a15067a <=( (not A268) and a15066a ); a15068a <=( a15067a and a15062a ); a15072a <=( A199 and A166 ); a15073a <=( A168 and a15072a ); a15077a <=( (not A202) and (not A201) ); a15078a <=( A200 and a15077a ); a15079a <=( a15078a and a15073a ); a15083a <=( (not A268) and (not A266) ); a15084a <=( (not A265) and a15083a ); a15088a <=( A302 and (not A299) ); a15089a <=( A298 and a15088a ); a15090a <=( a15089a and a15084a ); a15094a <=( A199 and A166 ); a15095a <=( A168 and a15094a ); a15099a <=( (not A202) and (not A201) ); a15100a <=( A200 and a15099a ); a15101a <=( a15100a and a15095a ); a15105a <=( (not A268) and (not A266) ); a15106a <=( (not A265) and a15105a ); a15110a <=( A302 and A299 ); a15111a <=( (not A298) and a15110a ); a15112a <=( a15111a and a15106a ); a15116a <=( (not A199) and A166 ); a15117a <=( A168 and a15116a ); a15121a <=( (not A265) and A203 ); a15122a <=( A200 and a15121a ); a15123a <=( a15122a and a15117a ); a15127a <=( A298 and A269 ); a15128a <=( A266 and a15127a ); a15132a <=( (not A301) and (not A300) ); a15133a <=( A299 and a15132a ); a15134a <=( a15133a and a15128a ); a15138a <=( (not A199) and A166 ); a15139a <=( A168 and a15138a ); a15143a <=( A265 and A203 ); a15144a <=( A200 and a15143a ); a15145a <=( a15144a and a15139a ); a15149a <=( A298 and A269 ); a15150a <=( (not A266) and a15149a ); a15154a <=( (not A301) and (not A300) ); a15155a <=( A299 and a15154a ); a15156a <=( a15155a and a15150a ); a15160a <=( A199 and A166 ); a15161a <=( A168 and a15160a ); a15165a <=( (not A265) and A203 ); a15166a <=( (not A200) and a15165a ); a15167a <=( a15166a and a15161a ); a15171a <=( A298 and A269 ); a15172a <=( A266 and a15171a ); a15176a <=( (not A301) and (not A300) ); a15177a <=( A299 and a15176a ); a15178a <=( a15177a and a15172a ); a15182a <=( A199 and A166 ); a15183a <=( A168 and a15182a ); a15187a <=( A265 and A203 ); a15188a <=( (not A200) and a15187a ); a15189a <=( a15188a and a15183a ); a15193a <=( A298 and A269 ); a15194a <=( (not A266) and a15193a ); a15198a <=( (not A301) and (not A300) ); a15199a <=( A299 and a15198a ); a15200a <=( a15199a and a15194a ); a15204a <=( (not A199) and A166 ); a15205a <=( A168 and a15204a ); a15209a <=( A265 and (not A202) ); a15210a <=( (not A200) and a15209a ); a15211a <=( a15210a and a15205a ); a15215a <=( (not A268) and (not A267) ); a15216a <=( A266 and a15215a ); a15220a <=( A302 and (not A299) ); a15221a <=( A298 and a15220a ); a15222a <=( a15221a and a15216a ); a15226a <=( (not A199) and A166 ); a15227a <=( A168 and a15226a ); a15231a <=( A265 and (not A202) ); a15232a <=( (not A200) and a15231a ); a15233a <=( a15232a and a15227a ); a15237a <=( (not A268) and (not A267) ); a15238a <=( A266 and a15237a ); a15242a <=( A302 and A299 ); a15243a <=( (not A298) and a15242a ); a15244a <=( a15243a and a15238a ); a15248a <=( (not A201) and A167 ); a15249a <=( A168 and a15248a ); a15253a <=( A265 and (not A203) ); a15254a <=( (not A202) and a15253a ); a15255a <=( a15254a and a15249a ); a15259a <=( (not A268) and (not A267) ); a15260a <=( A266 and a15259a ); a15264a <=( A302 and (not A299) ); a15265a <=( A298 and a15264a ); a15266a <=( a15265a and a15260a ); a15270a <=( (not A201) and A167 ); a15271a <=( A168 and a15270a ); a15275a <=( A265 and (not A203) ); a15276a <=( (not A202) and a15275a ); a15277a <=( a15276a and a15271a ); a15281a <=( (not A268) and (not A267) ); a15282a <=( A266 and a15281a ); a15286a <=( A302 and A299 ); a15287a <=( (not A298) and a15286a ); a15288a <=( a15287a and a15282a ); a15292a <=( A199 and A167 ); a15293a <=( A168 and a15292a ); a15297a <=( (not A202) and (not A201) ); a15298a <=( A200 and a15297a ); a15299a <=( a15298a and a15293a ); a15303a <=( (not A269) and (not A268) ); a15304a <=( (not A267) and a15303a ); a15308a <=( A302 and (not A299) ); a15309a <=( A298 and a15308a ); a15310a <=( a15309a and a15304a ); a15314a <=( A199 and A167 ); a15315a <=( A168 and a15314a ); a15319a <=( (not A202) and (not A201) ); a15320a <=( A200 and a15319a ); a15321a <=( a15320a and a15315a ); a15325a <=( (not A269) and (not A268) ); a15326a <=( (not A267) and a15325a ); a15330a <=( A302 and A299 ); a15331a <=( (not A298) and a15330a ); a15332a <=( a15331a and a15326a ); a15336a <=( A199 and A167 ); a15337a <=( A168 and a15336a ); a15341a <=( (not A202) and (not A201) ); a15342a <=( A200 and a15341a ); a15343a <=( a15342a and a15337a ); a15347a <=( (not A267) and A266 ); a15348a <=( A265 and a15347a ); a15352a <=( A300 and A299 ); a15353a <=( (not A268) and a15352a ); a15354a <=( a15353a and a15348a ); a15358a <=( A199 and A167 ); a15359a <=( A168 and a15358a ); a15363a <=( (not A202) and (not A201) ); a15364a <=( A200 and a15363a ); a15365a <=( a15364a and a15359a ); a15369a <=( (not A267) and A266 ); a15370a <=( A265 and a15369a ); a15374a <=( A300 and A298 ); a15375a <=( (not A268) and a15374a ); a15376a <=( a15375a and a15370a ); a15380a <=( A199 and A167 ); a15381a <=( A168 and a15380a ); a15385a <=( (not A202) and (not A201) ); a15386a <=( A200 and a15385a ); a15387a <=( a15386a and a15381a ); a15391a <=( (not A268) and (not A266) ); a15392a <=( (not A265) and a15391a ); a15396a <=( A302 and (not A299) ); a15397a <=( A298 and a15396a ); a15398a <=( a15397a and a15392a ); a15402a <=( A199 and A167 ); a15403a <=( A168 and a15402a ); a15407a <=( (not A202) and (not A201) ); a15408a <=( A200 and a15407a ); a15409a <=( a15408a and a15403a ); a15413a <=( (not A268) and (not A266) ); a15414a <=( (not A265) and a15413a ); a15418a <=( A302 and A299 ); a15419a <=( (not A298) and a15418a ); a15420a <=( a15419a and a15414a ); a15424a <=( (not A199) and A167 ); a15425a <=( A168 and a15424a ); a15429a <=( (not A265) and A203 ); a15430a <=( A200 and a15429a ); a15431a <=( a15430a and a15425a ); a15435a <=( A298 and A269 ); a15436a <=( A266 and a15435a ); a15440a <=( (not A301) and (not A300) ); a15441a <=( A299 and a15440a ); a15442a <=( a15441a and a15436a ); a15446a <=( (not A199) and A167 ); a15447a <=( A168 and a15446a ); a15451a <=( A265 and A203 ); a15452a <=( A200 and a15451a ); a15453a <=( a15452a and a15447a ); a15457a <=( A298 and A269 ); a15458a <=( (not A266) and a15457a ); a15462a <=( (not A301) and (not A300) ); a15463a <=( A299 and a15462a ); a15464a <=( a15463a and a15458a ); a15468a <=( A199 and A167 ); a15469a <=( A168 and a15468a ); a15473a <=( (not A265) and A203 ); a15474a <=( (not A200) and a15473a ); a15475a <=( a15474a and a15469a ); a15479a <=( A298 and A269 ); a15480a <=( A266 and a15479a ); a15484a <=( (not A301) and (not A300) ); a15485a <=( A299 and a15484a ); a15486a <=( a15485a and a15480a ); a15490a <=( A199 and A167 ); a15491a <=( A168 and a15490a ); a15495a <=( A265 and A203 ); a15496a <=( (not A200) and a15495a ); a15497a <=( a15496a and a15491a ); a15501a <=( A298 and A269 ); a15502a <=( (not A266) and a15501a ); a15506a <=( (not A301) and (not A300) ); a15507a <=( A299 and a15506a ); a15508a <=( a15507a and a15502a ); a15512a <=( (not A199) and A167 ); a15513a <=( A168 and a15512a ); a15517a <=( A265 and (not A202) ); a15518a <=( (not A200) and a15517a ); a15519a <=( a15518a and a15513a ); a15523a <=( (not A268) and (not A267) ); a15524a <=( A266 and a15523a ); a15528a <=( A302 and (not A299) ); a15529a <=( A298 and a15528a ); a15530a <=( a15529a and a15524a ); a15534a <=( (not A199) and A167 ); a15535a <=( A168 and a15534a ); a15539a <=( A265 and (not A202) ); a15540a <=( (not A200) and a15539a ); a15541a <=( a15540a and a15535a ); a15545a <=( (not A268) and (not A267) ); a15546a <=( A266 and a15545a ); a15550a <=( A302 and A299 ); a15551a <=( (not A298) and a15550a ); a15552a <=( a15551a and a15546a ); a15556a <=( (not A166) and A167 ); a15557a <=( A170 and a15556a ); a15561a <=( (not A203) and (not A202) ); a15562a <=( (not A201) and a15561a ); a15563a <=( a15562a and a15557a ); a15567a <=( (not A269) and (not A268) ); a15568a <=( (not A267) and a15567a ); a15572a <=( A302 and (not A299) ); a15573a <=( A298 and a15572a ); a15574a <=( a15573a and a15568a ); a15578a <=( (not A166) and A167 ); a15579a <=( A170 and a15578a ); a15583a <=( (not A203) and (not A202) ); a15584a <=( (not A201) and a15583a ); a15585a <=( a15584a and a15579a ); a15589a <=( (not A269) and (not A268) ); a15590a <=( (not A267) and a15589a ); a15594a <=( A302 and A299 ); a15595a <=( (not A298) and a15594a ); a15596a <=( a15595a and a15590a ); a15600a <=( (not A166) and A167 ); a15601a <=( A170 and a15600a ); a15605a <=( (not A203) and (not A202) ); a15606a <=( (not A201) and a15605a ); a15607a <=( a15606a and a15601a ); a15611a <=( (not A267) and A266 ); a15612a <=( A265 and a15611a ); a15616a <=( A300 and A299 ); a15617a <=( (not A268) and a15616a ); a15618a <=( a15617a and a15612a ); a15622a <=( (not A166) and A167 ); a15623a <=( A170 and a15622a ); a15627a <=( (not A203) and (not A202) ); a15628a <=( (not A201) and a15627a ); a15629a <=( a15628a and a15623a ); a15633a <=( (not A267) and A266 ); a15634a <=( A265 and a15633a ); a15638a <=( A300 and A298 ); a15639a <=( (not A268) and a15638a ); a15640a <=( a15639a and a15634a ); a15644a <=( (not A166) and A167 ); a15645a <=( A170 and a15644a ); a15649a <=( (not A203) and (not A202) ); a15650a <=( (not A201) and a15649a ); a15651a <=( a15650a and a15645a ); a15655a <=( (not A268) and (not A266) ); a15656a <=( (not A265) and a15655a ); a15660a <=( A302 and (not A299) ); a15661a <=( A298 and a15660a ); a15662a <=( a15661a and a15656a ); a15666a <=( (not A166) and A167 ); a15667a <=( A170 and a15666a ); a15671a <=( (not A203) and (not A202) ); a15672a <=( (not A201) and a15671a ); a15673a <=( a15672a and a15667a ); a15677a <=( (not A268) and (not A266) ); a15678a <=( (not A265) and a15677a ); a15682a <=( A302 and A299 ); a15683a <=( (not A298) and a15682a ); a15684a <=( a15683a and a15678a ); a15688a <=( (not A166) and A167 ); a15689a <=( A170 and a15688a ); a15693a <=( (not A265) and A201 ); a15694a <=( A199 and a15693a ); a15695a <=( a15694a and a15689a ); a15699a <=( A298 and A269 ); a15700a <=( A266 and a15699a ); a15704a <=( (not A301) and (not A300) ); a15705a <=( A299 and a15704a ); a15706a <=( a15705a and a15700a ); a15710a <=( (not A166) and A167 ); a15711a <=( A170 and a15710a ); a15715a <=( A265 and A201 ); a15716a <=( A199 and a15715a ); a15717a <=( a15716a and a15711a ); a15721a <=( A298 and A269 ); a15722a <=( (not A266) and a15721a ); a15726a <=( (not A301) and (not A300) ); a15727a <=( A299 and a15726a ); a15728a <=( a15727a and a15722a ); a15732a <=( (not A166) and A167 ); a15733a <=( A170 and a15732a ); a15737a <=( (not A265) and A201 ); a15738a <=( A200 and a15737a ); a15739a <=( a15738a and a15733a ); a15743a <=( A298 and A269 ); a15744a <=( A266 and a15743a ); a15748a <=( (not A301) and (not A300) ); a15749a <=( A299 and a15748a ); a15750a <=( a15749a and a15744a ); a15754a <=( (not A166) and A167 ); a15755a <=( A170 and a15754a ); a15759a <=( A265 and A201 ); a15760a <=( A200 and a15759a ); a15761a <=( a15760a and a15755a ); a15765a <=( A298 and A269 ); a15766a <=( (not A266) and a15765a ); a15770a <=( (not A301) and (not A300) ); a15771a <=( A299 and a15770a ); a15772a <=( a15771a and a15766a ); a15776a <=( (not A166) and A167 ); a15777a <=( A170 and a15776a ); a15781a <=( (not A201) and A200 ); a15782a <=( A199 and a15781a ); a15783a <=( a15782a and a15777a ); a15787a <=( (not A268) and (not A267) ); a15788a <=( (not A202) and a15787a ); a15792a <=( A300 and A299 ); a15793a <=( (not A269) and a15792a ); a15794a <=( a15793a and a15788a ); a15798a <=( (not A166) and A167 ); a15799a <=( A170 and a15798a ); a15803a <=( (not A201) and A200 ); a15804a <=( A199 and a15803a ); a15805a <=( a15804a and a15799a ); a15809a <=( (not A268) and (not A267) ); a15810a <=( (not A202) and a15809a ); a15814a <=( A300 and A298 ); a15815a <=( (not A269) and a15814a ); a15816a <=( a15815a and a15810a ); a15820a <=( (not A166) and A167 ); a15821a <=( A170 and a15820a ); a15825a <=( (not A201) and A200 ); a15826a <=( A199 and a15825a ); a15827a <=( a15826a and a15821a ); a15831a <=( A266 and A265 ); a15832a <=( (not A202) and a15831a ); a15836a <=( A301 and (not A268) ); a15837a <=( (not A267) and a15836a ); a15838a <=( a15837a and a15832a ); a15842a <=( (not A166) and A167 ); a15843a <=( A170 and a15842a ); a15847a <=( (not A201) and A200 ); a15848a <=( A199 and a15847a ); a15849a <=( a15848a and a15843a ); a15853a <=( (not A266) and (not A265) ); a15854a <=( (not A202) and a15853a ); a15858a <=( A300 and A299 ); a15859a <=( (not A268) and a15858a ); a15860a <=( a15859a and a15854a ); a15864a <=( (not A166) and A167 ); a15865a <=( A170 and a15864a ); a15869a <=( (not A201) and A200 ); a15870a <=( A199 and a15869a ); a15871a <=( a15870a and a15865a ); a15875a <=( (not A266) and (not A265) ); a15876a <=( (not A202) and a15875a ); a15880a <=( A300 and A298 ); a15881a <=( (not A268) and a15880a ); a15882a <=( a15881a and a15876a ); a15886a <=( (not A166) and A167 ); a15887a <=( A170 and a15886a ); a15891a <=( A203 and A200 ); a15892a <=( (not A199) and a15891a ); a15893a <=( a15892a and a15887a ); a15897a <=( A298 and A267 ); a15898a <=( A265 and a15897a ); a15902a <=( (not A301) and (not A300) ); a15903a <=( A299 and a15902a ); a15904a <=( a15903a and a15898a ); a15908a <=( (not A166) and A167 ); a15909a <=( A170 and a15908a ); a15913a <=( A203 and A200 ); a15914a <=( (not A199) and a15913a ); a15915a <=( a15914a and a15909a ); a15919a <=( A298 and A267 ); a15920a <=( A266 and a15919a ); a15924a <=( (not A301) and (not A300) ); a15925a <=( A299 and a15924a ); a15926a <=( a15925a and a15920a ); a15930a <=( (not A166) and A167 ); a15931a <=( A170 and a15930a ); a15935a <=( A203 and A200 ); a15936a <=( (not A199) and a15935a ); a15937a <=( a15936a and a15931a ); a15941a <=( A269 and A266 ); a15942a <=( (not A265) and a15941a ); a15946a <=( (not A302) and (not A301) ); a15947a <=( (not A300) and a15946a ); a15948a <=( a15947a and a15942a ); a15952a <=( (not A166) and A167 ); a15953a <=( A170 and a15952a ); a15957a <=( A203 and A200 ); a15958a <=( (not A199) and a15957a ); a15959a <=( a15958a and a15953a ); a15963a <=( A269 and A266 ); a15964a <=( (not A265) and a15963a ); a15968a <=( (not A301) and (not A299) ); a15969a <=( (not A298) and a15968a ); a15970a <=( a15969a and a15964a ); a15974a <=( (not A166) and A167 ); a15975a <=( A170 and a15974a ); a15979a <=( A203 and A200 ); a15980a <=( (not A199) and a15979a ); a15981a <=( a15980a and a15975a ); a15985a <=( A269 and (not A266) ); a15986a <=( A265 and a15985a ); a15990a <=( (not A302) and (not A301) ); a15991a <=( (not A300) and a15990a ); a15992a <=( a15991a and a15986a ); a15996a <=( (not A166) and A167 ); a15997a <=( A170 and a15996a ); a16001a <=( A203 and A200 ); a16002a <=( (not A199) and a16001a ); a16003a <=( a16002a and a15997a ); a16007a <=( A269 and (not A266) ); a16008a <=( A265 and a16007a ); a16012a <=( (not A301) and (not A299) ); a16013a <=( (not A298) and a16012a ); a16014a <=( a16013a and a16008a ); a16018a <=( (not A166) and A167 ); a16019a <=( A170 and a16018a ); a16023a <=( A203 and (not A200) ); a16024a <=( A199 and a16023a ); a16025a <=( a16024a and a16019a ); a16029a <=( A298 and A267 ); a16030a <=( A265 and a16029a ); a16034a <=( (not A301) and (not A300) ); a16035a <=( A299 and a16034a ); a16036a <=( a16035a and a16030a ); a16040a <=( (not A166) and A167 ); a16041a <=( A170 and a16040a ); a16045a <=( A203 and (not A200) ); a16046a <=( A199 and a16045a ); a16047a <=( a16046a and a16041a ); a16051a <=( A298 and A267 ); a16052a <=( A266 and a16051a ); a16056a <=( (not A301) and (not A300) ); a16057a <=( A299 and a16056a ); a16058a <=( a16057a and a16052a ); a16062a <=( (not A166) and A167 ); a16063a <=( A170 and a16062a ); a16067a <=( A203 and (not A200) ); a16068a <=( A199 and a16067a ); a16069a <=( a16068a and a16063a ); a16073a <=( A269 and A266 ); a16074a <=( (not A265) and a16073a ); a16078a <=( (not A302) and (not A301) ); a16079a <=( (not A300) and a16078a ); a16080a <=( a16079a and a16074a ); a16084a <=( (not A166) and A167 ); a16085a <=( A170 and a16084a ); a16089a <=( A203 and (not A200) ); a16090a <=( A199 and a16089a ); a16091a <=( a16090a and a16085a ); a16095a <=( A269 and A266 ); a16096a <=( (not A265) and a16095a ); a16100a <=( (not A301) and (not A299) ); a16101a <=( (not A298) and a16100a ); a16102a <=( a16101a and a16096a ); a16106a <=( (not A166) and A167 ); a16107a <=( A170 and a16106a ); a16111a <=( A203 and (not A200) ); a16112a <=( A199 and a16111a ); a16113a <=( a16112a and a16107a ); a16117a <=( A269 and (not A266) ); a16118a <=( A265 and a16117a ); a16122a <=( (not A302) and (not A301) ); a16123a <=( (not A300) and a16122a ); a16124a <=( a16123a and a16118a ); a16128a <=( (not A166) and A167 ); a16129a <=( A170 and a16128a ); a16133a <=( A203 and (not A200) ); a16134a <=( A199 and a16133a ); a16135a <=( a16134a and a16129a ); a16139a <=( A269 and (not A266) ); a16140a <=( A265 and a16139a ); a16144a <=( (not A301) and (not A299) ); a16145a <=( (not A298) and a16144a ); a16146a <=( a16145a and a16140a ); a16150a <=( (not A166) and A167 ); a16151a <=( A170 and a16150a ); a16155a <=( (not A202) and (not A200) ); a16156a <=( (not A199) and a16155a ); a16157a <=( a16156a and a16151a ); a16161a <=( (not A269) and (not A268) ); a16162a <=( (not A267) and a16161a ); a16166a <=( A302 and (not A299) ); a16167a <=( A298 and a16166a ); a16168a <=( a16167a and a16162a ); a16172a <=( (not A166) and A167 ); a16173a <=( A170 and a16172a ); a16177a <=( (not A202) and (not A200) ); a16178a <=( (not A199) and a16177a ); a16179a <=( a16178a and a16173a ); a16183a <=( (not A269) and (not A268) ); a16184a <=( (not A267) and a16183a ); a16188a <=( A302 and A299 ); a16189a <=( (not A298) and a16188a ); a16190a <=( a16189a and a16184a ); a16194a <=( (not A166) and A167 ); a16195a <=( A170 and a16194a ); a16199a <=( (not A202) and (not A200) ); a16200a <=( (not A199) and a16199a ); a16201a <=( a16200a and a16195a ); a16205a <=( (not A267) and A266 ); a16206a <=( A265 and a16205a ); a16210a <=( A300 and A299 ); a16211a <=( (not A268) and a16210a ); a16212a <=( a16211a and a16206a ); a16216a <=( (not A166) and A167 ); a16217a <=( A170 and a16216a ); a16221a <=( (not A202) and (not A200) ); a16222a <=( (not A199) and a16221a ); a16223a <=( a16222a and a16217a ); a16227a <=( (not A267) and A266 ); a16228a <=( A265 and a16227a ); a16232a <=( A300 and A298 ); a16233a <=( (not A268) and a16232a ); a16234a <=( a16233a and a16228a ); a16238a <=( (not A166) and A167 ); a16239a <=( A170 and a16238a ); a16243a <=( (not A202) and (not A200) ); a16244a <=( (not A199) and a16243a ); a16245a <=( a16244a and a16239a ); a16249a <=( (not A268) and (not A266) ); a16250a <=( (not A265) and a16249a ); a16254a <=( A302 and (not A299) ); a16255a <=( A298 and a16254a ); a16256a <=( a16255a and a16250a ); a16260a <=( (not A166) and A167 ); a16261a <=( A170 and a16260a ); a16265a <=( (not A202) and (not A200) ); a16266a <=( (not A199) and a16265a ); a16267a <=( a16266a and a16261a ); a16271a <=( (not A268) and (not A266) ); a16272a <=( (not A265) and a16271a ); a16276a <=( A302 and A299 ); a16277a <=( (not A298) and a16276a ); a16278a <=( a16277a and a16272a ); a16282a <=( A166 and (not A167) ); a16283a <=( A170 and a16282a ); a16287a <=( (not A203) and (not A202) ); a16288a <=( (not A201) and a16287a ); a16289a <=( a16288a and a16283a ); a16293a <=( (not A269) and (not A268) ); a16294a <=( (not A267) and a16293a ); a16298a <=( A302 and (not A299) ); a16299a <=( A298 and a16298a ); a16300a <=( a16299a and a16294a ); a16304a <=( A166 and (not A167) ); a16305a <=( A170 and a16304a ); a16309a <=( (not A203) and (not A202) ); a16310a <=( (not A201) and a16309a ); a16311a <=( a16310a and a16305a ); a16315a <=( (not A269) and (not A268) ); a16316a <=( (not A267) and a16315a ); a16320a <=( A302 and A299 ); a16321a <=( (not A298) and a16320a ); a16322a <=( a16321a and a16316a ); a16326a <=( A166 and (not A167) ); a16327a <=( A170 and a16326a ); a16331a <=( (not A203) and (not A202) ); a16332a <=( (not A201) and a16331a ); a16333a <=( a16332a and a16327a ); a16337a <=( (not A267) and A266 ); a16338a <=( A265 and a16337a ); a16342a <=( A300 and A299 ); a16343a <=( (not A268) and a16342a ); a16344a <=( a16343a and a16338a ); a16348a <=( A166 and (not A167) ); a16349a <=( A170 and a16348a ); a16353a <=( (not A203) and (not A202) ); a16354a <=( (not A201) and a16353a ); a16355a <=( a16354a and a16349a ); a16359a <=( (not A267) and A266 ); a16360a <=( A265 and a16359a ); a16364a <=( A300 and A298 ); a16365a <=( (not A268) and a16364a ); a16366a <=( a16365a and a16360a ); a16370a <=( A166 and (not A167) ); a16371a <=( A170 and a16370a ); a16375a <=( (not A203) and (not A202) ); a16376a <=( (not A201) and a16375a ); a16377a <=( a16376a and a16371a ); a16381a <=( (not A268) and (not A266) ); a16382a <=( (not A265) and a16381a ); a16386a <=( A302 and (not A299) ); a16387a <=( A298 and a16386a ); a16388a <=( a16387a and a16382a ); a16392a <=( A166 and (not A167) ); a16393a <=( A170 and a16392a ); a16397a <=( (not A203) and (not A202) ); a16398a <=( (not A201) and a16397a ); a16399a <=( a16398a and a16393a ); a16403a <=( (not A268) and (not A266) ); a16404a <=( (not A265) and a16403a ); a16408a <=( A302 and A299 ); a16409a <=( (not A298) and a16408a ); a16410a <=( a16409a and a16404a ); a16414a <=( A166 and (not A167) ); a16415a <=( A170 and a16414a ); a16419a <=( (not A265) and A201 ); a16420a <=( A199 and a16419a ); a16421a <=( a16420a and a16415a ); a16425a <=( A298 and A269 ); a16426a <=( A266 and a16425a ); a16430a <=( (not A301) and (not A300) ); a16431a <=( A299 and a16430a ); a16432a <=( a16431a and a16426a ); a16436a <=( A166 and (not A167) ); a16437a <=( A170 and a16436a ); a16441a <=( A265 and A201 ); a16442a <=( A199 and a16441a ); a16443a <=( a16442a and a16437a ); a16447a <=( A298 and A269 ); a16448a <=( (not A266) and a16447a ); a16452a <=( (not A301) and (not A300) ); a16453a <=( A299 and a16452a ); a16454a <=( a16453a and a16448a ); a16458a <=( A166 and (not A167) ); a16459a <=( A170 and a16458a ); a16463a <=( (not A265) and A201 ); a16464a <=( A200 and a16463a ); a16465a <=( a16464a and a16459a ); a16469a <=( A298 and A269 ); a16470a <=( A266 and a16469a ); a16474a <=( (not A301) and (not A300) ); a16475a <=( A299 and a16474a ); a16476a <=( a16475a and a16470a ); a16480a <=( A166 and (not A167) ); a16481a <=( A170 and a16480a ); a16485a <=( A265 and A201 ); a16486a <=( A200 and a16485a ); a16487a <=( a16486a and a16481a ); a16491a <=( A298 and A269 ); a16492a <=( (not A266) and a16491a ); a16496a <=( (not A301) and (not A300) ); a16497a <=( A299 and a16496a ); a16498a <=( a16497a and a16492a ); a16502a <=( A166 and (not A167) ); a16503a <=( A170 and a16502a ); a16507a <=( (not A201) and A200 ); a16508a <=( A199 and a16507a ); a16509a <=( a16508a and a16503a ); a16513a <=( (not A268) and (not A267) ); a16514a <=( (not A202) and a16513a ); a16518a <=( A300 and A299 ); a16519a <=( (not A269) and a16518a ); a16520a <=( a16519a and a16514a ); a16524a <=( A166 and (not A167) ); a16525a <=( A170 and a16524a ); a16529a <=( (not A201) and A200 ); a16530a <=( A199 and a16529a ); a16531a <=( a16530a and a16525a ); a16535a <=( (not A268) and (not A267) ); a16536a <=( (not A202) and a16535a ); a16540a <=( A300 and A298 ); a16541a <=( (not A269) and a16540a ); a16542a <=( a16541a and a16536a ); a16546a <=( A166 and (not A167) ); a16547a <=( A170 and a16546a ); a16551a <=( (not A201) and A200 ); a16552a <=( A199 and a16551a ); a16553a <=( a16552a and a16547a ); a16557a <=( A266 and A265 ); a16558a <=( (not A202) and a16557a ); a16562a <=( A301 and (not A268) ); a16563a <=( (not A267) and a16562a ); a16564a <=( a16563a and a16558a ); a16568a <=( A166 and (not A167) ); a16569a <=( A170 and a16568a ); a16573a <=( (not A201) and A200 ); a16574a <=( A199 and a16573a ); a16575a <=( a16574a and a16569a ); a16579a <=( (not A266) and (not A265) ); a16580a <=( (not A202) and a16579a ); a16584a <=( A300 and A299 ); a16585a <=( (not A268) and a16584a ); a16586a <=( a16585a and a16580a ); a16590a <=( A166 and (not A167) ); a16591a <=( A170 and a16590a ); a16595a <=( (not A201) and A200 ); a16596a <=( A199 and a16595a ); a16597a <=( a16596a and a16591a ); a16601a <=( (not A266) and (not A265) ); a16602a <=( (not A202) and a16601a ); a16606a <=( A300 and A298 ); a16607a <=( (not A268) and a16606a ); a16608a <=( a16607a and a16602a ); a16612a <=( A166 and (not A167) ); a16613a <=( A170 and a16612a ); a16617a <=( A203 and A200 ); a16618a <=( (not A199) and a16617a ); a16619a <=( a16618a and a16613a ); a16623a <=( A298 and A267 ); a16624a <=( A265 and a16623a ); a16628a <=( (not A301) and (not A300) ); a16629a <=( A299 and a16628a ); a16630a <=( a16629a and a16624a ); a16634a <=( A166 and (not A167) ); a16635a <=( A170 and a16634a ); a16639a <=( A203 and A200 ); a16640a <=( (not A199) and a16639a ); a16641a <=( a16640a and a16635a ); a16645a <=( A298 and A267 ); a16646a <=( A266 and a16645a ); a16650a <=( (not A301) and (not A300) ); a16651a <=( A299 and a16650a ); a16652a <=( a16651a and a16646a ); a16656a <=( A166 and (not A167) ); a16657a <=( A170 and a16656a ); a16661a <=( A203 and A200 ); a16662a <=( (not A199) and a16661a ); a16663a <=( a16662a and a16657a ); a16667a <=( A269 and A266 ); a16668a <=( (not A265) and a16667a ); a16672a <=( (not A302) and (not A301) ); a16673a <=( (not A300) and a16672a ); a16674a <=( a16673a and a16668a ); a16678a <=( A166 and (not A167) ); a16679a <=( A170 and a16678a ); a16683a <=( A203 and A200 ); a16684a <=( (not A199) and a16683a ); a16685a <=( a16684a and a16679a ); a16689a <=( A269 and A266 ); a16690a <=( (not A265) and a16689a ); a16694a <=( (not A301) and (not A299) ); a16695a <=( (not A298) and a16694a ); a16696a <=( a16695a and a16690a ); a16700a <=( A166 and (not A167) ); a16701a <=( A170 and a16700a ); a16705a <=( A203 and A200 ); a16706a <=( (not A199) and a16705a ); a16707a <=( a16706a and a16701a ); a16711a <=( A269 and (not A266) ); a16712a <=( A265 and a16711a ); a16716a <=( (not A302) and (not A301) ); a16717a <=( (not A300) and a16716a ); a16718a <=( a16717a and a16712a ); a16722a <=( A166 and (not A167) ); a16723a <=( A170 and a16722a ); a16727a <=( A203 and A200 ); a16728a <=( (not A199) and a16727a ); a16729a <=( a16728a and a16723a ); a16733a <=( A269 and (not A266) ); a16734a <=( A265 and a16733a ); a16738a <=( (not A301) and (not A299) ); a16739a <=( (not A298) and a16738a ); a16740a <=( a16739a and a16734a ); a16744a <=( A166 and (not A167) ); a16745a <=( A170 and a16744a ); a16749a <=( A203 and (not A200) ); a16750a <=( A199 and a16749a ); a16751a <=( a16750a and a16745a ); a16755a <=( A298 and A267 ); a16756a <=( A265 and a16755a ); a16760a <=( (not A301) and (not A300) ); a16761a <=( A299 and a16760a ); a16762a <=( a16761a and a16756a ); a16766a <=( A166 and (not A167) ); a16767a <=( A170 and a16766a ); a16771a <=( A203 and (not A200) ); a16772a <=( A199 and a16771a ); a16773a <=( a16772a and a16767a ); a16777a <=( A298 and A267 ); a16778a <=( A266 and a16777a ); a16782a <=( (not A301) and (not A300) ); a16783a <=( A299 and a16782a ); a16784a <=( a16783a and a16778a ); a16788a <=( A166 and (not A167) ); a16789a <=( A170 and a16788a ); a16793a <=( A203 and (not A200) ); a16794a <=( A199 and a16793a ); a16795a <=( a16794a and a16789a ); a16799a <=( A269 and A266 ); a16800a <=( (not A265) and a16799a ); a16804a <=( (not A302) and (not A301) ); a16805a <=( (not A300) and a16804a ); a16806a <=( a16805a and a16800a ); a16810a <=( A166 and (not A167) ); a16811a <=( A170 and a16810a ); a16815a <=( A203 and (not A200) ); a16816a <=( A199 and a16815a ); a16817a <=( a16816a and a16811a ); a16821a <=( A269 and A266 ); a16822a <=( (not A265) and a16821a ); a16826a <=( (not A301) and (not A299) ); a16827a <=( (not A298) and a16826a ); a16828a <=( a16827a and a16822a ); a16832a <=( A166 and (not A167) ); a16833a <=( A170 and a16832a ); a16837a <=( A203 and (not A200) ); a16838a <=( A199 and a16837a ); a16839a <=( a16838a and a16833a ); a16843a <=( A269 and (not A266) ); a16844a <=( A265 and a16843a ); a16848a <=( (not A302) and (not A301) ); a16849a <=( (not A300) and a16848a ); a16850a <=( a16849a and a16844a ); a16854a <=( A166 and (not A167) ); a16855a <=( A170 and a16854a ); a16859a <=( A203 and (not A200) ); a16860a <=( A199 and a16859a ); a16861a <=( a16860a and a16855a ); a16865a <=( A269 and (not A266) ); a16866a <=( A265 and a16865a ); a16870a <=( (not A301) and (not A299) ); a16871a <=( (not A298) and a16870a ); a16872a <=( a16871a and a16866a ); a16876a <=( A166 and (not A167) ); a16877a <=( A170 and a16876a ); a16881a <=( (not A202) and (not A200) ); a16882a <=( (not A199) and a16881a ); a16883a <=( a16882a and a16877a ); a16887a <=( (not A269) and (not A268) ); a16888a <=( (not A267) and a16887a ); a16892a <=( A302 and (not A299) ); a16893a <=( A298 and a16892a ); a16894a <=( a16893a and a16888a ); a16898a <=( A166 and (not A167) ); a16899a <=( A170 and a16898a ); a16903a <=( (not A202) and (not A200) ); a16904a <=( (not A199) and a16903a ); a16905a <=( a16904a and a16899a ); a16909a <=( (not A269) and (not A268) ); a16910a <=( (not A267) and a16909a ); a16914a <=( A302 and A299 ); a16915a <=( (not A298) and a16914a ); a16916a <=( a16915a and a16910a ); a16920a <=( A166 and (not A167) ); a16921a <=( A170 and a16920a ); a16925a <=( (not A202) and (not A200) ); a16926a <=( (not A199) and a16925a ); a16927a <=( a16926a and a16921a ); a16931a <=( (not A267) and A266 ); a16932a <=( A265 and a16931a ); a16936a <=( A300 and A299 ); a16937a <=( (not A268) and a16936a ); a16938a <=( a16937a and a16932a ); a16942a <=( A166 and (not A167) ); a16943a <=( A170 and a16942a ); a16947a <=( (not A202) and (not A200) ); a16948a <=( (not A199) and a16947a ); a16949a <=( a16948a and a16943a ); a16953a <=( (not A267) and A266 ); a16954a <=( A265 and a16953a ); a16958a <=( A300 and A298 ); a16959a <=( (not A268) and a16958a ); a16960a <=( a16959a and a16954a ); a16964a <=( A166 and (not A167) ); a16965a <=( A170 and a16964a ); a16969a <=( (not A202) and (not A200) ); a16970a <=( (not A199) and a16969a ); a16971a <=( a16970a and a16965a ); a16975a <=( (not A268) and (not A266) ); a16976a <=( (not A265) and a16975a ); a16980a <=( A302 and (not A299) ); a16981a <=( A298 and a16980a ); a16982a <=( a16981a and a16976a ); a16986a <=( A166 and (not A167) ); a16987a <=( A170 and a16986a ); a16991a <=( (not A202) and (not A200) ); a16992a <=( (not A199) and a16991a ); a16993a <=( a16992a and a16987a ); a16997a <=( (not A268) and (not A266) ); a16998a <=( (not A265) and a16997a ); a17002a <=( A302 and A299 ); a17003a <=( (not A298) and a17002a ); a17004a <=( a17003a and a16998a ); a17008a <=( A200 and A199 ); a17009a <=( A169 and a17008a ); a17013a <=( A265 and (not A202) ); a17014a <=( (not A201) and a17013a ); a17015a <=( a17014a and a17009a ); a17019a <=( (not A268) and (not A267) ); a17020a <=( A266 and a17019a ); a17024a <=( A302 and (not A299) ); a17025a <=( A298 and a17024a ); a17026a <=( a17025a and a17020a ); a17030a <=( A200 and A199 ); a17031a <=( A169 and a17030a ); a17035a <=( A265 and (not A202) ); a17036a <=( (not A201) and a17035a ); a17037a <=( a17036a and a17031a ); a17041a <=( (not A268) and (not A267) ); a17042a <=( A266 and a17041a ); a17046a <=( A302 and A299 ); a17047a <=( (not A298) and a17046a ); a17048a <=( a17047a and a17042a ); a17052a <=( (not A166) and (not A167) ); a17053a <=( (not A169) and a17052a ); a17057a <=( (not A203) and (not A202) ); a17058a <=( (not A201) and a17057a ); a17059a <=( a17058a and a17053a ); a17063a <=( A298 and A267 ); a17064a <=( A265 and a17063a ); a17068a <=( (not A301) and (not A300) ); a17069a <=( A299 and a17068a ); a17070a <=( a17069a and a17064a ); a17074a <=( (not A166) and (not A167) ); a17075a <=( (not A169) and a17074a ); a17079a <=( (not A203) and (not A202) ); a17080a <=( (not A201) and a17079a ); a17081a <=( a17080a and a17075a ); a17085a <=( A298 and A267 ); a17086a <=( A266 and a17085a ); a17090a <=( (not A301) and (not A300) ); a17091a <=( A299 and a17090a ); a17092a <=( a17091a and a17086a ); a17096a <=( (not A166) and (not A167) ); a17097a <=( (not A169) and a17096a ); a17101a <=( (not A203) and (not A202) ); a17102a <=( (not A201) and a17101a ); a17103a <=( a17102a and a17097a ); a17107a <=( A269 and A266 ); a17108a <=( (not A265) and a17107a ); a17112a <=( (not A302) and (not A301) ); a17113a <=( (not A300) and a17112a ); a17114a <=( a17113a and a17108a ); a17118a <=( (not A166) and (not A167) ); a17119a <=( (not A169) and a17118a ); a17123a <=( (not A203) and (not A202) ); a17124a <=( (not A201) and a17123a ); a17125a <=( a17124a and a17119a ); a17129a <=( A269 and A266 ); a17130a <=( (not A265) and a17129a ); a17134a <=( (not A301) and (not A299) ); a17135a <=( (not A298) and a17134a ); a17136a <=( a17135a and a17130a ); a17140a <=( (not A166) and (not A167) ); a17141a <=( (not A169) and a17140a ); a17145a <=( (not A203) and (not A202) ); a17146a <=( (not A201) and a17145a ); a17147a <=( a17146a and a17141a ); a17151a <=( A269 and (not A266) ); a17152a <=( A265 and a17151a ); a17156a <=( (not A302) and (not A301) ); a17157a <=( (not A300) and a17156a ); a17158a <=( a17157a and a17152a ); a17162a <=( (not A166) and (not A167) ); a17163a <=( (not A169) and a17162a ); a17167a <=( (not A203) and (not A202) ); a17168a <=( (not A201) and a17167a ); a17169a <=( a17168a and a17163a ); a17173a <=( A269 and (not A266) ); a17174a <=( A265 and a17173a ); a17178a <=( (not A301) and (not A299) ); a17179a <=( (not A298) and a17178a ); a17180a <=( a17179a and a17174a ); a17184a <=( (not A166) and (not A167) ); a17185a <=( (not A169) and a17184a ); a17189a <=( A265 and A201 ); a17190a <=( A199 and a17189a ); a17191a <=( a17190a and a17185a ); a17195a <=( (not A268) and (not A267) ); a17196a <=( A266 and a17195a ); a17200a <=( A302 and (not A299) ); a17201a <=( A298 and a17200a ); a17202a <=( a17201a and a17196a ); a17206a <=( (not A166) and (not A167) ); a17207a <=( (not A169) and a17206a ); a17211a <=( A265 and A201 ); a17212a <=( A199 and a17211a ); a17213a <=( a17212a and a17207a ); a17217a <=( (not A268) and (not A267) ); a17218a <=( A266 and a17217a ); a17222a <=( A302 and A299 ); a17223a <=( (not A298) and a17222a ); a17224a <=( a17223a and a17218a ); a17228a <=( (not A166) and (not A167) ); a17229a <=( (not A169) and a17228a ); a17233a <=( A265 and A201 ); a17234a <=( A200 and a17233a ); a17235a <=( a17234a and a17229a ); a17239a <=( (not A268) and (not A267) ); a17240a <=( A266 and a17239a ); a17244a <=( A302 and (not A299) ); a17245a <=( A298 and a17244a ); a17246a <=( a17245a and a17240a ); a17250a <=( (not A166) and (not A167) ); a17251a <=( (not A169) and a17250a ); a17255a <=( A265 and A201 ); a17256a <=( A200 and a17255a ); a17257a <=( a17256a and a17251a ); a17261a <=( (not A268) and (not A267) ); a17262a <=( A266 and a17261a ); a17266a <=( A302 and A299 ); a17267a <=( (not A298) and a17266a ); a17268a <=( a17267a and a17262a ); a17272a <=( (not A166) and (not A167) ); a17273a <=( (not A169) and a17272a ); a17277a <=( (not A201) and A200 ); a17278a <=( A199 and a17277a ); a17279a <=( a17278a and a17273a ); a17283a <=( A298 and A268 ); a17284a <=( (not A202) and a17283a ); a17288a <=( (not A301) and (not A300) ); a17289a <=( A299 and a17288a ); a17290a <=( a17289a and a17284a ); a17294a <=( (not A166) and (not A167) ); a17295a <=( (not A169) and a17294a ); a17299a <=( (not A201) and A200 ); a17300a <=( A199 and a17299a ); a17301a <=( a17300a and a17295a ); a17305a <=( A267 and A265 ); a17306a <=( (not A202) and a17305a ); a17310a <=( (not A302) and (not A301) ); a17311a <=( (not A300) and a17310a ); a17312a <=( a17311a and a17306a ); a17316a <=( (not A166) and (not A167) ); a17317a <=( (not A169) and a17316a ); a17321a <=( (not A201) and A200 ); a17322a <=( A199 and a17321a ); a17323a <=( a17322a and a17317a ); a17327a <=( A267 and A265 ); a17328a <=( (not A202) and a17327a ); a17332a <=( (not A301) and (not A299) ); a17333a <=( (not A298) and a17332a ); a17334a <=( a17333a and a17328a ); a17338a <=( (not A166) and (not A167) ); a17339a <=( (not A169) and a17338a ); a17343a <=( (not A201) and A200 ); a17344a <=( A199 and a17343a ); a17345a <=( a17344a and a17339a ); a17349a <=( A267 and A266 ); a17350a <=( (not A202) and a17349a ); a17354a <=( (not A302) and (not A301) ); a17355a <=( (not A300) and a17354a ); a17356a <=( a17355a and a17350a ); a17360a <=( (not A166) and (not A167) ); a17361a <=( (not A169) and a17360a ); a17365a <=( (not A201) and A200 ); a17366a <=( A199 and a17365a ); a17367a <=( a17366a and a17361a ); a17371a <=( A267 and A266 ); a17372a <=( (not A202) and a17371a ); a17376a <=( (not A301) and (not A299) ); a17377a <=( (not A298) and a17376a ); a17378a <=( a17377a and a17372a ); a17382a <=( (not A166) and (not A167) ); a17383a <=( (not A169) and a17382a ); a17387a <=( A203 and A200 ); a17388a <=( (not A199) and a17387a ); a17389a <=( a17388a and a17383a ); a17393a <=( (not A269) and (not A268) ); a17394a <=( (not A267) and a17393a ); a17398a <=( A302 and (not A299) ); a17399a <=( A298 and a17398a ); a17400a <=( a17399a and a17394a ); a17404a <=( (not A166) and (not A167) ); a17405a <=( (not A169) and a17404a ); a17409a <=( A203 and A200 ); a17410a <=( (not A199) and a17409a ); a17411a <=( a17410a and a17405a ); a17415a <=( (not A269) and (not A268) ); a17416a <=( (not A267) and a17415a ); a17420a <=( A302 and A299 ); a17421a <=( (not A298) and a17420a ); a17422a <=( a17421a and a17416a ); a17426a <=( (not A166) and (not A167) ); a17427a <=( (not A169) and a17426a ); a17431a <=( A203 and A200 ); a17432a <=( (not A199) and a17431a ); a17433a <=( a17432a and a17427a ); a17437a <=( (not A267) and A266 ); a17438a <=( A265 and a17437a ); a17442a <=( A300 and A299 ); a17443a <=( (not A268) and a17442a ); a17444a <=( a17443a and a17438a ); a17448a <=( (not A166) and (not A167) ); a17449a <=( (not A169) and a17448a ); a17453a <=( A203 and A200 ); a17454a <=( (not A199) and a17453a ); a17455a <=( a17454a and a17449a ); a17459a <=( (not A267) and A266 ); a17460a <=( A265 and a17459a ); a17464a <=( A300 and A298 ); a17465a <=( (not A268) and a17464a ); a17466a <=( a17465a and a17460a ); a17470a <=( (not A166) and (not A167) ); a17471a <=( (not A169) and a17470a ); a17475a <=( A203 and A200 ); a17476a <=( (not A199) and a17475a ); a17477a <=( a17476a and a17471a ); a17481a <=( (not A268) and (not A266) ); a17482a <=( (not A265) and a17481a ); a17486a <=( A302 and (not A299) ); a17487a <=( A298 and a17486a ); a17488a <=( a17487a and a17482a ); a17492a <=( (not A166) and (not A167) ); a17493a <=( (not A169) and a17492a ); a17497a <=( A203 and A200 ); a17498a <=( (not A199) and a17497a ); a17499a <=( a17498a and a17493a ); a17503a <=( (not A268) and (not A266) ); a17504a <=( (not A265) and a17503a ); a17508a <=( A302 and A299 ); a17509a <=( (not A298) and a17508a ); a17510a <=( a17509a and a17504a ); a17514a <=( (not A166) and (not A167) ); a17515a <=( (not A169) and a17514a ); a17519a <=( A203 and (not A200) ); a17520a <=( A199 and a17519a ); a17521a <=( a17520a and a17515a ); a17525a <=( (not A269) and (not A268) ); a17526a <=( (not A267) and a17525a ); a17530a <=( A302 and (not A299) ); a17531a <=( A298 and a17530a ); a17532a <=( a17531a and a17526a ); a17536a <=( (not A166) and (not A167) ); a17537a <=( (not A169) and a17536a ); a17541a <=( A203 and (not A200) ); a17542a <=( A199 and a17541a ); a17543a <=( a17542a and a17537a ); a17547a <=( (not A269) and (not A268) ); a17548a <=( (not A267) and a17547a ); a17552a <=( A302 and A299 ); a17553a <=( (not A298) and a17552a ); a17554a <=( a17553a and a17548a ); a17558a <=( (not A166) and (not A167) ); a17559a <=( (not A169) and a17558a ); a17563a <=( A203 and (not A200) ); a17564a <=( A199 and a17563a ); a17565a <=( a17564a and a17559a ); a17569a <=( (not A267) and A266 ); a17570a <=( A265 and a17569a ); a17574a <=( A300 and A299 ); a17575a <=( (not A268) and a17574a ); a17576a <=( a17575a and a17570a ); a17580a <=( (not A166) and (not A167) ); a17581a <=( (not A169) and a17580a ); a17585a <=( A203 and (not A200) ); a17586a <=( A199 and a17585a ); a17587a <=( a17586a and a17581a ); a17591a <=( (not A267) and A266 ); a17592a <=( A265 and a17591a ); a17596a <=( A300 and A298 ); a17597a <=( (not A268) and a17596a ); a17598a <=( a17597a and a17592a ); a17602a <=( (not A166) and (not A167) ); a17603a <=( (not A169) and a17602a ); a17607a <=( A203 and (not A200) ); a17608a <=( A199 and a17607a ); a17609a <=( a17608a and a17603a ); a17613a <=( (not A268) and (not A266) ); a17614a <=( (not A265) and a17613a ); a17618a <=( A302 and (not A299) ); a17619a <=( A298 and a17618a ); a17620a <=( a17619a and a17614a ); a17624a <=( (not A166) and (not A167) ); a17625a <=( (not A169) and a17624a ); a17629a <=( A203 and (not A200) ); a17630a <=( A199 and a17629a ); a17631a <=( a17630a and a17625a ); a17635a <=( (not A268) and (not A266) ); a17636a <=( (not A265) and a17635a ); a17640a <=( A302 and A299 ); a17641a <=( (not A298) and a17640a ); a17642a <=( a17641a and a17636a ); a17646a <=( (not A166) and (not A167) ); a17647a <=( (not A169) and a17646a ); a17651a <=( (not A202) and (not A200) ); a17652a <=( (not A199) and a17651a ); a17653a <=( a17652a and a17647a ); a17657a <=( A298 and A267 ); a17658a <=( A265 and a17657a ); a17662a <=( (not A301) and (not A300) ); a17663a <=( A299 and a17662a ); a17664a <=( a17663a and a17658a ); a17668a <=( (not A166) and (not A167) ); a17669a <=( (not A169) and a17668a ); a17673a <=( (not A202) and (not A200) ); a17674a <=( (not A199) and a17673a ); a17675a <=( a17674a and a17669a ); a17679a <=( A298 and A267 ); a17680a <=( A266 and a17679a ); a17684a <=( (not A301) and (not A300) ); a17685a <=( A299 and a17684a ); a17686a <=( a17685a and a17680a ); a17690a <=( (not A166) and (not A167) ); a17691a <=( (not A169) and a17690a ); a17695a <=( (not A202) and (not A200) ); a17696a <=( (not A199) and a17695a ); a17697a <=( a17696a and a17691a ); a17701a <=( A269 and A266 ); a17702a <=( (not A265) and a17701a ); a17706a <=( (not A302) and (not A301) ); a17707a <=( (not A300) and a17706a ); a17708a <=( a17707a and a17702a ); a17712a <=( (not A166) and (not A167) ); a17713a <=( (not A169) and a17712a ); a17717a <=( (not A202) and (not A200) ); a17718a <=( (not A199) and a17717a ); a17719a <=( a17718a and a17713a ); a17723a <=( A269 and A266 ); a17724a <=( (not A265) and a17723a ); a17728a <=( (not A301) and (not A299) ); a17729a <=( (not A298) and a17728a ); a17730a <=( a17729a and a17724a ); a17734a <=( (not A166) and (not A167) ); a17735a <=( (not A169) and a17734a ); a17739a <=( (not A202) and (not A200) ); a17740a <=( (not A199) and a17739a ); a17741a <=( a17740a and a17735a ); a17745a <=( A269 and (not A266) ); a17746a <=( A265 and a17745a ); a17750a <=( (not A302) and (not A301) ); a17751a <=( (not A300) and a17750a ); a17752a <=( a17751a and a17746a ); a17756a <=( (not A166) and (not A167) ); a17757a <=( (not A169) and a17756a ); a17761a <=( (not A202) and (not A200) ); a17762a <=( (not A199) and a17761a ); a17763a <=( a17762a and a17757a ); a17767a <=( A269 and (not A266) ); a17768a <=( A265 and a17767a ); a17772a <=( (not A301) and (not A299) ); a17773a <=( (not A298) and a17772a ); a17774a <=( a17773a and a17768a ); a17778a <=( A167 and (not A168) ); a17779a <=( (not A169) and a17778a ); a17783a <=( A265 and A202 ); a17784a <=( A166 and a17783a ); a17785a <=( a17784a and a17779a ); a17789a <=( (not A268) and (not A267) ); a17790a <=( A266 and a17789a ); a17794a <=( A302 and (not A299) ); a17795a <=( A298 and a17794a ); a17796a <=( a17795a and a17790a ); a17800a <=( A167 and (not A168) ); a17801a <=( (not A169) and a17800a ); a17805a <=( A265 and A202 ); a17806a <=( A166 and a17805a ); a17807a <=( a17806a and a17801a ); a17811a <=( (not A268) and (not A267) ); a17812a <=( A266 and a17811a ); a17816a <=( A302 and A299 ); a17817a <=( (not A298) and a17816a ); a17818a <=( a17817a and a17812a ); a17822a <=( A167 and (not A168) ); a17823a <=( (not A169) and a17822a ); a17827a <=( (not A202) and (not A201) ); a17828a <=( A166 and a17827a ); a17829a <=( a17828a and a17823a ); a17833a <=( A298 and A268 ); a17834a <=( (not A203) and a17833a ); a17838a <=( (not A301) and (not A300) ); a17839a <=( A299 and a17838a ); a17840a <=( a17839a and a17834a ); a17844a <=( A167 and (not A168) ); a17845a <=( (not A169) and a17844a ); a17849a <=( (not A202) and (not A201) ); a17850a <=( A166 and a17849a ); a17851a <=( a17850a and a17845a ); a17855a <=( A267 and A265 ); a17856a <=( (not A203) and a17855a ); a17860a <=( (not A302) and (not A301) ); a17861a <=( (not A300) and a17860a ); a17862a <=( a17861a and a17856a ); a17866a <=( A167 and (not A168) ); a17867a <=( (not A169) and a17866a ); a17871a <=( (not A202) and (not A201) ); a17872a <=( A166 and a17871a ); a17873a <=( a17872a and a17867a ); a17877a <=( A267 and A265 ); a17878a <=( (not A203) and a17877a ); a17882a <=( (not A301) and (not A299) ); a17883a <=( (not A298) and a17882a ); a17884a <=( a17883a and a17878a ); a17888a <=( A167 and (not A168) ); a17889a <=( (not A169) and a17888a ); a17893a <=( (not A202) and (not A201) ); a17894a <=( A166 and a17893a ); a17895a <=( a17894a and a17889a ); a17899a <=( A267 and A266 ); a17900a <=( (not A203) and a17899a ); a17904a <=( (not A302) and (not A301) ); a17905a <=( (not A300) and a17904a ); a17906a <=( a17905a and a17900a ); a17910a <=( A167 and (not A168) ); a17911a <=( (not A169) and a17910a ); a17915a <=( (not A202) and (not A201) ); a17916a <=( A166 and a17915a ); a17917a <=( a17916a and a17911a ); a17921a <=( A267 and A266 ); a17922a <=( (not A203) and a17921a ); a17926a <=( (not A301) and (not A299) ); a17927a <=( (not A298) and a17926a ); a17928a <=( a17927a and a17922a ); a17932a <=( A167 and (not A168) ); a17933a <=( (not A169) and a17932a ); a17937a <=( A201 and A199 ); a17938a <=( A166 and a17937a ); a17939a <=( a17938a and a17933a ); a17943a <=( (not A269) and (not A268) ); a17944a <=( (not A267) and a17943a ); a17948a <=( A302 and (not A299) ); a17949a <=( A298 and a17948a ); a17950a <=( a17949a and a17944a ); a17954a <=( A167 and (not A168) ); a17955a <=( (not A169) and a17954a ); a17959a <=( A201 and A199 ); a17960a <=( A166 and a17959a ); a17961a <=( a17960a and a17955a ); a17965a <=( (not A269) and (not A268) ); a17966a <=( (not A267) and a17965a ); a17970a <=( A302 and A299 ); a17971a <=( (not A298) and a17970a ); a17972a <=( a17971a and a17966a ); a17976a <=( A167 and (not A168) ); a17977a <=( (not A169) and a17976a ); a17981a <=( A201 and A199 ); a17982a <=( A166 and a17981a ); a17983a <=( a17982a and a17977a ); a17987a <=( (not A267) and A266 ); a17988a <=( A265 and a17987a ); a17992a <=( A300 and A299 ); a17993a <=( (not A268) and a17992a ); a17994a <=( a17993a and a17988a ); a17998a <=( A167 and (not A168) ); a17999a <=( (not A169) and a17998a ); a18003a <=( A201 and A199 ); a18004a <=( A166 and a18003a ); a18005a <=( a18004a and a17999a ); a18009a <=( (not A267) and A266 ); a18010a <=( A265 and a18009a ); a18014a <=( A300 and A298 ); a18015a <=( (not A268) and a18014a ); a18016a <=( a18015a and a18010a ); a18020a <=( A167 and (not A168) ); a18021a <=( (not A169) and a18020a ); a18025a <=( A201 and A199 ); a18026a <=( A166 and a18025a ); a18027a <=( a18026a and a18021a ); a18031a <=( (not A268) and (not A266) ); a18032a <=( (not A265) and a18031a ); a18036a <=( A302 and (not A299) ); a18037a <=( A298 and a18036a ); a18038a <=( a18037a and a18032a ); a18042a <=( A167 and (not A168) ); a18043a <=( (not A169) and a18042a ); a18047a <=( A201 and A199 ); a18048a <=( A166 and a18047a ); a18049a <=( a18048a and a18043a ); a18053a <=( (not A268) and (not A266) ); a18054a <=( (not A265) and a18053a ); a18058a <=( A302 and A299 ); a18059a <=( (not A298) and a18058a ); a18060a <=( a18059a and a18054a ); a18064a <=( A167 and (not A168) ); a18065a <=( (not A169) and a18064a ); a18069a <=( A201 and A200 ); a18070a <=( A166 and a18069a ); a18071a <=( a18070a and a18065a ); a18075a <=( (not A269) and (not A268) ); a18076a <=( (not A267) and a18075a ); a18080a <=( A302 and (not A299) ); a18081a <=( A298 and a18080a ); a18082a <=( a18081a and a18076a ); a18086a <=( A167 and (not A168) ); a18087a <=( (not A169) and a18086a ); a18091a <=( A201 and A200 ); a18092a <=( A166 and a18091a ); a18093a <=( a18092a and a18087a ); a18097a <=( (not A269) and (not A268) ); a18098a <=( (not A267) and a18097a ); a18102a <=( A302 and A299 ); a18103a <=( (not A298) and a18102a ); a18104a <=( a18103a and a18098a ); a18108a <=( A167 and (not A168) ); a18109a <=( (not A169) and a18108a ); a18113a <=( A201 and A200 ); a18114a <=( A166 and a18113a ); a18115a <=( a18114a and a18109a ); a18119a <=( (not A267) and A266 ); a18120a <=( A265 and a18119a ); a18124a <=( A300 and A299 ); a18125a <=( (not A268) and a18124a ); a18126a <=( a18125a and a18120a ); a18130a <=( A167 and (not A168) ); a18131a <=( (not A169) and a18130a ); a18135a <=( A201 and A200 ); a18136a <=( A166 and a18135a ); a18137a <=( a18136a and a18131a ); a18141a <=( (not A267) and A266 ); a18142a <=( A265 and a18141a ); a18146a <=( A300 and A298 ); a18147a <=( (not A268) and a18146a ); a18148a <=( a18147a and a18142a ); a18152a <=( A167 and (not A168) ); a18153a <=( (not A169) and a18152a ); a18157a <=( A201 and A200 ); a18158a <=( A166 and a18157a ); a18159a <=( a18158a and a18153a ); a18163a <=( (not A268) and (not A266) ); a18164a <=( (not A265) and a18163a ); a18168a <=( A302 and (not A299) ); a18169a <=( A298 and a18168a ); a18170a <=( a18169a and a18164a ); a18174a <=( A167 and (not A168) ); a18175a <=( (not A169) and a18174a ); a18179a <=( A201 and A200 ); a18180a <=( A166 and a18179a ); a18181a <=( a18180a and a18175a ); a18185a <=( (not A268) and (not A266) ); a18186a <=( (not A265) and a18185a ); a18190a <=( A302 and A299 ); a18191a <=( (not A298) and a18190a ); a18192a <=( a18191a and a18186a ); a18196a <=( A167 and (not A168) ); a18197a <=( (not A169) and a18196a ); a18201a <=( A200 and A199 ); a18202a <=( A166 and a18201a ); a18203a <=( a18202a and a18197a ); a18207a <=( A268 and (not A202) ); a18208a <=( (not A201) and a18207a ); a18212a <=( (not A302) and (not A301) ); a18213a <=( (not A300) and a18212a ); a18214a <=( a18213a and a18208a ); a18218a <=( A167 and (not A168) ); a18219a <=( (not A169) and a18218a ); a18223a <=( A200 and A199 ); a18224a <=( A166 and a18223a ); a18225a <=( a18224a and a18219a ); a18229a <=( A268 and (not A202) ); a18230a <=( (not A201) and a18229a ); a18234a <=( (not A301) and (not A299) ); a18235a <=( (not A298) and a18234a ); a18236a <=( a18235a and a18230a ); a18240a <=( A167 and (not A168) ); a18241a <=( (not A169) and a18240a ); a18245a <=( A200 and (not A199) ); a18246a <=( A166 and a18245a ); a18247a <=( a18246a and a18241a ); a18251a <=( (not A268) and (not A267) ); a18252a <=( A203 and a18251a ); a18256a <=( A300 and A299 ); a18257a <=( (not A269) and a18256a ); a18258a <=( a18257a and a18252a ); a18262a <=( A167 and (not A168) ); a18263a <=( (not A169) and a18262a ); a18267a <=( A200 and (not A199) ); a18268a <=( A166 and a18267a ); a18269a <=( a18268a and a18263a ); a18273a <=( (not A268) and (not A267) ); a18274a <=( A203 and a18273a ); a18278a <=( A300 and A298 ); a18279a <=( (not A269) and a18278a ); a18280a <=( a18279a and a18274a ); a18284a <=( A167 and (not A168) ); a18285a <=( (not A169) and a18284a ); a18289a <=( A200 and (not A199) ); a18290a <=( A166 and a18289a ); a18291a <=( a18290a and a18285a ); a18295a <=( A266 and A265 ); a18296a <=( A203 and a18295a ); a18300a <=( A301 and (not A268) ); a18301a <=( (not A267) and a18300a ); a18302a <=( a18301a and a18296a ); a18306a <=( A167 and (not A168) ); a18307a <=( (not A169) and a18306a ); a18311a <=( A200 and (not A199) ); a18312a <=( A166 and a18311a ); a18313a <=( a18312a and a18307a ); a18317a <=( (not A266) and (not A265) ); a18318a <=( A203 and a18317a ); a18322a <=( A300 and A299 ); a18323a <=( (not A268) and a18322a ); a18324a <=( a18323a and a18318a ); a18328a <=( A167 and (not A168) ); a18329a <=( (not A169) and a18328a ); a18333a <=( A200 and (not A199) ); a18334a <=( A166 and a18333a ); a18335a <=( a18334a and a18329a ); a18339a <=( (not A266) and (not A265) ); a18340a <=( A203 and a18339a ); a18344a <=( A300 and A298 ); a18345a <=( (not A268) and a18344a ); a18346a <=( a18345a and a18340a ); a18350a <=( A167 and (not A168) ); a18351a <=( (not A169) and a18350a ); a18355a <=( (not A200) and A199 ); a18356a <=( A166 and a18355a ); a18357a <=( a18356a and a18351a ); a18361a <=( (not A268) and (not A267) ); a18362a <=( A203 and a18361a ); a18366a <=( A300 and A299 ); a18367a <=( (not A269) and a18366a ); a18368a <=( a18367a and a18362a ); a18372a <=( A167 and (not A168) ); a18373a <=( (not A169) and a18372a ); a18377a <=( (not A200) and A199 ); a18378a <=( A166 and a18377a ); a18379a <=( a18378a and a18373a ); a18383a <=( (not A268) and (not A267) ); a18384a <=( A203 and a18383a ); a18388a <=( A300 and A298 ); a18389a <=( (not A269) and a18388a ); a18390a <=( a18389a and a18384a ); a18394a <=( A167 and (not A168) ); a18395a <=( (not A169) and a18394a ); a18399a <=( (not A200) and A199 ); a18400a <=( A166 and a18399a ); a18401a <=( a18400a and a18395a ); a18405a <=( A266 and A265 ); a18406a <=( A203 and a18405a ); a18410a <=( A301 and (not A268) ); a18411a <=( (not A267) and a18410a ); a18412a <=( a18411a and a18406a ); a18416a <=( A167 and (not A168) ); a18417a <=( (not A169) and a18416a ); a18421a <=( (not A200) and A199 ); a18422a <=( A166 and a18421a ); a18423a <=( a18422a and a18417a ); a18427a <=( (not A266) and (not A265) ); a18428a <=( A203 and a18427a ); a18432a <=( A300 and A299 ); a18433a <=( (not A268) and a18432a ); a18434a <=( a18433a and a18428a ); a18438a <=( A167 and (not A168) ); a18439a <=( (not A169) and a18438a ); a18443a <=( (not A200) and A199 ); a18444a <=( A166 and a18443a ); a18445a <=( a18444a and a18439a ); a18449a <=( (not A266) and (not A265) ); a18450a <=( A203 and a18449a ); a18454a <=( A300 and A298 ); a18455a <=( (not A268) and a18454a ); a18456a <=( a18455a and a18450a ); a18460a <=( A167 and (not A168) ); a18461a <=( (not A169) and a18460a ); a18465a <=( (not A200) and (not A199) ); a18466a <=( A166 and a18465a ); a18467a <=( a18466a and a18461a ); a18471a <=( A298 and A268 ); a18472a <=( (not A202) and a18471a ); a18476a <=( (not A301) and (not A300) ); a18477a <=( A299 and a18476a ); a18478a <=( a18477a and a18472a ); a18482a <=( A167 and (not A168) ); a18483a <=( (not A169) and a18482a ); a18487a <=( (not A200) and (not A199) ); a18488a <=( A166 and a18487a ); a18489a <=( a18488a and a18483a ); a18493a <=( A267 and A265 ); a18494a <=( (not A202) and a18493a ); a18498a <=( (not A302) and (not A301) ); a18499a <=( (not A300) and a18498a ); a18500a <=( a18499a and a18494a ); a18504a <=( A167 and (not A168) ); a18505a <=( (not A169) and a18504a ); a18509a <=( (not A200) and (not A199) ); a18510a <=( A166 and a18509a ); a18511a <=( a18510a and a18505a ); a18515a <=( A267 and A265 ); a18516a <=( (not A202) and a18515a ); a18520a <=( (not A301) and (not A299) ); a18521a <=( (not A298) and a18520a ); a18522a <=( a18521a and a18516a ); a18526a <=( A167 and (not A168) ); a18527a <=( (not A169) and a18526a ); a18531a <=( (not A200) and (not A199) ); a18532a <=( A166 and a18531a ); a18533a <=( a18532a and a18527a ); a18537a <=( A267 and A266 ); a18538a <=( (not A202) and a18537a ); a18542a <=( (not A302) and (not A301) ); a18543a <=( (not A300) and a18542a ); a18544a <=( a18543a and a18538a ); a18548a <=( A167 and (not A168) ); a18549a <=( (not A169) and a18548a ); a18553a <=( (not A200) and (not A199) ); a18554a <=( A166 and a18553a ); a18555a <=( a18554a and a18549a ); a18559a <=( A267 and A266 ); a18560a <=( (not A202) and a18559a ); a18564a <=( (not A301) and (not A299) ); a18565a <=( (not A298) and a18564a ); a18566a <=( a18565a and a18560a ); a18570a <=( (not A168) and (not A169) ); a18571a <=( (not A170) and a18570a ); a18575a <=( (not A203) and (not A202) ); a18576a <=( (not A201) and a18575a ); a18577a <=( a18576a and a18571a ); a18581a <=( A298 and A267 ); a18582a <=( A265 and a18581a ); a18586a <=( (not A301) and (not A300) ); a18587a <=( A299 and a18586a ); a18588a <=( a18587a and a18582a ); a18592a <=( (not A168) and (not A169) ); a18593a <=( (not A170) and a18592a ); a18597a <=( (not A203) and (not A202) ); a18598a <=( (not A201) and a18597a ); a18599a <=( a18598a and a18593a ); a18603a <=( A298 and A267 ); a18604a <=( A266 and a18603a ); a18608a <=( (not A301) and (not A300) ); a18609a <=( A299 and a18608a ); a18610a <=( a18609a and a18604a ); a18614a <=( (not A168) and (not A169) ); a18615a <=( (not A170) and a18614a ); a18619a <=( (not A203) and (not A202) ); a18620a <=( (not A201) and a18619a ); a18621a <=( a18620a and a18615a ); a18625a <=( A269 and A266 ); a18626a <=( (not A265) and a18625a ); a18630a <=( (not A302) and (not A301) ); a18631a <=( (not A300) and a18630a ); a18632a <=( a18631a and a18626a ); a18636a <=( (not A168) and (not A169) ); a18637a <=( (not A170) and a18636a ); a18641a <=( (not A203) and (not A202) ); a18642a <=( (not A201) and a18641a ); a18643a <=( a18642a and a18637a ); a18647a <=( A269 and A266 ); a18648a <=( (not A265) and a18647a ); a18652a <=( (not A301) and (not A299) ); a18653a <=( (not A298) and a18652a ); a18654a <=( a18653a and a18648a ); a18658a <=( (not A168) and (not A169) ); a18659a <=( (not A170) and a18658a ); a18663a <=( (not A203) and (not A202) ); a18664a <=( (not A201) and a18663a ); a18665a <=( a18664a and a18659a ); a18669a <=( A269 and (not A266) ); a18670a <=( A265 and a18669a ); a18674a <=( (not A302) and (not A301) ); a18675a <=( (not A300) and a18674a ); a18676a <=( a18675a and a18670a ); a18680a <=( (not A168) and (not A169) ); a18681a <=( (not A170) and a18680a ); a18685a <=( (not A203) and (not A202) ); a18686a <=( (not A201) and a18685a ); a18687a <=( a18686a and a18681a ); a18691a <=( A269 and (not A266) ); a18692a <=( A265 and a18691a ); a18696a <=( (not A301) and (not A299) ); a18697a <=( (not A298) and a18696a ); a18698a <=( a18697a and a18692a ); a18702a <=( (not A168) and (not A169) ); a18703a <=( (not A170) and a18702a ); a18707a <=( A265 and A201 ); a18708a <=( A199 and a18707a ); a18709a <=( a18708a and a18703a ); a18713a <=( (not A268) and (not A267) ); a18714a <=( A266 and a18713a ); a18718a <=( A302 and (not A299) ); a18719a <=( A298 and a18718a ); a18720a <=( a18719a and a18714a ); a18724a <=( (not A168) and (not A169) ); a18725a <=( (not A170) and a18724a ); a18729a <=( A265 and A201 ); a18730a <=( A199 and a18729a ); a18731a <=( a18730a and a18725a ); a18735a <=( (not A268) and (not A267) ); a18736a <=( A266 and a18735a ); a18740a <=( A302 and A299 ); a18741a <=( (not A298) and a18740a ); a18742a <=( a18741a and a18736a ); a18746a <=( (not A168) and (not A169) ); a18747a <=( (not A170) and a18746a ); a18751a <=( A265 and A201 ); a18752a <=( A200 and a18751a ); a18753a <=( a18752a and a18747a ); a18757a <=( (not A268) and (not A267) ); a18758a <=( A266 and a18757a ); a18762a <=( A302 and (not A299) ); a18763a <=( A298 and a18762a ); a18764a <=( a18763a and a18758a ); a18768a <=( (not A168) and (not A169) ); a18769a <=( (not A170) and a18768a ); a18773a <=( A265 and A201 ); a18774a <=( A200 and a18773a ); a18775a <=( a18774a and a18769a ); a18779a <=( (not A268) and (not A267) ); a18780a <=( A266 and a18779a ); a18784a <=( A302 and A299 ); a18785a <=( (not A298) and a18784a ); a18786a <=( a18785a and a18780a ); a18790a <=( (not A168) and (not A169) ); a18791a <=( (not A170) and a18790a ); a18795a <=( (not A201) and A200 ); a18796a <=( A199 and a18795a ); a18797a <=( a18796a and a18791a ); a18801a <=( A298 and A268 ); a18802a <=( (not A202) and a18801a ); a18806a <=( (not A301) and (not A300) ); a18807a <=( A299 and a18806a ); a18808a <=( a18807a and a18802a ); a18812a <=( (not A168) and (not A169) ); a18813a <=( (not A170) and a18812a ); a18817a <=( (not A201) and A200 ); a18818a <=( A199 and a18817a ); a18819a <=( a18818a and a18813a ); a18823a <=( A267 and A265 ); a18824a <=( (not A202) and a18823a ); a18828a <=( (not A302) and (not A301) ); a18829a <=( (not A300) and a18828a ); a18830a <=( a18829a and a18824a ); a18834a <=( (not A168) and (not A169) ); a18835a <=( (not A170) and a18834a ); a18839a <=( (not A201) and A200 ); a18840a <=( A199 and a18839a ); a18841a <=( a18840a and a18835a ); a18845a <=( A267 and A265 ); a18846a <=( (not A202) and a18845a ); a18850a <=( (not A301) and (not A299) ); a18851a <=( (not A298) and a18850a ); a18852a <=( a18851a and a18846a ); a18856a <=( (not A168) and (not A169) ); a18857a <=( (not A170) and a18856a ); a18861a <=( (not A201) and A200 ); a18862a <=( A199 and a18861a ); a18863a <=( a18862a and a18857a ); a18867a <=( A267 and A266 ); a18868a <=( (not A202) and a18867a ); a18872a <=( (not A302) and (not A301) ); a18873a <=( (not A300) and a18872a ); a18874a <=( a18873a and a18868a ); a18878a <=( (not A168) and (not A169) ); a18879a <=( (not A170) and a18878a ); a18883a <=( (not A201) and A200 ); a18884a <=( A199 and a18883a ); a18885a <=( a18884a and a18879a ); a18889a <=( A267 and A266 ); a18890a <=( (not A202) and a18889a ); a18894a <=( (not A301) and (not A299) ); a18895a <=( (not A298) and a18894a ); a18896a <=( a18895a and a18890a ); a18900a <=( (not A168) and (not A169) ); a18901a <=( (not A170) and a18900a ); a18905a <=( A203 and A200 ); a18906a <=( (not A199) and a18905a ); a18907a <=( a18906a and a18901a ); a18911a <=( (not A269) and (not A268) ); a18912a <=( (not A267) and a18911a ); a18916a <=( A302 and (not A299) ); a18917a <=( A298 and a18916a ); a18918a <=( a18917a and a18912a ); a18922a <=( (not A168) and (not A169) ); a18923a <=( (not A170) and a18922a ); a18927a <=( A203 and A200 ); a18928a <=( (not A199) and a18927a ); a18929a <=( a18928a and a18923a ); a18933a <=( (not A269) and (not A268) ); a18934a <=( (not A267) and a18933a ); a18938a <=( A302 and A299 ); a18939a <=( (not A298) and a18938a ); a18940a <=( a18939a and a18934a ); a18944a <=( (not A168) and (not A169) ); a18945a <=( (not A170) and a18944a ); a18949a <=( A203 and A200 ); a18950a <=( (not A199) and a18949a ); a18951a <=( a18950a and a18945a ); a18955a <=( (not A267) and A266 ); a18956a <=( A265 and a18955a ); a18960a <=( A300 and A299 ); a18961a <=( (not A268) and a18960a ); a18962a <=( a18961a and a18956a ); a18966a <=( (not A168) and (not A169) ); a18967a <=( (not A170) and a18966a ); a18971a <=( A203 and A200 ); a18972a <=( (not A199) and a18971a ); a18973a <=( a18972a and a18967a ); a18977a <=( (not A267) and A266 ); a18978a <=( A265 and a18977a ); a18982a <=( A300 and A298 ); a18983a <=( (not A268) and a18982a ); a18984a <=( a18983a and a18978a ); a18988a <=( (not A168) and (not A169) ); a18989a <=( (not A170) and a18988a ); a18993a <=( A203 and A200 ); a18994a <=( (not A199) and a18993a ); a18995a <=( a18994a and a18989a ); a18999a <=( (not A268) and (not A266) ); a19000a <=( (not A265) and a18999a ); a19004a <=( A302 and (not A299) ); a19005a <=( A298 and a19004a ); a19006a <=( a19005a and a19000a ); a19010a <=( (not A168) and (not A169) ); a19011a <=( (not A170) and a19010a ); a19015a <=( A203 and A200 ); a19016a <=( (not A199) and a19015a ); a19017a <=( a19016a and a19011a ); a19021a <=( (not A268) and (not A266) ); a19022a <=( (not A265) and a19021a ); a19026a <=( A302 and A299 ); a19027a <=( (not A298) and a19026a ); a19028a <=( a19027a and a19022a ); a19032a <=( (not A168) and (not A169) ); a19033a <=( (not A170) and a19032a ); a19037a <=( A203 and (not A200) ); a19038a <=( A199 and a19037a ); a19039a <=( a19038a and a19033a ); a19043a <=( (not A269) and (not A268) ); a19044a <=( (not A267) and a19043a ); a19048a <=( A302 and (not A299) ); a19049a <=( A298 and a19048a ); a19050a <=( a19049a and a19044a ); a19054a <=( (not A168) and (not A169) ); a19055a <=( (not A170) and a19054a ); a19059a <=( A203 and (not A200) ); a19060a <=( A199 and a19059a ); a19061a <=( a19060a and a19055a ); a19065a <=( (not A269) and (not A268) ); a19066a <=( (not A267) and a19065a ); a19070a <=( A302 and A299 ); a19071a <=( (not A298) and a19070a ); a19072a <=( a19071a and a19066a ); a19076a <=( (not A168) and (not A169) ); a19077a <=( (not A170) and a19076a ); a19081a <=( A203 and (not A200) ); a19082a <=( A199 and a19081a ); a19083a <=( a19082a and a19077a ); a19087a <=( (not A267) and A266 ); a19088a <=( A265 and a19087a ); a19092a <=( A300 and A299 ); a19093a <=( (not A268) and a19092a ); a19094a <=( a19093a and a19088a ); a19098a <=( (not A168) and (not A169) ); a19099a <=( (not A170) and a19098a ); a19103a <=( A203 and (not A200) ); a19104a <=( A199 and a19103a ); a19105a <=( a19104a and a19099a ); a19109a <=( (not A267) and A266 ); a19110a <=( A265 and a19109a ); a19114a <=( A300 and A298 ); a19115a <=( (not A268) and a19114a ); a19116a <=( a19115a and a19110a ); a19120a <=( (not A168) and (not A169) ); a19121a <=( (not A170) and a19120a ); a19125a <=( A203 and (not A200) ); a19126a <=( A199 and a19125a ); a19127a <=( a19126a and a19121a ); a19131a <=( (not A268) and (not A266) ); a19132a <=( (not A265) and a19131a ); a19136a <=( A302 and (not A299) ); a19137a <=( A298 and a19136a ); a19138a <=( a19137a and a19132a ); a19142a <=( (not A168) and (not A169) ); a19143a <=( (not A170) and a19142a ); a19147a <=( A203 and (not A200) ); a19148a <=( A199 and a19147a ); a19149a <=( a19148a and a19143a ); a19153a <=( (not A268) and (not A266) ); a19154a <=( (not A265) and a19153a ); a19158a <=( A302 and A299 ); a19159a <=( (not A298) and a19158a ); a19160a <=( a19159a and a19154a ); a19164a <=( (not A168) and (not A169) ); a19165a <=( (not A170) and a19164a ); a19169a <=( (not A202) and (not A200) ); a19170a <=( (not A199) and a19169a ); a19171a <=( a19170a and a19165a ); a19175a <=( A298 and A267 ); a19176a <=( A265 and a19175a ); a19180a <=( (not A301) and (not A300) ); a19181a <=( A299 and a19180a ); a19182a <=( a19181a and a19176a ); a19186a <=( (not A168) and (not A169) ); a19187a <=( (not A170) and a19186a ); a19191a <=( (not A202) and (not A200) ); a19192a <=( (not A199) and a19191a ); a19193a <=( a19192a and a19187a ); a19197a <=( A298 and A267 ); a19198a <=( A266 and a19197a ); a19202a <=( (not A301) and (not A300) ); a19203a <=( A299 and a19202a ); a19204a <=( a19203a and a19198a ); a19208a <=( (not A168) and (not A169) ); a19209a <=( (not A170) and a19208a ); a19213a <=( (not A202) and (not A200) ); a19214a <=( (not A199) and a19213a ); a19215a <=( a19214a and a19209a ); a19219a <=( A269 and A266 ); a19220a <=( (not A265) and a19219a ); a19224a <=( (not A302) and (not A301) ); a19225a <=( (not A300) and a19224a ); a19226a <=( a19225a and a19220a ); a19230a <=( (not A168) and (not A169) ); a19231a <=( (not A170) and a19230a ); a19235a <=( (not A202) and (not A200) ); a19236a <=( (not A199) and a19235a ); a19237a <=( a19236a and a19231a ); a19241a <=( A269 and A266 ); a19242a <=( (not A265) and a19241a ); a19246a <=( (not A301) and (not A299) ); a19247a <=( (not A298) and a19246a ); a19248a <=( a19247a and a19242a ); a19252a <=( (not A168) and (not A169) ); a19253a <=( (not A170) and a19252a ); a19257a <=( (not A202) and (not A200) ); a19258a <=( (not A199) and a19257a ); a19259a <=( a19258a and a19253a ); a19263a <=( A269 and (not A266) ); a19264a <=( A265 and a19263a ); a19268a <=( (not A302) and (not A301) ); a19269a <=( (not A300) and a19268a ); a19270a <=( a19269a and a19264a ); a19274a <=( (not A168) and (not A169) ); a19275a <=( (not A170) and a19274a ); a19279a <=( (not A202) and (not A200) ); a19280a <=( (not A199) and a19279a ); a19281a <=( a19280a and a19275a ); a19285a <=( A269 and (not A266) ); a19286a <=( A265 and a19285a ); a19290a <=( (not A301) and (not A299) ); a19291a <=( (not A298) and a19290a ); a19292a <=( a19291a and a19286a ); a19296a <=( A199 and A166 ); a19297a <=( A168 and a19296a ); a19301a <=( (not A202) and (not A201) ); a19302a <=( A200 and a19301a ); a19303a <=( a19302a and a19297a ); a19307a <=( (not A267) and A266 ); a19308a <=( A265 and a19307a ); a19311a <=( A298 and (not A268) ); a19314a <=( A302 and (not A299) ); a19315a <=( a19314a and a19311a ); a19316a <=( a19315a and a19308a ); a19320a <=( A199 and A166 ); a19321a <=( A168 and a19320a ); a19325a <=( (not A202) and (not A201) ); a19326a <=( A200 and a19325a ); a19327a <=( a19326a and a19321a ); a19331a <=( (not A267) and A266 ); a19332a <=( A265 and a19331a ); a19335a <=( (not A298) and (not A268) ); a19338a <=( A302 and A299 ); a19339a <=( a19338a and a19335a ); a19340a <=( a19339a and a19332a ); a19344a <=( A199 and A167 ); a19345a <=( A168 and a19344a ); a19349a <=( (not A202) and (not A201) ); a19350a <=( A200 and a19349a ); a19351a <=( a19350a and a19345a ); a19355a <=( (not A267) and A266 ); a19356a <=( A265 and a19355a ); a19359a <=( A298 and (not A268) ); a19362a <=( A302 and (not A299) ); a19363a <=( a19362a and a19359a ); a19364a <=( a19363a and a19356a ); a19368a <=( A199 and A167 ); a19369a <=( A168 and a19368a ); a19373a <=( (not A202) and (not A201) ); a19374a <=( A200 and a19373a ); a19375a <=( a19374a and a19369a ); a19379a <=( (not A267) and A266 ); a19380a <=( A265 and a19379a ); a19383a <=( (not A298) and (not A268) ); a19386a <=( A302 and A299 ); a19387a <=( a19386a and a19383a ); a19388a <=( a19387a and a19380a ); a19392a <=( (not A166) and A167 ); a19393a <=( A170 and a19392a ); a19397a <=( (not A203) and (not A202) ); a19398a <=( (not A201) and a19397a ); a19399a <=( a19398a and a19393a ); a19403a <=( (not A267) and A266 ); a19404a <=( A265 and a19403a ); a19407a <=( A298 and (not A268) ); a19410a <=( A302 and (not A299) ); a19411a <=( a19410a and a19407a ); a19412a <=( a19411a and a19404a ); a19416a <=( (not A166) and A167 ); a19417a <=( A170 and a19416a ); a19421a <=( (not A203) and (not A202) ); a19422a <=( (not A201) and a19421a ); a19423a <=( a19422a and a19417a ); a19427a <=( (not A267) and A266 ); a19428a <=( A265 and a19427a ); a19431a <=( (not A298) and (not A268) ); a19434a <=( A302 and A299 ); a19435a <=( a19434a and a19431a ); a19436a <=( a19435a and a19428a ); a19440a <=( (not A166) and A167 ); a19441a <=( A170 and a19440a ); a19445a <=( (not A201) and A200 ); a19446a <=( A199 and a19445a ); a19447a <=( a19446a and a19441a ); a19451a <=( (not A268) and (not A267) ); a19452a <=( (not A202) and a19451a ); a19455a <=( A298 and (not A269) ); a19458a <=( A302 and (not A299) ); a19459a <=( a19458a and a19455a ); a19460a <=( a19459a and a19452a ); a19464a <=( (not A166) and A167 ); a19465a <=( A170 and a19464a ); a19469a <=( (not A201) and A200 ); a19470a <=( A199 and a19469a ); a19471a <=( a19470a and a19465a ); a19475a <=( (not A268) and (not A267) ); a19476a <=( (not A202) and a19475a ); a19479a <=( (not A298) and (not A269) ); a19482a <=( A302 and A299 ); a19483a <=( a19482a and a19479a ); a19484a <=( a19483a and a19476a ); a19488a <=( (not A166) and A167 ); a19489a <=( A170 and a19488a ); a19493a <=( (not A201) and A200 ); a19494a <=( A199 and a19493a ); a19495a <=( a19494a and a19489a ); a19499a <=( A266 and A265 ); a19500a <=( (not A202) and a19499a ); a19503a <=( (not A268) and (not A267) ); a19506a <=( A300 and A299 ); a19507a <=( a19506a and a19503a ); a19508a <=( a19507a and a19500a ); a19512a <=( (not A166) and A167 ); a19513a <=( A170 and a19512a ); a19517a <=( (not A201) and A200 ); a19518a <=( A199 and a19517a ); a19519a <=( a19518a and a19513a ); a19523a <=( A266 and A265 ); a19524a <=( (not A202) and a19523a ); a19527a <=( (not A268) and (not A267) ); a19530a <=( A300 and A298 ); a19531a <=( a19530a and a19527a ); a19532a <=( a19531a and a19524a ); a19536a <=( (not A166) and A167 ); a19537a <=( A170 and a19536a ); a19541a <=( (not A201) and A200 ); a19542a <=( A199 and a19541a ); a19543a <=( a19542a and a19537a ); a19547a <=( (not A266) and (not A265) ); a19548a <=( (not A202) and a19547a ); a19551a <=( A298 and (not A268) ); a19554a <=( A302 and (not A299) ); a19555a <=( a19554a and a19551a ); a19556a <=( a19555a and a19548a ); a19560a <=( (not A166) and A167 ); a19561a <=( A170 and a19560a ); a19565a <=( (not A201) and A200 ); a19566a <=( A199 and a19565a ); a19567a <=( a19566a and a19561a ); a19571a <=( (not A266) and (not A265) ); a19572a <=( (not A202) and a19571a ); a19575a <=( (not A298) and (not A268) ); a19578a <=( A302 and A299 ); a19579a <=( a19578a and a19575a ); a19580a <=( a19579a and a19572a ); a19584a <=( (not A166) and A167 ); a19585a <=( A170 and a19584a ); a19589a <=( A203 and A200 ); a19590a <=( (not A199) and a19589a ); a19591a <=( a19590a and a19585a ); a19595a <=( A269 and A266 ); a19596a <=( (not A265) and a19595a ); a19599a <=( A299 and A298 ); a19602a <=( (not A301) and (not A300) ); a19603a <=( a19602a and a19599a ); a19604a <=( a19603a and a19596a ); a19608a <=( (not A166) and A167 ); a19609a <=( A170 and a19608a ); a19613a <=( A203 and A200 ); a19614a <=( (not A199) and a19613a ); a19615a <=( a19614a and a19609a ); a19619a <=( A269 and (not A266) ); a19620a <=( A265 and a19619a ); a19623a <=( A299 and A298 ); a19626a <=( (not A301) and (not A300) ); a19627a <=( a19626a and a19623a ); a19628a <=( a19627a and a19620a ); a19632a <=( (not A166) and A167 ); a19633a <=( A170 and a19632a ); a19637a <=( A203 and (not A200) ); a19638a <=( A199 and a19637a ); a19639a <=( a19638a and a19633a ); a19643a <=( A269 and A266 ); a19644a <=( (not A265) and a19643a ); a19647a <=( A299 and A298 ); a19650a <=( (not A301) and (not A300) ); a19651a <=( a19650a and a19647a ); a19652a <=( a19651a and a19644a ); a19656a <=( (not A166) and A167 ); a19657a <=( A170 and a19656a ); a19661a <=( A203 and (not A200) ); a19662a <=( A199 and a19661a ); a19663a <=( a19662a and a19657a ); a19667a <=( A269 and (not A266) ); a19668a <=( A265 and a19667a ); a19671a <=( A299 and A298 ); a19674a <=( (not A301) and (not A300) ); a19675a <=( a19674a and a19671a ); a19676a <=( a19675a and a19668a ); a19680a <=( (not A166) and A167 ); a19681a <=( A170 and a19680a ); a19685a <=( (not A202) and (not A200) ); a19686a <=( (not A199) and a19685a ); a19687a <=( a19686a and a19681a ); a19691a <=( (not A267) and A266 ); a19692a <=( A265 and a19691a ); a19695a <=( A298 and (not A268) ); a19698a <=( A302 and (not A299) ); a19699a <=( a19698a and a19695a ); a19700a <=( a19699a and a19692a ); a19704a <=( (not A166) and A167 ); a19705a <=( A170 and a19704a ); a19709a <=( (not A202) and (not A200) ); a19710a <=( (not A199) and a19709a ); a19711a <=( a19710a and a19705a ); a19715a <=( (not A267) and A266 ); a19716a <=( A265 and a19715a ); a19719a <=( (not A298) and (not A268) ); a19722a <=( A302 and A299 ); a19723a <=( a19722a and a19719a ); a19724a <=( a19723a and a19716a ); a19728a <=( A166 and (not A167) ); a19729a <=( A170 and a19728a ); a19733a <=( (not A203) and (not A202) ); a19734a <=( (not A201) and a19733a ); a19735a <=( a19734a and a19729a ); a19739a <=( (not A267) and A266 ); a19740a <=( A265 and a19739a ); a19743a <=( A298 and (not A268) ); a19746a <=( A302 and (not A299) ); a19747a <=( a19746a and a19743a ); a19748a <=( a19747a and a19740a ); a19752a <=( A166 and (not A167) ); a19753a <=( A170 and a19752a ); a19757a <=( (not A203) and (not A202) ); a19758a <=( (not A201) and a19757a ); a19759a <=( a19758a and a19753a ); a19763a <=( (not A267) and A266 ); a19764a <=( A265 and a19763a ); a19767a <=( (not A298) and (not A268) ); a19770a <=( A302 and A299 ); a19771a <=( a19770a and a19767a ); a19772a <=( a19771a and a19764a ); a19776a <=( A166 and (not A167) ); a19777a <=( A170 and a19776a ); a19781a <=( (not A201) and A200 ); a19782a <=( A199 and a19781a ); a19783a <=( a19782a and a19777a ); a19787a <=( (not A268) and (not A267) ); a19788a <=( (not A202) and a19787a ); a19791a <=( A298 and (not A269) ); a19794a <=( A302 and (not A299) ); a19795a <=( a19794a and a19791a ); a19796a <=( a19795a and a19788a ); a19800a <=( A166 and (not A167) ); a19801a <=( A170 and a19800a ); a19805a <=( (not A201) and A200 ); a19806a <=( A199 and a19805a ); a19807a <=( a19806a and a19801a ); a19811a <=( (not A268) and (not A267) ); a19812a <=( (not A202) and a19811a ); a19815a <=( (not A298) and (not A269) ); a19818a <=( A302 and A299 ); a19819a <=( a19818a and a19815a ); a19820a <=( a19819a and a19812a ); a19824a <=( A166 and (not A167) ); a19825a <=( A170 and a19824a ); a19829a <=( (not A201) and A200 ); a19830a <=( A199 and a19829a ); a19831a <=( a19830a and a19825a ); a19835a <=( A266 and A265 ); a19836a <=( (not A202) and a19835a ); a19839a <=( (not A268) and (not A267) ); a19842a <=( A300 and A299 ); a19843a <=( a19842a and a19839a ); a19844a <=( a19843a and a19836a ); a19848a <=( A166 and (not A167) ); a19849a <=( A170 and a19848a ); a19853a <=( (not A201) and A200 ); a19854a <=( A199 and a19853a ); a19855a <=( a19854a and a19849a ); a19859a <=( A266 and A265 ); a19860a <=( (not A202) and a19859a ); a19863a <=( (not A268) and (not A267) ); a19866a <=( A300 and A298 ); a19867a <=( a19866a and a19863a ); a19868a <=( a19867a and a19860a ); a19872a <=( A166 and (not A167) ); a19873a <=( A170 and a19872a ); a19877a <=( (not A201) and A200 ); a19878a <=( A199 and a19877a ); a19879a <=( a19878a and a19873a ); a19883a <=( (not A266) and (not A265) ); a19884a <=( (not A202) and a19883a ); a19887a <=( A298 and (not A268) ); a19890a <=( A302 and (not A299) ); a19891a <=( a19890a and a19887a ); a19892a <=( a19891a and a19884a ); a19896a <=( A166 and (not A167) ); a19897a <=( A170 and a19896a ); a19901a <=( (not A201) and A200 ); a19902a <=( A199 and a19901a ); a19903a <=( a19902a and a19897a ); a19907a <=( (not A266) and (not A265) ); a19908a <=( (not A202) and a19907a ); a19911a <=( (not A298) and (not A268) ); a19914a <=( A302 and A299 ); a19915a <=( a19914a and a19911a ); a19916a <=( a19915a and a19908a ); a19920a <=( A166 and (not A167) ); a19921a <=( A170 and a19920a ); a19925a <=( A203 and A200 ); a19926a <=( (not A199) and a19925a ); a19927a <=( a19926a and a19921a ); a19931a <=( A269 and A266 ); a19932a <=( (not A265) and a19931a ); a19935a <=( A299 and A298 ); a19938a <=( (not A301) and (not A300) ); a19939a <=( a19938a and a19935a ); a19940a <=( a19939a and a19932a ); a19944a <=( A166 and (not A167) ); a19945a <=( A170 and a19944a ); a19949a <=( A203 and A200 ); a19950a <=( (not A199) and a19949a ); a19951a <=( a19950a and a19945a ); a19955a <=( A269 and (not A266) ); a19956a <=( A265 and a19955a ); a19959a <=( A299 and A298 ); a19962a <=( (not A301) and (not A300) ); a19963a <=( a19962a and a19959a ); a19964a <=( a19963a and a19956a ); a19968a <=( A166 and (not A167) ); a19969a <=( A170 and a19968a ); a19973a <=( A203 and (not A200) ); a19974a <=( A199 and a19973a ); a19975a <=( a19974a and a19969a ); a19979a <=( A269 and A266 ); a19980a <=( (not A265) and a19979a ); a19983a <=( A299 and A298 ); a19986a <=( (not A301) and (not A300) ); a19987a <=( a19986a and a19983a ); a19988a <=( a19987a and a19980a ); a19992a <=( A166 and (not A167) ); a19993a <=( A170 and a19992a ); a19997a <=( A203 and (not A200) ); a19998a <=( A199 and a19997a ); a19999a <=( a19998a and a19993a ); a20003a <=( A269 and (not A266) ); a20004a <=( A265 and a20003a ); a20007a <=( A299 and A298 ); a20010a <=( (not A301) and (not A300) ); a20011a <=( a20010a and a20007a ); a20012a <=( a20011a and a20004a ); a20016a <=( A166 and (not A167) ); a20017a <=( A170 and a20016a ); a20021a <=( (not A202) and (not A200) ); a20022a <=( (not A199) and a20021a ); a20023a <=( a20022a and a20017a ); a20027a <=( (not A267) and A266 ); a20028a <=( A265 and a20027a ); a20031a <=( A298 and (not A268) ); a20034a <=( A302 and (not A299) ); a20035a <=( a20034a and a20031a ); a20036a <=( a20035a and a20028a ); a20040a <=( A166 and (not A167) ); a20041a <=( A170 and a20040a ); a20045a <=( (not A202) and (not A200) ); a20046a <=( (not A199) and a20045a ); a20047a <=( a20046a and a20041a ); a20051a <=( (not A267) and A266 ); a20052a <=( A265 and a20051a ); a20055a <=( (not A298) and (not A268) ); a20058a <=( A302 and A299 ); a20059a <=( a20058a and a20055a ); a20060a <=( a20059a and a20052a ); a20064a <=( (not A166) and (not A167) ); a20065a <=( (not A169) and a20064a ); a20069a <=( (not A203) and (not A202) ); a20070a <=( (not A201) and a20069a ); a20071a <=( a20070a and a20065a ); a20075a <=( A269 and A266 ); a20076a <=( (not A265) and a20075a ); a20079a <=( A299 and A298 ); a20082a <=( (not A301) and (not A300) ); a20083a <=( a20082a and a20079a ); a20084a <=( a20083a and a20076a ); a20088a <=( (not A166) and (not A167) ); a20089a <=( (not A169) and a20088a ); a20093a <=( (not A203) and (not A202) ); a20094a <=( (not A201) and a20093a ); a20095a <=( a20094a and a20089a ); a20099a <=( A269 and (not A266) ); a20100a <=( A265 and a20099a ); a20103a <=( A299 and A298 ); a20106a <=( (not A301) and (not A300) ); a20107a <=( a20106a and a20103a ); a20108a <=( a20107a and a20100a ); a20112a <=( (not A166) and (not A167) ); a20113a <=( (not A169) and a20112a ); a20117a <=( (not A201) and A200 ); a20118a <=( A199 and a20117a ); a20119a <=( a20118a and a20113a ); a20123a <=( A267 and A265 ); a20124a <=( (not A202) and a20123a ); a20127a <=( A299 and A298 ); a20130a <=( (not A301) and (not A300) ); a20131a <=( a20130a and a20127a ); a20132a <=( a20131a and a20124a ); a20136a <=( (not A166) and (not A167) ); a20137a <=( (not A169) and a20136a ); a20141a <=( (not A201) and A200 ); a20142a <=( A199 and a20141a ); a20143a <=( a20142a and a20137a ); a20147a <=( A267 and A266 ); a20148a <=( (not A202) and a20147a ); a20151a <=( A299 and A298 ); a20154a <=( (not A301) and (not A300) ); a20155a <=( a20154a and a20151a ); a20156a <=( a20155a and a20148a ); a20160a <=( (not A166) and (not A167) ); a20161a <=( (not A169) and a20160a ); a20165a <=( (not A201) and A200 ); a20166a <=( A199 and a20165a ); a20167a <=( a20166a and a20161a ); a20171a <=( A266 and (not A265) ); a20172a <=( (not A202) and a20171a ); a20175a <=( (not A300) and A269 ); a20178a <=( (not A302) and (not A301) ); a20179a <=( a20178a and a20175a ); a20180a <=( a20179a and a20172a ); a20184a <=( (not A166) and (not A167) ); a20185a <=( (not A169) and a20184a ); a20189a <=( (not A201) and A200 ); a20190a <=( A199 and a20189a ); a20191a <=( a20190a and a20185a ); a20195a <=( A266 and (not A265) ); a20196a <=( (not A202) and a20195a ); a20199a <=( (not A298) and A269 ); a20202a <=( (not A301) and (not A299) ); a20203a <=( a20202a and a20199a ); a20204a <=( a20203a and a20196a ); a20208a <=( (not A166) and (not A167) ); a20209a <=( (not A169) and a20208a ); a20213a <=( (not A201) and A200 ); a20214a <=( A199 and a20213a ); a20215a <=( a20214a and a20209a ); a20219a <=( (not A266) and A265 ); a20220a <=( (not A202) and a20219a ); a20223a <=( (not A300) and A269 ); a20226a <=( (not A302) and (not A301) ); a20227a <=( a20226a and a20223a ); a20228a <=( a20227a and a20220a ); a20232a <=( (not A166) and (not A167) ); a20233a <=( (not A169) and a20232a ); a20237a <=( (not A201) and A200 ); a20238a <=( A199 and a20237a ); a20239a <=( a20238a and a20233a ); a20243a <=( (not A266) and A265 ); a20244a <=( (not A202) and a20243a ); a20247a <=( (not A298) and A269 ); a20250a <=( (not A301) and (not A299) ); a20251a <=( a20250a and a20247a ); a20252a <=( a20251a and a20244a ); a20256a <=( (not A166) and (not A167) ); a20257a <=( (not A169) and a20256a ); a20261a <=( A203 and A200 ); a20262a <=( (not A199) and a20261a ); a20263a <=( a20262a and a20257a ); a20267a <=( (not A267) and A266 ); a20268a <=( A265 and a20267a ); a20271a <=( A298 and (not A268) ); a20274a <=( A302 and (not A299) ); a20275a <=( a20274a and a20271a ); a20276a <=( a20275a and a20268a ); a20280a <=( (not A166) and (not A167) ); a20281a <=( (not A169) and a20280a ); a20285a <=( A203 and A200 ); a20286a <=( (not A199) and a20285a ); a20287a <=( a20286a and a20281a ); a20291a <=( (not A267) and A266 ); a20292a <=( A265 and a20291a ); a20295a <=( (not A298) and (not A268) ); a20298a <=( A302 and A299 ); a20299a <=( a20298a and a20295a ); a20300a <=( a20299a and a20292a ); a20304a <=( (not A166) and (not A167) ); a20305a <=( (not A169) and a20304a ); a20309a <=( A203 and (not A200) ); a20310a <=( A199 and a20309a ); a20311a <=( a20310a and a20305a ); a20315a <=( (not A267) and A266 ); a20316a <=( A265 and a20315a ); a20319a <=( A298 and (not A268) ); a20322a <=( A302 and (not A299) ); a20323a <=( a20322a and a20319a ); a20324a <=( a20323a and a20316a ); a20328a <=( (not A166) and (not A167) ); a20329a <=( (not A169) and a20328a ); a20333a <=( A203 and (not A200) ); a20334a <=( A199 and a20333a ); a20335a <=( a20334a and a20329a ); a20339a <=( (not A267) and A266 ); a20340a <=( A265 and a20339a ); a20343a <=( (not A298) and (not A268) ); a20346a <=( A302 and A299 ); a20347a <=( a20346a and a20343a ); a20348a <=( a20347a and a20340a ); a20352a <=( (not A166) and (not A167) ); a20353a <=( (not A169) and a20352a ); a20357a <=( (not A202) and (not A200) ); a20358a <=( (not A199) and a20357a ); a20359a <=( a20358a and a20353a ); a20363a <=( A269 and A266 ); a20364a <=( (not A265) and a20363a ); a20367a <=( A299 and A298 ); a20370a <=( (not A301) and (not A300) ); a20371a <=( a20370a and a20367a ); a20372a <=( a20371a and a20364a ); a20376a <=( (not A166) and (not A167) ); a20377a <=( (not A169) and a20376a ); a20381a <=( (not A202) and (not A200) ); a20382a <=( (not A199) and a20381a ); a20383a <=( a20382a and a20377a ); a20387a <=( A269 and (not A266) ); a20388a <=( A265 and a20387a ); a20391a <=( A299 and A298 ); a20394a <=( (not A301) and (not A300) ); a20395a <=( a20394a and a20391a ); a20396a <=( a20395a and a20388a ); a20400a <=( A167 and (not A168) ); a20401a <=( (not A169) and a20400a ); a20405a <=( (not A202) and (not A201) ); a20406a <=( A166 and a20405a ); a20407a <=( a20406a and a20401a ); a20411a <=( A267 and A265 ); a20412a <=( (not A203) and a20411a ); a20415a <=( A299 and A298 ); a20418a <=( (not A301) and (not A300) ); a20419a <=( a20418a and a20415a ); a20420a <=( a20419a and a20412a ); a20424a <=( A167 and (not A168) ); a20425a <=( (not A169) and a20424a ); a20429a <=( (not A202) and (not A201) ); a20430a <=( A166 and a20429a ); a20431a <=( a20430a and a20425a ); a20435a <=( A267 and A266 ); a20436a <=( (not A203) and a20435a ); a20439a <=( A299 and A298 ); a20442a <=( (not A301) and (not A300) ); a20443a <=( a20442a and a20439a ); a20444a <=( a20443a and a20436a ); a20448a <=( A167 and (not A168) ); a20449a <=( (not A169) and a20448a ); a20453a <=( (not A202) and (not A201) ); a20454a <=( A166 and a20453a ); a20455a <=( a20454a and a20449a ); a20459a <=( A266 and (not A265) ); a20460a <=( (not A203) and a20459a ); a20463a <=( (not A300) and A269 ); a20466a <=( (not A302) and (not A301) ); a20467a <=( a20466a and a20463a ); a20468a <=( a20467a and a20460a ); a20472a <=( A167 and (not A168) ); a20473a <=( (not A169) and a20472a ); a20477a <=( (not A202) and (not A201) ); a20478a <=( A166 and a20477a ); a20479a <=( a20478a and a20473a ); a20483a <=( A266 and (not A265) ); a20484a <=( (not A203) and a20483a ); a20487a <=( (not A298) and A269 ); a20490a <=( (not A301) and (not A299) ); a20491a <=( a20490a and a20487a ); a20492a <=( a20491a and a20484a ); a20496a <=( A167 and (not A168) ); a20497a <=( (not A169) and a20496a ); a20501a <=( (not A202) and (not A201) ); a20502a <=( A166 and a20501a ); a20503a <=( a20502a and a20497a ); a20507a <=( (not A266) and A265 ); a20508a <=( (not A203) and a20507a ); a20511a <=( (not A300) and A269 ); a20514a <=( (not A302) and (not A301) ); a20515a <=( a20514a and a20511a ); a20516a <=( a20515a and a20508a ); a20520a <=( A167 and (not A168) ); a20521a <=( (not A169) and a20520a ); a20525a <=( (not A202) and (not A201) ); a20526a <=( A166 and a20525a ); a20527a <=( a20526a and a20521a ); a20531a <=( (not A266) and A265 ); a20532a <=( (not A203) and a20531a ); a20535a <=( (not A298) and A269 ); a20538a <=( (not A301) and (not A299) ); a20539a <=( a20538a and a20535a ); a20540a <=( a20539a and a20532a ); a20544a <=( A167 and (not A168) ); a20545a <=( (not A169) and a20544a ); a20549a <=( A201 and A199 ); a20550a <=( A166 and a20549a ); a20551a <=( a20550a and a20545a ); a20555a <=( (not A267) and A266 ); a20556a <=( A265 and a20555a ); a20559a <=( A298 and (not A268) ); a20562a <=( A302 and (not A299) ); a20563a <=( a20562a and a20559a ); a20564a <=( a20563a and a20556a ); a20568a <=( A167 and (not A168) ); a20569a <=( (not A169) and a20568a ); a20573a <=( A201 and A199 ); a20574a <=( A166 and a20573a ); a20575a <=( a20574a and a20569a ); a20579a <=( (not A267) and A266 ); a20580a <=( A265 and a20579a ); a20583a <=( (not A298) and (not A268) ); a20586a <=( A302 and A299 ); a20587a <=( a20586a and a20583a ); a20588a <=( a20587a and a20580a ); a20592a <=( A167 and (not A168) ); a20593a <=( (not A169) and a20592a ); a20597a <=( A201 and A200 ); a20598a <=( A166 and a20597a ); a20599a <=( a20598a and a20593a ); a20603a <=( (not A267) and A266 ); a20604a <=( A265 and a20603a ); a20607a <=( A298 and (not A268) ); a20610a <=( A302 and (not A299) ); a20611a <=( a20610a and a20607a ); a20612a <=( a20611a and a20604a ); a20616a <=( A167 and (not A168) ); a20617a <=( (not A169) and a20616a ); a20621a <=( A201 and A200 ); a20622a <=( A166 and a20621a ); a20623a <=( a20622a and a20617a ); a20627a <=( (not A267) and A266 ); a20628a <=( A265 and a20627a ); a20631a <=( (not A298) and (not A268) ); a20634a <=( A302 and A299 ); a20635a <=( a20634a and a20631a ); a20636a <=( a20635a and a20628a ); a20640a <=( A167 and (not A168) ); a20641a <=( (not A169) and a20640a ); a20645a <=( A200 and A199 ); a20646a <=( A166 and a20645a ); a20647a <=( a20646a and a20641a ); a20651a <=( A268 and (not A202) ); a20652a <=( (not A201) and a20651a ); a20655a <=( A299 and A298 ); a20658a <=( (not A301) and (not A300) ); a20659a <=( a20658a and a20655a ); a20660a <=( a20659a and a20652a ); a20664a <=( A167 and (not A168) ); a20665a <=( (not A169) and a20664a ); a20669a <=( A200 and A199 ); a20670a <=( A166 and a20669a ); a20671a <=( a20670a and a20665a ); a20675a <=( A265 and (not A202) ); a20676a <=( (not A201) and a20675a ); a20679a <=( (not A300) and A267 ); a20682a <=( (not A302) and (not A301) ); a20683a <=( a20682a and a20679a ); a20684a <=( a20683a and a20676a ); a20688a <=( A167 and (not A168) ); a20689a <=( (not A169) and a20688a ); a20693a <=( A200 and A199 ); a20694a <=( A166 and a20693a ); a20695a <=( a20694a and a20689a ); a20699a <=( A265 and (not A202) ); a20700a <=( (not A201) and a20699a ); a20703a <=( (not A298) and A267 ); a20706a <=( (not A301) and (not A299) ); a20707a <=( a20706a and a20703a ); a20708a <=( a20707a and a20700a ); a20712a <=( A167 and (not A168) ); a20713a <=( (not A169) and a20712a ); a20717a <=( A200 and A199 ); a20718a <=( A166 and a20717a ); a20719a <=( a20718a and a20713a ); a20723a <=( A266 and (not A202) ); a20724a <=( (not A201) and a20723a ); a20727a <=( (not A300) and A267 ); a20730a <=( (not A302) and (not A301) ); a20731a <=( a20730a and a20727a ); a20732a <=( a20731a and a20724a ); a20736a <=( A167 and (not A168) ); a20737a <=( (not A169) and a20736a ); a20741a <=( A200 and A199 ); a20742a <=( A166 and a20741a ); a20743a <=( a20742a and a20737a ); a20747a <=( A266 and (not A202) ); a20748a <=( (not A201) and a20747a ); a20751a <=( (not A298) and A267 ); a20754a <=( (not A301) and (not A299) ); a20755a <=( a20754a and a20751a ); a20756a <=( a20755a and a20748a ); a20760a <=( A167 and (not A168) ); a20761a <=( (not A169) and a20760a ); a20765a <=( A200 and (not A199) ); a20766a <=( A166 and a20765a ); a20767a <=( a20766a and a20761a ); a20771a <=( (not A268) and (not A267) ); a20772a <=( A203 and a20771a ); a20775a <=( A298 and (not A269) ); a20778a <=( A302 and (not A299) ); a20779a <=( a20778a and a20775a ); a20780a <=( a20779a and a20772a ); a20784a <=( A167 and (not A168) ); a20785a <=( (not A169) and a20784a ); a20789a <=( A200 and (not A199) ); a20790a <=( A166 and a20789a ); a20791a <=( a20790a and a20785a ); a20795a <=( (not A268) and (not A267) ); a20796a <=( A203 and a20795a ); a20799a <=( (not A298) and (not A269) ); a20802a <=( A302 and A299 ); a20803a <=( a20802a and a20799a ); a20804a <=( a20803a and a20796a ); a20808a <=( A167 and (not A168) ); a20809a <=( (not A169) and a20808a ); a20813a <=( A200 and (not A199) ); a20814a <=( A166 and a20813a ); a20815a <=( a20814a and a20809a ); a20819a <=( A266 and A265 ); a20820a <=( A203 and a20819a ); a20823a <=( (not A268) and (not A267) ); a20826a <=( A300 and A299 ); a20827a <=( a20826a and a20823a ); a20828a <=( a20827a and a20820a ); a20832a <=( A167 and (not A168) ); a20833a <=( (not A169) and a20832a ); a20837a <=( A200 and (not A199) ); a20838a <=( A166 and a20837a ); a20839a <=( a20838a and a20833a ); a20843a <=( A266 and A265 ); a20844a <=( A203 and a20843a ); a20847a <=( (not A268) and (not A267) ); a20850a <=( A300 and A298 ); a20851a <=( a20850a and a20847a ); a20852a <=( a20851a and a20844a ); a20856a <=( A167 and (not A168) ); a20857a <=( (not A169) and a20856a ); a20861a <=( A200 and (not A199) ); a20862a <=( A166 and a20861a ); a20863a <=( a20862a and a20857a ); a20867a <=( (not A266) and (not A265) ); a20868a <=( A203 and a20867a ); a20871a <=( A298 and (not A268) ); a20874a <=( A302 and (not A299) ); a20875a <=( a20874a and a20871a ); a20876a <=( a20875a and a20868a ); a20880a <=( A167 and (not A168) ); a20881a <=( (not A169) and a20880a ); a20885a <=( A200 and (not A199) ); a20886a <=( A166 and a20885a ); a20887a <=( a20886a and a20881a ); a20891a <=( (not A266) and (not A265) ); a20892a <=( A203 and a20891a ); a20895a <=( (not A298) and (not A268) ); a20898a <=( A302 and A299 ); a20899a <=( a20898a and a20895a ); a20900a <=( a20899a and a20892a ); a20904a <=( A167 and (not A168) ); a20905a <=( (not A169) and a20904a ); a20909a <=( (not A200) and A199 ); a20910a <=( A166 and a20909a ); a20911a <=( a20910a and a20905a ); a20915a <=( (not A268) and (not A267) ); a20916a <=( A203 and a20915a ); a20919a <=( A298 and (not A269) ); a20922a <=( A302 and (not A299) ); a20923a <=( a20922a and a20919a ); a20924a <=( a20923a and a20916a ); a20928a <=( A167 and (not A168) ); a20929a <=( (not A169) and a20928a ); a20933a <=( (not A200) and A199 ); a20934a <=( A166 and a20933a ); a20935a <=( a20934a and a20929a ); a20939a <=( (not A268) and (not A267) ); a20940a <=( A203 and a20939a ); a20943a <=( (not A298) and (not A269) ); a20946a <=( A302 and A299 ); a20947a <=( a20946a and a20943a ); a20948a <=( a20947a and a20940a ); a20952a <=( A167 and (not A168) ); a20953a <=( (not A169) and a20952a ); a20957a <=( (not A200) and A199 ); a20958a <=( A166 and a20957a ); a20959a <=( a20958a and a20953a ); a20963a <=( A266 and A265 ); a20964a <=( A203 and a20963a ); a20967a <=( (not A268) and (not A267) ); a20970a <=( A300 and A299 ); a20971a <=( a20970a and a20967a ); a20972a <=( a20971a and a20964a ); a20976a <=( A167 and (not A168) ); a20977a <=( (not A169) and a20976a ); a20981a <=( (not A200) and A199 ); a20982a <=( A166 and a20981a ); a20983a <=( a20982a and a20977a ); a20987a <=( A266 and A265 ); a20988a <=( A203 and a20987a ); a20991a <=( (not A268) and (not A267) ); a20994a <=( A300 and A298 ); a20995a <=( a20994a and a20991a ); a20996a <=( a20995a and a20988a ); a21000a <=( A167 and (not A168) ); a21001a <=( (not A169) and a21000a ); a21005a <=( (not A200) and A199 ); a21006a <=( A166 and a21005a ); a21007a <=( a21006a and a21001a ); a21011a <=( (not A266) and (not A265) ); a21012a <=( A203 and a21011a ); a21015a <=( A298 and (not A268) ); a21018a <=( A302 and (not A299) ); a21019a <=( a21018a and a21015a ); a21020a <=( a21019a and a21012a ); a21024a <=( A167 and (not A168) ); a21025a <=( (not A169) and a21024a ); a21029a <=( (not A200) and A199 ); a21030a <=( A166 and a21029a ); a21031a <=( a21030a and a21025a ); a21035a <=( (not A266) and (not A265) ); a21036a <=( A203 and a21035a ); a21039a <=( (not A298) and (not A268) ); a21042a <=( A302 and A299 ); a21043a <=( a21042a and a21039a ); a21044a <=( a21043a and a21036a ); a21048a <=( A167 and (not A168) ); a21049a <=( (not A169) and a21048a ); a21053a <=( (not A200) and (not A199) ); a21054a <=( A166 and a21053a ); a21055a <=( a21054a and a21049a ); a21059a <=( A267 and A265 ); a21060a <=( (not A202) and a21059a ); a21063a <=( A299 and A298 ); a21066a <=( (not A301) and (not A300) ); a21067a <=( a21066a and a21063a ); a21068a <=( a21067a and a21060a ); a21072a <=( A167 and (not A168) ); a21073a <=( (not A169) and a21072a ); a21077a <=( (not A200) and (not A199) ); a21078a <=( A166 and a21077a ); a21079a <=( a21078a and a21073a ); a21083a <=( A267 and A266 ); a21084a <=( (not A202) and a21083a ); a21087a <=( A299 and A298 ); a21090a <=( (not A301) and (not A300) ); a21091a <=( a21090a and a21087a ); a21092a <=( a21091a and a21084a ); a21096a <=( A167 and (not A168) ); a21097a <=( (not A169) and a21096a ); a21101a <=( (not A200) and (not A199) ); a21102a <=( A166 and a21101a ); a21103a <=( a21102a and a21097a ); a21107a <=( A266 and (not A265) ); a21108a <=( (not A202) and a21107a ); a21111a <=( (not A300) and A269 ); a21114a <=( (not A302) and (not A301) ); a21115a <=( a21114a and a21111a ); a21116a <=( a21115a and a21108a ); a21120a <=( A167 and (not A168) ); a21121a <=( (not A169) and a21120a ); a21125a <=( (not A200) and (not A199) ); a21126a <=( A166 and a21125a ); a21127a <=( a21126a and a21121a ); a21131a <=( A266 and (not A265) ); a21132a <=( (not A202) and a21131a ); a21135a <=( (not A298) and A269 ); a21138a <=( (not A301) and (not A299) ); a21139a <=( a21138a and a21135a ); a21140a <=( a21139a and a21132a ); a21144a <=( A167 and (not A168) ); a21145a <=( (not A169) and a21144a ); a21149a <=( (not A200) and (not A199) ); a21150a <=( A166 and a21149a ); a21151a <=( a21150a and a21145a ); a21155a <=( (not A266) and A265 ); a21156a <=( (not A202) and a21155a ); a21159a <=( (not A300) and A269 ); a21162a <=( (not A302) and (not A301) ); a21163a <=( a21162a and a21159a ); a21164a <=( a21163a and a21156a ); a21168a <=( A167 and (not A168) ); a21169a <=( (not A169) and a21168a ); a21173a <=( (not A200) and (not A199) ); a21174a <=( A166 and a21173a ); a21175a <=( a21174a and a21169a ); a21179a <=( (not A266) and A265 ); a21180a <=( (not A202) and a21179a ); a21183a <=( (not A298) and A269 ); a21186a <=( (not A301) and (not A299) ); a21187a <=( a21186a and a21183a ); a21188a <=( a21187a and a21180a ); a21192a <=( (not A168) and (not A169) ); a21193a <=( (not A170) and a21192a ); a21197a <=( (not A203) and (not A202) ); a21198a <=( (not A201) and a21197a ); a21199a <=( a21198a and a21193a ); a21203a <=( A269 and A266 ); a21204a <=( (not A265) and a21203a ); a21207a <=( A299 and A298 ); a21210a <=( (not A301) and (not A300) ); a21211a <=( a21210a and a21207a ); a21212a <=( a21211a and a21204a ); a21216a <=( (not A168) and (not A169) ); a21217a <=( (not A170) and a21216a ); a21221a <=( (not A203) and (not A202) ); a21222a <=( (not A201) and a21221a ); a21223a <=( a21222a and a21217a ); a21227a <=( A269 and (not A266) ); a21228a <=( A265 and a21227a ); a21231a <=( A299 and A298 ); a21234a <=( (not A301) and (not A300) ); a21235a <=( a21234a and a21231a ); a21236a <=( a21235a and a21228a ); a21240a <=( (not A168) and (not A169) ); a21241a <=( (not A170) and a21240a ); a21245a <=( (not A201) and A200 ); a21246a <=( A199 and a21245a ); a21247a <=( a21246a and a21241a ); a21251a <=( A267 and A265 ); a21252a <=( (not A202) and a21251a ); a21255a <=( A299 and A298 ); a21258a <=( (not A301) and (not A300) ); a21259a <=( a21258a and a21255a ); a21260a <=( a21259a and a21252a ); a21264a <=( (not A168) and (not A169) ); a21265a <=( (not A170) and a21264a ); a21269a <=( (not A201) and A200 ); a21270a <=( A199 and a21269a ); a21271a <=( a21270a and a21265a ); a21275a <=( A267 and A266 ); a21276a <=( (not A202) and a21275a ); a21279a <=( A299 and A298 ); a21282a <=( (not A301) and (not A300) ); a21283a <=( a21282a and a21279a ); a21284a <=( a21283a and a21276a ); a21288a <=( (not A168) and (not A169) ); a21289a <=( (not A170) and a21288a ); a21293a <=( (not A201) and A200 ); a21294a <=( A199 and a21293a ); a21295a <=( a21294a and a21289a ); a21299a <=( A266 and (not A265) ); a21300a <=( (not A202) and a21299a ); a21303a <=( (not A300) and A269 ); a21306a <=( (not A302) and (not A301) ); a21307a <=( a21306a and a21303a ); a21308a <=( a21307a and a21300a ); a21312a <=( (not A168) and (not A169) ); a21313a <=( (not A170) and a21312a ); a21317a <=( (not A201) and A200 ); a21318a <=( A199 and a21317a ); a21319a <=( a21318a and a21313a ); a21323a <=( A266 and (not A265) ); a21324a <=( (not A202) and a21323a ); a21327a <=( (not A298) and A269 ); a21330a <=( (not A301) and (not A299) ); a21331a <=( a21330a and a21327a ); a21332a <=( a21331a and a21324a ); a21336a <=( (not A168) and (not A169) ); a21337a <=( (not A170) and a21336a ); a21341a <=( (not A201) and A200 ); a21342a <=( A199 and a21341a ); a21343a <=( a21342a and a21337a ); a21347a <=( (not A266) and A265 ); a21348a <=( (not A202) and a21347a ); a21351a <=( (not A300) and A269 ); a21354a <=( (not A302) and (not A301) ); a21355a <=( a21354a and a21351a ); a21356a <=( a21355a and a21348a ); a21360a <=( (not A168) and (not A169) ); a21361a <=( (not A170) and a21360a ); a21365a <=( (not A201) and A200 ); a21366a <=( A199 and a21365a ); a21367a <=( a21366a and a21361a ); a21371a <=( (not A266) and A265 ); a21372a <=( (not A202) and a21371a ); a21375a <=( (not A298) and A269 ); a21378a <=( (not A301) and (not A299) ); a21379a <=( a21378a and a21375a ); a21380a <=( a21379a and a21372a ); a21384a <=( (not A168) and (not A169) ); a21385a <=( (not A170) and a21384a ); a21389a <=( A203 and A200 ); a21390a <=( (not A199) and a21389a ); a21391a <=( a21390a and a21385a ); a21395a <=( (not A267) and A266 ); a21396a <=( A265 and a21395a ); a21399a <=( A298 and (not A268) ); a21402a <=( A302 and (not A299) ); a21403a <=( a21402a and a21399a ); a21404a <=( a21403a and a21396a ); a21408a <=( (not A168) and (not A169) ); a21409a <=( (not A170) and a21408a ); a21413a <=( A203 and A200 ); a21414a <=( (not A199) and a21413a ); a21415a <=( a21414a and a21409a ); a21419a <=( (not A267) and A266 ); a21420a <=( A265 and a21419a ); a21423a <=( (not A298) and (not A268) ); a21426a <=( A302 and A299 ); a21427a <=( a21426a and a21423a ); a21428a <=( a21427a and a21420a ); a21432a <=( (not A168) and (not A169) ); a21433a <=( (not A170) and a21432a ); a21437a <=( A203 and (not A200) ); a21438a <=( A199 and a21437a ); a21439a <=( a21438a and a21433a ); a21443a <=( (not A267) and A266 ); a21444a <=( A265 and a21443a ); a21447a <=( A298 and (not A268) ); a21450a <=( A302 and (not A299) ); a21451a <=( a21450a and a21447a ); a21452a <=( a21451a and a21444a ); a21456a <=( (not A168) and (not A169) ); a21457a <=( (not A170) and a21456a ); a21461a <=( A203 and (not A200) ); a21462a <=( A199 and a21461a ); a21463a <=( a21462a and a21457a ); a21467a <=( (not A267) and A266 ); a21468a <=( A265 and a21467a ); a21471a <=( (not A298) and (not A268) ); a21474a <=( A302 and A299 ); a21475a <=( a21474a and a21471a ); a21476a <=( a21475a and a21468a ); a21480a <=( (not A168) and (not A169) ); a21481a <=( (not A170) and a21480a ); a21485a <=( (not A202) and (not A200) ); a21486a <=( (not A199) and a21485a ); a21487a <=( a21486a and a21481a ); a21491a <=( A269 and A266 ); a21492a <=( (not A265) and a21491a ); a21495a <=( A299 and A298 ); a21498a <=( (not A301) and (not A300) ); a21499a <=( a21498a and a21495a ); a21500a <=( a21499a and a21492a ); a21504a <=( (not A168) and (not A169) ); a21505a <=( (not A170) and a21504a ); a21509a <=( (not A202) and (not A200) ); a21510a <=( (not A199) and a21509a ); a21511a <=( a21510a and a21505a ); a21515a <=( A269 and (not A266) ); a21516a <=( A265 and a21515a ); a21519a <=( A299 and A298 ); a21522a <=( (not A301) and (not A300) ); a21523a <=( a21522a and a21519a ); a21524a <=( a21523a and a21516a ); a21528a <=( (not A166) and A167 ); a21529a <=( A170 and a21528a ); a21532a <=( A200 and A199 ); a21535a <=( (not A202) and (not A201) ); a21536a <=( a21535a and a21532a ); a21537a <=( a21536a and a21529a ); a21541a <=( (not A267) and A266 ); a21542a <=( A265 and a21541a ); a21545a <=( A298 and (not A268) ); a21548a <=( A302 and (not A299) ); a21549a <=( a21548a and a21545a ); a21550a <=( a21549a and a21542a ); a21554a <=( (not A166) and A167 ); a21555a <=( A170 and a21554a ); a21558a <=( A200 and A199 ); a21561a <=( (not A202) and (not A201) ); a21562a <=( a21561a and a21558a ); a21563a <=( a21562a and a21555a ); a21567a <=( (not A267) and A266 ); a21568a <=( A265 and a21567a ); a21571a <=( (not A298) and (not A268) ); a21574a <=( A302 and A299 ); a21575a <=( a21574a and a21571a ); a21576a <=( a21575a and a21568a ); a21580a <=( A166 and (not A167) ); a21581a <=( A170 and a21580a ); a21584a <=( A200 and A199 ); a21587a <=( (not A202) and (not A201) ); a21588a <=( a21587a and a21584a ); a21589a <=( a21588a and a21581a ); a21593a <=( (not A267) and A266 ); a21594a <=( A265 and a21593a ); a21597a <=( A298 and (not A268) ); a21600a <=( A302 and (not A299) ); a21601a <=( a21600a and a21597a ); a21602a <=( a21601a and a21594a ); a21606a <=( A166 and (not A167) ); a21607a <=( A170 and a21606a ); a21610a <=( A200 and A199 ); a21613a <=( (not A202) and (not A201) ); a21614a <=( a21613a and a21610a ); a21615a <=( a21614a and a21607a ); a21619a <=( (not A267) and A266 ); a21620a <=( A265 and a21619a ); a21623a <=( (not A298) and (not A268) ); a21626a <=( A302 and A299 ); a21627a <=( a21626a and a21623a ); a21628a <=( a21627a and a21620a ); a21632a <=( (not A166) and (not A167) ); a21633a <=( (not A169) and a21632a ); a21636a <=( A200 and A199 ); a21639a <=( (not A202) and (not A201) ); a21640a <=( a21639a and a21636a ); a21641a <=( a21640a and a21633a ); a21645a <=( A269 and A266 ); a21646a <=( (not A265) and a21645a ); a21649a <=( A299 and A298 ); a21652a <=( (not A301) and (not A300) ); a21653a <=( a21652a and a21649a ); a21654a <=( a21653a and a21646a ); a21658a <=( (not A166) and (not A167) ); a21659a <=( (not A169) and a21658a ); a21662a <=( A200 and A199 ); a21665a <=( (not A202) and (not A201) ); a21666a <=( a21665a and a21662a ); a21667a <=( a21666a and a21659a ); a21671a <=( A269 and (not A266) ); a21672a <=( A265 and a21671a ); a21675a <=( A299 and A298 ); a21678a <=( (not A301) and (not A300) ); a21679a <=( a21678a and a21675a ); a21680a <=( a21679a and a21672a ); a21684a <=( A167 and (not A168) ); a21685a <=( (not A169) and a21684a ); a21688a <=( (not A201) and A166 ); a21691a <=( (not A203) and (not A202) ); a21692a <=( a21691a and a21688a ); a21693a <=( a21692a and a21685a ); a21697a <=( A269 and A266 ); a21698a <=( (not A265) and a21697a ); a21701a <=( A299 and A298 ); a21704a <=( (not A301) and (not A300) ); a21705a <=( a21704a and a21701a ); a21706a <=( a21705a and a21698a ); a21710a <=( A167 and (not A168) ); a21711a <=( (not A169) and a21710a ); a21714a <=( (not A201) and A166 ); a21717a <=( (not A203) and (not A202) ); a21718a <=( a21717a and a21714a ); a21719a <=( a21718a and a21711a ); a21723a <=( A269 and (not A266) ); a21724a <=( A265 and a21723a ); a21727a <=( A299 and A298 ); a21730a <=( (not A301) and (not A300) ); a21731a <=( a21730a and a21727a ); a21732a <=( a21731a and a21724a ); a21736a <=( A167 and (not A168) ); a21737a <=( (not A169) and a21736a ); a21740a <=( A199 and A166 ); a21743a <=( (not A201) and A200 ); a21744a <=( a21743a and a21740a ); a21745a <=( a21744a and a21737a ); a21749a <=( A267 and A265 ); a21750a <=( (not A202) and a21749a ); a21753a <=( A299 and A298 ); a21756a <=( (not A301) and (not A300) ); a21757a <=( a21756a and a21753a ); a21758a <=( a21757a and a21750a ); a21762a <=( A167 and (not A168) ); a21763a <=( (not A169) and a21762a ); a21766a <=( A199 and A166 ); a21769a <=( (not A201) and A200 ); a21770a <=( a21769a and a21766a ); a21771a <=( a21770a and a21763a ); a21775a <=( A267 and A266 ); a21776a <=( (not A202) and a21775a ); a21779a <=( A299 and A298 ); a21782a <=( (not A301) and (not A300) ); a21783a <=( a21782a and a21779a ); a21784a <=( a21783a and a21776a ); a21788a <=( A167 and (not A168) ); a21789a <=( (not A169) and a21788a ); a21792a <=( A199 and A166 ); a21795a <=( (not A201) and A200 ); a21796a <=( a21795a and a21792a ); a21797a <=( a21796a and a21789a ); a21801a <=( A266 and (not A265) ); a21802a <=( (not A202) and a21801a ); a21805a <=( (not A300) and A269 ); a21808a <=( (not A302) and (not A301) ); a21809a <=( a21808a and a21805a ); a21810a <=( a21809a and a21802a ); a21814a <=( A167 and (not A168) ); a21815a <=( (not A169) and a21814a ); a21818a <=( A199 and A166 ); a21821a <=( (not A201) and A200 ); a21822a <=( a21821a and a21818a ); a21823a <=( a21822a and a21815a ); a21827a <=( A266 and (not A265) ); a21828a <=( (not A202) and a21827a ); a21831a <=( (not A298) and A269 ); a21834a <=( (not A301) and (not A299) ); a21835a <=( a21834a and a21831a ); a21836a <=( a21835a and a21828a ); a21840a <=( A167 and (not A168) ); a21841a <=( (not A169) and a21840a ); a21844a <=( A199 and A166 ); a21847a <=( (not A201) and A200 ); a21848a <=( a21847a and a21844a ); a21849a <=( a21848a and a21841a ); a21853a <=( (not A266) and A265 ); a21854a <=( (not A202) and a21853a ); a21857a <=( (not A300) and A269 ); a21860a <=( (not A302) and (not A301) ); a21861a <=( a21860a and a21857a ); a21862a <=( a21861a and a21854a ); a21866a <=( A167 and (not A168) ); a21867a <=( (not A169) and a21866a ); a21870a <=( A199 and A166 ); a21873a <=( (not A201) and A200 ); a21874a <=( a21873a and a21870a ); a21875a <=( a21874a and a21867a ); a21879a <=( (not A266) and A265 ); a21880a <=( (not A202) and a21879a ); a21883a <=( (not A298) and A269 ); a21886a <=( (not A301) and (not A299) ); a21887a <=( a21886a and a21883a ); a21888a <=( a21887a and a21880a ); a21892a <=( A167 and (not A168) ); a21893a <=( (not A169) and a21892a ); a21896a <=( (not A199) and A166 ); a21899a <=( A203 and A200 ); a21900a <=( a21899a and a21896a ); a21901a <=( a21900a and a21893a ); a21905a <=( (not A267) and A266 ); a21906a <=( A265 and a21905a ); a21909a <=( A298 and (not A268) ); a21912a <=( A302 and (not A299) ); a21913a <=( a21912a and a21909a ); a21914a <=( a21913a and a21906a ); a21918a <=( A167 and (not A168) ); a21919a <=( (not A169) and a21918a ); a21922a <=( (not A199) and A166 ); a21925a <=( A203 and A200 ); a21926a <=( a21925a and a21922a ); a21927a <=( a21926a and a21919a ); a21931a <=( (not A267) and A266 ); a21932a <=( A265 and a21931a ); a21935a <=( (not A298) and (not A268) ); a21938a <=( A302 and A299 ); a21939a <=( a21938a and a21935a ); a21940a <=( a21939a and a21932a ); a21944a <=( A167 and (not A168) ); a21945a <=( (not A169) and a21944a ); a21948a <=( A199 and A166 ); a21951a <=( A203 and (not A200) ); a21952a <=( a21951a and a21948a ); a21953a <=( a21952a and a21945a ); a21957a <=( (not A267) and A266 ); a21958a <=( A265 and a21957a ); a21961a <=( A298 and (not A268) ); a21964a <=( A302 and (not A299) ); a21965a <=( a21964a and a21961a ); a21966a <=( a21965a and a21958a ); a21970a <=( A167 and (not A168) ); a21971a <=( (not A169) and a21970a ); a21974a <=( A199 and A166 ); a21977a <=( A203 and (not A200) ); a21978a <=( a21977a and a21974a ); a21979a <=( a21978a and a21971a ); a21983a <=( (not A267) and A266 ); a21984a <=( A265 and a21983a ); a21987a <=( (not A298) and (not A268) ); a21990a <=( A302 and A299 ); a21991a <=( a21990a and a21987a ); a21992a <=( a21991a and a21984a ); a21996a <=( A167 and (not A168) ); a21997a <=( (not A169) and a21996a ); a22000a <=( (not A199) and A166 ); a22003a <=( (not A202) and (not A200) ); a22004a <=( a22003a and a22000a ); a22005a <=( a22004a and a21997a ); a22009a <=( A269 and A266 ); a22010a <=( (not A265) and a22009a ); a22013a <=( A299 and A298 ); a22016a <=( (not A301) and (not A300) ); a22017a <=( a22016a and a22013a ); a22018a <=( a22017a and a22010a ); a22022a <=( A167 and (not A168) ); a22023a <=( (not A169) and a22022a ); a22026a <=( (not A199) and A166 ); a22029a <=( (not A202) and (not A200) ); a22030a <=( a22029a and a22026a ); a22031a <=( a22030a and a22023a ); a22035a <=( A269 and (not A266) ); a22036a <=( A265 and a22035a ); a22039a <=( A299 and A298 ); a22042a <=( (not A301) and (not A300) ); a22043a <=( a22042a and a22039a ); a22044a <=( a22043a and a22036a ); a22048a <=( (not A168) and (not A169) ); a22049a <=( (not A170) and a22048a ); a22052a <=( A200 and A199 ); a22055a <=( (not A202) and (not A201) ); a22056a <=( a22055a and a22052a ); a22057a <=( a22056a and a22049a ); a22061a <=( A269 and A266 ); a22062a <=( (not A265) and a22061a ); a22065a <=( A299 and A298 ); a22068a <=( (not A301) and (not A300) ); a22069a <=( a22068a and a22065a ); a22070a <=( a22069a and a22062a ); a22074a <=( (not A168) and (not A169) ); a22075a <=( (not A170) and a22074a ); a22078a <=( A200 and A199 ); a22081a <=( (not A202) and (not A201) ); a22082a <=( a22081a and a22078a ); a22083a <=( a22082a and a22075a ); a22087a <=( A269 and (not A266) ); a22088a <=( A265 and a22087a ); a22091a <=( A299 and A298 ); a22094a <=( (not A301) and (not A300) ); a22095a <=( a22094a and a22091a ); a22096a <=( a22095a and a22088a ); a22100a <=( A167 and (not A168) ); a22101a <=( (not A169) and a22100a ); a22104a <=( A199 and A166 ); a22107a <=( (not A201) and A200 ); a22108a <=( a22107a and a22104a ); a22109a <=( a22108a and a22101a ); a22112a <=( (not A265) and (not A202) ); a22115a <=( A269 and A266 ); a22116a <=( a22115a and a22112a ); a22119a <=( A299 and A298 ); a22122a <=( (not A301) and (not A300) ); a22123a <=( a22122a and a22119a ); a22124a <=( a22123a and a22116a ); a22128a <=( A167 and (not A168) ); a22129a <=( (not A169) and a22128a ); a22132a <=( A199 and A166 ); a22135a <=( (not A201) and A200 ); a22136a <=( a22135a and a22132a ); a22137a <=( a22136a and a22129a ); a22140a <=( A265 and (not A202) ); a22143a <=( A269 and (not A266) ); a22144a <=( a22143a and a22140a ); a22147a <=( A299 and A298 ); a22150a <=( (not A301) and (not A300) ); a22151a <=( a22150a and a22147a ); a22152a <=( a22151a and a22144a ); end x25_14x_behav;
gpl-3.0
e8a27f99fd530b9d5f86beb229d870f3
0.623551
2.089501
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/mux_onehot_f.vhd
15
12,692
------------------------------------------------------------------------------- -- $Id: mux_onehot_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- mux_onehot_f - arch and entity ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: mux_onehot_f.vhd -- -- Description: Parameterizable multiplexer with one hot select lines. -- -- Please refer to the entity interface while reading the -- remainder of this description. -- -- If n is the index of the single select line of S(0 to C_NB-1) -- that is asserted, then -- -- Y(0 to C_DW-1) <= D(n*C_DW to n*C_DW + C_DW -1) -- -- That is, Y selects the nth group of C_DW consecutive -- bits of D. -- -- Note that C_NB = 1 is handled as a special case in which -- Y <= D, without regard to the select line, S. -- -- The Implementation depends on the C_FAMILY parameter. -- If the target family supports the needed primitives, -- a carry-chain structure will be implemented. Otherwise, -- an implementation dependent on synthesis inferral will -- be generated. -- ------------------------------------------------------------------------------- -- Structure: -- mux_onehot_f -- family_support -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 11/30/05 -- First version derived from mux_onehot.vhd -- -- by BLT and ALS. -- -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- --------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- -- Generic and Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics and Ports -- -- C_DW: Data width of buses entering the mux. Valid range is 1 to 256. -- C_NB: Number of data buses entering the mux. Valid range is 1 to 64. -- -- input D -- input data bus -- input S -- input select bus -- output Y -- output bus -- -- The input data is represented by a one-dimensional bus that is made up -- of all of the data buses concatenated together. For example, a 4 to 1 -- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by: -- -- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1, -- Bus3Data0, Bus3Data1) -- -- Y = (Bus0Data0, Bus0Data1) if S(0)=1 else -- (Bus1Data0, Bus1Data1) if S(1)=1 else -- (Bus2Data0, Bus2Data1) if S(2)=1 else -- (Bus3Data0, Bus3Data1) if S(3)=1 -- -- Only one bit of S should be asserted at a time. -- ------------------------------------------------------------------------------- library proc_common_v4_0; use proc_common_v4_0.family_support.all; -- 'supported' function, etc. -- entity mux_onehot_f is generic( C_DW: integer := 32; C_NB: integer := 5; C_FAMILY : string := "virtexe"); port( D: in std_logic_vector(0 to C_DW*C_NB-1); S: in std_logic_vector(0 to C_NB-1); Y: out std_logic_vector(0 to C_DW-1)); end mux_onehot_f; library unisim; use unisim.all; -- Make unisim entities available for default binding. architecture imp of mux_onehot_f is constant NLS : natural := native_lut_size(fam_as_string => C_FAMILY, no_lut_return_val => 2*C_NB); function lut_val(D, S : std_logic_vector) return std_logic is variable rn : std_logic := '0'; begin for i in D'range loop rn := rn or (S(i) and D(i)); end loop; return not rn; end; function min(i, j : integer) return integer is begin if i < j then return i; else return j; end if; end; ----------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal Dreord: std_logic_vector(0 to C_DW*C_NB-1); signal sel: std_logic_vector(0 to C_DW*C_NB-1); ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; begin -- Reorder data buses WA_GEN : if C_DW > 0 generate -- XST WA REORD: process( D ) variable m,n: integer; begin for m in 0 to C_DW-1 loop for n in 0 to C_NB-1 loop Dreord( m*C_NB+n) <= D( n*C_DW+m ); end loop; end loop; end process REORD; end generate; ------------------------------------------------------------------------------- -- REPSELS_PROCESS ------------------------------------------------------------------------------- -- The one-hot select bus contains 1-bit for each bus. To more easily -- parameterize the carry chains and reduce loading on the select bus, these -- signals are replicated into a bus that replicates the select bits for the -- data width of the busses ------------------------------------------------------------------------------- REPSELS_PROCESS : process ( S ) variable i, j : integer; begin -- loop through all data bits and busses for i in 0 to C_DW-1 loop for j in 0 to C_NB-1 loop sel(i*C_NB+j) <= S(j); end loop; end loop; end process REPSELS_PROCESS; GEN: if C_NB > 1 generate constant BPL : positive := NLS / 2; -- Buses per LUT is the native lut -- size divided by two.signals per bus. constant NUMLUTS : positive := (C_NB+(BPL-1))/BPL; begin DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate signal cyout : std_logic_vector(0 to NUMLUTS); signal lutout : std_logic_vector(0 to NUMLUTS-1); begin cyout(0) <= '0'; NUM_BUSES_GEN: for j in 0 to NUMLUTS - 1 generate constant BTL : positive := min(BPL, C_NB - j*BPL); -- Number of Buses This Lut (for last LUT this may be less than BPL) begin lutout(j) <= lut_val(D => Dreord(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1), S => sel(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1) ); MUXCY_GEN : if NUMLUTS > 1 generate MUXCY_I : component MUXCY port map (CI=>cyout(j), DI=> '1', S=>lutout(j), O=>cyout(j+1)); end generate; end generate; Y(i) <= cyout(NUMLUTS) when NUMLUTS > 1 else not lutout(0); -- If just one -- LUT, then take value from -- lutout rather than cyout. end generate; end generate; ONE_GEN: if C_NB = 1 generate Y <= D; end generate; end imp;
apache-2.0
b520e6d153a23d6b0d2c5fcf68138ad4
0.43618
4.775019
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/fpu_addsub.vhd
1
44,605
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NCMQdjlb8RI5UGAlmWycawo+wcaN7jwBtAVy39bFN2YmZ8MRGjYEBiOsyvfMA2BbToOdLdLritMK J9yDCNh1VA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S7h/u/joLdDCF/cNsT/48k7MHMYVAWPDaRLRrmGljUX34khZJSlB6iIOc89Rr1nYOV3aG7WrdrxA cZfmsLfL+tkB5q2cd+JPkg8O2sme/5xspNLp+9FGNBInC5GKqFR2tzEMjHdYiEmaGGcvhOXcWDOs uA2Mjv34pSXoW5HzNF4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kojtt0yGF0VeEUFRBZp1gyNH3iniUEeKIggaXYwV/y+btnK94nH8Img6ro5yR6vGUL2tgI4lYIPp VtFQWC7Lmu/Zxczz2uvHjhksks+U0C7oJqDm9sGH0YkPdk6Aa2RpRVc4Vca0Hlx1BMzRtyVnxOFr vBWQuvMNbdZykutOKKlTmh20QU/trOycYj40OSEewY4J5J9A0UbA81X7KZ53EZiPPs01rqNRchsM 97blPgL+DkXOoYLukgI5JzzSIMWYa8S6Zod7w9O0TYz9Oy9pxpoNZHePV2oKmbqMz1JSWIWy31tG x16GvjcTSMlkaFZFyNPOiTC4r74cI6pERckZqQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ixsDaeciZc1Iqpu0dGwOYxbWa9gyugNkMS++azXmPyyyRV/o14xhLJWytyk1CewDYYOQfq1lAEII XL9RBYgzTfuJW9s8i1cVSIbhcLE4kRJYDoUzzdqY46frX0Akhs6ZTWpW+niXZKOYC2BNMkOE7lQU JATPlAlq90kYBOkc8EY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HSv7HkN2xUbuA7mdf3U7vVSdVFFbKu5P40CGaFCQWAlFiv9IvqcIlWGpnHbC7vcxCNo93k2xkH1n 91JYwWz4Wt8UWbol3uKKQpLiBmaD169tgCkaLk+1vB0lNsdanbzdvStAvlpK1JCwHpBEPi9EJgdX 2BKuD1DakQW97KESHiIARRs7R32jLYuz6HtrpU1eY+6SHuZZEipru/X0Kk4K+u4pojMyLZiXChSa YCpWSKlEKZ2jt/vI+hjzKTRNuacxErdEMKhWFPihMJ8h+2x/Wc4cp4A011nMyG9g6SivNkfdLHul Dpbp97RRZ28mlzMRFT8QtDazQgJNCz4I377OlA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31280) `protect data_block j3qLjGj88XNlShrexG2wVkXoYaIycbJbn+gYT0qxvJD9RzzekufTqE0k0res3xPxrOkh8lOqF+W6 Hn7bGa4lzMsDQkVKYSw++GmW9JW+qrUrOeojfbEz8xDCywLrgDQ6QX9XIJDEbsvK/zPY5Ms5mXOr hIQ/ml/hPky4hQiaY3ct1+6Zkd+28Md8ffk3tSiSs77+PZn/8oEUk7sRrNQmUFulaFcGF+o81dWw nGofo5/34M4+HhQw5YMR7I1tLV3kOIZq3mrHhf5p8v+gRN86GkOG2+Q/S2XxEAtG1BFluHk7OmXW 7XgQB/LaSTXIfZFQuEycvNQqZziB7fUD0fOBiF6J1jY/KfaGUEE5myO76qc54Bzw1oB0LFmiQi3k 8Q183RxEjCmJHgLNNVoBEr3oLRUyAdZr9QBBiBS2SvVNQadIyEpj4has7jXqsCCmBsPje1jfMSuR v4ojlp+pxRxQ4eHKV2hY+5qmx0/TAUxN5C4Xw0BHblRwX5zt9BCNt8BCp2S5NwcRcoolM+c89hdO +FR4ZQKmtoucuH4sLYOew05kUqBtGAv61W7MS49PWG6M0EG2PsRp+44/qVExwoHIGMWnk2ciwkCH pgSXOyfV3yZJ5xuaCIpdvTiB8hIZzm6lCQfm0rZcHaNWe6/sWsCOQgDPUYAUXNX2UCEeb5ffdw3W ibeAwfbSgvRDaH7ft69aGa2f7e4ajW/CJ9hK5/M7Y4KjRQZh+4KQfbGw2TJr+8Tug/+Ojue0W+fm MNV2fUyY0OD6TBRMtvMZg3fkuAfaeFP4NVRwrYu1/eceOBArCIo4snSiej4CqL2mvbem44KSKbZj Sq0oRVgpFSTr+b5kGI6bof7JImdmst8Ly96edH2XluA5udksbyAmhED9H1bGxETmMXnpVfp1l5mX ltIMvDR5JUEXjz0k6R5XeqKPOT+6+zzJEzQsCLQuxd4lBj+EIyNwquJpMQUDzZWYqZqPF+xxHXWk BRAnqrbg+VuGXHDsZ84X+/V57UuhwzVE/Vj95ac+gyOW5ArMMYcnfsP0WdBzbDhJPVI9BwCj8alt NUUJejJkikoi+tcIKdZy2XSZZy/AnCuTKuY9TMCrAaS2ErhREB5Ko1EBFnAzNI7eCot9FlQVNozL YxaSnhzQUc8kTFIVC4R61GSSNLytuw3yxHKDvaJTicCtmv4wxSjCgEfMk5UfS/x12jEsfFQVLxAR AX1SOqHOTcWaIQr8UjCfOV1+61wNWUgpNpXs/Rc7f8Ba7FoJ7KnM3BW1szo42qZIA+pCOohbhHT6 HXs/BAwIH+PNacmn7fPKEwVXfqoCDLNaC96zqAszbFUl9DjfCL4hrjp0BqGxrcfHQizqPraM81Ts yYjjbz+fOH8ds9TAiIg7PoZaQgESUj94p+lO1p6l4X4mwXBjLzfFM/lKUOQcr+8p9xjFITcpp02o tjDkiy1kW2pICZbuQWI4Qf8iDXBvyBSZP0WOknnKlP/xLqgVGE191LvQKqP+syXVvBuqQsknSPCj WPbZ2o0Rjku3tXEcPk9cRo7MU6wOmvi+yaQI9JCK0mhWQke7gfQN65aMHB5ZMJZJ+pc7UHzxF5TD +Ar/5U4s1VuM104WY7a3bFxs19x9UqGjzefa9GsjXh60DsKFEWlyTVz6ma3yyg5FahwpX4k2sWIJ HbRff/+2cWIcnqqB78oWC/4vXfbrU8KfeOEjh1sHuOgbnRckUBZYtseQIF0WimFTdW4tZLwvk5qJ iCKD0JPNoW2sKA7860GMDOeCXIIdP5SotjxUbNfIVMvt2Y/+QDf3Lyjug0YbEdoylxnXBurg4BDn 8kZWKnz/+bu9KUR61DNkBBWA6yZ16krX0x+3EzwaFud11UtCyJJjkcZStSysq8wnT//j/ZR01FPw qn0pw3QFTNAX0cGUhB8BvVGVjai3EXDLBh5rGZ7JeyDjVXO598nAFdQkE9B5YkgZf77rlZGtKGxe TtdJUNUALJhLo/y9JGmxbwKYMVpPdAE+TaY1muFDi+N2m/+OkSpwRUBKQ5VF5qEfoRZymb5Dl6Hi Zq8l+GRRTJpt2rhY3iouxTryLKmlKo3kEo2zBk0js9bBzyepKP7LbOYTNV5Vostf4CKNzt8ZwFiN b/QfjQuYJ/6Uw83jUiS6nWM7LqNuuR6OTGcF1xNmtlfE1Gsj3gbdBT8dS+cyO+g6LpFMh6nB9Zjb QWF2lT4agMmXIhlGKOWeJuHGzvnNFztZlIdYc7WdqlnrSbDdp0fP1HMm0+J4F45JqeDielL42RVr lalSSRqBjnSn1UiOjx+q34LcNogzjpfKYuHSlHrE1djBSEBfrqjzxt5YVu/pVHueIJewgOdIH7+h 0TQyt8ZRhanEGOwUGbrnk0BfCMP2sdHEUc7j9NVFUL+R/lWmazuE80O1ECQ1+zDsB5x4Ha48arot 4ohvfS2g1MxEDpmDc4BvffJe8y60gx0P5nnvlpsoLdvZYdI95dPsZbf2ZIU6TDwE54NQKCis2TRk TCXbTjEt3eRXjhv+/IesyfVUrcPNxqO835qAzfQBybhr86a5bR4Xl8e0Gs11VvehEqN+0tx8UDVG jawcMm4BJGlpXSPiVwVASgbXMYMwUoL/pyTyLVf4g8n5OCJyMDFc4nv+vN22GZxnuC0K3wy8ZcYe wspNHn9uB+x30xrmhfUSBb3VlLrdkZ/fdE/nrTgY6sK9HoOi3ReonHdG4eG8+IPQ+kZZzA1Jkym1 TPFDjJqNgU6yaWiqynzVRZiQIjksly5t1CgjIIeMnpv9XVoKwoGey7jdknXM1FrggTNKnv6J9keY vcILAjf/qq0mw3dr97HGw/CkL2d1zDAh7gNeyAthW+t81mVwjEnw4Yz6T1Dh6Oj5HcixFYGPoAO0 GEOhShAJeTt5bNzM+wgCu3XLb1KbI4IfZVngFVjeyWZPpbTN5Jvwe6cgZENKo7QwIB2eYb73Fwtq ojNzAmMnpPkmXO6+/3BpgAf1PLy9k78XuB7Iyt5ocrvdsDGJ0hidEhLjiNxiOsVV2ULQjDWAPjVX DajDQocRXpuii1UoLxSq3mJJ9LEbIGEpUlV7OlgokNbDR1p6gKSzUCsSD+6Qu5gPT8W6K00qttmq dyDdIfJlUuhDYfWPPWLIXO2x8ykzeBY+XG20ORSr0XFgAC6kQ8FaPlF7Ld5o+uc9AsfsdYJ5O2Ux xdaa1j3Sw9zckDPn+Lhh4wVoVFnMjfDCHiV3vfVo1M6aoc/psnGgtDw87nmbv9U5HevrMkmHDF62 XIoXEco1M51+86TzYDk6ibaHiAcJ5jemk6TdnyfhTEi10vGwMiOn5CpUhqX0woxn1HCZLyOOTBIj Wdzedrig7xPOK120JGYtczvtQJ5O5JqcYygnY2eqfbYRlRabyVyHRW7xy2ix6NMNE1+fzFTfjSC6 pSf+CQRxVRSz0pIsyO6MLjYPDyfUoSOl2vQ+P8vGUZrZFBwOkS2UOVUlBVzwciE1i/rOgR7YxKBQ eQevQ8TNQhdQ1gaNu6fVITnQMKtCBTJLte0fC36k5F/vWQcvjCN7AlNUPNf2iPvfK/I8nBH7kigr he6uBwYNFI+V7VjF5HBpgMchFIyN/ZxvErvFsVljpXFzMpjAw6w1HOqXMGX24sNmZNHRBfd223gj mf4l18FIwl9WJhjJr60qYwSfYTCxBVV94cMpuoT560oo1sOtCpwgs4Z3iRdBknJlOQI/WZHjvZBd gL0Ke3/b8nYVrA4K5LoWPOO1Le5HSDJhE+I5/XTO6dxqeQ9ykuFKrEO7G73tYIiIT3QxWOsTQ04L kj1hgYGyLCxumcsc2etWdjc3lvfQjoK8TfC4ext5sqqx1WVAZ+W9FcNqV/116KV6fie+kk5Jlhsg f+7UChEUxomsM+1NshW0+a7T1JrmTSfZPuUMEREH/b8K7jRpt1ZH/UUpMIGiti1fcZE+JYf+3+CY EIkOAaU28IS0uk+yA5xnmj5a6r6qJp9Grhwof2+DwTo2auxEv5yMviMktXwxuYWY1xyEf7oh6HGa dlTMKSkxZub2UEfoSV44tVpE3ES0zmb6FIdoP4AlYGvEcQgD4uyxNJMRX8ritnVW+QG5r0bvWbV8 GG+YBaWqjKFsKXKXRC/4z3oo++KZ03NbEy7UgLhm3UZcxpJvjJ8kYKSD9ZV+1QnOlg1jRFzaHdKO sqOu27IEuFk9qOSNO2xMHtjzCCpdRLAd8WkmlCZf/C6GmNzvkzddzGLR1qPnDm02+XE/hlaeomfC y37TxNTunTaDX+I9qQ4fmL25bB25Q+0kIh+txiG92oswpZ9/mVWanXdWppSRAWZxwCLj3HQfFNHt M4iRgS82r6JihIaqcj+MfZQhMC1dJTU5lsuSNaVu4vw7mHmpRbt2o4AGU88Etg3lvoSGD0RC1Cfe uC8FBPhS9dDvIb610aZRAQYc+mtqvO9KFGNiBzIG5zA2Buxbs23NQus8xU083VaptcVtRbpCoQxu mDQT81uYor7qgpWummEb0f4YexcNFy92QMxvSEdB0JYvlpTD61nmooQkNEx/4E1u7X+cf1Y+h9aB iMpKlLB8EU12rjN7vbSgzOh6HBSO9HWI1B+f4l8TEXt4kIK4PK/YMKiZWP8gDj0bjZaPyut5KMEN Pug6UpZmcYW/q3XnRHfBYHERWEvxUNQeEd4W+3CFV8/SpRUGduaS2xg8t3+68T2zslSgstKYeyMd cfzlNkqgZ7JDP+j3VhbsD4sPLkaCLvGlIRuiwm+n3KYjKvtV0uGm9kd3JWojkG2tFXr/QCF0mwpM /pscDggxInRL43rU0U3MRx+zahf7fAKU47cul7dGZKgEZXyyXXK52RSrNofU2tDLYYXoQLA0Qr/o jBIih6NH/524gpmFreoWTxZH71mADfaVtVoCT/QgXc1W+z/SDxinyu+58nYtsH5C4743zXHg6Gmp NeHl1niYx+VhssRQjtWwD2jOUS9ZnvkfNKjywrirctkCgijOUsqq6MSG1benT7JumqcfgW90dFHQ /c/zQQMz4xNmHYEny5/rfnPCMP/Jz+t7q/9LJcuQEHMvTJm0RnQNLBwJ5xZd8gI1fJ3g3eU7Vd4f 0OZYMxMUmJPgjappwd5dlz98kfT7NsNTes3C9VECnziRr30n68RktLvAWzH4XMUubxHVT0g1GF7I QVCaur2TWH/WWGeeMkbfR7KfT/kSr54epDqUYbyVEfjPG9HlVYX0KVfJxK5bd0iyWz9r7hDw3tk/ 9mvELxK2glL+faARwKzFTb+bUGeL5OmsziM4UDMaIvmnPoOjKGoCb6EE47lqldxXjb2Y6QVtqOnc SPHRgF3YnfdBEP4ryt5vvtOXBScbGYzXZJS2KPv96JtJh+wUnR9n90WyTNbHGRFlv/NxsRv4+o/Z EmQFyXG6Jt6nq4a/zPW09O7+QKSWRbhauuGZymhDoT1IBTdzRh+W0DX0Vo2HWBSMqga7lmYyCD8W 1gl7xvX1exJsLlTcCwiP4hR4Shyd3IJNV2IUkH3KJPkjjU7ug1WV5YYwNlM8ylQQCdq6fE3tm82B EdBe2OCkKE8nKoi4BCZIeyj4Yk0hIt5R7Vk7BWxFlAKFT4uYz6fQqlyXP72o9jxpfJ0a26qBA/U7 4Lshsp8BgdC8dKLkc/UklcW0rxDs5qIutP786gHEGqdwnlmp035Tk6Wt3kFG3H6v+qrUUJhCIArO 0iYhW2sGzYWQ3U1d8cq/U+6Cuwn1Yxa9fkaJIz3QG7bLk4vOKQK+BEXAWTSvJGBN3HTweLF70xX5 KgY9N6069Ta9lGI6Qrvl92EBwT+e2DKkawe3p8HhuUU+W5lD8JJbp2jK1wdSM1g/lft4e8yAPVcv hGKriweCRVJ/AGc5bkTz2Vk00h5vBx9h0faOya1Spr/x6rc9FnVTo4Wmr6e5RoticSVcQipbpWwL LGtWzC5WXTmv1fyUz3LXeNSbKqutBzJeXoUTcKemYUmpAoreFnE2ya3R7FuV6INZYqF4k7Xw8eCZ mNk0+F6y/0MCQt7msN+7C6pFVpXCfEBHyyEr5Emqt49zrTW5LwCH3J+ZiAAu7pB6Q95Lf7HFRNfm xbRaj2zZ2ltvo95LwkVJLNjFNXpfraF1TdYHYwx6fZMe4tcq5kLjcXrezChkXOB7QegVVqTxU/Tt 2B4gEFOKErs8witxUoIfxQNhFdCyIYIZAJbkaW0P9Sg5rVc9F0kaKszeV06bo597rhmcBVVFzkqz dWgF4obbIuyUeqThCSYYwR5BH7ewtUpMy+f+H0r9PltDFRa2qMaDbe4Qta06KvXhyQa1eyBU3wqu CYFAsFp6KkWioQT5llI/R2OJpPdGXyptrOb07/kKEctZkQuGnv+6OxtGNFrcypIAZpi65/8ibXWS 2ev0SJmfFt+SuLpKhOULzuPlGgUiPrREnnGVxTwy+oWnbkTdCOdjvehbK0Jr2dnxWd13kgJZP+H2 uyM83inFp+4dUKZ6FEoY4Zw82IX8+T+8LWOa5kIuoaj2K9/gOhu8ojSj0df7wsAZmcd9YlzmAoOa 6Q1yPIeBT487n+gvmf98ioMz7rrS9gggmtt/1eR/wT3X0QpAs/Km/uw9+bvnR/9SJoskheNobCsO Fs7CV4BKnWsvJQsgacSXP2sijSdio+vZftL8Z+BKnVoZNqiUOmtfrIAmeV5Eb2fMIEOTlDNMDvz2 2SMFapnefaXOLHIOsic/01eB1PYUJCJu/KiOH208QgaW9AdA87gFPB8hCbc0ZKqaiU4452+nntCJ aLqYNCOACtgJRlVRqwhA0amcQl6teFZV282Pk6YQfF5Tu//xHKlgBHOYKHStFhZKFB9iVasAsGb9 pEGMI1xeBFfpws9Jkh1ag3XxfviLtxrRyZBqVWAJskaTJa0VYSaPJWrVRtT+a6yiYYFWT8YmFz/H W1qQgqwvC33ojKWFrxJPtPlMYcYu2q9xSzoUqb+opbLlCcbz9QigWfFHewiIoAvsGxETeAr0eshM VXdYsXZt4PcXb+CMA9GaV0GhoQcCWyHGLHhRvapWxtz9lZie6ugeu3goqcMmOmNQFKRDfUJQdncy 3dUVOE3dacLo+mVMZaNxi6TehgTJugogy4S0T65OGMjkQ11N7fXGIBGuVsHCwMQ1rYSyYsYrOXVM 1/k2YosphjoVPHmi2waXzUlotGNdeWCEy4gBvxVXpoluIkoUWO2olTZ6FqBHoUUYQYFw/Qt4d9Ih 9fZNwgYgdzYMmmUFIIr5FZWSGwSU1ft5OlFVDM4Hyk/jdxUrhpYB6yDYlB7tAGjm9fRxanrAOW0x E/VaUeeE2hSRVxZklirVgiqUziQIdaV6kNfoWNsZ7FxSL+PKDGYHe5F7urXpp3FDS+hXa0EQvYAQ EMBghgEr9pvTk+EgGJcgZpC3RkTZOETkZYpRWE1L2wURdjsGdtyCw/DeDTIJUOF0VOc+Za1uFxEq i/v8cR9qIIMaQRLQMJRjz2hmENmznI5PpgZR54pEatMvCqrctWdM+SEqLdY6yjlRfGPK0KNKz5p/ ptwUb0Qq5KK4RUBpWLU8Lif2U/bV2cbOf3h+QgeBrNLrvpoBdZd5Vxe6cCnkKRBJ4jcUZmD4AY5U u3ArtaGVfI4c42jCz2WurOnV8CS3oIDtSb48+cN7oUnjKNe5LIpCQCx4vG5J74Q0KQKiz8mjV4fl eFVIWGHCM5MacwLFE7iJMAFHK8SNFS5stljMNvW1aprXg+4SnGBGXm5tK60qCl1Fir0wveOrogpG eg2myr8mpiURS3E/ozg5RsBRHKy8pT+RQfR7YFzCO8JIDLwvBAwgeNXztVrGaPgR4JRUC8dEwRlf gUszOu76XfCO9ecQU3bq3LWv4PvZVvQv6VAEdMYw6JJtMf7z+YHrShdAZVoGjJi+A9d503qbmq9y 6wyTLm4/hndxcuTYUFuXT1f5Xwmamc9OLgBnIMOKj2nYuN75RO89leRVUWFHUnQDXDgUPr++OTfV oxpfth005dwKfzD0VqQ9xOs70DAYJDbY68mAcLZLDGAW69vBREBxks8VfmGH86zEYD6/6/hbOLBi gUAUAUOpUxJNA2QbyFux9yMvkqn7nJKQEnsGCoOOCRcbyjCTbXxBIZG1ycx04hQc3IfH5bko/HNB yLlM71Q59p5EPFRQxPpDcz9BlvSM0wEmz69fhZcXcc9dwGSpm1GGI4SQ9z7J5ok9UDv4vYLmUdmE p+DSMITO9WuPuyon5KOyDEJgJfB2jywN2QMzTKR4W5E38uCgOm8u64jMuguzCJ0KEbPBXTdmo6M7 +lRgE+cC1tcQaDvLWqzBR5032QEnWAfO35uXTKiGXuLzWnXTMlNGO2zclRZm1V0/5dkTTqH+b0NZ RctvzIjIJJvK8fd6ngfFQpGXAmBeCNRlxgUCNH0YqQkNJf1l9KXQ/C/Dx8GBnQ/dGA4NkoR+94I8 n0k9Sx9516BSVc1kV9jdYeNcjAG86wpG6TPavooNpU6YQ5qzRbcJrLeKs4IWPmLNmqV4lzPNEK/8 PZFl07ZZWcD0AEVDRy6RVAYAFQrka/uHCsNG03SWu/fuYdbgy+lI/FVcj1ldeJKYkh3h9D2oPoKj hk9I5gJvEOTJ+phbWDB/WdxlxjqkPviAYzT1b146WdsMEhW2MkX2U6TNMjqJx60LG3aqy2jie3Eq HxveetBFWo7egE+48IVjGOz9BRiNNHj68s3D1IA0Ldz1yk05ZtX22UIDcsiUUChFvBpiTSS3/Yxj d1oddH+2xQxW0Ow+SIdS5ntvGesNOY3HuoB7dTstILcse2eE9roAExb7JJEm+41kVWi3N6a6ZgC+ a+yOpulv7oWLWBA+0B/JQnRl1aGt7FbB+bpS6hNHfSxmLr0yTlwLC3MBfbl1eVakP2Quhov+d+hm UORT7PbqgTDLzX0/eIc2kGl21SDg0q8GCbbpZo05MWM5hy7LCQl3VNfzMeJOnvPMSQGI9xySnjof SNexys6jIdvjZD8+abDI0nYfCLQ5Zw6uPFJ5SDqQBD+L/10DviffArSqk7TCMZUsfhSrh3diHS5+ APbW85hBL9rprLTXFIIUAu+X2FZsLnclk+Hq0ylC67gKmBdmXd8OBhg26heo17ocI1Vt9aFWJM2y 1VJJZ7ODG1ekBu47wU//OHL/j3jt9yJribBra3vgv+ZkFTQ2IOMTNo9CR2ybZjV+AQLnu5kjjaNP rChtGoIOtJkGEo/fm2+8havocIJ2uiPUnzAdw6MMvwcMg4XLnuF5yVD/m1y6Top2c0DHYWmLj0gh l8Qf51KwYmb9t412qalbg/zS8xIqfaa/ibN/V3wP2XTdmekXZZp3z5/jXVUTodXomlUVj1/dL+wr uBNeYK/y20Vdo3hMNIkYRv+xK8bAzncMFuL6PXotLaHN5j+PkLwS2iJJBStWXpEctwxvY1kDTgm+ C0xbU2/ht42ZEFX127L7mCfGTNM+G9ycACzIffeO6wL9xG0ngdps3ZKeHsmunJzLUAfzQe+NVLhK LEhvPmCMN42Cd1/VOnWQmntCT118EsD4eKRKxK8qZjrin69UFUNrcGeI8XVnQjHBGinVrPlisMIO W9MKJRjWS64KJMPzgop5t1aQRJP9qrMltmKcRrcq6bjy9OtqSUJIYUaofteqBTE2xeR5uK14M6VI KIL4jYIJOIl4OBZRfZ2ousSKi+ITNuvBHPYaN93l8+38HeWnvpuhZM6Dnz/o/E6OzEUGr1vAUog4 nznz6hFf+S5XzERxhblNryfH5l7WTZqqEVNKPVZZDRIOcu0oKirBVpJXMefNWFKg/n3jN8oLmK7x rtLJiG5SnOL8TdkJlBP/0vXpX964BaiJD0I0Z/8cEZCM+8xGRX5jasp49EwpTp8vi4A+OuWkVvhX NJ4E1Ag4k7C3bjudA8p9dbS/b1ZbBO8S6TYCvNHutWT3Cby9KR8GudOvFbzOo6QHw+iWdrwCc2gK 0ap9IGLkFfn7tT21o1haoMHZDwfd48Jx0N2Cg7A2iaodClAWouyDRqtcGRS5RHGx1IJUidp9nNMm ZVe6Llh+0jatV4KMtHbPLX2CjGRFcl33P/TzEM1mvxkROclgfLChXf5zbMG0kGo4ZDiqFeorNMjU rDBtsmv49np2CK1APTa2ewqN6Si/swVrxb2RIOFV+obsWcz9oPNjSgHfsTA2iDHLPIl8GMnph1Qm L61Qf3kTP289sP4pNYZF/dWMWHmmA+Dtam/JZAEteYAXagEa35/kLc7khNFWFpjbO97116EBHqCc EgcjwCXOHkD/3K8umsZsTo5ZlK00QQ4jg2vnvRxPenic3aEzcMAF5Ow5B3cwlMQKWlm1Ms3NM/U8 3JBfwqJlCqoQhTIpnbCGaW/eDI1l+RSRrJvdLZCHBA/S8/66+KFywdXybjMXIPbSK9KAVq/k+0E1 qx1EJzobkKqloaoyYhsDUh3SghW1tKPQf9rsv2pzdZPQNvWANtCiWiu6jOKfFOeCaAJJnHcsaMPi xmCBhCbF57NIcWYHAZnu384dcUyxJFws9BKD6OE/txVb15FKEsNrgqaFZnGdxl0JkzTbMRQJ4uUa 69x9CJflGPVSsw4GPdGdsYSLO3u3+s9Ul1swqJl3uXkPyOHETf/CqlcJfVMTwcwxDE6kH6ADpXaw +3wvyS+r2q+OiZ3P+znK4QOiwpxxpSmhGcph5fcyRgTR0hnd+SvY23Nv9EB7Nr3Tl/R/zDxiYlJH wPBVdup4qCx9YUZE0eKfsGsMHv93v56UbDEdElp5h9vONimoddnmsBoi4wgx3KUcOPTnK+f/YAfh ZuNB9IF1ZSHGHjorTkyk30dWzCUPr/X15PR7EtOxRDqj3aslrTUbxwP4bqQ/tkhMcPnjfTkDZXR3 4hlUdMhrG+HaqBf3TfOJRsRDqtl6X9FkZoQ49X6NqREyuSWwHUFOT5DdgkiAzfapSzTimTDb9TfY xBuLxzNOP6RYp6Y+nPDb7K5iq44WIhAWMIaxbZq39/++195YidlW60CZBPyatKn/YeqA6pxJVzKY 9punxdswkdN/NJOCHLGo8omwMRwnFNIYIZzqVA1rLp43ivJLTxohN1JjbqkdPM9f6R036xSTMwqW +v06mQd/WTnf5mYoS9GvAbVCf2ddRuWYhFai6+9tHWlKOKR7Z9m6JkF00fxE5kklPIRkgHMDz8w0 JELYN8TcNed3XHHZO+3bns6PADO9Pnaf2n6QqnWZv50rghFj5RAbJMy7D/otAwsXgPHfl13gxHYr yr26pUW8lH3wYpZLeL4JecnEEgh4oCN67Rt3CL0sJMWJohqBoxcRdgFxonpC0COKHNq8e8d99Kvg m/CieLVyiUNdPxvK2oJpRLPG9ORi9cUlbsYjURdadZCiieunnNb7rGIyx7bNe+1GS4Elaq1ZEXL5 Iw2EGSSjRpoHWI8GSxD+UuJN0t5/5qafBCJfpKeiDhuy+R/QBqiXzYv8Ks564hJ3KSAcmiYcVmM/ A3RDMZGHvMd92mY5vMeEOXNNab0vOZpaRjhkaXsBny44I0abpQDwPIJnWNQxuKYOjBIrAWe15R/w UiyQTju8YsEhVTMn+dB2yiH33fFbLigylRE2WxIdjH1hVB+Zio+hVjJV8A9Wb09MSynWNm4I/fQd 7yWHR6hQC5HIwrarNs0UFNWQ3pkP5v325iI+LJZ5dEJ0ndicqtudnrW5OMrulojMoYcwTLxoUgXf Tm3/xgXxdYG/NBnZKNej7pXX0zCv9z9GAclMaS1rjjgNAGYunlUSA8YRtyX+bEdtS2ShtUhAWFn2 q9aG+Rg+mp2gfoylfkzUak0kYkPgNNo6TkZ0BGd/MvgzSBnO0SRioWjVBp/MyBLSDwLpik3qR39l zxHykPGE5OF5unxEeaknPUE7vd+NuMsN07WtQtGL15MOrfU2zfnWDfkB7ChwI7AXy/8bdH7qiCGd BpOcNTdZdYABRH8mQPI/82dz8ntG6/UED6HBeQbc88Tnmnxq50uGUNcWk5gJ1l/5K8cG/nrNUtzF G+lb8x9wF/onnzQViydPGsYFsYVSoI6hxUzTOZIDXJbs4iORESu9YC7WThEXH2/+sRw8VbfS4rwD hw0SPug3v5kQ/APmu2pJOZBvepZbzOWA+u3xi7e/QcUnOpjC6p+MA6ubsdANJ5U5gFcx2e8dEJ5f 8IV+6O10TszYYnWH1BJQlML4daadRidTGLAX0CY3WyNp41gkp/MPJbqAZVLnj0XrpWMXZVTxGz7Y jQbFw0+0vfqoE/5brepUSW0em5P48BKPUYvU3OM2MxanfBvd0XvlzXdLJV27JWAHsHC5D4PMfUU1 sg2Qc/4JcgLdx5Ovuw0Fo7bcKBAu9NjQkIu79GKgErpluxm+I8Opec1xMIZHVfObo09YNT8+2662 72jllQIhYrODQAT+wd4ObJQ/vwtFN4iSIeUdNFjIgGlE9ZQUOkHBAw2IIvOCDpij1tj+xby5jjXp JBjDYvrxmsNNEfkoHNg7AIfUZoyr0i5CH41QhqLCLc34Z62yC7GCoc8+fu5TKQ0BBjtNHcWrB+sH sUq5xlMsr6wghyQe9ouSXqE/CRMvjjX3Gpg27mdyOPtaRkin6lUyeYnkicmoB6ek1Ptv30WWp8vu ss0PI3Kt9WeIcxSoemTXnyidn4PDbHSV3mSlsi6ETgkrUOHUW4vdi83//UkeL28wy6cqYizICb1o +Zsa25ziQ59uRP7zd/zhRiHvGzUQ3RdZC98Co1PRWZcisFrmA77OCNSqeUOWFPhGBSvsSxSxusC7 aHTbqF5aaVWTonxmSyhaF/uhYRguAZCbY0mSv+FlQD5gGnmXTlThG+gbQm82qe2jZYyNZqR0flIl I1RKsgHh2jx9lrnlxikLoxrad24PFZ2t1okNIa5WLsFdtlOES2USvmlIZH+9S9k26XpDFLFkJM9u YAHSrv5zlvViFTstyUqA5JASgAmeeiIRRFjsVTzUc7MtyFiafmAs7Bh/qdlvqxB7QMkZn9/S6DDa y8Q9Ku4Dz9aLQWNyloi/gYXr17FeZAalL50QGBtwfP7anTY7oxgiOOzv2+ZcgA+/XSybK4qR5nXt sqiAHWpbveyvkbOaDt56jXXRGFrfree+DGMFjZGurFjbg/Yop7Dgt7vK9LK8x56GClA+BAeTzz4G RV/DxD/EGBbKkeUMslDGZjRi4yLAmW/NuKtNYM+L0KkEHl42mI+3zsgTNwfI/QUnbbXzKXbYPd+E dDhdIoaXMIXmu7XTpITN2Z+E1Bz3N8+n3hPygYh4ItGyCdP8beqv1pk8y3+LwBSBfyQEvXy9vDC4 CS6Dkr+cOLZ9bq7oHb90eeGddamqccZIAhcZXwUyN3hpkM+QCvoCm/QrwYvRPTDcB4n4up0ofcHB MydLD5DHZH/oLBnPr2iU+yVobUo3+tnwK5+n01pQvTIDBseBRPcG6DMj2uf7GicIs0dvKX9xwiPn WcydVr0Bl1gIve4N7kRDO+phKa6+3I3eOzNzooOAdq+S0nRcqCEdyGQOjNiY3mN8czckh2CpgaN2 PPW1Q++NDb1WZeL5jT4PT/UvJljefK0tfbAl9Rl8+WwqFYJt+2up0bSloaanaU718tP9mrtq9B8Z Bxjv5Avv9x4KLwp61ahXLhmm/c//O0RK2BtNL1GE5nXsBnE/sWJJ1C+lPkZTW8i27chE1Ri3/6SD Lufi+MupXuoNt8MRLfHVLLiVFyfplW/g8ZMpcE/w8R0qoEGr40A/zIkxGftUMSRTBa0VtZlH85xv bWxvH5YXwGIYYMrRP/zzAPlGIvU7Vf2CdA++7huOlSrCUbtfO/5TXjtGbeQ2fAXJjZTBSvT81uCP 72xLa5YNhw/yHjBxWp8Nk+Fc2HN3QMBTt21te9M2SNrwITCdJ7uSLsOwqPmmXtv/bAaR4Sf0fWxf 9fRJpJwu1yVocgPRR4V4x7FmUSLYUuOLEG4rl+rsL6CZAMx8uc9ih8VDEvpi+CN0JmyJ431JBkfl y3hTjhN/pB9n4CzVaPoZq9bmElaDLNtS7EvSySSWkTk4m3TnpLtTKTcgENwvAvR8Suohj4OX3yF7 Y1ynXZk8g0V1L0IoXIWQ/6U7lDlIElnhfqccCOxw/FiekDkUyYoNete1ZknqbanS/aGo1t4HjerO kUGlcRtBLcD5DXbP6t4NYMbgDP3KBHt0dJXRC5a/tqPO/OgdADvu79oOFEVnioVjMEvcz1HHV2vE b/G0EejBc6gVR++4UVtYHD6XnUQn/sOP1E81I65EURfphb8Xa3J+eauAfYmzgf08McJHQZWYI49K RUhSbLXm5dUc016xDKZgEZ6kCkwijkpWvByVeztAqgPQgDy5ZgNRU07vcBx8+HiT+HRDsOxi/W/U s9UMW31Vvx+Vp3QcG/frFyLduxMUBrhJ/0VCqYiLoEqef2j4o0OLs3RHaXk93mXQa94aSrhbXU2D S8Lo7aOdhm/xRf8GI1x+zkK7BTRS2QzC8adzD3w+wtzqOXXSnqNnpUC2bdt3cr9bGuuIHwrULTmu QaJEJxNmnVDDOsGOiXu+cbH79NQxbTTjJec/mlY9qQpySSVGyokHtYfN/JYQLxzirv22Nzb8k+KD a5/bCBkm8KvIDYoQZ44clJMoAMrLLKJ0fmo6uXnGh4z1Rkf/6WYSCbYeCGBhuZUB0nCoYjjyli0j wbs3dSry5dllqA67c+8fpzngXzECsfxwjvKp+qjeuYh8nPE0Lj9tWt6a8Ppne64YZDIR+Bfp4E5M xCz4ExlAAwUewPpjngzWGTuShDvjRhe4aV8s//ckqgWtzJoOlattk06+s4dm/IE37a1O5qpBxqAL ZY2L6rFDeD7rn2/HeZahHKWM/UcCUyXItYNGVvmYUJ3NWdYSIslYAgp9qvGJq88lhF0EuZzHUcSZ NTlwW94acblwCLO8oXtZ1Zaxd063ZsuxrVJoYbhJwDso1+iItHLx2MOpL9hS3rvqcyIzXftZIIZj 3QHAhOVM+GbpPljy/I7QIHGfrGDUuT1RlM9HWO+qPjae1irp8LmQDtW5lwxpp+9NRWiXzVOtAtr7 8LXpZ1qvGcZJf5YkA4Y4E8Jpcn3ZRM8Uc9amszzzW1WbXLshu4u13w/CitB593qD/DmoloR3wXnP ZGwHxQvFhoey4h197FaQeL0sZfBycaN0CLppIo22gGlCiaDdGHtWdP8Q6ciBzbMfocMtSQA6AKbm dgSBCdxyN5a0JzHIrX1QcsPeQ570wlr0RnHts6Cerfc67+8hYt1hLu5nt3R+33/otUI9yb2Wiguq B5YQfx8X87aM+Tk8hm7ZBfsA0n+BH7u8YjaIxQJpuXgtmBFbGj126CLrS1e/9hpOpDA8a7UGfv/c zwcw+3S4bu0gi2PA++VxpGCbuV+NQ87k9f2IxaBKxfJJrpu/Fnlb/V6k10U92cc4nPbUerCZCRfG rJpA+z/Tlz7/bRB+3KmBHyvRqdOAskmkkX2GkdyLl/oGCuqAG52FYC6iyyK8AmszwHlzm58u/E2q WRn4lSl0cDtdMNdxJUmI1Ka8UYnr24lPFgZZGqf3mcubhKRkaclat0Z51ikzf3FVCQUHmGjRKkL8 FFhTEQTSwjX0DrLn29fTnQkNpg7VE0FpCrivpyClZj6rUuP8XaxByXSLK+IsHQvvTa0ZgipKDwOD z1TxnwZ4lvTzWtLHVzSez4I5HBsrdzPzrHAonnLXtK05GAoDwrAVeforUUPvQNXpQ5S+XwhgvBlW WgztDnV3YaXop+6SAWsHalFd1bhY2B2bfahTU7Sn7jzLnEwwuBJ+2SfzdNEna1fT3a0tAg4Hvo3t oBnCvfxjKuZhu+LSXC9ngytPP+VVMj+zDkPtx4H46nVLaCDYY0MIN5970LITQXrIG4teJ9OrxSGF yV/gb+ieXKuYhViQrjhMXaMT9kpj8IfkeLV5lXLXHQy0OOZDYQRCUA0208UMXQmiIp9GA2Y4S3hx FF3dfNDMUzUGHhMa98b0nB+zBzgNDBPqRzXBjXRk7d8nYAAkXYN0o3QXgLU5QPvUEAFtrwIGsfiY ki92PrtEfCimhpUMCOYr1PdQEA+A5QNhG7a7i0O1A4ZnCeBBuqZZ1PX4vQkeO89YEUPQLztZRjmj 1JiEvGihN1e8mF4x9cGvitA8CgiEv0vcAUehu/puZlK8rX5fOIT0nkF447AczmHpJ2ZzGSkegr32 GqMGngCdM9IGKUL8pQp2WscypKG7HtzxcRpRfq5p05bq9I+3+Cp4iczuSrjUKhRDroalyRNi4o7J o1PcvtMtvNL1RIt/YWmKqaanULVxqYz/8IEypJz/HYrgKF9XaroQfpMFAlgAjMpHAiwEXslGYIeU IqyS2gZnky3KRly+jQz2zp1IjT3myWjs6DJO4bFhQ5ZUZ0qbpRc/d3X4RnvS8YIsvN1A9HEkWCIO Kf9ET7XeoPAgYPxqtw3j/XmfDmA5iHCr/e/krl8SB429LAvkUAVOcC7Hn7RGqfij8EDxTX+N2xL2 75hrTRoxebrLwCSVxJS8kVd2y6cCyuCyXm5n+7eQOow8DbMZHFloBeZ4CZ2uVSKRmSqSkhB5QxMs ebFxSkygDt6AkJJLFo3Ly7zEid9RQ0ak1+m4jz2NPHQaXTmmzbaKYBMKwxOBmxASeyTmb1Da9Gcy yaGRcC/mfQVvUPTXrZWXjujY+Dr/g+56qByL3vv1tBzOrGorvwZb1AMkWWhSBUHb3JNtHdhikO77 nVNCYlKWHkiPkfVdoyEiJEyj71pSkufkVr79756FVJBnPcaFbQRBPUXFasRnZLVNvDEUePhiy6pl GuGAmkVwjO33o1JVkFWsrRd1IuC1aUudTp+cM9+zsGYnW7YsBbeQD7/QP6LUTvKaMrW+MdcWpSVe hfODoVBBAs+ZOC1myXyZqPZVvZrL1dPNPvZsgmCYPt4N7PJrgECGrllLUOnEDl65+j7NXb7HCcUw BZFGNuC8rhcHPb90DsI4AS3jHxvLEgthryWqxN3qUD+9X97yohaiBb+bR6jugCCsuDg+Sr8t5bA1 sYtC3OmjEtxPeBa4tG82JPHKbCUWlNXBMFDqINnP/AlpESj1JWkz7Tilc+ZlJQwienHGffOm2LUZ ta1ZJt/8INMbanmI2dgC3M/3fPecOWIwY1ZmycabSRUb6pQv1t32xjhJczsD4mtTL3iLWrlS7Dij spE2OzDWjgp6YabUZ711n5auZLXXF04WLjGff6EjwPLAuw5H2M/rSG5VomwELmAKrQIsln4XH5rp qkGk/TKDSEsVojAThC91J+TC19PmNbtYFk694wALGtLI0uEm0J/vRAVba+tQS9GvaNJQlKFrQ8R7 /2a2GQ4ZLYVFy1GLnJb14cfklT8SBn6p8AZZe7JglupYAfOOwgSYtsXAilA9LTXNA1NR9WE4N67z LIxjJc37Yi2gcdN660PShEmnU9raUauE5doglEX8aynP6K4P5IUulBYGdFQi45UbZlzoJKV00lQp Ku6Xlxp67+qGTclURcILmOfNl8s8IvmZFiUicmaaL+y8FJbdR/CstmFLDkxNXl8185HREQN+ekIL Fxz/FMCqu3z4JTJWLz0eUpzPfPFxsoAHONld05Um0nBUh2XGY7xq1c5ra0wlHSBrwCJJBb6NEsAw YUIexoOkixgSONkJmTGCI9x887lAKuB5fqvv9Mtg8mm+dmOjWTTBC/kGDMSeLWJ3I4y5m6Ki7IAf 2ltwkIxHpuqYAZeRr6zv+oBAoBpvHrramNDIAxDvVinFfp7usKGnMJ049zW7Ar4x4uTX8usXDgw7 OZp6X6Lpgxw8KcnPde+MTjQb+ZiL8Mj89Trd2goDP3f07zOmFyGYuz5cfZ18FpSYWq6B9dpydW2m yJ+MvEWgeeDk4gJC90ClHJp+wbJcHVpk/M3EqCTzPKTcRcX+1Gys7xNmTGMHC4+5N3lt2DJXejEd my3Zcf0potp64c5eS/LCXtS+flB+cLQl8JqFAJlwh/S7iREPCMDHfdCed15hX95go7MvPD3CnWYu raukMIsy/Z1LGcty8X2Dod4YwuNgxds6kg6sNdnKWh6shof4WpmuwP+N/cCV/Ys+blUti93gKjuU tOriJllFgZgJPNZRJppU5olc0LjE081ChMmjMqIZnO99RaA8G+yU0+1QjFiA8mHMurfDxKaAa567 4vAt/gLzVyx4sQ//Uq/6PNLUpnQLx1r9act+FB+FR7Re4Xx6/eGo0gVQSZ5NexIQhshstux3Nt8M U67S8gYd0dGEaUIwHnaVP1iMnczuyxelUpBB8E+hK7f9mPZVhtH5oy9BiFb40nxOvFxUDcEmxb0B RmnOPoukfRYl3T4KgWLDMZm/S1ie1odeMeD5g4Lu5LXC0TzUjgBwQhFe8rgcK2uRC3dOiEKKsXPJ e40DUrJIifvlWFKU/YFzXE2JnJwj0aC4KVypd8XoUTiQA2vD4YKQONcXusW0i1pJHi/YbRVBKYGL byipQp03mNh+F4kaAGCdscJwBj0ReDqhFBhN5Y6Q8JLZXkBrGTQkBfuuwYFVSB/K6laU00vxnbfe 1tC/JPICLcm9peyIu2m7rXXgyuHaE2JTZTWtLsWxPVm8pSQzXIFQUBFdtSd9V0K6egKfMWhafT2t ABJZPix2c6LrOvZfJTlYnSMABPQmEv87fqiwEnrsALZggNKMO1I47hyPs8AGTTGnWVii8tvGZsUW 4l3+UjbR3PY4vKAS80wTjCDhsa+HLWRTpLiDqPg9mZmiFuCHCeVNSLA/zwihCSzFFu67e8hJVhzt Ol2ODjZr5zcUCl2NtWPeBbe2hGwqakgbgTvnxOrw9ygPKqYgU+9DDbAcDkprSwcxuaO7tbR5RO3G 7aWd6PsfFhohPJJiuxHleaz7HQngjlF5dhZIOO8NsJgvIB2FxB5xBVaKt9CJmREykeYXCv2vwPtd wDThinUPbJESfSGXTbxNG9f57GwtjcNnHoyKb2fnikta3noNzBnFK0xEG/pPvTawTwrDGd07dz6u U3AKaxGahd07w4evIfJFdMToYS1slmoTqnWg0LugjMzvAIxiycrNJ/FgY1l93Ey0huCtIqlcirFN LWDJ3JcQc3CxromnvnXjILoBhURWUbm7LZqUKtXGO3HB7kP+ksoDzBPzLhmiRCXBerQuTzcjB3Tf k3sD7dHy106GpnklVMGVSOyFyS0FjnQWocPgX5KxUW50pUdnj9eDn1GW3aGccxljyxSmVOEjRK1i s9uQg/dtWvoZxq75BANrjfnUbQTYJm7r0T20wfhu7LE7XgV34WYYSxUIp2CEsDDAL+qmV1ZRlFDS wKVDdy/Viih6rcAxLHy7IeE9tHa5Q6BbFmui+7Np5quaDi8IOz0K+xZ5R0+W1oZr05gUrHQWQHVW nVAWUi5PfsrMfjNEhSBWiF8MJZJaturuN4HLjRJecXUFJMZSAuC8eLg1CXPl/hLCrapo79nitFfQ hkn+dQF5rQD3AHkAvZqkNa/AcCJPx9ZKvODmxpJcBZhJt2NYqGsJIRekY2/hO7BrhBn/HiiuzAyl M6mlzijUGcj6sUYRjFCRw3F9E0C4EdRCdC0PUEooxjbrqT1LN+jiWIk2VhslD+uJ4VqzuBcYbwCv /ydLCko1pTYMbh0D7FTepBAtxxEjNWCqrV6Nv8Q2U3JsGTT4/hIVPDlfPbd9dRLKDm8IAuqkQ2Ww xWNm5RorMkp7wsYylXAA9YGJYszLLAB0iYvzX2gIBVV2P3jdxkTCGPkGhfuckGGcg/52s7RAJ3fW yEdgseTQbl4St+WgvteFnNTkripQ4lBn6lU0gv2LE2oNMBztIsttOaXldaX5depN52ELz2ugrYKn gn+uY3vc+pmYRMMd64fkZLQcRk4tGU0+Su8nRI30vuU0znWI/cEQCT4W1jVdA+xUiPxtS4wkCW5O NAEDxfMyzP8WiIsgpw/CzvAuJiDtFNFgtRZAjVgkboZ+/ZrF+dhkWghFDz88r0pxUHiCWDDhizMp vj7G7l39VJD5d4UX31MExnmbhEu11bUj2gxPp2VE8TW77LFT+mAZJIiXTlbl09RVkt4img6v19yF IWQpRSTAnvIBITD61SUw0w5rSqN7jG1E8qie0vD5Ss2FcNVodfCcVg3DKSWL1dLj6p7+g9bxVlAV vlArhuXaRuOrlxO+SrOlu+AV2hEmN7jEyQLEUhzEnfpriM1anrbrGvmlApm2oebk6FX5tPJfWAeL zGlAwZkq6Sq2X6ieDldhASZqpPOfSmPRrPxKuIbvcah9WWXTVFbKqk9ZOOAPIqOB5t1oaNOAa8C9 tHU+O40keUkISmtxlCaVgQcAA+bepiZpC9azUfRWaze/IKAU8I8Q6hrgj5bC9573xSXtoUnS4xm6 I31VE01F818bS9LsoIUmpW23TNWUd5AUoHSVLTyq8n2v2qmLIEo45/H/83IdJskyPsMPSt0X7MM3 gFaiU7+KnkxmN3lOyS7r89ZO4H7t0YBaeVpSG0+V+aW5sWKAEf+6J3M8l/JFUtSWoNRsadvJyQFA JMprmxlTyYmN7bgkfTdgAN7nWM4cyjFhvPAPDKExznpAqUZdcCVjqBl/eEnjTtVog9Zl66gYRb5k KjZI9QBV9NrIKdLKOjcveAJ1NNeyphRxPJesrLACHwxGgnSbhLU8snZx0SqpWsZKinC0MYESk7hn sDIrn0VQ7txBmeV4LAyhYOEP1RWsX0Uj+ChmvD43KWDQ4vKiKnYMDYJ+77mLn2lPU+qvBNMjnQXI WjBw0e1Vw4GQTBi5HlfzElZ78FYBwVNRG8ltJm3+f/aEako6waEw39b9TC4DPkhI3GAb6ZY9glfo NZxIzk075OOEHs70iLWNq1iL3OPgalMULD4bwuv2bHRD1scBHn6bZYcMAdzHGuJ2mzvhKrkyuGuD G+K7gi38kNtcjwoSE4nXlVs9OaeUj0HWvWf/A4zkrEo8gqMnYjkpSQyP+vO2TQXow1AIJLlyYunQ Sv3ANayEkEkG0nXfhA19lL6MJ+OFlzmcWLa+PU1iW5Vx66HqRhHryzY+P7GrjhfZt61gyEeTILti jEsDQgZtttgl3gH2fIWJ3Ly8JIRVMhh43fBgqYDfY1gK0f0r+L9XBEUlcJDgPvZCUY4I3z/4K8lJ A1jyg9kdTHcXwh+YbugtSlK4684qu8LFwvoPBA8fqiTDXYY95I12Q9jKf+sie4fJxQ44AdmzF3Nq 2hecMG1uGc5s7N9izGYb/U03yOOMxgt9T1me5avDkxFT+4CoQaT2e6NCmXv7uGNWNijZAcD/V7fA BfzMLdaRMcWkn0tTS8Sb6Vv9hcppTs8+LaWcQdw/KMHzGwXCnBjMmhxDUVMQHTGU19OP0KQvyhqu f1OvzsXj7I9UcMtgu4SntM+l4ZQxmC1YZEd8XiKiAi5cdsOUDd9EZOjUBgwn6Yy8ll5RAOnAuDXt URuJodjga/fWK6TBzpIRPQi37R0brL5toFdQOL9WYjeG1HCu3s9xJL15kc/BklbQ/ZvoJvk0i5rZ qytnFim+SDuw0QOS1Toz3ZoShcE4rbtmcr1TPHw3qHivxgouN23s+Q39raC+iCYRD021lQhr54rU hcPm2vGa1TqVty7el5+SK0qX46qfc5GBBOM6Q/XlDRdfH8Zi81hCPQJ09ntcV0pEKSn8borc7FTi dbui515L1jteFSkZwskMaVEf0jaedXqQrx22GGTI68znm/M0Z/bh8+NBzU/1AnVRViYVZLVC7A1V JI3nSncrPmFYN6/1aw4SbMDlLpORd21MiGYOqgg89R8ekPaz4eVpsDyNrgy4x8pBDkR5xW04DWZj g7aYwFdgE8s0AfSMiGZM26HC9OLei0zP6QAYKpSXeCBc3bOX7aDYvX2DygZ1aFip6FUA3ItMikwr lNPZCXCZhbEAQdfLBH+h35XZC5NTAfi9Cat0VdB2niwxk0Fv9vGEweaoi1Hzbc0+qwukItBiHauM Q9Gsy5SldDSqbPRqNwWqnkVu+HBzrqJO83aLrbkpmhL6rn2F48KTngOiiERINQbqddJP3slQ4t3n 4h9pHlUuT5c3BZziUAXDzPKY0CbszAs9aAm50rG4LZqifTKtJZ7nxYFL0JCjUAOR31yPTE1oYsZ9 hb0IjiTsXRo3cTCRrTahSHPardC73YYkwxPc5qjI+6ZuwR1Ch7+Fuo9eh+xWDqjeIFF59TLy5kUu EEilEif/tHh3FCMys4oBspOyDWbFhb/QdHfBGwRFWLEBFqG8qXhK9WHNu49n9tgWF2G49WLahdCC X/k4Sktuvn4/QVZDo9iS8L5zT1d2aSGg1QGpefZjshK+R7ksoB7JlVtQzg/wuiI9etpExnowSRYf e02Cc/1OMWvJsmNcMTWHwQImyOOZDRDJDhrbe9A3H+pbqwTQBeZVSqQ/Mo6JiEF2gxgnahJgg/yi YD1Eu93k9VVci+aZez86Znw4qMWSVDEc4lDXXvbMn7ne64OmL5n6curiFB45NrnTwT10XWXsDSuy p1l6Sg+hgUefZ7n6xyauT4qKpVLlkI5Vh0wnbEC3CI7wMx0YpNm7Jix/S4WnAxF4EuRw5N9qnN7k k9n4g1ISIjBQPfHaqiPNjAHT4yPtGAiHaHC1+d9XnNTg5ZPfeZrb5z1KRFm06JE+QhS4LADVebgn y2Pdye0M535howSze+YLVO0bE44TA/moaykm20Gp97sRE15hEza0ljai6+Al3E/SK/LbD/ixTtq6 07SlVlipxTwZr2NC0bDebCXEO/CubwPMYiZ9y/4Ygn9t0PQkIVzWcQ0qBZVNzLFu7bqBDu0f/YJi zfZTRVcPuq6VoLHNyOLLIYlcU0HDlMmNJSF7i3P9aNL3Xd/GD/Eur57aM19Ia6sgtarejVSrbBNR UzfByFLzRbJpASJwPV9q56Pazdrppfe5+2W08DG0YTwRJy4awKFOioKzm2IXtKDvQ4TqLJ8Gzs1R 3NrOU32U+pXWhjR55YL/k7+UQ/spN1UkM7TGPy8bpJM4EDu4QvqETwnt+s/psGzuonXuwbvwm9zT uQ0LElE5WbxPrC9m9gSW3rqVrDYDFWpI+/ijFba47Lrh0y59I3NzdWGLTFdZdMR1sPbm2oQpqGpV rJl9jKrFEKeWY5VMPzO8q8aw6fHwfN5UC/bgTVR4PXBhcJqeaE3RXrmPN3EStvPLvXKk11pksEMj mfM8voMPsltH3omKSTK1tKM0FXtnezaG9x4dXNVnhSNDtZhzNTAZbP/J2OLLgjNoER2UGPLkUDUJ gZqI3AZ25d9Ma76pRG5hucGN4N1kq0aDXGsdwmqEvjRN6/EHviFyw6fHRCvZKf/amhROmb9o2KXD ZXsekgRzXlNe2gDcBmeF+QpG8HRvHxBVBuTKliDOCRO9IvZ4jDDzB1QvZUH0DReS3t4HR7ZtQ6rp +cxG7s2L6It9Db8K9luSUQiy7rv6bm4LIaRxUK/BV/FjDvKVi8eTsHG6mG8vARTP9/c+NA3QLAHu CyIthvo4PE66BJcB2HVxWrDOyu13GepNlBN+X9m1ot/7Sng/uKf2K4qswGrEMnk72I6ZS5ucIfMu 5SeBe3XcZpu0llTrpi/XFXrICc6jtVf0davNE5J/+joRv88ZBUu2dc2GN2s1x3CLu6USaU7Rc/9Q E+OIBznh1RDC4816Q55msVxobCqs764OgSEoTcfCl51Y2W74DSLoYOa7uhSWMYpVBrzG4Im5zmnS PxM3AUR2S4SeBLclow1JJL/6Vt0/2TGlfgI5JUgHhL7hdLdAs1IJ6O476zAk+EZyeKVj2jlHU+tG wH2fYlWkeSnclXiENAbAospPAPfiOLmBq+L44bOCi3JdZGiV0WujvKZT/t3az3I+Pxmm+7KR4TZ7 bqcXEGra2Cl0CJY7uC99/pNgZzJR8njfIvspmutfY8Pyo47feihBjI9BWxoi7ZHzY0FLkXg3qtA0 M2ct995q2v3gmlP1pA5EuY8AYqcJjbIkFChH53b3rRkO9JzZGhi8qGTCxvKsil/BnTmO+iW1p8tz 7Xm/Ui5NrgGteBQkq8AJp8B3rGTXvxvJWdvaMCYD2URGxh8/KfYEky5qr/iodaBIGJpt70doIRTr zwZPXWr2bcagsEEO/L7etRUPhm1hQYDgyS/aZtJU7274LgMfAhuTm5mceIHEw5VRxOP683Q0ErYu YtluR+jBW6gwkCFE5eqzRrx8vfTFWQO/Vw9RJeFHW62EF2v5SjUe90WoYmjLANANzk66jtnODdO8 rrxehmUf1gY7/GgCmh72vOvTP3rd0whQpR8V/a3/olzezngXW64Th0W62MqPPIaOlXzxdkV4lD3q YYu3+/Nk0tWzVfWilldDh7wgEB6bloE5Y0REd816jMaVvDxZAzSJ/A5q3iuDbVaTV/LYlCaRjWe8 of8bPmGStnVVOcN3sq/BzxwuOiOZnTERR4KpsUB1tBLdh1/UVyjt5OURpOnBWLr/zepy37+a44RN exwiCRCZUz/oZx0UrAbxfh6L2HmN/zupX8Bb9WsLBeEOH0R3V69OzJfi0Cm3fFjlw1BWBUPBqi27 cTVl5DJAlgFOI4U/vDFyyDJl862gQeK67cUQmel/fn8hejhR1w7NpomJEBKrNhe4chDkBJrJJW2U edhXwhXqPv+NVr2zQXVjD+CjhLlisO7zK8puS/VD6VvqOVlFk7+M1DlUbsAJimbi/bzLxSj5dclS LL2i3nUUgjetavrnxXqZtuh0aReS8DE7VKUzKKUrIvcD7kuXMoVCraxwo7f1cARAdW8s77GCI2nB x3DKn0+xLh/W30Ons73osi7gqaFety82r04pCd3TFV4mJUo606521rl65av1yk3b8FUKUl47XyPd HXD6BMJWOscnCK39g8WCNrR39rohs/vF/P2/F7L7/g7l+3nvK+ysHpTgj+I6m9odkKUs3idN8qhd I+hEd33QnIAV96RY4Cfu+d5M9jYMa2IkUxcbTLrxbqd/srOh3gc1ZrXlGKQmPbYCFcIbmRcthTWu 5s3bMP5pJIT690QM4kkfbny17RRSlNthYOVkbH+ZyOXlBMF0UpbtLTX1fKQxeHL8SZRTw8yODHZT 8qrZ3mmkf2IieR0CJK0KfYkL1SZt256n59nuSZgM0zMaP+uWoLJp4X9Eq3PrVoerrqb7icmyBT0f OgaQZIcLSBN55qzXh0aAwo5kdY64FB4/tEKA8rf3k0DzqynLE1mVOZsLrq/PtX8KxEp0Dm1iNgi/ 8c5pzBbf9YsfdUqITuQeLnoVefYlQOVUesMWWany1EdZ1xoWe9mkpwUspMxX8Cgygom7OmUzVShd Uzl3VuBYqzfRWjvDQQDkaIvbmZxj8mNO+hWorjYZ9pqLb/g49oeU+9L57Es3m8zH2xpKX4EpTm4h +9CrydRMP57GQ9t/NjlMTulrROJQXn4kCZs7+sWJEvs2wCoinjBPpG5ZhHBj4CNQhGbmfcFrs8FT JsfBgajeN6icLlh0SHF/BOYy2OvMTnCNlxg43snTd1mXE8B+d6AOH8exEj8hwEyTP8tX91YJai4c HpWSBEqEs4k5HrTA0P7lJ1eQoylJvZzo3/RlAu/mLqOPwsZ6PMYI1K33Zh4UfUMzrdUqjmzjxZju f2rsmv2zHUZ7N/8b6mdQRN6GvHY4L6irQ3LoSO9b1OdPGYtDbuQlb5NYDkep8E1VtkGfPIXX0ouJ d9PCZOs3BFgy5i7fXBQseNzRyyZwXXzXwmsourhbcCl4DjKmaFSEnzlnxX0OVRVIuusCj/8GR3CB VcyG3O3vPojju5M6eqMYZhWvyUutIP5GmeCxydevbmojTxPzfP6PEiXumsoM6vm8mVmpNaxG+j+3 sZz+5YjKnzG3OfZWk/FvTzOl9TWPgfWVfNOyB8zL0TOeyxky0EXdLY0k/TXVu5eNArgY98HzFz/F 9gWaTofYpApC9glo2YvG+ZIu655/QsvSYpW13Wh3ngDV48cZFHu5cW12UGVuNas+SCeL9HBfiDDq P+Hi7SIf2pLWYtE76hjKuZxiMRUIqRNPakAfuBeWEGsmsFXesc8ZjJY+6HK6nvDtNFY38OJ7v2Ee cT3y4eO7ji/HbJ1GLbEtfODjDGzL4039lzBKvh+bRToNesLgH59A0H4p4Mz8mMTwU01dAsHUD0WV K8MVjUmerS0sOpXQmo4wJ+gZS2nSlzyYe9nj4fSOza8caO4ggU1fx78BchG+0Dmx/2qgFAPfoMJp LMfAdcx3x+iG/Z8RHNgVejo73UblaTrPCqUdYWidod8pEf20mEYv81uaggzMta/R/Kmyxn68u4sJ Xv1PZLXDVohw+amfiwVNphP1wkYhEt5vVJ7/qRBk9JW9Q2LXUB2BhdRTIMwvUP6g0dEFob60uyTT Q+yQpC7mr9b3L8ztzaqa81xK53A32y+4WEU0YYEgGiDvGuGg2CmdOvJxFYm9bX3EHqaAomxXih0e RrySheQnY5Up2Z9zMghHCgx6EvIjR9BLMC5b70ejEclvnhkMWFUySzeO6HxAEn2NxakHVi9fIH8k VVImRKmEyS3VOKD1JMigSPnT3D8sTJOMyAwzfOgksddPlu57lJB1bn9FoYvgr3W+gv3t0BzmMT9/ cxUWFmDqUbze7HXGKRsQ7ljIxjihbHt623cRiqNdTf53sO11zele6h8rtGAwGy9j8d5YXzjGhwyn Zv5cISbVdEC6aMmTrvHBQIdHhTNymJGrhGWbPuBWLv6irsPP3hXg/zBmGsFhQR2xWHLUxVSWkeu/ f3fUjEps0o1ywFYn17h8Xzc5VFUm8Kdel1YY4TKIt2y16abnirKEqab5V52yTAcLIv2e9p95h/1F F0K1IgWD6eNxSG1pUtdgp5KdorUrXwqtYIedOVkmeNZfWIKIjLd35D7MrMSBUZQmi3cSL1zShFv1 i0MbhC7rXOe6JCAOEBmOkB0lhoycKfvvkCX0mqbTF87YqYc8HfPGDX146QntPHCs1Ur0Mwxh3qiQ 7r6r8otW8MPoOZjXvrckU8cd1A1eZPKiIiNk8PWNr4bVh0/faKi5BGfQzMCVlXGrqgphBsOICPub vWlH1lZu5uSANIIIz/NizeL44+mcwbpvRYSNECAn0ZV4suA2WC+pVMuAqaQ4sdOnD0lyV+PRJA9r RgpViQ7wS40VZBjF7xrtPs1zRVobF0axMMro5hAj4bwiIzDwiIL2ypIDoxCWF8vI9tjTqoKMSAoL Lb/ex+yAeA4JscjPIlVrOeLlxGASy1bL+Qk5Ju7/cvm5izGg+/gjEUzHJM/QUsV6lbzLk3xArEv8 JkSN/uP/6vBuM5KpZjkVDmyCPj8WdA+LMQ1mQawY0+LBgOUlU/k2A96h5kX1nuVpV0j63+YSr761 E+Q4ip2Su9+VDLn6eB1bYVz4chPm09x5lnR20I9M4sJQPXOpEQubFx3GG8pcntxER7DNUYANOpmK QQu4MVerOVerE9b701nokcKTn6G10mMWcJumyUUM4TiblHm4A6TANMloDkX5vmOJX0ZTV/N5BeZU M8Xd7+oaLV9ZEpD1qitYuAe2lx7mgP3JU9LRuZsCdMX04IM/+Qf7wQDaxV5T3dvEzS45uFO8sInj mTyesiuqXFZQUvTIQDN8m4SiFU7tOOk0aPw9VJwDJwy0CndRCIAlgQkbofGJIV6iTgoAdyalQSVu KTWWZTHANZuHmgA0VJdoWm7pD372Fv/+y8QNlHrQXlTl3Gd/ql6Hr+TXfaZR2/aIBJP0Fc3lRPmr 06YLjBGKUX78l2eTOBEqkLU7zFv0+S9+VH+DcPcgg1vdKVYrARKH90vZOhZEwDDHge1MFl9QPUHs snzOtXRv5oHGkVjWqO4m4T0eTLu/8MrpfjgE8uWOYE0/Sq1I+BLUwAgjr4axmnpEM5NwYiB2FH/8 FnEgbFoF9wZh+MWIdJet9QvvqzPIEPkkfAhEFkLvgdiKki2erCuTvRuf0paRsIka1FGKCtdUX3LH TkFRiGnMyuX9IOwVwCC0EqteeoidrawZ5Qhr5ZuW3aorfscg+Wd98b7HP6nMLO/nXQ88lnmEAB2V VLcKI86sOOKDEygO2mR10TEbbaLxn7V8+8A5mTFlILv68eRolPEUesYyjn0WBqrnGpYxJGSo17Bu uUbdB7sbnWF5jFKS2mriZ1BhhP+JxNTLpOlJQzxJZkUMcpqK3GCttv/d0m6dPDcvifzHCwbJkc4y JwQtHOFoAOucSZUSQRK0deAl/YColL7pZ4mEpVwTKR0ja4YTHsKSI/klfsvF8xuIYRXlowpHGcqO W2WbdwRGf7ccyWMNdT6HxkSXdrxXcQDuRLkoLcyYGDD65ji+VcZEm2MdukqrWLWMY+hdScOBa2mI /H4+jTF+y0/vkX5ShgbPnnqiZIeX/t7TVINTHC9kH/xMADuvW00GDtp1wCaPFJ6/uPIUAEu/5iMA I6FB5Z93grji2CCAqcItXPK5AW//cW3FQyozFnkgVDmYqVV51DuPrFHvhtrnzqAzgIhO63rCHZbw l2l8XhYSIhPqciooByse52hYN+JL6PGJS/i8mhJfPj1s3cuDYDbCmXTMAASkzO4fzvPXu79ULMT8 FF98Ywe4a7MlnTCzrtp+/joqzpnLmPXvp0PhEiMu6Ldh4a6TupH5kfWPZ/F9kPgA2JmYfw9RGdrW P+Vt5TtGW1b1Ci7WFj7VzoN88n9RwSx+F13/t+QXIFY4HYencYZaIVm74WqDtNsZOFkt/esYZK2e FozEFHKL9tiFYZOncvDy4AIfH5i+nheBdUv4Ac4NhA2cbdshpBBc4240flG8gHBYb9Vqkj9ckOJe Qgz+1DZmVKvyzTxowu0NM10ZBK+KQU6ryoHLMCT32T5dJgEYTU6M48VEv3hUiVu0Q4FP8WiNl/Jl lS/93AXP6GhArIKsniooggdNzdxfNr2H8DKASfD9sPN1K1kNUyYPx8zgLZpxjrdA4fbd2RS9WwVS LUzbZ4dcAyMBeOu6nBAV6oYdH9hITVrwe+p89iOVvu69CPqyukrIGEh/Q0SyVIROBDEc8oqfa+5m PylMStj3gerBvi+t8uBZ2UyXBwr7276tRSQoCbL9y7+RNXYLfY9PzJZKEV05zjD4c+RHr4nCQ+VE C+Vbd0b38h+jJ0RnU16+yArZPRQ9p2cS5JK/U1l+FWzycBXiOgLqYHC/vU6KBazMwF6v3mbDfE8g 8gNqYRrLb8eWAzHCLUT9E15Z/RE8FUOzV35/cLTmZ8cfAlxmVNOIA2mlBdaikmFx/MpbI79V98wr cuAtXlfmxE6xQgR616xSc6QI/vIizHXdqbjqXPLXU42GwrsLN6ure8g1km9GGLVU2kjYk4rM4m6b 2jUEGa9kpz1w1EKc7LoXvz+MCkk7GueT87l9V+gn4NaYq90ldo33kZgU2vOjiC3apUVA41gpqvQR AS4UxiC7en611gtvP/VSmHvT1fy/8BzMSrlKnkvIAWTkRmC+6c+bclLHHyJHgpBPFfY9CorE1JGY ENOEfRcvnVGmB/I1RU4U2KWGSoBSKf6kDpKgzKSyOitSgNf8B10ZWaR4C/oJ8+22+9dlFmZyl8KZ qhJZstjBMGOdVuF7Ho3RM+zMt8i5GtFTvpe5TNWFpHJGCRKHrl9gtdSy7HC5GFPnzTfnfV2WzAF2 i6n/qh8XQLqt8VwUO6a0Wrs8z9a24cE20lnrp1F7JjWGTkfi5Ff9CiK02l6eEbexcjdXVCgBH7tC 4jCKg8QeBWVHZIaRj1JDKDvo8SoKdqwHdp9Z06Le580JRsvl76LwnQBLc5N7I5YIMpyp4TAIpEjv g7Dn7aP4gnQ7T2TrRH8XjGXICJkvV3yY2mznwqveIvJKuqII/jQWY6H986Q+zkOet809mVbunUPw kVV2du0GKFKP4V6DAi9UPro4pTy9OW0dBBenTh6vfzWSUGh9t6QcBy3TcfpdwFgNN+BxLupDES86 AD1mU9yWnbwFwx9j3heTQAer94IzP2Az7+YWVlVEIaN4RcZK8KEuRaxzusn7ziP5tlgkxeQt9P7D FaMn6oxCm8V3fKHir2WlKATv5Sl0UNYArwuiw7EUpmZ2BJklSJ9buiFInTWbBhhi2E29C0TM27l9 9dMZvLZKsVDG8l8tBcVo72bCine3DEx9HVfaCikynL/6srn/7qriLVKb0aMl4pSGIa8kjURqYIOq CRWOYKsiFkb4O26fYUdg6DdUshxO6pz92Q4Lq29n5a6Innw6LL3wfqfhst/ceLp+kPjHPEMsePqU ZoHoXTQlWbB/AtjHwZHZhGv1riTDOl3UPp57I+LgDf9OYwj9sOr0UhhFqygy0ATWAbp3vdku8hqC +Jww6rserTCgjPJv/DpaMskZW7K9bCMJZIk0bV0kTrFG0oxJfyOcae7r3KOSVR5+f5wWP9pM0Jry wqGI1J39TsQpCBbgNpXm3kjLZOPhi14jSgjuBXoUMN9gDjYrpUN76ScP3fao3HGky62/L900qlyT ZRPfrHO9Dt2DtyXAtEEY0zqJs/1OcqHQH4tCwrDKewXkCykM3BbAz/jWAvyU2kvYSABVkDYsjyZ6 Nr6WXBuO4z15QJD5AEYybcWg4O4qGEl0wbDzPpTFnjSL2l8mobyDYAxldp4LJ9fzsw8oRLUIA8i0 VPhTht9itukgldQYScbVFCdAFB0t6nrwuQO7T5GiqKKcMaED+xkkD7tOCn5z5ThxJE+ZwyjUA2Xf l1Ow0flyVN3DwuaG/OJ82H3bG3hiz38tAfYyuzgUjBoeYUU34T3QzMC/HalwKE46UqpCOBWWw2XM DKiLghjtX/ASJSprEsg9ZCVZeF+DijdGR4nmid9wSTMPRCuNaB2FGIjFOik2PhrLsqsbpv7sqKSZ Ayu9EnPszmICN5Xscbx8fknXf/IW/UmpIuKl/8at1zRQ1Ndk+TB91d6mqschNpGeZVjKtzBBfofa RXz1YMiGsnLpxnz/UmXsVHZuzSYuGxI8xHuQM2VBIEyXIaUH8uVCR1KBLNZt99ej+xgkcyi94LXU 7xLBYZDp98H55LkbCGfTxY6q65bvG1M8fTG+72M3JjYGXUYLE5Oc78AHsgcn0ZWP8o9EP+/YgVsp 3+R2kLEkhw3Sq0f+nkMK3e4layUeyui9f2ahTyGV2Ki8b+oWx8BC/C3sOXsDl2VsE51LZKlqhSoQ JNX55yBYQgP208LkNcP4Fe/Y5NFd4IXfee8TeAAbnioLuP6Ja7PAFpBl3dKh98tPHPm/vSBYjrD9 ocikv2+aFJeaQfMQi51GbkY7/Vz0o00lFn6zXDqGz/H+2k77GU+HiBf3pOPjbxG0k2gbQnQsIYsj 8W0hZ1MGKr9zv+V/EB5Po2b5cPhR8GE/t6LHuHxBbTU+XnwihHjru7iHEqDBU056ZgWlqygGr4ZQ /Vw9Cp1UzpgCQ5YS3JemLVIcdc8AzbnSYm/jIbKaGGskmeL/zr1tRPTGBVsekTUw7Stq33iPodoP eJSP5DJqaeNmxM3pOvQjIqrKm6kMgTCO48QFUgSDNk3EzStVwV+ZTcPMOqhi5VFOdTG5kJmeLejL qQ/ZnX7nMgz6g4ySE6pMm2J33eAhIZOE4VE2yoy32U18TmWNgMfvsv6+PE1NAsg0vESzsy5yYe5A vnnUVcpe4nRZNdlx6tB40N1fT/y07gN1TPFy/cmbq2sHrnRPxV28snu87piiPVQNhXsDlyLSG0Y/ Ca2ACFNRL2Jdo9EcjT4E1PxgtY359ln5g0krUqfx829XkrMVcBmeB70B7RykZGkEa5uJO92C0r92 NmZrtYIHtTwI9uExIi1ZsWB2K7Nv4N0IfIsFvOyJMv/cQvokIVF6ZOOocI5rzmsvePojFGf/AC/l oBbhJZJX1n4P0Zj4t5gZE7X2gUuHJGDeCgeF7plFRcuo5P30uFT6pHla3lXWcO/46PMGWNf9BHEz Wxv9NmKELzg/URbT7tC6Ip7uVHmoltLeNQYN5/+dUbzftKBBpG46HOQcCgiOC0C+/dNGBYjvV3TB 1SCnoj5M1lpxFzciH1hwg3IN6ihub2uk+8s3rFTFnullf6dsAX+GFaKv8crUgYsZuK+8vFxmTlF5 r330N1qeONHnN9cEMkshuvq7Q2RqOnoqaj5GmFn0anHPQPZPwhAqclW3Ni7eLBl+gS/HrZUO/dkh cHXw/MKqyOSVPZj4phIjphSmWXsl+E7tylVsVwP/y0Jfz4htn1dFHrxf/NHqK304eIRIK8JSgZG1 4s/lhAad9f0FlNyp6owTHZtX8ZxhnLuPbGR9Zih64N1rorwenLYtmbnoUFDly/7P3Qi3cJPj4IyE T+b1aBeZPTD4LFxyllBQgxeEaFNy97V6Lu9nL2BvkUquVBua+3n/RLYdbNZY2D/a12F0U82AZ0De 2JN/BrOERh0LxVi0MQJODcOwmlWzG8pu1vZLYXqunjSTKoCs6Yp2lSBNrKkBIxW6/DmeXBg05yNp 7vwsQuMGalP097k+pJWe4HqrNVZNk3C+PU/T9A6iAxF+Y1G5MIxtUzJQTpHLSkEyMMsybGx+Di0t fj3ZTToFQ5hq/crG3+Z0jjeBO1e2AyGsfAV5zuvfV0ii3SPNm4kYNc/7u2SgL65Py6cV0vmdtXJk jdcaDbzKFk65sSW7CTEIcyOTBISw9AqVsUA7Fzrw0Spr/zom90w37/wt3jxGZvxWqZLu8oMF0gYy T9RUrtJKXL7g7KHuERZELYGJXIfIFafuOscNg6csJ7G6jbs8im6VtjOjtaNYTWJHejqm1CktQ4/q NwkxkoDqTQWkZVkt0D5WvidkeGyEyfcPMhIrRAz0FQL1byW4/g+N5+L2uGhWZgmp5ezcr0pfhvLh oDUDqwNj0DIPq409lH2wCWvReu3akiYZ6aqTt0SRmYijBi7vepFzIqcF5JXyBIRwzarbIKXzsVWB VHkLsIcKLYdq4bnYmULaEp8QSvj+bW3naTy5qXvqZUSrV6+KyrTDmk/3iTLAQKhi+irTR0TjC29a FId5LJRryc9Nd2TVtsw3Rz5wImbvXwikC9QXzQXSAZ8GV1UVherBeTeR/QEFCBGd3hfu7F1bVXOU eJmA0e+NsUPg9GjruqyNfQjnWiJtZzk6zrloz0jUu+3uH3caFk818eQYlMi4Uc0aTCOb8cf5R6pZ XhIKLvib1vIcyhpjjM10+NjGhVXggijaMurGomv5DV+7jAGDPtEBFbabXwtAlGZBMv+YlY6gAuES pkFeg/JPy2Z46Tp/5c2Ozky4aQMeoJ+yg4y5SGuxBz9eaTPXRKgXSUoDmRGBBa2KybR4zvcKfSus h4PwdLzaOJ4D1E8lQSl88rblV186qNXcc1xg0sHzAZpj6b/NUsRDPz+80tRr2GMtXfTV27eQNi8C /X7AKjvRZEB2Fnx7pB/n7ijDdTyaVrkpUSrwOxqOhOBtLsy38oWCTi7yLluEvz1NXBzFJ7Aep63a bgdnIl/QaxNzqDTq7ttx+5XKzEIpghYfoNvEFleGT/u3cY61bCpL+XO21Er5/eReJinZBLXNP8a2 bPrxyeC/6nZJjXOaojfw6q6117BRzWLYMOL+HLjLad3b1BXOsNf53tpGe/UYmQjT0GeCx6BKbyxb EZj4HR43lRkBRU7C7VB99P/+LhycUaEMkEy/Qi5KFsKXRn5ITtMFokQTPEWTOIUfqaM2sDwWUPks hy7S1+kzaTeI0a0qqpeoD0eGL2uL0wfAo0OAn1NnHTwbQDWS6CknCShqb375XjXtDX9+IyIG+Iju T5Joft7Ye+lPO1AhhEks+wokFdI0D9xiEBVAf//7LLYtSTtOZ/TBb89LgakoxUocBq6W9ACHPDTF wOQeuVNxo+jXCL+KzSNk13An08SkbsZOzF36lGnUsjXzQdjRzOmpKodagJB4jS8kplY7dVgaXa8T rtNpz+5wR1ar0bTCTmqcqmKtVVhbT3g1i4XZgE/5y/U+9/TcEbBJyBWpglfGdpRyAZF8AvGrXsn7 50KVvWoTIVFJB7S6+r9bsmji+vp8TiG0PrTXu1YR+p1dxh8fdxMuPa09G6cIjmD5PJWUULuNYMAi h+Q+5+q2prmxMby6bEWWGxdVbHeg8rcAQhKqUwp3ekfqerve/xzv90e+QG3B9RT+P84W78D7hU5U 7tGLVDxxHoFJaQrOfLh/nr2xLqrQ/FfbVZ3/lyGVJbpT7M1/PunEErhscacYB37S/bru6WfXl6bc LOsmHr/GOazKP3Cgggjfeh5HZj+2YDhLWQVSzIBzcm8219nD1ZvdwSxYKyBnVQiC/L7CQXRFXr0h ScCNSqTjgsIXipjfOR32WKbYDGSmpEX04bMn0XT8adyOuxFHYI0awALDRJgFU8zUOp0ZU3mI0RvN qb7q8I0ThuWgNh2uH0pNeecykIiCct43/LCyYFi6vDOeXUTBsWplVNiXeJcRuOpDsz3U8M0iGWFW kPZ/WUkv/49Iu9Yi3C/sFfg+QINTTsZV5CHCUoTvjIZwrBfe0NOmogBBBS5UTy5zmOCE3QbfTtPY 5DLPyuY/RIWTkJaNDdI6RRAXjcb7lnaXctO7Z6gTYCQhTnC2pMC9ek46HMBubxVAftSI1Ce2G3SC dtsFROB2hVmCCZgj5fYhQPPES5/pOnMWLMsGfs3ubnR6KW0XijVLA92uISffSwO2YAmLcqxIIo8U enDAzIDACVPxrbPRuIUYcmW5P7IlDrx2XfCRqYyI4uEevSgHAY3S64+cFubsPIV/N1+aqWPmfKoz Cwbpz2FJ+C6QIqhDD87NvY2my0leOOpjdtQbZaJRFwSrJgGeAevTmx7X6s3DstrZBT/eMxj/NQaz ObgubtkzBUvY+Cm+B9zGsotjqqa9s+Tt2i9OyhOdcu3T9TOcPoqD7rDjEigC7YfBulw/cGMp+rnP cA4GqXVvyYL3yV9xQ3CjgIm2eN/17fIr0saKLmTYfKD6o6qdzsrb2SvmPZT6WmPtWY1kGFkmRf9k zehRe+6NNzGPwNIcvlC+/umlSddr/TkAjjSFLL7HjK2DNS9IxbJLLnzRkXIghz+ZpmGJiyZ+y3M/ 9gHm97SLnyL6ycaxFYRdPcnWQreAilyJR/1+qDr6cisiv+Z/6YPqKhplyCDp+9I8MDE562RI/cV3 jWVKh9lERdZNxt4xXk3+9ONVw1sXbUhabPeEUaPfVZY6rEIE353FIyNclalaQ30VElEFByFYi0k2 mrraaHXN8mwVFqAmfxFTdVALVA1R5x0JhyhDwXYGWrnlhSnXXAzP/S16VxpDIESAUyYdRJ+uz0W1 In9xxwe9tm/NuEg46a6MVOmq+frwnbVX7QLsMpR4SZaLf2vHaa9lyUjdGtY74yM2mp7qLY83iDq3 /r6u4kS8rkagQYDpTXLi9mBbRagOo2QeIJrdPDQCWE8Lbvd3TnshlgFEmXeQuJxasR8kgyZbcpLn 6Asn/mVzm2SWpnh3k162e2RuI/DhkRSPWgvYzECw7QctQa6KfdHkKun92DxfK/egt7HVv/sYYA2r PIQ6GnidCtie7Eq+H4w7yYu3RUmp7cnxe8YJyk07E6y4fXZVu6lVpr10CLfu9MKuS0wDyF/taZE4 XqAqIRaOrC58NaOJjbnV62XkjiG7vjMBVndn1X390JQB0IViR4ujjP519jM7+lie/JBsHT43hzRp 8mA0WoCMfXguQ9lVny3clHSIoTnTkYtu2hl+Bf4ZPLTTe7JnTksjK//t3weQnPu6iG1Anoni7zcp 44TG3VpRKJPVkiS04Zcd7BxKIYqMczdyVrf16kjXqFljuOo1+UWKCUZtjS2R3myc+tA/iKd0rcWC ZA4xJzNaMS0ARtn8+Eu90TX6zaJhe+cyZW7qnmOtUf//te9uSzakLQMM1zh9RVKN81vw3Xgt/ilu r8CvWe1eYYj1QdnpqPFL3n2egnEApIhBCIfG+gz6tHbSpePLzgWPunNgdprCvNtL49G1YKVOMwPs 2nzXC0sLAWlXiqn2IwX/KsUVAR6FZMh15ECFqSANHPpBG6QjFL0evjNaxp8UjTav3zYnQen54BNR 1AxPfKBpRDL4o47iYXaqssQrdjDPE6NucEHrS9TGdSmTnUYTlNCjAQTZ/RbuZGKd36qsc90S7QQN LeFaZcOtn9u6MnRzl5g4os7YkfsUAaNQOJmXKq+Ivxmf/c2tKdHI6Udv9ETH2PscYj+GXnZDws/s aOgLGnRg8RWFQfefGx7iN8Y0/aWOgLdF/m+tM8UwgC5N55V4bLzIwsnx30vrr2Uzy3TiwmbCQek4 JoRctKqlpHeZli623siJgQT7YtsBG0fVJRn++oSO60WTbWUW7QM/CfQ9qMXipoDEA+2Y6anexjD3 dJFhuWaoB42vuWDYRtQ1aukp0yUXb2m0CJSMMHenJegaSsv3YQUI/IVWqtiC/Zp7XAbJbMImRGFy 63h5C+ACznf0QfNCFkZwQRfmbvkJ7GCs7PaprZ5FWwb6SiQw+JXe7LVFVUVfvoVozcQTKcJlYIDA nx0B6t3HAxeATlK+FrmD/QUm/t/C/MgGVe1xsdWlg/V3mrhjNgr6j0++tbHlgSmj5q/BjN4Uz+gP Oxl6xKk9dSbJScV8CRSzvNI7xW3V6Vacb7Bx4GOrycayPPCAZCmh4Ehi3MH9R6OYoAjLaQUMAxJs XM+o0RctZCTWd5PKVhEFEigAXYSv6trek/Mo3oPxcdKtI7sBEqTfD1F5IeYMCppiZW1K9bDij6ae QjnTYNrd6IgWmN2Z6jB7mrndfNeNM8taIzu0MtHZKKhdg+Mc/K992q683c51R1/q+eba08q3RHzR fTfGeBaTXwcBlETDDp6yBoaq7jH/guOUVZGVm1A2KxbfH2QkMsTHI97/q8zRWnhQ/RvR4Y7cd2JN Ni+PJJ9Z+hhsZp8S2CrW0T83wTi14ZTBwHGlPDFK1j49ewViA+7OCfK+/NmmYOnVZMbJnd5LuaYK 5lZ2EoiZmYQrrL1T07u5adLU9/96BTta92vrFDQyd6zL5cJPps5qrvT9xdZ26i1UYKblINIGnK90 ofq394gsMBrUMkQF5wT3ggMOAW2qApJ8MfFkQeiyfgMw+s2VNBWtFj9JdXcVC1dRItnYjuQm2Vl8 YU2kCSmRKIW6ChHDJrrird+0I2yI7bqsbkciK5UUHRc2IxnstDuhp7ZCqKwkvB6+hZvSW40xG3xs D/s3cCThriFjPg4E2hsK3a4aA3eYW3mSTE4Yjqb3Py0f7zk1MvuK7FKq2OwU3ragnSH/HLqclIXS b+Pc318wgGJXTaYALeG0KSaSSs9n2iLeq7Fl4LviiybyL51SIM4XF+9O4nE3gHccf216Ge+TVnTW 7yuqi6XIgNXCWxXZC45tqxxt5XtqGw/P+P8cLIyB8vUg925tfnKujlFnKhxLmna483NQVz9Yz0Jz ztOk7P4A5/4a0+0wlN5CvZohSZ5VyOJM3MaVELWD7XFxncu5/G0KyeTaup2c41m/Cw8h4bDuqXzS F0+wyK92PWOB55nBSVO97s0vhHKnqMg/Xb8Oqdf9g322JvD1siuEPKleMin7G0x0CevWXWQ6P4nZ sbLGDbg4ehmnJNB1QrQO1+nPqzi6jatDtyUg2WtJD3jDeqEJykBUl3DbB/3pSFNKPt3gW8Azo78n oEkCUgNAim4jogDcrxqGmQsnZ4eFBq9ntXyfezPdLR1IxXvyiSiMYFJiJJepfttDm5RtodC/NMFi yEP5QiOx9rPc4bpM936MzyjkTAc04LOdjkE0j+ftyCDl5on7riqO9THGbx2w8hH9dBv8Y/aq9NqA Zp2kIxkNbVqOaah5jjYLoAi4ly3q+TAptxL2P0lFJ7jcYkxiz1KVSINXPg2LavucokhuYFin1z3f WzpvysZAeP6rSPSENWzLmKKSAWCpw23QeR6aOyZv1ktvLT83Ah51E66rttZa/1VZrk5e/+wfFtkd GAXqQrd5IeVC8jOfKPlJxsVSDVowu0uscYRCdFjHWlELmBd/EEyFDFXZv8AiPUKi0g8+wA/nJXJ+ WnFCVqAT66oQaqLnpyKHOr5CSOKoYz1fmVwgvXYsNGYoq3nr/9nKUseLPzThtYCMPb+1OX2hne0o SVgoBJsiKARv32qVZNUcWfDizAMWxSKtHuPSQpASr6gv3EUwVrPvJ7gzsYubY6PDMzOP6/gZ3EKw tIwpIoqiNVUHK8EZ3HmTWiqyOfjrvpTJ6M3lQb+V3wWuMOhlFe+WBQ46ZEDZ1HpqWbQDWnbxuCiM WEIa+Fr6QhJKspDFkXh84DLmehFyHKYxHgcemDkmxCyxhRst2sT8DukvsyMmZCN5YD94wMwk6+st uDrPvQ+l+oWJJB3nbo/ZplGmVZUWGOjWntNCeok5G24e5Rke0NiCUjL+kstsSuKyPXzo/v0iD9qJ 3qRz6i2+6Et4OR+UFOeVVYlsp2IU6NZoL7ZY/43DAFCV2ptNhdt+bUdK4kahI54ZGqZLZKlTdJLc JoJkvKDEGbsb45Ug/A1suYFdhPquzsGmPc4bfs7muy+mrSIYtO6x9ywXYxmGfzxdlqMpoj2qXOZg FwU8v974owLZ58NGGmrJr6NY7FwcgoMwF3iI/yiAI5guWfAvn/Sc4v4fwq/7QXqCf9iY1634qPxR 7i1bwbtdiv1mgtGSfIccvbF6vrdrLn4zyk2TRrtCE4cx46mCS+xRxyVIhS2CXjy5kJmxTMvhOrII z6YJ7Ji3UYxZNF7A/oAPZBD2rGz/lnE1Oby2UpnQlRUvcZzLQFRR/am+k0IFPhZVGkiyhA8i1aJW WMwZps43TV1wMArI+sc0wJ8D1QkR3/16HYmTWr0cpIU26oqo+54q6LuN1b5DN3ShS+GDfyuv9fpe tMI/XvwpuEqlsajvk1YzvIt993IUPGR5aazthefLh8UMdZHDFwcBeI+PUcDO+Ogqimr/BaY52JBT J+kc4UtTr3zSSVFFvcUj1e3GKMEctUKj6+0EIrjVHZUGRvapMEgdw4je7+bI8d9cvuXBZHp+oxp6 gDK2h74ElEAnqtlbzEzf12+nrGuhzV1drX5uKyIFmuKVnU1otY4OP5z4qkn7oI3F7DppXUgDtjmR x/Vco/mcv92l3aJTQc6ghOoyuav7yRUeNi0XK/Sh/cDu0ZwZWuZ/KlmHgHZARMYtTDgNwHNO4cST 0ruXB3K/GY1lO5Vd5G4U+0a4lke+txl/PpBCunyDVGQw7YIUfnRv5PqftJ6v/k75gXDHaI/Q+Y9i 10l+KtYt2ttZk06OLhCH2GN8k5NtEbab5Ibg1a5GGQ2Uw9v/fy2ZOfnFOk/T6jkdguiXp3SvFU0z BOwEoznA0D5ga3RrYhZI6gNGw5+ksqtWS6Oqk4I+AbX7OZ6CLNUMBoa5TUsGjpezzQAFTwIGR5A3 3yI3KBerGyRr5QPGmKCWVLekIfAm78cKz0tiVLifwoGM6tXLxwdTHgq+BEgX5ZF1sxxlftVxDeuy +IFcaZCNUYcFiI9Ul/b4omsw/I9YyL6wFwrWaRpw5iNcPyZg15fQEGtgB3p177RFx4vCuBvX6tTp oo6rGw7viowu5M3c4kYk9O14i60FdW3YrIcw3FtSDcmU+gCW0gtAGlCYLxw7yahnaY2egmPbvqxn iHM+mkyMxtDfUL1V+MLoLdTHdTAXDjeNwgC08pTg7HZRuFA39eHr/ItxGVJpd4FUpA+mIS+Ulajj olJCM0is9G17e8EDnfSY1j5tIHTGl3Gh6djOQb/74B4PJdWxjqo7daEiOrjna97dI11affGB+yAH X6oU7ru1erbm6ELvMbivZGlnsj60stEmSumXnWyVba8h/HLGkZNxDPQKEjaWhcqgmJfNv2SRNfiR ySx8JxxPC2Xl8nAuPLAimufjsb/GcvwmBMSoV6kDovRVd5lvgFEbWd+r1CBKcGP5Y4LShO6ttWx1 HcvCgV0vGOQz7HOpn1Y1Uyt0K3V/qI5gAAbPclkZ0Ek4npAsKVoETeZmqPZDFv+JHtR+EvvjERfg S8zcRaW4ayQHvpkcEHppMye1ur3bV0y4ZH0OvsCCDsQagPT34XGQd1EJrpfL/Uf/YfzYe+u8Xxhf +7Rsg7rV9TbHWmYzpcBUOfL3PoKj79CEz8XeE+G0JpZX6i4r9rZpdfSnkzrn5yqmhZFPqcoNBG4y gemwApklzyXQNlBC/MEqZ7Li7UXl6ec544LZh27jDZDdv2p6SRHqiX3fgWYtwp8WO2QBZS/w5iis 3nwTycnrDfocfTD0e+ZETQWCW9RQH9meDNdzjDuUqh13sZZWk0Lw1RxQrmfVEuZ58rSXgXX5X/U5 T3tQcRjcP7uoYv/Gvj7RDJSNpNhfe0B1W6fFFUovaXl1CmyJJmrfylp7Eun3KjoXfKmxN4WlFtKG MQpszTAP8fZqqAky8oeIxC0uWvcaD2Qi/7Ds59AoUN1aVczXW4PUxFx+4XxdrJ3LiKLFTjWsoZfY kHIxeFNOueLic4MRmkBmG/ex6XV06vh6BYwLX9kXjCXCIawNAgMd84QyZF/FZa2IjDsghpbz20hI ShrXImKNe4D3UIEgWfgESxEWiyGVwJhEKSMIXJGb5RAHEQINonsKCZmr59XjrfVMhUq/7gVO09q1 8j+7Sc8ifQK1JqhEX2MLhSDYWQ0XiAVvGivu1O8NhHDSfYnBLlOpAu9U2xfDWqnAYLPXaFOzDhU1 hgmgEF4UCdxdSkxCwnGusQ14fDK3WHCJNnDKHYFKpfP7qyKk4TvLIf1maY52PVMKH0h1VXhSsbAD xF/jxqtlwb1STWQHOq8wNIPzPqDFEAdawto0VUS5pGPN3C+6mrAjKZ0J+pkgPhNNTncAV1TaU57A t4wxjyfLe45qfrlv+pDFYMMSqjbzUC91JWh1SnPmSAEI2xu/dCWBdmHX0/kaLfckJ9dItzLewHii GVZcBCZ2yY6J6KfUMNn86UEj236JHlADmywM0EhB3wf9C33YgfD7ojmiPU/WxplZyra0sPxYrMnb vhbZsSJG1wJYt1rcLs/RRwmZDfwEi9V9jMCuJSiizPNLB7dQgQauk92BoGFy6RDBHbG1BxWEp9pj NH9C85rh9bDAzAl3AyMMBynSxOjmYL+zClzXMbE7GlhqCL3MoccIYX7+vT+YqUMnafcdW5wQGeSA hV3TmtOIRqQw7QPrduwo/KK+8z87T/B+9tYgHPrw6t/WCiUziMA/X8jjRnZfUURT98YsKRl7rnKd 7wVQmQCNxWvHjGu68RUVTBZiYUkyguWea/C/7VZfeEKWuMzwVMMgHj89TpJKOrO2Ya49BhTKls1X 2V0MeRIRLOdte0NKr45ZG4KvuKgsOymuuuqUJe4ycgbXg3GBMzUPx6gNdFRvzmCknXlaYNOxIWMK SR4KmtwlcUKWepIUr7vIrIUxKOE3mCQQ0LsR2f24/G1wUzBZJ1GQo0zqDLQlsxb2I5h5pwZvl1OI dFO3xRor1P/j4MP4/UFPmlI9ydN49AMTzH7MbPOJowtPqVHZ2qGxRIhoaR86Ew26joF7xwwGiGMR LijGibxhM3pIGhfnsPhmbqmxZcQHBXHJaTMk28fWW2tzA+gAoGZhh38/IOzP6aQaklxTtBvVDJ1n 0/pgRlXlixYO9g0Xmt8k5aNT3DfqHQd1u8Gztw4qBxcrKf3QKexxffiYp+f0D2Om+5DhXw7qaHkX JXpOaFleeiUtw+rugSknPQQtYuyyInaa2gx6WUE32TceBsNkyC6ChAMnWfU= `protect end_protected
apache-2.0
f98a6df6df17f3a8af99f0f553915c9b
0.947629
1.825754
false
false
false
false
rcls/sdr
vhdl/sinrom.vhd
1
18,981
library IEEE; use IEEE.NUMERIC_STD.ALL; library work; use work.defs.all; package sincos is function sinoffset(sinent : unsigned18; lowbits : unsigned2) return unsigned3; constant sinrom : sinrom_t := ( "11"&x"c001", "11"&x"c009", "11"&x"c011", "11"&x"c019", "11"&x"c021", "11"&x"c029", "11"&x"c031", "11"&x"c039", "11"&x"c041", "11"&x"c049", "11"&x"c051", "11"&x"c059", "11"&x"c061", "11"&x"c069", "11"&x"c071", "11"&x"c079", "11"&x"c081", "11"&x"c089", "11"&x"c091", "11"&x"c099", "11"&x"c0a1", "11"&x"c0a9", "11"&x"c0b1", "11"&x"c0b9", "11"&x"c0c1", "11"&x"c0c9", "11"&x"c0d1", "11"&x"c0d9", "11"&x"c0e1", "11"&x"c0e9", "11"&x"c0f1", "11"&x"c0f9", "11"&x"c101", "11"&x"c109", "11"&x"c111", "11"&x"c119", "11"&x"c121", "11"&x"c129", "11"&x"c131", "11"&x"c139", "11"&x"c141", "11"&x"c149", "11"&x"c151", "11"&x"c159", "11"&x"c161", "11"&x"c169", "11"&x"c171", "11"&x"c179", "11"&x"c181", "11"&x"c189", "11"&x"c191", "11"&x"c199", "11"&x"c1a1", "11"&x"c1a9", "11"&x"81b1", "11"&x"c1b8", "11"&x"c1c0", "11"&x"c1c8", "11"&x"c1d0", "11"&x"c1d8", "11"&x"c1e0", "11"&x"c1e8", "11"&x"c1f0", "11"&x"c1f8", "11"&x"c200", "11"&x"c208", "11"&x"c210", "11"&x"c218", "11"&x"c220", "11"&x"c228", "11"&x"c230", "11"&x"c238", "11"&x"c240", "11"&x"c248", "11"&x"c250", "11"&x"c258", "11"&x"c260", "11"&x"c268", "11"&x"8270", "11"&x"c277", "11"&x"c27f", "11"&x"c287", "11"&x"c28f", "11"&x"c297", "11"&x"c29f", "11"&x"c2a7", "11"&x"c2af", "11"&x"c2b7", "11"&x"c2bf", "11"&x"c2c7", "11"&x"c2cf", "11"&x"c2d7", "10"&x"c2df", "11"&x"c2e6", "11"&x"c2ee", "11"&x"c2f6", "11"&x"c2fe", "11"&x"c306", "11"&x"c30e", "11"&x"c316", "11"&x"c31e", "11"&x"c326", "11"&x"c32e", "10"&x"c336", "11"&x"c33d", "11"&x"c345", "11"&x"c34d", "11"&x"c355", "11"&x"c35d", "11"&x"c365", "11"&x"c36d", "11"&x"c375", "10"&x"c37d", "11"&x"c384", "11"&x"c38c", "11"&x"c394", "11"&x"c39c", "11"&x"c3a4", "11"&x"c3ac", "11"&x"c3b4", "10"&x"c3bc", "11"&x"c3c3", "11"&x"c3cb", "11"&x"c3d3", "11"&x"c3db", "11"&x"c3e3", "11"&x"c3eb", "11"&x"43f3", "11"&x"c3fa", "11"&x"c402", "11"&x"c40a", "11"&x"c412", "11"&x"c41a", "10"&x"c422", "11"&x"c429", "11"&x"c431", "11"&x"c439", "11"&x"c441", "11"&x"c449", "11"&x"4451", "11"&x"c458", "11"&x"c460", "11"&x"c468", "11"&x"c470", "10"&x"c478", "11"&x"c47f", "11"&x"c487", "11"&x"c48f", "11"&x"c497", "10"&x"c49f", "11"&x"c4a6", "11"&x"c4ae", "11"&x"c4b6", "11"&x"c4be", "11"&x"84c6", "11"&x"c4cd", "11"&x"c4d5", "11"&x"c4dd", "10"&x"c4e5", "11"&x"c4ec", "11"&x"c4f4", "11"&x"c4fc", "10"&x"c504", "11"&x"c50b", "11"&x"c513", "11"&x"c51b", "10"&x"c523", "11"&x"c52a", "11"&x"c532", "11"&x"c53a", "11"&x"4542", "11"&x"c549", "11"&x"c551", "11"&x"c559", "11"&x"c560", "11"&x"c568", "11"&x"c570", "11"&x"4578", "11"&x"c57f", "11"&x"c587", "11"&x"c58f", "11"&x"c596", "11"&x"c59e", "11"&x"c5a6", "11"&x"c5ad", "11"&x"c5b5", "11"&x"c5bd", "11"&x"85c5", "11"&x"c5cc", "11"&x"c5d4", "11"&x"85dc", "11"&x"c5e3", "11"&x"c5eb", "11"&x"c5f2", "11"&x"c5fa", "11"&x"c602", "11"&x"c609", "11"&x"c611", "10"&x"c619", "11"&x"c620", "11"&x"c628", "11"&x"4630", "11"&x"c637", "11"&x"c63f", "11"&x"c646", "11"&x"c64e", "11"&x"4656", "11"&x"c65d", "11"&x"c665", "11"&x"c66c", "11"&x"c674", "11"&x"467c", "11"&x"c683", "10"&x"c68b", "11"&x"c692", "11"&x"c69a", "11"&x"86a2", "11"&x"c6a9", "11"&x"46b1", "11"&x"c6b8", "11"&x"46c0", "11"&x"c6c7", "10"&x"c6cf", "11"&x"c6d6", "11"&x"c6de", "11"&x"c6e5", "11"&x"c6ed", "11"&x"c6f4", "11"&x"c6fc", "11"&x"c703", "11"&x"c70b", "11"&x"8713", "11"&x"c71a", "11"&x"8722", "11"&x"c729", "11"&x"c730", "11"&x"c738", "11"&x"c73f", "11"&x"c747", "11"&x"c74e", "10"&x"c756", "11"&x"c75d", "11"&x"4765", "11"&x"c76c", "11"&x"4774", "11"&x"c77b", "11"&x"8783", "11"&x"c78a", "11"&x"c791", "10"&x"c799", "11"&x"c7a0", "11"&x"47a8", "11"&x"c7af", "11"&x"c7b6", "10"&x"c7be", "11"&x"c7c5", "11"&x"47cd", "11"&x"c7d4", "11"&x"c7db", "10"&x"c7e3", "11"&x"c7ea", "11"&x"87f2", "10"&x"c7f9", "11"&x"c800", "11"&x"4808", "11"&x"c80f", "11"&x"c816", "11"&x"481e", "11"&x"c825", "11"&x"c82c", "11"&x"4834", "10"&x"c83b", "11"&x"c842", "11"&x"884a", "10"&x"c851", "11"&x"c858", "11"&x"8860", "11"&x"4867", "11"&x"c86e", "11"&x"c875", "11"&x"887d", "10"&x"c884", "11"&x"c88b", "11"&x"c892", "11"&x"489a", "10"&x"c8a1", "11"&x"c8a8", "11"&x"c8af", "11"&x"88b7", "10"&x"c8be", "11"&x"c8c5", "11"&x"c8cc", "11"&x"88d4", "11"&x"48db", "10"&x"c8e2", "11"&x"c8e9", "11"&x"c8f0", "11"&x"88f8", "11"&x"48ff", "11"&x"4906", "10"&x"c90d", "11"&x"c914", "11"&x"c91b", "11"&x"8923", "11"&x"892a", "11"&x"4931", "10"&x"c938", "10"&x"c93f", "11"&x"c946", "11"&x"c94d", "11"&x"c954", "11"&x"895c", "11"&x"8963", "11"&x"496a", "11"&x"4971", "10"&x"c978", "10"&x"c97f", "10"&x"c986", "10"&x"c98d", "11"&x"c994", "11"&x"c99b", "11"&x"c9a2", "11"&x"c9a9", "11"&x"c9b0", "11"&x"c9b7", "11"&x"89bf", "11"&x"89c6", "11"&x"89cd", "11"&x"89d4", "11"&x"89db", "11"&x"89e2", "11"&x"89e9", "11"&x"89f0", "11"&x"89f7", "11"&x"c9fd", "11"&x"ca04", "11"&x"ca0b", "11"&x"ca12", "11"&x"ca19", "10"&x"ca20", "10"&x"ca27", "10"&x"ca2e", "10"&x"ca35", "11"&x"4a3c", "11"&x"4a43", "11"&x"4a4a", "11"&x"8a51", "11"&x"8a58", "11"&x"8a5f", "11"&x"ca65", "10"&x"ca6c", "10"&x"ca73", "11"&x"4a7a", "11"&x"4a81", "11"&x"8a88", "11"&x"8a8f", "11"&x"ca95", "10"&x"ca9c", "11"&x"4aa3", "11"&x"4aaa", "11"&x"8ab1", "11"&x"cab7", "10"&x"cabe", "11"&x"4ac5", "11"&x"4acc", "11"&x"8ad3", "11"&x"cad9", "10"&x"cae0", "11"&x"4ae7", "11"&x"8aee", "11"&x"caf4", "10"&x"cafb", "11"&x"4b02", "11"&x"8b09", "10"&x"cb0f", "11"&x"4b16", "11"&x"8b1d", "11"&x"8b24", "10"&x"cb2a", "11"&x"4b31", "11"&x"8b38", "10"&x"cb3e", "11"&x"4b45", "11"&x"8b4c", "10"&x"cb52", "11"&x"4b59", "10"&x"8b60", "11"&x"4b66", "11"&x"8b6d", "10"&x"cb73", "11"&x"4b7a", "11"&x"8b81", "10"&x"cb87", "11"&x"8b8e", "10"&x"cb94", "11"&x"4b9b", "10"&x"8ba2", "11"&x"4ba8", "11"&x"8baf", "11"&x"4bb5", "11"&x"8bbc", "10"&x"cbc2", "11"&x"8bc9", "10"&x"cbcf", "11"&x"8bd6", "10"&x"cbdc", "11"&x"4be3", "10"&x"cbe9", "11"&x"8bf0", "10"&x"cbf6", "11"&x"8bfd", "10"&x"cc03", "11"&x"8c0a", "11"&x"4c10", "10"&x"8c17", "11"&x"4c1d", "10"&x"cc23", "11"&x"4c2a", "10"&x"cc30", "11"&x"8c37", "11"&x"4c3d", "10"&x"8c44", "11"&x"8c4a", "11"&x"4c50", "10"&x"8c57", "11"&x"4c5d", "10"&x"cc63", "10"&x"8c6a", "11"&x"4c70", "10"&x"cc76", "10"&x"8c7d", "11"&x"4c83", "11"&x"4c89", "10"&x"8c90", "11"&x"4c96", "11"&x"4c9c", "10"&x"8ca3", "10"&x"8ca9", "11"&x"4caf", "11"&x"4cb5", "10"&x"8cbc", "10"&x"8cc2", "11"&x"4cc8", "11"&x"4cce", "10"&x"ccd4", "10"&x"8cdb", "11"&x"8ce1", "11"&x"4ce7", "11"&x"4ced", "10"&x"ccf3", "10"&x"8cfa", "10"&x"8d00", "11"&x"8d06", "11"&x"4d0c", "11"&x"4d12", "11"&x"4d18", "10"&x"cd1e", "10"&x"8d25", "10"&x"8d2b", "10"&x"8d31", "10"&x"8d37", "11"&x"8d3d", "11"&x"4d43", "11"&x"4d49", "11"&x"4d4f", "11"&x"4d55", "11"&x"4d5b", "11"&x"4d61", "11"&x"4d67", "11"&x"4d6d", "11"&x"4d73", "11"&x"4d79", "11"&x"4d7f", "11"&x"4d85", "11"&x"4d8b", "11"&x"4d91", "11"&x"4d97", "11"&x"4d9d", "11"&x"4da3", "11"&x"4da9", "10"&x"4daf", "10"&x"8db5", "10"&x"8dbb", "10"&x"8dc1", "10"&x"8dc7", "10"&x"8dcd", "11"&x"4dd2", "11"&x"4dd8", "11"&x"4dde", "10"&x"4de4", "10"&x"8dea", "10"&x"8df0", "11"&x"0df6", "11"&x"4dfb", "11"&x"4e01", "10"&x"8e07", "10"&x"8e0d", "11"&x"0e13", "11"&x"4e18", "10"&x"4e1e", "10"&x"8e24", "10"&x"8e2a", "11"&x"4e2f", "10"&x"4e35", "10"&x"8e3b", "11"&x"0e41", "11"&x"4e46", "10"&x"8e4c", "10"&x"8e52", "11"&x"4e57", "10"&x"8e5d", "10"&x"8e63", "11"&x"4e68", "10"&x"8e6e", "10"&x"8e74", "11"&x"4e79", "10"&x"8e7f", "11"&x"0e85", "10"&x"4e8a", "10"&x"8e90", "11"&x"4e95", "10"&x"8e9b", "11"&x"0ea1", "10"&x"8ea6", "11"&x"0eac", "10"&x"4eb1", "11"&x"0eb7", "10"&x"4ebc", "10"&x"8ec2", "10"&x"4ec7", "10"&x"8ecd", "10"&x"4ed2", "10"&x"8ed8", "10"&x"4edd", "11"&x"0ee3", "10"&x"4ee8", "11"&x"0eee", "10"&x"8ef3", "11"&x"4ef8", "10"&x"8efe", "10"&x"4f03", "11"&x"0f09", "10"&x"8f0e", "11"&x"4f13", "10"&x"8f19", "10"&x"4f1e", "11"&x"0f24", "10"&x"8f29", "10"&x"4f2e", "11"&x"0f34", "10"&x"8f39", "10"&x"4f3e", "11"&x"0f44", "11"&x"0f49", "10"&x"8f4e", "10"&x"4f53", "11"&x"0f59", "10"&x"8f5e", "10"&x"8f63", "10"&x"4f68", "11"&x"0f6e", "11"&x"0f73", "10"&x"8f78", "10"&x"4f7d", "10"&x"4f82", "11"&x"0f88", "11"&x"0f8d", "10"&x"8f92", "10"&x"8f97", "10"&x"4f9c", "10"&x"4fa1", "10"&x"0fa7", "10"&x"0fac", "11"&x"0fb1", "11"&x"0fb6", "11"&x"0fbb", "10"&x"8fc0", "10"&x"8fc5", "10"&x"8fca", "10"&x"8fcf", "10"&x"4fd4", "10"&x"4fd9", "10"&x"4fde", "10"&x"4fe3", "10"&x"4fe8", "10"&x"4fed", "10"&x"4ff2", "10"&x"4ff7", "10"&x"8ffc", "10"&x"9001", "10"&x"9006", "10"&x"900b", "11"&x"1010", "11"&x"1015", "10"&x"101a", "10"&x"101f", "10"&x"1024", "10"&x"5028", "10"&x"502d", "10"&x"9032", "11"&x"1037", "11"&x"103c", "10"&x"1041", "10"&x"1046", "10"&x"504a", "10"&x"904f", "11"&x"1054", "10"&x"1059", "10"&x"505d", "10"&x"9062", "11"&x"1067", "10"&x"106c", "10"&x"5070", "10"&x"9075", "10"&x"107a", "10"&x"107f", "10"&x"9083", "10"&x"1088", "10"&x"108d", "10"&x"9091", "10"&x"1096", "10"&x"109b", "10"&x"909f", "10"&x"10a4", "10"&x"50a8", "10"&x"10ad", "10"&x"10b2", "11"&x"10b6", "10"&x"10bb", "10"&x"90bf", "10"&x"10c4", "10"&x"50c8", "10"&x"10cd", "10"&x"50d1", "10"&x"10d6", "10"&x"50da", "10"&x"10df", "10"&x"50e3", "10"&x"10e8", "10"&x"90ec", "10"&x"10f1", "11"&x"10f5", "10"&x"10fa", "10"&x"10fe", "10"&x"1103", "10"&x"1107", "11"&x"110b", "10"&x"1110", "10"&x"1114", "10"&x"9118", "10"&x"111d", "10"&x"1121", "10"&x"9125", "10"&x"112a", "10"&x"112e", "11"&x"1132", "10"&x"1137", "10"&x"113b", "10"&x"113f", "10"&x"9143", "10"&x"1148", "10"&x"114c", "10"&x"1150", "10"&x"1154", "10"&x"1159", "10"&x"115d", "10"&x"1161", "10"&x"1165", "10"&x"1169", "10"&x"116d", "10"&x"5171", "10"&x"1176", "10"&x"117a", "10"&x"117e", "10"&x"1182", "10"&x"1186", "10"&x"118a", "10"&x"118e", "10"&x"1192", "10"&x"1196", "10"&x"119a", "10"&x"119e", "10"&x"11a2", "10"&x"11a6", "10"&x"11aa", "10"&x"11ae", "10"&x"11b2", "10"&x"11b6", "10"&x"11ba", "10"&x"11be", "10"&x"11c2", "10"&x"11c6", "10"&x"11ca", "10"&x"11ce", "10"&x"11d2", "10"&x"11d5", "10"&x"11d9", "10"&x"11dd", "10"&x"11e1", "10"&x"11e5", "10"&x"11e9", "10"&x"11ec", "10"&x"11f0", "10"&x"11f4", "10"&x"11f8", "00"&x"d1fc", "10"&x"11ff", "10"&x"1203", "10"&x"1207", "01"&x"d20b", "10"&x"120e", "10"&x"1212", "00"&x"d216", "10"&x"1219", "10"&x"121d", "01"&x"9221", "10"&x"1224", "10"&x"1228", "01"&x"d22c", "10"&x"122f", "00"&x"d233", "10"&x"1236", "10"&x"123a", "01"&x"d23e", "10"&x"1241", "01"&x"9245", "10"&x"1248", "01"&x"924c", "10"&x"124f", "01"&x"9253", "10"&x"1256", "01"&x"925a", "10"&x"125d", "01"&x"d261", "10"&x"1264", "10"&x"1267", "00"&x"d26b", "10"&x"126e", "01"&x"9272", "10"&x"1275", "10"&x"1278", "00"&x"d27c", "10"&x"127f", "01"&x"d283", "00"&x"d286", "10"&x"1289", "01"&x"d28d", "01"&x"9290", "10"&x"1293", "10"&x"1296", "01"&x"d29a", "00"&x"d29d", "10"&x"12a0", "10"&x"12a3", "01"&x"d2a7", "01"&x"92aa", "00"&x"d2ad", "10"&x"12b0", "10"&x"12b3", "01"&x"d2b7", "01"&x"92ba", "01"&x"92bd", "00"&x"d2c0", "00"&x"d2c3", "10"&x"12c6", "10"&x"12c9", "10"&x"12cc", "01"&x"d2d0", "01"&x"d2d3", "01"&x"d2d6", "01"&x"d2d9", "01"&x"d2dc", "01"&x"d2df", "01"&x"d2e2", "01"&x"d2e5", "01"&x"d2e8", "01"&x"d2eb", "01"&x"d2ee", "01"&x"d2f1", "01"&x"d2f4", "10"&x"12f6", "10"&x"12f9", "00"&x"d2fc", "00"&x"d2ff", "00"&x"d302", "01"&x"9305", "01"&x"9308", "01"&x"d30b", "01"&x"d30e", "00"&x"d310", "00"&x"d313", "01"&x"9316", "01"&x"d319", "01"&x"d31c", "00"&x"d31e", "01"&x"9321", "01"&x"d324", "01"&x"d327", "00"&x"d329", "01"&x"932c", "01"&x"d32f", "00"&x"d331", "01"&x"9334", "01"&x"d337", "00"&x"d339", "01"&x"933c", "01"&x"d33f", "00"&x"d341", "01"&x"d344", "00"&x"d346", "01"&x"9349", "01"&x"d34c", "01"&x"934e", "01"&x"d351", "00"&x"d353", "01"&x"d356", "00"&x"d358", "01"&x"d35b", "00"&x"d35d", "01"&x"d360", "01"&x"9362", "01"&x"d365", "01"&x"9367", "00"&x"936a", "01"&x"936c", "00"&x"d36e", "01"&x"d371", "01"&x"9373", "00"&x"9376", "01"&x"d378", "01"&x"937a", "00"&x"937d", "01"&x"d37f", "01"&x"9381", "00"&x"9384", "01"&x"d386", "01"&x"9388", "00"&x"d38a", "00"&x"938d", "01"&x"d38f", "01"&x"9391", "01"&x"9393", "00"&x"9396", "00"&x"9398", "01"&x"d39a", "01"&x"939c", "01"&x"939e", "01"&x"93a0", "00"&x"93a3", "00"&x"93a5", "00"&x"93a7", "00"&x"93a9", "01"&x"d3ab", "01"&x"93ad", "01"&x"93af", "01"&x"93b1", "01"&x"93b3", "01"&x"93b5", "01"&x"93b7", "01"&x"93b9", "01"&x"93bb", "01"&x"93bd", "01"&x"93bf", "01"&x"93c1", "01"&x"93c3", "01"&x"93c5", "00"&x"93c7", "00"&x"93c9", "00"&x"93cb", "00"&x"93cd", "00"&x"93cf", "01"&x"93d0", "01"&x"93d2", "01"&x"93d4", "00"&x"93d6", "00"&x"93d8", "01"&x"53da", "01"&x"93db", "00"&x"53dd", "00"&x"93df", "00"&x"93e1", "01"&x"93e2", "00"&x"53e4", "00"&x"93e6", "01"&x"53e8", "01"&x"93e9", "00"&x"93eb", "01"&x"53ed", "01"&x"93ee", "00"&x"93f0", "01"&x"53f2", "00"&x"53f3", "00"&x"93f5", "01"&x"93f6", "00"&x"93f8", "01"&x"53fa", "00"&x"53fb", "00"&x"93fd", "00"&x"53fe", "00"&x"9400", "00"&x"5401", "00"&x"9403", "00"&x"5404", "00"&x"9406", "00"&x"5407", "01"&x"5409", "00"&x"540a", "01"&x"540c", "00"&x"940d", "00"&x"540e", "00"&x"9410", "00"&x"5411", "01"&x"5413", "00"&x"9414", "00"&x"5415", "01"&x"5417", "00"&x"9418", "00"&x"5419", "01"&x"541b", "01"&x"541c", "00"&x"941d", "00"&x"541e", "01"&x"5420", "01"&x"5421", "00"&x"9422", "00"&x"5423", "00"&x"1425", "01"&x"5426", "01"&x"5427", "00"&x"9428", "00"&x"9429", "00"&x"542a", "00"&x"542b", "00"&x"142d", "01"&x"542e", "01"&x"542f", "01"&x"5430", "01"&x"5431", "00"&x"9432", "00"&x"9433", "00"&x"9434", "00"&x"9435", "00"&x"9436", "00"&x"9437", "00"&x"9438", "00"&x"9439", "01"&x"543a", "01"&x"543b", "01"&x"543c", "01"&x"543d", "00"&x"143e", "00"&x"143f", "00"&x"1440", "00"&x"5440", "00"&x"9441", "00"&x"9442", "01"&x"5443", "00"&x"1444", "00"&x"1445", "00"&x"5445", "00"&x"9446", "01"&x"5447", "00"&x"1448", "00"&x"5448", "00"&x"9449", "00"&x"144a", "00"&x"144b", "00"&x"544b", "01"&x"544c", "00"&x"144d", "00"&x"544d", "01"&x"544e", "00"&x"144f", "00"&x"944f", "00"&x"1450", "00"&x"1451", "01"&x"5451", "00"&x"1452", "00"&x"9452", "00"&x"1453", "00"&x"5453", "00"&x"1454", "00"&x"5454", "00"&x"1455", "00"&x"5455", "00"&x"1456", "00"&x"5456", "00"&x"1457", "00"&x"9457", "00"&x"1458", "00"&x"1458", "00"&x"1459", "00"&x"1459", "00"&x"9459", "00"&x"145a", "00"&x"145a", "00"&x"545a", "00"&x"145b", "00"&x"145b", "00"&x"945b", "00"&x"145c", "00"&x"145c", "00"&x"145c", "00"&x"145d", "00"&x"145d", "00"&x"145d", "00"&x"145d", "00"&x"945d", "00"&x"145e", "00"&x"145e", "00"&x"145e", "00"&x"145e", "00"&x"145e", "00"&x"945e", "00"&x"145f", "00"&x"145f", "00"&x"145f", "00"&x"145f", "00"&x"145f", "00"&x"145f", "00"&x"145f", "00"&x"145f", "00"&x"145f", "00"&x"145f"); -- Used bitmask: ffef end sincos; package body sincos is function sinoffset(sinent : unsigned18; lowbits : unsigned2) return unsigned3 is begin case lowbits & sinent(17 downto 14) is when "00" & x"1" => return "000"; -- 001 1110 when "00" & x"2" => return "000"; -- 010 1100 when "00" & x"3" => return "000"; -- 011 2210 when "00" & x"4" => return "000"; -- 012 3320 when "00" & x"5" => return "000"; -- 100 1000 when "00" & x"6" => return "000"; -- 101 2110 when "00" & x"7" => return "000"; -- 110 2100 when "00" & x"8" => return "000"; -- 111 3210 when "00" & x"9" => return "000"; -- 112 4320 when "00" & x"a" => return "000"; -- 121 4310 when "00" & x"b" => return "000"; -- 122 5420 when "00" & x"c" => return "000"; -- 211 4210 when "00" & x"d" => return "000"; -- 212 5320 when "00" & x"e" => return "000"; -- 221 5310 when "00" & x"f" => return "000"; -- 222 6420 when "01" & x"0" => return "000"; -- 000 0000 when "01" & x"1" => return "001"; -- 001 1110 when "01" & x"2" => return "000"; -- 010 1100 when "01" & x"3" => return "001"; -- 011 2210 when "01" & x"4" => return "010"; -- 012 3320 when "01" & x"5" => return "000"; -- 100 1000 when "01" & x"6" => return "001"; -- 101 2110 when "01" & x"7" => return "000"; -- 110 2100 when "01" & x"8" => return "001"; -- 111 3210 when "01" & x"9" => return "010"; -- 112 4320 when "01" & x"a" => return "001"; -- 121 4310 when "01" & x"b" => return "010"; -- 122 5420 when "01" & x"c" => return "001"; -- 211 4210 when "01" & x"d" => return "010"; -- 212 5320 when "01" & x"e" => return "001"; -- 221 5310 when "01" & x"f" => return "010"; -- 222 6420 when "10" & x"0" => return "000"; -- 000 0000 when "10" & x"1" => return "001"; -- 001 1110 when "10" & x"2" => return "001"; -- 010 1100 when "10" & x"3" => return "010"; -- 011 2210 when "10" & x"4" => return "011"; -- 012 3320 when "10" & x"5" => return "000"; -- 100 1000 when "10" & x"6" => return "001"; -- 101 2110 when "10" & x"7" => return "001"; -- 110 2100 when "10" & x"8" => return "010"; -- 111 3210 when "10" & x"9" => return "011"; -- 112 4320 when "10" & x"a" => return "011"; -- 121 4310 when "10" & x"b" => return "100"; -- 122 5420 when "10" & x"c" => return "010"; -- 211 4210 when "10" & x"d" => return "011"; -- 212 5320 when "10" & x"e" => return "011"; -- 221 5310 when "10" & x"f" => return "100"; -- 222 6420 when "11" & x"0" => return "000"; -- 000 0000 when "11" & x"1" => return "001"; -- 001 1110 when "11" & x"2" => return "001"; -- 010 1100 when "11" & x"3" => return "010"; -- 011 2210 when "11" & x"4" => return "011"; -- 012 3320 when "11" & x"5" => return "001"; -- 100 1000 when "11" & x"6" => return "010"; -- 101 2110 when "11" & x"7" => return "010"; -- 110 2100 when "11" & x"8" => return "011"; -- 111 3210 when "11" & x"9" => return "100"; -- 112 4320 when "11" & x"a" => return "100"; -- 121 4310 when "11" & x"b" => return "101"; -- 122 5420 when "11" & x"c" => return "100"; -- 211 4210 when "11" & x"d" => return "101"; -- 212 5320 when "11" & x"e" => return "101"; -- 221 5310 when "11" & x"f" => return "110"; -- 222 6420 when others => return "000"; end case; end sinoffset; end sincos;
gpl-3.0
9f2412752d57f9b07c9a7a265f150f04
0.475528
1.856514
false
false
false
false
rhexsel/xinu-cMIPS
vhdl/altera.vhd
2
9,683
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- These components are replacements for Altera's Megafunctions. -- They are meant to be used only in simulation; for synthesis, the -- real Megafunctions must be used instead of these 'fakes'. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_4 is port(datab : in std_logic_vector (31 downto 0); result : out std_logic_vector (31 downto 0) ); end mf_alt_add_4; architecture functional of mf_alt_add_4 is begin result <= std_logic_vector( 4 + signed(datab) ); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_adder is port(dataa : in std_logic_vector (31 downto 0); datab : in std_logic_vector (31 downto 0); result : out std_logic_vector (31 downto 0)); end mf_alt_adder; architecture functional of mf_alt_adder is begin result <= std_logic_vector( signed(dataa) + signed(datab) ); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- -- add/subtract SIGNED numbers -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_sub is port(add_sub : IN STD_LOGIC; -- add=1, sub=0 dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); overflow : OUT STD_LOGIC; result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end mf_alt_add_sub; architecture functional of mf_alt_add_sub is signal ext_a,ext_b, ext_add_C,ext_sub_C : STD_LOGIC_VECTOR (32 DOWNTO 0); signal ovfl_add,ovfl_sub : std_logic; begin ext_A <= dataa(31) & dataa; ext_B <= datab(31) & datab; ext_add_C <= std_logic_vector(signed(ext_A) + signed(ext_B)); ovfl_add <= '1' when (ext_add_C(32) /= ext_add_C(31)) else '0'; ext_sub_C <= std_logic_vector(signed(ext_A)+signed(signed(not ext_B)+1)); ovfl_sub <= '1' when (ext_sub_C(32) /= ext_sub_C(31)) else '0'; result <= ext_add_C(31 downto 0) when add_sub='1' else ext_sub_C(31 downto 0); overflow <= ovfl_add when add_sub='1' else ovfl_sub; end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- -- add/subtract UN-SIGNED numbers, does not signal overflow -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_sub_u is port(add_sub : IN STD_LOGIC; -- add=1, sub=0 dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end mf_alt_add_sub_u; architecture functional of mf_alt_add_sub_u is signal add_C, sub_C : STD_LOGIC_VECTOR (31 DOWNTO 0); begin add_C <= std_logic_vector(unsigned(dataa) + unsigned(datab)); sub_C <= std_logic_vector(unsigned(dataa)+unsigned(unsigned(not datab)+1)); result <= add_C(31 downto 0) when add_sub='1' else sub_C(31 downto 0); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; entity mf_ram1port is generic (N_WORDS : integer; ADDRS_BITS : integer); port (address : in std_logic_vector (ADDRS_BITS-1 downto 0); clken : in std_logic; clock : in std_logic; data : in std_logic_vector (7 downto 0); wren : in std_logic; q : out std_logic_vector (7 downto 0)); end mf_ram1port; architecture rtl of mf_ram1port is -- Build a 2-D array type for the RAM subtype word_t is std_logic_vector(7 downto 0); type memory_t is array(0 to N_WORDS - 1) of word_t; -- Declare the RAM signal. signal ram : memory_t; -- Register to hold the address signal addr, addr_reg : natural range 0 to N_WORDS - 1; begin addr <= to_integer(unsigned(address)); U_addr: process(clock) begin if rising_edge(clock) then -- Register the address for reading addr_reg <= addr; end if; end process U_addr; U_write: process(clock) begin if (clken = '1') and rising_edge(clock) then if (wren = '1') then ram(addr) <= data; end if; end if; end process U_write; q <= ram(addr_reg); end architecture rtl; -- ----------------------------------------------------------------------- -- fake ROM megafunction = not used in simulation, only on the FPGA ------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; use work.p_memory.all; entity alt_mf_rom is port ( address : IN STD_LOGIC_VECTOR ((INST_ADDRS_BITS-1) DOWNTO 0); clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end alt_mf_rom; architecture fake of alt_mf_rom is begin -- fake q <= (others => 'X'); end fake; -- ----------------------------------------------------------------------- -- PLL for CPU clocks ---------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.p_wires.all; entity mf_altpll is port ( areset : IN STD_LOGIC; inclk0 : IN STD_LOGIC; -- 50MHz input c0 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 0 c1 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 120 c2 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 180 c3 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 270 c4 : OUT STD_LOGIC); -- 50MHz, 50% duty cycle, phase 0 end mf_altpll; architecture functional of mf_altpll is component count4phases is port(clk, rst : in std_logic; p0,p1,p2,p3 : out std_logic); end component count4phases; component FFD is port(clk, rst, set, D : in std_logic; Q : out std_logic); end component FFD; signal clk4x, phi0,phi1,phi2,phi3, phi2_dlyd : std_logic; begin U_clock4x: process -- clk and clk4x MUST start in opposite phases begin clk4x <= '0'; wait for CLOCK_PER / 8; clk4x <= '1'; wait for CLOCK_PER / 8; end process; U_4PHASE_CLOCK: count4phases port map (clk4x, areset, phi0,phi1,phi2,phi3); -- U_DELAY_PHI2: FFD port map (clk4x, areset, '1', phi2, phi2_dlyd); c0 <= phi3; c1 <= phi0; c2 <= phi1; c3 <= phi2; c4 <= inclk0; end architecture functional; -- ----------------------------------------------------------------------- -- PLL for I/O devices --------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.p_wires.all; entity mf_altpll_io is port ( areset : IN STD_LOGIC; inclk0 : IN STD_LOGIC; -- 50 MHz c0 : OUT STD_LOGIC; -- 100MHz, in phase c1 : OUT STD_LOGIC; -- 200MHz, in phase c2 : OUT STD_LOGIC); -- 200MHz, opposite phase end mf_altpll_io; architecture functional of mf_altpll_io is signal clk2x, clk4x0, clk4x180 : std_logic; begin U_clock2x: process -- in phase with inclk0 begin clk2x <= '1'; wait for CLOCK_PER / 4; clk2x <= '0'; wait for CLOCK_PER / 4; end process; U_clock4x: process -- clk and clk4x180 MUST start in opposite phases begin clk4x180 <= '0'; wait for CLOCK_PER / 8; clk4x180 <= '1'; wait for CLOCK_PER / 8; end process; clk4x0 <= not(clk4x180); c0 <= clk2x; c1 <= clk4x0; c2 <= clk4x180; end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity mf_altclkctrl is port ( inclk : IN STD_LOGIC; outclk : OUT STD_LOGIC); end mf_altclkctrl; architecture functional of mf_altclkctrl is begin outclk <= inclk; end architecture functional; -- -----------------------------------------------------------------------
gpl-3.0
1c01130c36c4dc20d0244fc3dcbfa103
0.521946
3.73861
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v2_0/82c7a66d/hdl/src/vhdl/slave_attachment.vhd
7
22,036
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: slave_attachment.vhd -- Version: v2.0 -- Description: AXI slave attachment supporting single transfers ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 06/09/10 -- updated to reduce the utilization -- 1. State machine is re-designed -- 2. R and B channels are registered and AW, AR, W channels are non-registered -- 3. Address decoding is done only for the required address bits and not complete -- 32 bits -- 4. combined the response signals like ip2bus_error in optimzed code to remove the mux -- 5. Added local function "clog2" with "integer" as input in place of proc_common_pkg -- function. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- access_cs machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.proc_common_pkg.max2; use proc_common_v4_0.ipif_pkg.all; use proc_common_v4_0.family_support.all; use proc_common_v4_0.counter_f; library axi_lite_ipif_v2_0; use axi_lite_ipif_v2_0.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_IPIF_ABUS_WIDTH -- IPIF Address bus width -- C_IPIF_DBUS_WIDTH -- IPIF Data Bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_USE_WSTRB -- Use write strobs or not -- C_DPHASE_TIMEOUT -- Data phase time out counter -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- S_AXI_ACLK -- AXI Clock -- S_AXI_ARESET -- AXI Reset -- S_AXI_AWADDR -- AXI Write address -- S_AXI_AWVALID -- Write address valid -- S_AXI_AWREADY -- Write address ready -- S_AXI_WDATA -- Write data -- S_AXI_WSTRB -- Write strobes -- S_AXI_WVALID -- Write valid -- S_AXI_WREADY -- Write ready -- S_AXI_BRESP -- Write response -- S_AXI_BVALID -- Write response valid -- S_AXI_BREADY -- Response ready -- S_AXI_ARADDR -- Read address -- S_AXI_ARVALID -- Read address valid -- S_AXI_ARREADY -- Read address ready -- S_AXI_RDATA -- Read data -- S_AXI_RRESP -- Read response -- S_AXI_RVALID -- Read valid -- S_AXI_RREADY -- Read ready -- Bus2IP_Clk -- Synchronization clock provided to User IP -- Bus2IP_Reset -- Active high reset for use by the User IP -- Bus2IP_Addr -- Desired address of read or write operation -- Bus2IP_RNW -- Read or write indicator for the transaction -- Bus2IP_BE -- Byte enables for the data bus -- Bus2IP_CS -- Chip select for the transcations -- Bus2IP_RdCE -- Chip enables for the read -- Bus2IP_WrCE -- Chip enables for the write -- Bus2IP_Data -- Write data bus to the User IP -- IP2Bus_Data -- Input Read Data bus from the User IP -- IP2Bus_WrAck -- Active high Write Data qualifier from the IP -- IP2Bus_RdAck -- Active high Read Data qualifier from the IP -- IP2Bus_Error -- Error signal from the IP ------------------------------------------------------------------------------- entity slave_attachment is generic ( C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := ( X"0000_0000_7000_0000", -- IP user0 base address X"0000_0000_7000_00FF", -- IP user0 high address X"0000_0000_7000_0100", -- IP user1 base address X"0000_0000_7000_01FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 1, -- User0 CE Number 8 -- User1 CE Number ); C_IPIF_ABUS_WIDTH : integer := 32; C_IPIF_DBUS_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer range 0 to 512 := 16; C_FAMILY : string := "virtex6" ); port( -- AXI signals S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector (C_IPIF_ABUS_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector (C_IPIF_DBUS_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector ((C_IPIF_DBUS_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector (C_IPIF_ABUS_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector (C_IPIF_DBUS_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- Controls to the IP/IPIF modules Bus2IP_Clk : out std_logic; Bus2IP_Resetn : out std_logic; Bus2IP_Addr : out std_logic_vector (C_IPIF_ABUS_WIDTH-1 downto 0); Bus2IP_RNW : out std_logic; Bus2IP_BE : out std_logic_vector (((C_IPIF_DBUS_WIDTH/8) - 1) downto 0); Bus2IP_CS : out std_logic_vector (((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2 - 1) downto 0); Bus2IP_RdCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0); Bus2IP_WrCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0); Bus2IP_Data : out std_logic_vector ((C_IPIF_DBUS_WIDTH-1) downto 0); IP2Bus_Data : in std_logic_vector ((C_IPIF_DBUS_WIDTH-1) downto 0); IP2Bus_WrAck : in std_logic; IP2Bus_RdAck : in std_logic; IP2Bus_Error : in std_logic ); end entity slave_attachment; ------------------------------------------------------------------------------- architecture imp of slave_attachment is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Get_Addr_Bits: Function Declarations ------------------------------------------------------------------------------- function Get_Addr_Bits (y : std_logic_vector(31 downto 0)) return integer is variable i : integer := 0; begin for i in 31 downto 0 loop if y(i)='1' then return (i); end if; end loop; return -1; end function Get_Addr_Bits; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant CS_BUS_SIZE : integer := C_ARD_ADDR_RANGE_ARRAY'length/2; constant CE_BUS_SIZE : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY); constant C_ADDR_DECODE_BITS : integer := Get_Addr_Bits(C_S_AXI_MIN_SIZE); constant C_NUM_DECODE_BITS : integer := C_ADDR_DECODE_BITS +1; constant ZEROS : std_logic_vector((C_IPIF_ABUS_WIDTH-1) downto (C_ADDR_DECODE_BITS+1)) := (others=>'0'); ------------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal s_axi_bvalid_i : std_logic:= '0'; signal s_axi_arready_i : std_logic; signal s_axi_rvalid_i : std_logic:= '0'; signal start : std_logic; -- Intermediate IPIC signals signal bus2ip_addr_i : std_logic_vector ((C_IPIF_ABUS_WIDTH-1) downto 0); signal timeout : std_logic; signal rd_done,wr_done : std_logic; signal rst : std_logic; signal temp_i : std_logic; type BUS_ACCESS_STATES is ( SM_IDLE, SM_READ, SM_WRITE, SM_RESP ); signal state : BUS_ACCESS_STATES; signal cs_for_gaps_i : std_logic; signal bus2ip_rnw_i : std_logic; signal s_axi_bresp_i : std_logic_vector(1 downto 0):=(others => '0'); signal s_axi_rresp_i : std_logic_vector(1 downto 0):=(others => '0'); signal s_axi_rdata_i : std_logic_vector (C_IPIF_DBUS_WIDTH-1 downto 0):=(others => '0'); ------------------------------------------------------------------------------- -- begin the architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Address registered ------------------------------------------------------------------------------- Bus2IP_Clk <= S_AXI_ACLK; Bus2IP_Resetn <= S_AXI_ARESETN; bus2ip_rnw_i <= '1' when S_AXI_ARVALID='1' else '0'; BUS2IP_RNW <= bus2ip_rnw_i; Bus2IP_BE <= S_AXI_WSTRB when ((C_USE_WSTRB = 1) and (bus2ip_rnw_i = '0')) else (others => '1'); Bus2IP_Data <= S_AXI_WDATA; Bus2IP_Addr <= bus2ip_addr_i; -- For AXI Lite interface, interconnect will duplicate the addresses on both the -- read and write channel. so onlyone address is used for decoding as well as -- passing it to IP. bus2ip_addr_i <= ZEROS & S_AXI_ARADDR(C_ADDR_DECODE_BITS downto 0) when (S_AXI_ARVALID='1') else ZEROS & S_AXI_AWADDR(C_ADDR_DECODE_BITS downto 0); -------------------------------------------------------------------------------- -- start signal will be used to latch the incoming address start<= (S_AXI_ARVALID or (S_AXI_AWVALID and S_AXI_WVALID)) when (state = SM_IDLE) else '0'; -- x_done signals are used to release the hold from AXI, it will generate "ready" -- signal on the read and write address channels. rd_done <= IP2Bus_RdAck or timeout; wr_done <= IP2Bus_WrAck or timeout; temp_i <= rd_done or wr_done; ------------------------------------------------------------------------------- -- Address Decoder Component Instance -- -- This component decodes the specified base address pairs and outputs the -- specified number of chip enables and the target bus size. ------------------------------------------------------------------------------- I_DECODER : entity axi_lite_ipif_v2_0.address_decoder generic map ( C_BUS_AWIDTH => C_NUM_DECODE_BITS, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_ARD_ADDR_RANGE_ARRAY=> C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY, C_FAMILY => "nofamily" ) port map ( Bus_clk => S_AXI_ACLK, Bus_rst => S_AXI_ARESETN, Address_In_Erly => bus2ip_addr_i(C_ADDR_DECODE_BITS downto 0), Address_Valid_Erly => start, Bus_RNW => S_AXI_ARVALID, Bus_RNW_Erly => S_AXI_ARVALID, CS_CE_ld_enable => start, Clear_CS_CE_Reg => temp_i, RW_CE_ld_enable => start, CS_for_gaps => open, -- Decode output signals CS_Out => Bus2IP_CS, RdCE_Out => Bus2IP_RdCE, WrCE_Out => Bus2IP_WrCE ); -- REGISTERING_RESET_P: Invert the reset coming from AXI ----------------------- REGISTERING_RESET_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then rst <= not S_AXI_ARESETN; end if; end process REGISTERING_RESET_P; ------------------------------------------------------------------------------- -- AXI Transaction Controller ------------------------------------------------------------------------------- -- Access_Control: As per suggestion to optimize the core, the below state machine -- is re-coded. Latches are removed from original suggestions Access_Control : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if rst = '1' then state <= SM_IDLE; else case state is when SM_IDLE => if (S_AXI_ARVALID = '1') then -- Read precedence over write state <= SM_READ; elsif (S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then state <= SM_WRITE; else state <= SM_IDLE; end if; when SM_READ => if rd_done = '1' then state <= SM_RESP; else state <= SM_READ; end if; when SM_WRITE=> if (wr_done = '1') then state <= SM_RESP; else state <= SM_WRITE; end if; when SM_RESP => if ((s_axi_bvalid_i and S_AXI_BREADY) or (s_axi_rvalid_i and S_AXI_RREADY)) = '1' then state <= SM_IDLE; else state <= SM_RESP; end if; -- coverage off when others => state <= SM_IDLE; -- coverage on end case; end if; end if; end process Access_Control; ------------------------------------------------------------------------------- -- AXI Transaction Controller signals registered ------------------------------------------------------------------------------- -- S_AXI_RDATA_RESP_P : BElow process generates the RRESP and RDATA on AXI ----------------------- S_AXI_RDATA_RESP_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if (rst = '1') then s_axi_rresp_i <= (others => '0'); s_axi_rdata_i <= (others => '0'); elsif state = SM_READ then s_axi_rresp_i <= (IP2Bus_Error) & '0'; s_axi_rdata_i <= IP2Bus_Data; end if; end if; end process S_AXI_RDATA_RESP_P; S_AXI_RRESP <= s_axi_rresp_i; S_AXI_RDATA <= s_axi_rdata_i; ----------------------------- -- S_AXI_RVALID_I_P : below process generates the RVALID response on read channel ---------------------- S_AXI_RVALID_I_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if (rst = '1') then s_axi_rvalid_i <= '0'; elsif ((state = SM_READ) and rd_done = '1') then s_axi_rvalid_i <= '1'; elsif (S_AXI_RREADY = '1') then s_axi_rvalid_i <= '0'; end if; end if; end process S_AXI_RVALID_I_P; -- -- S_AXI_BRESP_P: Below process provides logic for write response -- ----------------- S_AXI_BRESP_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if (rst = '1') then s_axi_bresp_i <= (others => '0'); elsif (state = SM_WRITE) then s_axi_bresp_i <= (IP2Bus_Error) & '0'; end if; end if; end process S_AXI_BRESP_P; S_AXI_BRESP <= s_axi_bresp_i; --S_AXI_BVALID_I_P: below process provides logic for valid write response signal ------------------- S_AXI_BVALID_I_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if rst = '1' then s_axi_bvalid_i <= '0'; elsif ((state = SM_WRITE) and wr_done = '1') then s_axi_bvalid_i <= '1'; elsif (S_AXI_BREADY = '1') then s_axi_bvalid_i <= '0'; end if; end if; end process S_AXI_BVALID_I_P; ----------------------------------------------------------------------------- -- INCLUDE_DPHASE_TIMER: Data timeout counter included only when its value is non-zero. -------------- INCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT /= 0 generate constant COUNTER_WIDTH : integer := clog2((C_DPHASE_TIMEOUT)); signal dpto_cnt : std_logic_vector (COUNTER_WIDTH downto 0); -- dpto_cnt is one bit wider then COUNTER_WIDTH, which allows the timeout -- condition to be captured as a carry into this "extra" bit. begin DPTO_CNT_P : process (S_AXI_ACLK) is begin if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then if ((state = SM_IDLE) or (state = SM_RESP)) then dpto_cnt <= (others=>'0'); else dpto_cnt <= dpto_cnt + 1; end if; end if; end process DPTO_CNT_P; timeout <= dpto_cnt(COUNTER_WIDTH); end generate INCLUDE_DPHASE_TIMER; EXCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT = 0 generate timeout <= '0'; end generate EXCLUDE_DPHASE_TIMER; ----------------------------------------------------------------------------- S_AXI_BVALID <= s_axi_bvalid_i; S_AXI_RVALID <= s_axi_rvalid_i; ----------------------------------------------------------------------------- S_AXI_ARREADY <= rd_done; S_AXI_AWREADY <= wr_done; S_AXI_WREADY <= wr_done; ------------------------------------------------------------------------------- end imp;
apache-2.0
4474620e6df0bdc83f60ba4d2978a937
0.504357
3.991306
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/microblaze_core.vhd
1
619,735
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Vz6MxMigho1fdZHc2aGld8fuRvoOfLhjYT77Xg/PPnCHCz5/gKUlug/QBzwN1tHugzx6Wd6TCM4g 9oOr8uDOBg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kh3DmbBAU1DvXK+ZzoFUAAHjKiXpGvrkPs2KX2qp3XtC5HvQr8s2Ny8FtHbdYa9ZFYovUMU6CCp+ wiAN1qP4wNQyl1iIaDP0t+oYWBryMu7PyaLReBmXC15M+TF8x2w13HES18EKuk7Kb1N2WqdNFsxr K3NyULihnfUjC74G1Ic= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cX/PRoz8FXr/TYsLZE7ik33AqXSDuSFsdWkocRb5zU8XlSc7psfbyyMbvo6vUKHooMw6RuL6Xava zWgPM7bMUJnQIQWCVlBbUAlxqtu466yK93fIGr9SQtS/jyrW6AwuwnmHYsd+wI6yg406TdxD2B0x NYWVuLrOKb9GRgr+qr2FHhDLmSv8Ek90IM7D8/7DO4Owymp8yPw/eYWzW9gTYSnQNNEogWuNdUa5 BqIXTTvG/tvrr0BMpI7T9Dz1rdWfg5TX6YAgd7jEnzEr18kJVXTLBJOLcn0lNyyn7QuBeiOR6UUz hXs2ciCPTRr+bnGMR9G+dL54abTLRhX6V3GHJQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ACXAf0XcEht2V75Q7tbvrf4+3tb3hBzLcilOKwTQkG/JktvV+wbdxIWfPLqgCYO/cUEUIl9MnB39 6vppaWBVrDJozbZDE6eR5mbj7Zf7O/tyPlcM/rj1mHt+NmhyVxT5qv0yQNWyJI3kxlTsbsvDDC/r NR58SO26TOafk61SaA0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Rf6RbIEYdmiN53FBIZRfVgJ2vg5SgDDmHSY3my2o5aU1GqfTPNqLHgTK0vam657IM49q34HbSQWx QlQ1JFO8uooINNRWl2Pkz5ZZxS4GSe+5m0IRf4m4LwzkJ6lrXUHe4AzvoTZUorknbcBWpplQXUim Z/v0HrQsoytdRQ4xACI+rFAnMjkCrbRWMjoR11u9MsaKI1jtx+IstOdQai98Rm+ZXxEVqpMyrMRl MHI04nogSbtFRheAZq/as8Z5TFgB6VPb4YtteMjc/RbhJzNYlDKpjXoFE6g5Ub84bKrZyH7ukLec IzTVNsLsG7fvGPuOuqXqh4VH60HONJBzFvJwMA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 457024) `protect data_block 1EzgEnubiS5Sy72p4JiOcS/S2woOO8e7AmevOYWgtLr/jShYDgg3LS00bA8apeJ7ZwJFRzNptPnt 07iYuGKqGfp90pmFs67rrdLmbvo0OO4fi7JEPEAYeiNhhG0uF8QJEqomJXInFDd4IluTQypzA2oy 7+s/i+fWZvRzEiJ8/pO2Txix+L1LyQx9GKf6WTzrNg1n+be6LVYiE65RYpsfhh2sLgjm5PrB1C/s J7SzGHVXC3NnHgVb1cBnfDnnCHIvJvC02rxf4kP1pAcpWvVVnzQKOk/7NVfj2v8K2zB9ZXtb5dNg Et30NstZoS+RKkWfELPG/i/WuPZzWa3X1YazwgXva1sMjggp43EffEsy8rz+tZ+ozmBdIPqvohZl 4FFYoJhbOAYQI2LO2WD2GfWfaS9jq5vrgfdU+VSlUWgejT3k+i1Bjukuv392GldhREj0b0zSNK1h Tyc4Xirw4XFYc1XvAFtmOEDJUzVuGiv/LujbnS4CSsMYwG//12sASmG7Zmj3qUoeAqIfe3PXrfBg DTTwg34hw9XBRrpqlqbZXGWzJzF6jXU6/evV66Lg1aIIlmsAtYxZM7dRze4iRQ+BOU1/G8VSmB9P +7ra6nQjKJBYGznYZ9Sq6+zVX3R56AI2Y33EbWWyvUI2yAA/SXQjiiCvgYFwYo/aKbwvCpvEXktA PR6P7l46p4AHwVC6cqrDmUZclPCkKiGpiRpRCxnpl3WJq5c33l26gwdTYS88Nz2TnlQDIkFDny+z HJmO8kp0niPBx8sLbjZk2x1VUATSpJS+V/Z0XlxC3Z1pjQZtiW/fm4b9IZT9SVQC7CQQkhnkupi6 PigevY+M95rMtM92fa6y/sDm5t4bliVtBkrSptmXakcVOGmj8g1uZc/PvS/MxRb4UvO4dIf4P8w1 2dAl3ZzGEeVDA1H7Xob9qEC7ZpCnByALglo8PS6sEy3OWOXwmgmfWbwRXGuO6tG/Q8AI5C0tzIpR XvRWHdoVXucAK4/6UovOdKeXgc64srteSmGVcZv91iGu4MSFhLlD6UGnhbxYsQnZLV6jnwIyNjGN o3019MgidPwNV8ATr/nRVAcR5lhlttvW7z/nP5AVS59wCOw+H7sNlTTVrczH3LfYMSSo9QlcVgyl T1s59sFO/NIi1BkLLH1Sa9vyqa6ZINIWWqnVDlPhS55hVCswwNmrUYSSpNY2LTgaZkdzNwfmR+wf giqz38nXedlpdyQ5m4yr7CAMeA1FKqnGkE2iX6s35WuekHP3ieI8hhb/e9bIe8l8ClNBZkeLIpE+ abHIxLeMGOM5+/VRrx5tsYK8G7Jb1PUo+QmvXjlKEv2Za5LSsn4ZAhrYLGr9uqxdHF6FomD4bJC6 DdrJQT2zoChU4+dEFjDVlaRxZhmF4HRwGhLWxXG1gZ3wKsnzztqyvjUQ0IDXWzeYi8bIuLC+cUZv bNaxcCUQXxOF1bLNimfm1SjfVX5q5/eFWeauwpfO6KTNKRWh+41H/ZfoyFVLEbi5DJ0InVHbnP63 nPV7HOK4ux5UL1BsCOE57/RSJmq4m/3oNM0UoIOluOc6AB4bF0DjAvvwBCWbQIs9/s5QRfsDxFiC tEYUXMxELDWDXDvtReXXmYFnkXEIVjGogU/EwPbhf9ZclqEOn2Xxqhw0eStf1C3unme2h04FTeSR AW/WDepAQyxHoLz4PhiaMScxIRvOm/H+27/XBzkP+411fYh++UZrrbVXt0hAyij34zxjFm2uyYuj 8rj4U8g42EJtQfeNW8/F6JpxfbqBgLqRXqiP047CK8nCvFBUEMiCVXWNjjoR4PLR0T/E+LbjOw7t 0yVvasR4vMc8bBDeq5qLsU22ulDq+H3nffD/k0l6GF9CTg0WNoxfdobnb/rMhT8CEZjBQ8/VXZuo xI/GrtkYiaGSOCBeR0pWkPPoI2if4LyJrh42R2oO30I8lJaibTzfwC4F3oo9ts2rBkDMkXcGerVD sIJI8hKOCj5WuSeH/ItSOUSvzSnTTQAoR/N40jdGlXPr4za7qV8/O3ohVrrbvynPk2xAw5XLOzty ED9Jh6Jzjn1lfNpXLS2tSb7etiRCm13swjHkR+WuOcCrBYHXbFUcxzSnhSffCLSydaErxAQTo/+i xF1Z1WxBnr0EMzrbMjwVCQiA/ZpoicxucGB2Leo/CxB0gjOxmg99MIC3iOuWSWMPQAeaidw1Nxkv p6piQlH1FD+pj8QORzVMal/q/+tAEZ2c9HPmRTl7vurTBbY26ELQXJEZ+D3gZAbfdbLkYl1uwaZ4 066VfbDgv53QAjTlqkH+u9kaPhkFg8xhvpXs/WPFGzr4BoqFcMFo8EHtZVjxWCeCqXMvnL/Ys0fO uF1A8vQ9r4YUTs97tK+Wkvt89xPxvs+KLE7iFpwD7s6zypySrqGtWtVscX139LTEG3q8mbzsCy3L AO09uG6/+PDoR2Y+2HKl0i2aHGuQgahfeQTi1z78os/oB1bLv95AM4UUNy4z82ujUXX+5iobuHYp ST/85yiu0v3+51GpZs8BKUdSMAZkjG9udVgOWweRwxlmRQ9troOEg9eUq9etkU0GK3YESPrn0VNI YDk3zXLSRbrh7oFpl6k1q/RkWV/3Ce1GhZdrCTUIh2/f3VVVhojh2seRgSt02GECZyOIAHFOJNw4 vkwcfVmZuNM83jkJgBRec5C+ajzePW6MGZs8uGXMTy4v4LHSJhUZdBz+TgvEbbSs3zqSpyxcX+o+ LA+yBBx6PV0D1TKso/t1yZY+FeERRYaJfrpDDl/kPVlQkiFPVAWwDVr9/Kim9Qd76FrlUh1IbYMb K6q/dRiMJoiqSVNYpp9TfJluxRhtD29/fMHGDF9xuc3Bwp/kJ5wQTbnDvBOIJMb2t+nUekjTfGD5 LZ03lHvN2Zg4/KMGuHTJR39JuolIsZ3AJm89qIoKYaj/7me+9dh2Htn5HggcnmbWQKv3EkPbirpn yMdM3cWdtC8cd4HTpdQQtgnKCubehlj6ywI9t03u+K1kCvCNJTAdzv+2jYW5ZQcaxQAs8MGHVPML A3bih164sBALVhWmtDc3+/IsvNXAdndba46WS+SDej9LJmAimjSd0k0eWaTzNbwpa2kMaUnszgC1 WBYaKNwEeR7ptBGBKVuNADrmV0fJHND50CNk40ZL5LVnEsSL5DGIhi8t+rXfQdUTPFK+NxbAUpnM 892L2UOxMwpa4gzC1a3z3sJ6YAmIWsZJkJhxT8Fde8HyWK50nQB3aM+Nkaqk0IZTSWeWurUi/D1d AcW//bkizbfDGfBQMTmxqv0wAvnp5YtBtnJtFdRPUvIbcNLta9lm3vtdfImUIxANzBshuGv1ZJXK H/tXD+PqoEX91vNOnan7HkIht0bY1NWuCJJHlG19I2MRELTjqj7P7L5RJBUe3tvXMGp1WvoNN8nK RRMfXW6KypD1YPYtHan8MwP08URj6r9yxWRfx5ErmLq1Scz0UZT8jxXlAhUmzjACUApQRwzlcerP /02+DamIl/WaeoAs9x+kHwvP4I8zYNp9bc9jZFKqPqZT4h+lAvNZftovLdRUjuze76977eoavBFv Zxawc5UCE4yvF9iythsghgWmnqoc/yFjdW3O9ZD9gsHNACQzQqFLeEKnZoIIiXUeMdF2CtECrt6F Mi0zD3mXhOV/ZnTURoJ8RU3d+0hvmqY/SCqZB3OB0cnLTONX56KdNu9631Ec22dYFVVrsQCGRUnF BAmJJHXCjLnlxR23MNbHLs5xDWsrnRkBypZtOkfjdc91ax6SpglNKmTrEwYc4dDsDYfPCHgGn8Yn DSD2oMKaI8CXvLONkRkjBBL2DK9a+uLczu0/Lbq0F3DgYwqbDz7CiVgQM3p0eWRD+55uOvaleQ2u h0pnJJbw0rT9Ro28Cr/Buq07khd/rsTa2pPIeOgl8bvD/3wqdthdbiF9XvdJfBkKGSJaq3boa5Px T7v4FtV28Glg+jcY5hReI8UCO0urKpnYfu1zwpsbw9B14/FyXJBBr0Fw68AFGJ18K1GcaRpy6uQr PS1zjhnu/ZT7sGbhu/XP+H9R9nfmLcO+mhk29gFw1M6nZ+ADEqF4oTaqCulwPZpQIiubqsu6W7vX v11TMBwApkKd154+Oz/KPnHJCp+3rc5zXLeYRpud36cSB+83OQL9yJ/vfzzSKGEe83Fv0CdeXcyO /SQRXbREZNqW/7OAPMfM8h0VmIozy36ugO6In/V4S6kmzcFenp2swUAx74WfpEvVPuDUOFzms3Q3 ZwfoRNgqxzk/0YAhqmqi3IkuogpxguW8HBc5ZWsMNHizvTImT+J3OAm3gzS7nYVPesM1biHzUHdK W0WEQ2AtsdptmJno452yV6ez81xYvnTO9zqca2Ana/HhZBCH+qZosiKcg/Qww8N8IzEMQ7yMfDbA 7Rri11ET4xl2WRyzpoznn15jnCmm2E8OTy97mInH2WXzmzZ5Nyzk4nb54xCBjf+3ERcRSNRyr+nj pmrYjznICsx0WwPFC+EooIDwntq1PCyAP9Sixbx+yF4q3Z+M5AkTXRXL2O2dKtLiidGTZw1CWi22 toXsAmzX/rMEVcAbhcDPU19fLHu8ETXKYaYiPwVVoCMLFkO5j6x1jymZ1YTGfKhLmHplJQIwj4za vR3uOFrBAj0jy9rulqKZLT6l/gt92H5++l9m7RGqDVqov6bSIYZF0uCVTrWYBOFvU6RilqSbK7gi 55sY83eYJOfqujPW7+ssIB/ws8w01wOGFTHj5VcKHis9EG+pHnABvqYOOkBIUOSZFr31zToQa0Iy SeSyxsAhn/+5io2LaQIZGrQiRZUobS4bR4YLvSQFloZiVuEP9ubbgDe8PSROivHAnnKxhS4WzYDB o3vHn2ZIUx8YCfF4N89gmzaO+jJBDMw32Ao9mWdrJi0sK/k4ekO/4vNE+5W+oxTd7PxV/gcZCXDJ zTP2dFFobgpa2PnTFSUhE/gsLYbwciB6Lr6A/lDgNjEFUgkSGmdmiqzsndkiBY+F9milX3EkGZ1L ENXgv0eWzppPeZQCaeGJMGQ8scOnbgDvB7YPCtl9TuxQyWopHlvaen/vQ04qRCbGTz0GMjkLeE2v mMkquwg0KGwiMarLeAReEQBp294eO4kibHZGjWOBsKWweTcAAHQXT6fS0zBmz6Lbfq1Vm3pTBJCD dQrpGNqTKOFyL0V4X7f+AO9TLffRpwpnJjdvwplJ71I51J8BBRqERrcH8EiXurTA22Y14tEFrbAV FvHvHYcmw5UTzjJ+fKTvbtMCZGQCWtErF7Pqulii3+nup2Genh3Y6Cvdcwoc2s4TyKfh6H23LNeS z9KH6tQ4JR8FvQymqekb2aGhd+tbZulc8/mvNL4TtwkPYMpFV9u4pzEDHhZAHun70Lr1TDEM/u5q qUxGL9F2qemM0UdfGtRtnfxA6xUf726nRaoDINSwMNaCflMJEdmzAZ1mlzqXJlrDF+mQpnr3tQQB 7cy6gGzLHUfMlaWTM84SHMXOs326rsAAlaVuOaqtljHDSYbwT2XMINXauVJnaSIoYOAq0+EXBhyI se5qcpVlq/tdthViEYDAQVZTAorW9XtQXsjKUyC9zzg9NfxQgKGm+kdy37Y+6dtqD9sS1xlstl7l ok1cJsTJPwPtqVvL/wpOCKdtOOvp3ucGho7lTRUiBbFkkOz1SyhlVsYpuUfmEV5NMJyg590oKPdb Rm3pEgs9EY917tKJ7ifYlixTW+K46jedhn3dDMujr7Io2kKF2qK7Z/WUSgbu1ZRSEBIUnJTKe8NS kHW5h2LRRh/yucMamKEFynm1q0OxS3S25/9/3zQysC/lClVc1rc1vYl8BMyqA4dCJvjLQyLLJYcM z4Hn7KKfaIr9T3DSq72dw/y1MHnq7oaC5iBUCwW8XJSi1f8/fDLtgLuJpIWEUyg9R2bTfcHYZhrz sjaH7N/veyBG0fh0d2ygy8BZZ2tP4d8mwHtYizG+gAAmV14Yw1r8MUPhz1wZ9rctLiRxrexeTDdv mDEj66QZrKi/rD4dZIMz6WNUK2iG5x0pYjoX1vgp0n1lkz8AiJFs9DJsjkfJStDcUITiG4DD6xZA JVwSRT7jF34Ukd5zvm2XtlPYtxK10W9H+aRMbpg9ae85/sRkBdIDqUNQsKvqTeLlKo1fi3ae/kmy 2fZjGhyDw/TzmD9nHjPz+QJoi8LSTZKdbgHMFHMN7RruVMjYPk6kop5a7gfZeGkeMze1FzKSOpUy g853EheMXj0ISBcs99Lsp0hzR5hPPYd0CHlWewSfsEyBqaYiRjRoWsYyu3/auBlEmf3ef1S4Awxl BoRML/hAg7uGeGoK1L+kgbPPWa7S2jh2sSpYkOCn2G+xJkkhqRD02KTcVJepow/CLYdBaEJf75ZJ oriv9iu+lihU9+gIchPzirTtwtDvNJ5NZqGDz9ZxJFmHCcPp0vw92M9MD0Qi0KnkTSNOgAsbBpHi j6QShYu97EK7a+QB+B/6Rgv4gBrKgwWDb3Xo9QIC4oPlgGSFwQmtaBqBwN9jhH0NiD0AAckTqw8Z wDquX+KJpkjRb/aB6zSVzRqsNwmkjYndvY3SNjhq2c9I7VcSHe1N/2TOyba9PXhKBhl67hXIEwAh GooNhByOQwh+V5p2F9S64SZ3abfRqeiMUDUgk3iP3Pn448Yec3TIh0Fmh5VwNI+jFJJ6/fSN2VTv RTStuUTuFKXQn0V6hjKyKCWP3MrI+PbJwjZ6Mnafp7BIM/Xj1+glXL6ILMRSiaI0IjV1gzACdn51 bjI+JPf9pwEyc7j4Hvvs3rUgoFpkBQaIoMzJdYwkqfdMDJb3UreATGXeCdXjIIzBCX4N/vJEPikw aGbp+hK9lu10xxNjvhsD47NB8T7szvlRG6xVSDAPopmNwPKV9JCHzJPQSNlT5MCs2cfK0iTk0AgQ fAwBJZnKNoAhd6IGt7ZkwZ7s6QRjfAR6k1R+z5VbFYQt7IavgtC239nFizpMO0Fvm+Pg6bM0hhyW JXz6gBxtnQMv0HBpw3Z4zOFqzQL41xqezu6kb7yiNX/pqYotTdwaB3wytJCWyrCVZS83/xtrYr0v 3Mh/HqRQmREuJ6Mk/y+YvWkkH8elMUv52h4xZcd0AOzN9H4YqlZTjKoTZWKNeP7Gxxsf84RLqrFw 3YcrJ+p+6BCrcDZITtyGtdUl9oBh9kBNxdg/cynRYkrlz8YQdiG4F9InqyUPx9qxTHSxqHmg6BGW w1EYLd8Hu/XQNWIrePqmdD5h8dg6HJkguSbLWWxosRHVPjYLPKV/JVNFW38tbWGy0TzG4X0R/Ts6 4zJaf4yu6Vd9NSyWqBlMWVdczpH4ORqrjhD4AMShAlgrXoZxElUTme7DJVCHHb8jkLc7BhhVMXqp 0Y0a72Ue3y22jTXU2U9j36tTMsP1UOSwSt9Y14GVsQ13cN4RO+XtDdlOKu+QsYeSHVKjVxjHCz2a PPoscs8KaHe031iUTYumsh7hfXIdmq1j9tThqGj95F2WaGc5x0edeiZmtN3veJPLfGCbJ5eFVTE5 cTkcaMHMMQHQndUlbKQnGHjm7W5JfrI+nTKeNfrsIYFetxwcCLk33j2gZX8t3zuF8KW+MfrpXn7E xpNG/6zO7aOXTifVa8rEBe6SQ2RurgE0utJt/h6STIHOEZnGO3BCB0HiX1S8VKaJezDkJoKIHcEl AGPT3OZ2i0PA6U0KUILDXzmhQ00BGG16vMrecePLV4dWGcAKoy8V83l3gElhDgI1cUkA7GwfklKd NYXFMePrXFbkozin0wXreyhHyyTb9L4oAZ4TKAAVey56uKIBmU/krcc/swx9kmfcwZf1GxhwtKyH mKczzo4fSXLsMiHLC16UVyfs7bnmXCdVyiSZQ+Ki80ZJy9zKDna2Zb5rwz/V2G8GEPneJg3J1+/9 LpVnPaZ/MWlB+pe2d4bO2+DbjiHwerlPgsEXGG7QRujQJWb/vuCChKvug+BWzcSAR0cgGO38Tr7C IlFWFs/LK3AHsrU1OmI6ggqFc1hj5H6GaOE/G/ejNN08yHdFA2CbwbtG65NG6tQ5aCxdA0TCxGxC TnDYP8tIIrDvbeBNRWBk18DOfGHXeAjl6ExObVPswnT04/X6eI0IzsgCyo560gWjihrvgwx4aRbj ecTW9pa5QXb+4CsDMaVJRzh0qPuKdCbgmEIICNs8KeUx7ZWjeTfhSQBnW87MPanY0HB++OT/qq/c lYOnWIarBHJdD65jVm3r5pdHqGnQRa6eLppLXFlLlu0SuvUYFdU5HC/Pgiu1z5IFvtMt4+s/sjL9 h1zBQO+6LpJAhaglyqmSygwAsZ4FcjyOsvHRfqpXvRReSH2JFmpVpS3Zf0etUbyFKNG7KTvgiKbh SMw+8Fk5BWtz/n2OCC1qrkJsel44+FypBZFYAvSv156OBvCTfznF86+s6szeel1YnKOCG/rqvd05 FXzsrdyfRldlHtPwmaQSQaUbzGlvwD2ARX9E56HpfEPR+FS7ew2E3AQvVXIwmc1AagmwkZAYxGMq RRvwQAohPiAqT5apUi+vAt0//X/4N5A3WGZ+KxodcP0kXLVUBA8ZXju3i+d2sj9xjJNTLzWeS6Nk Ag2ZwAUTqnJ44jL5jYbRr510mbKIO4EyAYhekMsuOIqbvHLUIcMdiniw+eNbFCD5C7Zdv/pQ1Bij VCbRQCPyiilFUmcotom00B9ckgU/Hkfri7S/86E95UQIXCpwzfvjIAg5sPYmfKzKDMZ5DQd8WwwF XaPsWdDT9RNM/EQw7cu/fYuAx9UJz0OMNkkyHVcptk9FgxUU2WEnAjtxcthJ6j5dVGZva7GJaY34 s0VeDESzpmJBb8TS2d+w/ezI2ZImzY+awrFUgTvUr2DmN7ufmTOgovOZgdE+BXdPzMtDKgAqpssU 1AnDE1P2In0jSN0d9Sb1j6Cca9Susadlr5DhUh1u3XazzbPUuUHHTQmG7Xd1nOaRVeR8QCKOdVUR slXoYpi0YI6OyazXqRiYX0fcNCGzrKROgt743N2+Td20+KVfxN4FY12t5XTD98QEw6FtiWF7RoxY /FTh30iNXyc8OTK+0OqRqa7Pll01WP6t0P+1hfFsK0m1pYZFMiTJ+34e5UQbbrQD0Rxbt60+lo+I io4G0XwSLljHNy1UWjLsNuBK51IKETCOi2x5IdCmwrfilvfDqIwK9uAXbqAKQSkQ5DLn4XmUgI46 lBqUrWxVlKLYjxiWVAns8SRRgMc2Ls4BKows0Ch8gyLcCfWhpGkKSVssnHFImwLFfGGMl7rpsD6F pQeeakrXLWuPpUvUVs2pDx5L8jvDKrJvFv6e1r8S9mlWbHF2xZ+guVNLxeaGin9Yg2j9rge5sIQa AvXH9a9+a8vXfWa3PQGz42Ul13G1WieFkNXZZU3UCuZY2YtjDoScD7KZBc05jffVmloMC0UDUpa1 jCbSDmDnBEGlT1fy8LQ9rJrOL7qHtgWsrTUOtbMwTJCbWFDSOrUcJNkHa+mc5IBay82cXrtNPP1z QFgWcuwj76VM5+cJt1k6fTOcxWAAKJns3r402rimH7Z8IFtZ+H3iIxz8JAkGjWazroKGGlUeqnE2 jxvZryjtFCkZTKdi3op29JNU48HB3Y9kGeB8qzsRi/v15lS5FX9MNrCzbvGcn1E6PxTWs8megQ4c 4Cv/RqgUNH8WCiiVR37sinnCcFwnGKOGaE0Rfw1SmtDIIkaJOQjV/sMbYPJUV4tAIAeCR2tJ50e/ xM11kK8PmkYnBHnlO2sMaJBIgnS2pGuGXYLHh6fP//TaolJoYeqoFIf9T5T2YyI6uNZFf/TYzmcN P5a90x/UhtpbcUAaD775oKoOjB8EvTGtqgWBtXGmKspPa3X1dHd/W/LNUF1l2ANgogeqJlEgEt/v EgHAg1aA+zS7Itmx4YGwQJPVMWdtZhAaQdzfM10eJ8MFztBpWxMC0v6dREd3eZCxBWOaGnTidbuj S9rYBofgO26uhUYdBrJ7nxVCRxxsQBhQqWQCqn8IJTqLZ7wX2P1I6oWgHmtWp1BwjZDlwn+FH/qt I2zh+i15wYhCGIfmRxx+XAB1Vvpc0eX+JiO/tgrHlLH3LCH9QTTp2IKFp9ExAWvbegVWAhg+OrAe HKqbBxc7ykIBukzIvleF/ud8O0YDqJ29dYoT2EsKbQbAKdl7g9qOgtPnwT6PmSF/t9Kv1XCiIRhp hgsK4kXTN9rU9SF2gpSo1+VFThKFqgVoss3tXm2Ub00ld8VYZqPkdGyrdHIQtx9hXgyDhVvDslpj v3vsYy3vmwCcKJSX90sNZyGF3SyWIyrdMgAD6dKC6RGptsF8H1iF7NdNrNhT3emBKQHI0I6vYU8M saMjQGyMF3x815xT+36laN+Q0UP+1vfLCMwMr8R+MRP6ZNFYNhfL6GAPVNaiu0iEKsZh6y7Pk1oi lJLGv5pzmU5pvH+2Zk2Nfq7wrjx3zmJlmFeLyo6HgeMAu1obFnNdTgqivmRx4HkdX4a0jjh7JGLP zTQnSlneDC71+U+MR8TY3y5PDw3Ohuxvtbtadcb8UAQJ4w66epYmYG6HQAUrG5TSXyodYBbcyem4 k7RjuyoTeypRbx3j2HL74hJwug++t93x3Pw9RzyN/bgOepqPHb4EI3pHqJiPRUcalsgo3UaqixI1 gmthO9qHPvYWjaPsAInxOQNnPogpS5wficfHNq7xQCVrFqXk9RcE0YNVcA3S40yfm3QQPEjxe+GL PheuEV6Di47s3h4Q0MkX4hw73Dl8EbpL7j6SPUSjH+XEOoRrKrzZOVTTO6fDlHOCPEnNGlgo2QYq +DDswGWaWR99Po9+V84+AGiTXpkbbsp9x2DbU+r8m0CbHDMoJ+VbDMDHog0eI1Uq7hsJLRfUPuJQ jCaeSqHorIbkIDmz0NIR5s+eGoZf8fzzEcAO/aApZOnRtZtoZ8a6CJSU6Yjufvbpsuw4ehIZXxfB hKKe8lwWs6WkQKzLRXbWSie2fplc2ev89FjwjrgzLrRpqKNR9B4mGeDJpDpm++RyPYzWfQ3oQ4OZ Xa6spt7VLsN8OIWYImOKqtsvgAXenZmon3Y4sGZrNb1ADMgjs81b7+yBJ1y5q/7oltoobb2LE/aJ XsB6fM8Lo8YJIwES1QNN9IdjD8wht85YNAgoccRItv08lTlWD5rkAHI2m6PTbAgYG+Odkiv/zJOA sAzb2Ba2f1khSfzfwXS4dJkU4iJ5NbLqS7bNdSuoXv4NbVvOBxKArMDieZ//WrR0mzEULvfiVEEU d0rReO2qzmTSTlejCN/rGH8MtN5ZD4Lw/JXM0qlcEojig4XlSuqJClNR9PYbASn2SBMo6jCNsTNG lndX2DEC2UKY5e+MC0bA82Dsu0wP7p88lva2Q00hNX+F6eSq01hUrbEj3nXO29/eIy5N7wXxY7gC QzgzRrnP2TlpHiX2waqvu0JIZehyIakNJeZ+U7p4B7FMnsh0pMCRLfxDmO59vO57zvaDtTv2jYxL b6YLzoWW5EcqZmTMOTsY5lOO8606ToB41OUYy5M4D9vspEtXq/tiPq9WB8wEZa95259/N9E05dys fr390vLm+kfEe/YqFfVh2BmOk79TRanGxbZlCBJPACD0VFpLYCXcUCFSmHzAyJ1woGIZuNCLitaC WIcexByy8yoLnPw8oMVsgXeI0lYu2Bq435AVwI19cey3LKGad2ogWUCJ0WpSLPMJHQxlRxjykX1P 5epzz0VpiR8l297f5eeVArHiG0ruQ83gJfWO8wKXuNiQzb73uoL8W08zQg9D/8LirdyO9SPu7XrK xwxCrGXVkyYaS0hDhcBy/ZtsLT1iCKTfSzxHds3AwzJFpDWPrg/cuzztj4scdB1YL9kyuAZH0JTU p5WvBpyiPrirvEu0wTdcRyOJr1NcR5iX4goWkvfuSNFvDbieR93yc69k4i/hhSLy77l5YXYKJvyU CWdsJkz/TuupQYKRQ6JLzYJJ2tdKW2X2Pis/uLdpxk3+GAu7nToEjwSHs3MuP11Ev3nV2x1Tyz9r JkJeQbrPbxhQNym1sAhofoZm6OOIsmB6cLLPKwzQih4jLkzlxRichmuWYGvSfT9jqxjPxKelxfD0 aLCrgyXWwNTJRUUb3NtxixL+tXZjsLu6GZCrL5tEcFE3TEcrHIzkU2TOoN4ChpDmjuRRzr2C1DvQ kOzsO3M+LKWlXnoolU/Qw8L6E/THoeKDkzsb9bNr15fZDDta4dtfAxFYxw3ait7dWLPloXyhjYLW MrX9YdSPZoS88gX9TPI9W6ix994IEug66XfetnOGBGOTvjTx17mnG+Lp4d+YJSwG5HCZwgUq483B gbPpUnAWfA2f3iv8A6wy1Mfw9TIXdrEwzZrJsfUQRUv3+VHN1fhc76o6KgvZWZ9CLyxTHK1X9+do Am6m1eOYq92b56CBspKZ4Bn4bvuQOU/tAEg0duv73WvB23/vMHFn95UXTHwjN4XBSD29iEuM76iW IFOc7erq/a1t9oVL82VOw31EmBk2lqIVkxYsaRKgy+EEizVUwGfOBAgEGlLOAfZxN1YiEpbAKnRW /TCMFS/T7s3H/Znhr1fUIUtfv5KOBZlqZK+poWFKJAGsHV01pqgKMKcI6ItWD3pRylh12ROJVP4/ k450yCrrQhA5A+icJvQssrvXOHLZgLYC2lDin9K2L1Oxwk51tI4794Q4mdMoGtnZZ7p7YuEvlpyG cLK/ODmPxTL3Sc/fy/3+jX0ZCyIHzzMOQ7thiLbAaXNSQKQ8yI8/r8f2SvKXXkVm/SNhLD/RrUxp qIFvyrYQxM+KmvT6M8269mOjkAGXVrdBBBaBz2V/JyRjZUif8JKSUPzJxGJxuk0zVCcz1c4MqhTp Jo0x/XLdFghfh2wNCmfXex5RgxkHy+Q+xRJTxYQu7iXR5qlMB6VRNwABeTL96e84O2BtwwhjVJzm goA48CWxKDjnDLe4xETdI/adBE/roiyKaKq3ZKwQ31jRFXgTyTOe60bKRybzA8MlBdp12lmk7tFn fIcz6q6AKMP+6o6zcnBl5vrndiLaQ2GSTEIpGkTYxBd2WijyKRw2urIu6dUg+sA1ZwHpLOadHZzt rZgJBnbdPSqjz9ij+Mh6My6P3nbW4ig0HwlOYtVVzig3FmCNnCKOjCgvMVFQ1mlk1YAy8sIe1lU0 9ecwmLUanHr8WIvmYUGLCn5g1xIB0XFvmSUmJLHNcjgRIoKjEEJYbY5E/Ao4HIj14hz9lv6Vjyyp kWXX0wvpLmnroM1ayoZHjpYzByPmSsXaSKOfoyKtp5Wfq9+UqCsmQMqNAAdK0+trJnPfyYBAtrIv HYVo13b7FhsNscshvrg/iW10/wrMqXTagFTm0wsWeJvQe+YgJZeQsWAuRBYLurFYWz4vn07C/lJ0 eJIbmARlOTnUlgPc/GW6M95sTfI3ov5AbPxwmdwG+dJuCb9QSPjgInsb2gySIKy4mmLizJ6yBysN ZtM486E/kxxzhNHT7Z+oSEqlk8PmdhqTfB+IkESo5EXk1U/nScllSRXcpamPqcvuJpf7fnxG3K1h n/vipOTTx75yOIXfkj3cpnDIDr+quyI02FywLDBVubbN2018v/5IM64sxXnnpv1jkYtMdYbEA7ea gh0iFlraB1t6R4A4T2Hv3YB/QNYWiOcJvvjsgdtXQZJaTwpSCBegWcz9FpqSZbKfSdvVdrsMuf1D bFaxq6TYHWeJfreDZzEBLsRtEheHmN35pNK4FRqqxue7QtdnnS6wjsyxQgVmpPMciGhQ+4X2kBOC gu3WMa4Iv13w+J/nDXiqAPALkncFDmbYfhF6pOi1OKJB+o172swF9o+KzAgSbbtn2BHNL1f3WbMx gv7oHDmnYaexc2i6oVUrYorbaV/0uqG9KhsG97g9uiMGI1gDtE8G/ag+9kGSjiWbJ9dDNpFO/d33 KLl39gZNU63RyZaG7MMNKquTgJKySYBRZ5JS5+0AxHMUWaCKBFZ8bq+zAVlF7rvLptOla7ziFqOs gAioZNKwIqWKgacSsEiX/zk1wLoLkq6lPlt4dGEeQePa7IEG38Ef2tNhjE9whoi1WXUGaoE7Exh+ kokvwD26UTOW2FLVLwSFIjX7fcCClON7QcGCi6b1rfKovElwMLo4Lp5ioFJkIe3GH+DXjfcQqoKG Pzsa/8Wy70H6/OEBDVwwd5SKR5ddTkjkJOmOGnSiR7ZL6FS7kR5o20aCXHvfzW2SvfW6ty0/wbK7 4kspMKUXBXu7nChCAdIiz2/l/opstoNRb1cOWdSG9tmvK0JSjsm9uggwkcavfmpR9aFmQiiIaOC4 VZlWoCWK2q9UOif0PQHBtu0UpYlX6DZXivU8i3+XMpvBrWS28wGl8gz9NewSnMQdlA06sq8MdIC6 WgF5KVnhESmK+Hjux3nLJmiwKvTNzCrbe2UcfFZeBzafEzcVK4U5O7cAYdkAzOIv1OKh8LBePRkD g1o4vqwmX/lg+CYdVixQKeyd2Vfs45oH8vIp/vPToSiP0IIS+Hu4zoUELgJmtnP7A+To9u2Kf7rY ypJyd9l+NHslV70nmQaGX0/CmpK4iOYmtcT5Hmej7p/jjpyoud42wYOoCJJ4RfntFndhFwtiBvM6 uCpfzBhLAw9N4ctq3/z9YVQoWx5bjGM98vAUmxVvQsbKelYUtktvZVI8Cw4mDNAC8DCnbU8g/B+0 Ab8o8eOM4ByNJdDnlk2mME2KdE4kbu8szwMFkRy0y4ZbANkJccseKu/KLgvU2vwqe9TCN0tob3AU EBw5MBnpzC7YrMIxJL0QTjL8TXJCjL1ZhYCP8XE0UrRNSvMNweaCShjB8wP6sqahrpRKsfNUrF8i AbK909oX0D2r388zZW9y2MOmqTYgD5z5o/w7TP2Mmuc0IQVEDKxyPeeJ6VuODJTDmUqfHfWIuV0V bwgYc2Zd572GgS43GBJFZgfeAE++oP3UkmpTg6OYp1rIrwXVUm9TICFaJsqlAQJBD8UQ+vs833ox Ugs9C8LiZ2K7Ozokmb7NLhcK66nEVXxZ70Ko8DViYVH1GQ6mzuNKOnCgIiFxnk/XuRp7050JgL6B 3uIwusszgzYdu2mvQ/ZBtSoz+YwgMebF6N/VgYdbKCzkmMfC07FIQignsloShIJYpSgdFlfbrOpA cHX98oJp+Vn7ZDGsLRXt0WxAHKbz9A4drdmYbkjYaxf/IWKmtjlBozq3T4JVSLu21v04ztdbRusM loCcEqG/XQDPYgkWAPwUDMbMAuinDgfamypLGGBeUDcnNAQLoLAnYCBoLSiWlgRXHs0hYl1/T9QJ 0j9aAPITbjOnWLpMB+KFqnsTbTu3kewrazzhAwYl0f72c6hccpj5Ux1SSNDof5jhpVXJAd3sBPmm UU96VROp5FyrYS8g/Mhw2a6lJmRY/5ilyb7WWRQpWUaZMn+2rUaZfl8JFh/ua2HSRsmh1rHrjtQF YhiDWOifnljBc78cHBBCQlXJKNZhkxXXySb5xgC9ZcfuGoyLxrth9lArhJI/PKobNZUuinvMVUsY o7FBmlooH4ob+L9jSyvwdhWAMwmBOJJTAIHgsaiMaIYm/v1lSZ6NOizS+toWSBk7IjvEeBLwDDr4 u+AZRmCJqAlGGbZ/rirJhLLcFZEraE/Ccs8nd3j/0Jk6452ICnDGrzOo+I2NQlO9qx9s6WleYaNu E4uc55WtjBVso8J17Z/2YXU/kVdyxw4IrNdtf0OO72VC64Pg4qWMH+kepZ+KMiPPOcjASl4LPDkY VOabpZ72DMIdZfyk7GWPH9X3DVbKV49x/lEIhfuy4sRL87lOxUbB3JjEgABKEeggCSjCHzf/09S/ 96zrc4udDlPYmgLQK/KhFGzQXEtMTjBYdA1xld8SCn2JmaVDdz+ZPNLfwF1ambxdj24hozi3F3xd gAZ0Gjaa9yuPTf1C73JxnaCgcI0kLKFlpkcw7StYGro2ZTkjpW8xe+ZtfaGcjnomENxEoRYy7neo DpBiskZUfEmCMjm30NiwIIXdCzcExzjrEV7lF0TSoWsauXCmldSek9gAVGPnDdevq8dGIKDVmliv V79u2GiQJY1ofS1l6vdIlAfqKsHCBHW+7P5ICB/yr49G48PcyJsChc+xXD+1zhnnB4Fg/MZV/kDS APFvqVcyALc1u95S30o16gypgCXfN0G9WYedcbL8P5xsCtFckUltPoBDfMaPlz10FbADcNt0vT6T F/s2u2eD6M+CvkQVBmJqHiO03XUmZFeG5nyPnw6AnBEA8ughh8xv1egXDttHXmWYHv1Iw0pKJFu0 vSualAeaVqNjvKZpsjtZqP0/KztuGz1HLm18Ita2vfCHqN+H5UaE12mBBoOVe1AvlGJRjTDvRkZH S6En6ceQNQ4r8hiiRfi0EBIoJORdiAfmM95gBZRJGGzU7+fQqqnI5DJK+mUEKyQWjQ+kbXxUHjZM jmvCbrvCUCIEpahXcysVNqhUHj2pwukrN/v2nZWvb6J0agFUt8ItQxbNMrRGa/TfeJ3CGdufLiTN FzMd2AIg0SMUGKEMvBydUbA21wZAr1BS5Yb0VRpGxFInFjykF89+yYkwi9fj1JVsGkgDGvjVJONa gRidAcZUBjpTuI84GXl3SSGfSLarFmGJFzO5/C6uOT4VKWk7WsoSIpo36X5y0rgcynNeuRhH1syZ X735yAk/Qqi9lSVyzBBjt5QtSYXTwc9XtJRYbzKrC+sv203LstH7qD5NdZsvL+ySJ5H7BXrtT1H3 Gu/YBn5dpRusa3f5meS8rckEc2Oh63i/JL/qE6MXjELRab0qykOQEGNN5MOIkqLlQLjxW46GWFck dRTvNq6rxtlWAiWIByiw+AnvaMmcc7R42/NaUeSnk65Wigoiopvzabn2WNM0gKO2/ngGr1lErOWw Ncealj+MfQIW70bMMEpiykMJy0DyOADmJLoKvxziC2fCBYriRgUVGg2M8Z+oSJ+Vs2Pyu6baBYt1 fbl0lYcWvrDCe9utMBRn4SmMxDz+lAy2rLWVjDbub6PBQLVU0loKvt3qsBe37HkxH5pIU2yqYibk x6PHTXMRFlertowrmmEY9WFNvDnCL6BAsf4dcso3WIjyWJ/fct9IWId+VXEY+lcOkNScCcz/oLF3 KQlubGu9jZ9iKhVnyNv9b6gwFBlZA5xkMDQ4XIkG1Zt1u75qVHC872H8aI98OXV+mOK95vL9xzLY o0launI2zqBBj+YeRPG9Ukv3wazZJnnDsbc3XyLrLlbqVE0Uxwr8XeiHSArTgMAXpBapy120YOuf lKi2y07U4l6jKfivqpbOZxI95BMRahl+1WZNlabmLYkavjjeyAf/fMgiq1M+wf1XHDgSd8g7MS/p 2zGKJVu/AX9N5ZqLAfbprTZsSLb1vZCLQ2h9vczI8PdxYmu5UQWbmkdUDnJ4G41/eEAMXdGraXT1 hxUKgQ7nMee0hcz9OqjX/YhnPpfKG0DSkJ/eX7tZ5d2eZdUZDpUsmrtxvygBSLxzdz0lk19Hj5Nb Slu2rt43Ylo12JS3+niy8l9Aq+MECYxGKAC65DdTLEy6BddDQI50p8KU68zSCiZ+OeWzr+fSS2Jj 2BI8pjB2/LvLHr5fFSZtGCozPeuDSJBKSPXYt+iKdxuvOmL9VSoQuln3xep41Ju5hpyT883Lm8Pa f8tOCH64Rjf1/IEB7600yB7eoW/7tI2bEONFHfNqSgCc3/isSHL8xgPmguvlAk5Sr+kwtZw/M00E Upbn6Ht+2v8xYynsphjxNxGXFgVeAXOv018ahtXNEE1zQKa2peq8cBBQWMTcCdpmOtJWOTTtjtkV HcojK1FjGEMkrZuM6MEf3UV9N8DbNMadW/H9jk1Zki9nl0z3hYPIVVn4mv7lohksKcsEjqwmRVT3 ewFDhOx6QtQ1vRsQuLNdOYsO3qgux0YBFk0K0uaFFCngIcwEjjMC8utjocm7h0lkysnco9YCNkpb qyc/tQzoe7+FuWd//EeatLowqajrF2jsFxtNbLpvwuM5DB/3pWyT5QDj2C3k/Dtm/+Nftq8vHXRp ncC6y2fvBSt3cSzr8jtR5Rl7gBGLIUB0p3XSay4c+u2/sG0QnBIMVL/e34MtShpW2FiNdKTeRvIY +qbV+Bw9B3lTPtURFCTcLFmSU5pFFFC5FQHV+c7VHdo9451WSBgrXn3ILRVmeloxj3R52rhK/nQF vLHsRz+NC9oxORHwED2KjtPP4KY/uipKMZ99MLXtcbndoKwFo7yDHscFz4+j4HHlWwMwrF3+yU9/ i8uk8kOtEzmc78i9/J+RD4Qyt25s+BoNUOAR9UtDW8B5HP2iOiWNR7ky5yCjf9uXHBK1joZVscDw /jxA+JNjFebO1TJqCv8Ntcyn+dtaQtuGIWf6yOs6vnkRuFryH0ZqNKDgEMC4P/h9zvXbYfgamcx4 iTRN0K/e0UQwv3FH+uvI4DYA9wfJfi9ujLsvNPFPA8i7FazDdy+dNm5oGnWheIF/Nu6GRsc0x83V zeGkBmVk7fCnXZIoTyXPxpsdkXNhLaoYjJlvLHo7PwFnlFkety7lY5r0jR4ZZMUYpTQL2NN+CdJ5 7JQXHL9rph+VsfzTLxD3QfKsnXIeRGjzhFifmtiPrmo8crH2aDBStLIZk3SAH6gU+62wbrhIH25x 8lfpIhpraEiEeJ2e1y2XoQuUV82jhkkfUTTJLHm+SKgJAWz0stdAR7OdA70HHsqEzHyGXBfjP4nB cqhcmgAbKa8e5wy5PDGMHPxm6DbHF0xOZNZFdFtdd3eh7xgwo4/kWhFvNeFeZooXCWN9ifrrV8Vg sSSuUQ+e90wi7BnAVb0SMaVli/0tbXAT/c///82f0x6o3wyBZUGleg3Z5xlFs88r5mpavBVInZFC PwT2DNhnwmkugXxuHl1CkE9+qVHdPCxZ93P4N5iPkfUn7EUv1h3eJKkXShw/hpM8gg91eTJAHxhN hUB6cYoyaxVJg6l/pUHK12vNudTvHv12HhHj6tOkxlo6mpHVdpDIetYtFIF9ZBrR/4SSHSdc27Ft TTZApDGt+Bl5V1P1LRSMdsnJGwbqkL44kgxKFTySFY25Enan15QeN7Jsz0+1B/xt6W1kGsxlRnyn tcCnn3v8hNw3jU2bqxKzWp68RKWY+Yyf4Yr7K6ia947ZCXctusZaEDScJKdqaf7+aKEhmIDoeEJZ vBZMkxPNuk8L8urwp0mjEBJ/1mPO0doRmzo8N4wkECcfSSKKFUJVGfFIYE8uV/VJQGdQmLcYk3eN BCbx5zpYKiFlwy5yaVeWabfB9bQlwMwwYEDekQaSE2hW8s3ePAbo0X1z8VasmP+uJBV1r6uj4BeU fmEi1m1AdP8byYgN7SSlm+zYjtKRf0U+v9VyfP0endLAOykkKdMaoI9Kl9EQDJtOGj61vTOTMe3C sUS+/A9GwM1b5NZoiPF6y5p2kkPDJnM3z9pOATnEALI4uqYdzGprqqxAaGt/JhqPJx5KeVcGqGhc 3GZ26dGXH+9SPEAzDoTIDzhaRaMnmfyGvl0QHJ/z9eh9tsXXUbYUCOnm54eVujQ8DQqtxW22GL8V esc2aZnq9zylUXoLMv+d5kVHZr8VoCRXjx5ZOwlkBeO1a371KghziqdBKFr5cytXqIyf89oX6vYK Vthc63a0QiiLcJpsUuxeQRuYXlDJGjbJ8p5s06GCZAi4bgdwzstr/aqpfW/l1bvRIAguE6GSTbla dfUpCMH5gsEGK6OA04wtmpQz1HII5rG7DOIOfpKBnogq9GLhoxn2NVLuSfYeoEnjDZg9etyDgwxL lB2yuc/3kFrKMoETB0SUKZB+9wbEp33ZIAdd1BvWaC8qdjCDOBp1WxQJM/ApUZVZAUncLCBCHJCK c1lshu3fMWBaa0BEi1IVOpmec3+HP5KYuu+s64p1JjVJXXr2xX2BTICCepz+VPfiRCYwsy5ZlP5t 3lrebleEIUXRc68y/xoNbYohHvYpkvU5mNjgFirs0iLtpfPxs3xNNUcE85yNdZMvzS3zmjnWlTew SaK0u5EoszjncnNWOzZ1fgoYUSsW6KqWIMywJiQeIlSliT3z6XfH/1yjeNCyYvlHbuKU5TCmbfIx /MQZksI3zGpysmxUqoQzWx9GxyzkRpOw65KL7kuEIUgBZbfwRuHjizof9GScI/fKiwjTyNlivjGR bF4sZQehr9qe2bu/s3yFtUk+4fMkcpX4kSElGG+Gf7sj7tU/aFrqTfb1lkpQZxnkVo7hQBXDDXLg 9sVTt9ED8HCXr09Q8Bl1E2hGHHBECgJ7hh5fb8YqV3gxRpY9pdKGgfinx+j9TS8boIugiGIMVjOM WTQIU9zq+3NZVYwCURN5mzehDqlGeSzV/pmlBIoGx+EqAiP95xzFlxr5mhzrQkbSkx3j+xeZVDOk oxHcf0nwJDJfu215Jev3jnVJZa2QioS5FmQrXakFK/s8V3/eqbUT8mZjCImRxDzB8y5H/FKhOeZ8 qDhr3BMCqPyaBkKbuiMnt4L5QFRZv4FpfRx9+HHg4RL5dh/7wMOFIdKdQ2fhMoBJ2eIDhHbhed/l WZeVa2vjTkLse4LddynWF1k1aqDF6tPTAfqcZfzx2jrU9uQAo2Tupy+HO+IN5/cBhkqK+VzQog7N qaIHlXayOeli0HaTviNDMP1aBBXjdNM7EcX9gCzWA1/tUTsiU8dMPrCaiYYYQ/6l4gUsKP9f5WCX SxXIvRbPLsjh5MAP06FsCICLrn+qYMEFcbnxq4efkBntYZY8cRA/IHZpY6Zi1L1VI9cm7w8Hj1JC UR4Hiv9CapNprQRLn+tsGzAhsOaNPfKGI6ghokMSNVBiyBxOIOeqExO5bnxx8QMOCOx6Lw830+V+ 8UDrwk5u8De/j0gubAIyOZMY189X8saWEjPIZzVrCFIBlDNv4IF/NszyIJo3sxUR0ES5AqulqUt1 jzVHLtijjz3Xh23QtU2N0Q8ytMqhFprHcxg/efv2SPwHla4Xjcu38j3DCOurqdT+1nCFGhG+znKU BFj9p53S0EHW2dYBQaWLow15LVu6y/R52GFiOoC+HTEI1D2kY/X9RnLm6vjXBwe5rl7nVuMIvg99 Nvl19f6/xj+3QT8XiiOA6VU1jA5h7ISK8aH7Pz6eVTDTv/uRPtqPR3ngiH0sX7JleBtBqJRLXQU9 t7sGM75edpM0sFD2TA81eVuuWRT4bpYXhn1tFNigT6FGuh8WRoTCqCeaUcR6bkhtvoaYOY2Hzd+I ASJfKdafhoTAmE33AN2qBaJMgwpph72KdEl4zC0ZaVjRi1s6FAljsgLRFABaAm1EZYMMfXDeEMmc 3o1F4o+9mXkAx6j+gveNI//7tBa1vfnPowEo8poUT3r1BCmBLTFCsrIg010lBOv6Hu8XB5d4eh7Q 5Cqi/nU/vph9wE609/0WDSTZYlGuPmBuw7jbPyD4Wlit3xRK2ZvzlVvD++mwJYJrL3ymSuG2en/q qWYiS2sTi+1pDZ1zODV1s5Lew2/nQJ85HZDqadTkzwqECz2NzSALbLrFhGJl2kPPxlx2+bjMjZjB Qlg4dixaj3bLoa2RKkWcRaEeO3eg1zO+LrJGC+QFwxdcP1QJdHEjp8fYW/MQBuenTkevS2xRnyjr 9Q8ThpgkpgYeAAuQUzMlrmDCNMuRNFx1R9BvjlZVigMkI8GLfJ+pIZztSjHhTWnSgw2XDk3Dlm08 7Ttq3MEsilHBHxPWB8c7VzQt3lD2r3Vhr0tUrZFLSy5sWxZ/JizCc9eXJ7wLyKItPWOBFe7NVwMA oqnwl/lsWEpG5RF5SysySPwegDtuqbbbZr9aVS8j4BpF43nFrT2eCXZmBvUd2AAebaVi7L3muxea hp0Dr1urO/lb6R2k9mN95cSHbI7fUG747uMKK4qXiXe8sgdn0IOsbf9Fd+Mb4+nAD/zghJxz+x4g 4TomKh9GY1oDrWasG6xqwVjqq7yY4FFzFtg4Oa5LZYpCdOTWStV50NuNKl5JVbLO132X47oyXZZs pxi8jaYUumNKhtDkZQx6aUK6UoENJWcz1D+zL9xwsj7JGa0d7cd+l2pVx1OkP4v8x1jM9Dk3wQYn UHBkG73I3W1NU+SgWHOJlv8Dc1sUvjKBJxDNkPSVWl/0J/nKniuYbk01ZApQ7FG+D2AVY1zrei6o qYCr14nNWs4iwCfPuqqIJIRft0YZ9MrspzTN2mI/hNgUhkJT8gCbb2vFjNuQTUuS9ciW5V1m1HiH +GpXxyoPuXXVGtjBXvydPqZorg1QeBajkfDJvvyT5ak/taI3q+VUCUKkxMmuRIQ7B6EHN4hSooJS 2WhLUdWp+AiodzDj++eH90nwMW+jvab+NkAiIU+3XSWVoYSQaWcQ0136w8nKopI5pzH9yjCYsqb5 3oid7Wrj09z27QNulADqjsBfICy8OWUPMdyppML171XIgAp5iCC3lepcYvEAAUpExzuRH0IviBX7 l5sVxnHbpzGqbqMnX3y4AjnoOV2zbvqX1JOcZffN5mFuSGV/WOPQIFnVWhUioYJRfcySqpkVvHcc oCN/f6LSA49mnr9tWOHZWOtXkrdGhbpaKA8LO5xbnoQmLU1LL/dYynZeWmX/dDfiiz3FL8i2XOho cDwFz8Hg32bcLzYjSFoF7QMK+mW87mM0bvQJpUYt7gUodtzmntl9STKlXx0hpBuJ1q9btWzzCr1Q WVh53daeSIfUh/TLrubMjtoVNBkzIWEFCzDY0QHR+pruiz0YCI0Z3saENNPb/k3b65E6hoEWPAb+ loYmDtpNKeqfof7Y9Rklk1sl5wlAz2F6CVqQ5hqwGxlm4pZ9/V+I1ZPYurLi0vZJMrE/1wH3D9ce 47kpn3BBRMbnq+NI1GC9UjH6rMpTHkOmTiTuxfzM6P3kfh08x8D6iH5gN+4NGJz+RfGFy2TAVQtG VCdLlyU28J2DetY0gJYaeCumeR5y19DV16xWeS3cs5LzK4UI2CNQ5xOOzn0S8ALKCvLbRtPPS42W N0v8H7FfVRj6KPSTNMh7BPtBlbMScgstLnbxwN4+lK03E4/aBvG9wNGe2EAWNp2BNlYSnJDaAPwp BiVvjItprGm93ihWfApGHMdxhm2JxGJPIE2i55gbUm+PX8IfgDdHknq9QWr1bIdG+CDbi3aTKkWE sr05RzEPcN1Nai7EEx9LNgIAhBs2l5HybY5V2+f0S5dxGKcoWiG4AyKyHFXrRdHm8u41LtYt6LKV F19lpe+8LN/0GmkgL1EsM1U+5NPrROSSh4ncRh6/1PkkRvJH7/bcloBoAT13o8foKkEDr0XNV4Bx nwkSGVoeZTAIgBEjXRXoa67eYffEM/xYdGcqY6Sj/ONk5c4bsPCOLROwTmYH5QeJ8ZJIVcArJp2A z4Umcj3pu5wLIFAPmqVvKoEj8mmVf139B0abA4lqzYRYycUOgwgnATagCrKC4J3U2zpkkd+YSihu 1urPoOwm3AxFaGkNoMIfrqXB/HPAJI1iYYaW0+L9Lk9gM7y3B3lgbKc+P3voJ6IPYFUzc+0l/hhN 4XgqVUO56Fx/m9Jm318XbzvmbHOSYsV47qLjxa4tfQg+kdgq0lyD5wQ2zZHLcy8fCetakApwAnq9 MVaD9lIznpDjFLfV4+qY4UbMN1WYfva5ehDU/Bvpt8wPMYRPhPmGwDtuy+MxCX9YjHjmrliuctIa vUN18W/dQq8QU92Uxf4au2gjLlB9vaZW6eonQMkhOUK9LqrzJaju8CRGa32T+Edwj+9jeKk06/DS CB7GVGq5VWBTnFxqIsYfgaTuzB1LdYYiaS06O2/npV8W9Wr1j8bqp6SnOdG75zcuLBVWE63LDHn7 IW83DlWdd5icTpa9Z86MYIb25w/XDLrxszCxU5Ln4zhnluOsTzZsqWhoIzrYV46lOn/SAooOE3CA yEcPDAWz6oF5jN2BqSKsexDonAEtYkXJ43cxpMnvlZZzIWLmHC4gpxhOSNuQDqlKKepzhV2iFtyK MWwge/0A989eGpTMEqOivnU8NKggmVEbmo3Q5bPs1lRLuVFG/TOb1dMfWdpTV/GvUM6RnMC3qD1Y aKJN3zoFZ46W1QK9JjnI1aX/5TBSIR+aEiOJAxc2JAtpIFMAA0JYCTz47+a8gL0/8L5yZe3jOfiM p/M13jUxCqNv3KS6fpo2yCWfR/GeujvPEquk1inF8yGhM4cJdJD1lRh7Yoy+GF/uy43k5lx02tmM 6NdMH/w69+K6yQG0wqVdApbjoGmEXDjjlu64HjiDhdGUifKxJ/qjByb+rc2qNcBg65QqO4bP/t5y FyhUIYubzH4hVor22WQCnv5HaC0Yln4M7AlboQSxwbfiYlqogI0CAaEWRZUn39h5lPD4Pw5GyeKq cQCMAwPgJf45vsljrILeb3c18p3a/CkIY3Pb0Tk0iHU7bt8DxYyZTF3dwpaqvNR21IHg0r7Z/rW9 9Sj0XAJX+Y1Nt1jM/YfVpborKrHyDbXT4SRQBk3oI20NQZi1GeL+TMl5fPzPeCD2TZJSu7Q17Mmh VgK3INwVCmcNaAsKNVgd1BiPQ3SQl44y7WwpuPzrT5yN/cjUGLpXdmN7Lv7E8MPmxyYPmjOK34Yu 1jlnlfNteNPYqS2wXaD+3RArjYvfZrjGBTUkyTUI7mOQbi6GPuvWd7kwk4fvh0u/UQA+qxMyLNc2 sNni/c4UTtSnV8QJiAEOMJgEahcvt0PH9QhXsf2vwDn7vlZD8JM+hhS3XPXc2QSMDB+yd5P0eoU7 mPoTQuVgiZQT+tzP9Vxy1iISA+eVw/jp+yF5GukWU4FgqGYcGQH6S9Ltxd2+yM/6JRMXsJhd7cOT 1eZev8Df261kSGpIF/wNSPKf/reHeOwaTlWJRyQDsjIrYi1Qg9v73fvnPasOEpKKpdNI9iWsR03o BPre2ikR2p6I45E3k+GU5iptjUHvmDIl1gC5/cZN0cJFIJXvnCNMFnkdfGSTXoT75/HVKFdXDvuf 7lXfQf7myGg/lWYkl14gOPgcg4bM2ApOopmPLQZXcvYv1aY0dYnLbzD8+abv0UhevulTUxg5xNjc bDKx7H4/Zxv4s/VQ6ZM/93BeIN5kXVmskqJPzN3go5tNuALTJSvCJkodHwO1DS/CGxUiPWj0dq0C BPIYIuO+VgGDD+OqwZeU0Lp2ywVqbxTX4xEjxA1/ziViseTdtcf1tlxK8UfDq/h8mwk+vXfawgt4 ae6BcV4Ow3klaWhnPCj65Yqb9ZiKXem/jyxxmPs7sJ5wNj9YGnOCyL3n1GvBGQOI5KGMQpanFffg fQrJFVcXzzSzcYyA80I5qXNd0Q9hl05Y5aUB7/s1PC4aBIXossLGqWY60icEbAqJ9ogtK3M1okgV mAde2smj2acEVMarY8a1sFAEReNVwqnB8eHWMrGDv9viv4oBoOdhSXmKNLauqhJo+jt8OIRC5fGJ ZLystpH/gMg6iw841DpdhT7PtK1r79ydaN3Z7jxTeI2/JVLapTqtDfHirEauHaRtjCwzcxrem5wG 9K6j0U7l7Yyf3H9d9eu+h3jIIoyfieE8ltTLpa4N0lonYV7mdIjgvCfFDbKja8uxdbULBUL2Gzzt voaX/o767dYYmkCrccv9KW0ZE/8NgEPYJ61cilwShT8BYUAVA4T9DtHzYMX+H+fOsLhoKrp+Ew1w vXTt1rvf4pjXLWOS8wszjdzETwirZaMTWy992TZNuiC/zhXMzNvXIk6rk1Bg+PkygdyyWMvWBSrr yk7zOfWU7Gyc4EoxOyuAKjPKF1aiSzf3kP2UOIOT0hoBx3c/c+7wN+ICb/Spv/qXzE0ldyRRWWm/ wblDaJJQ0TK6JYseieEe3Rl966wq3nHf0g+edMkn4UGvWtSpA0v2HTtYB3Y1QIrMVrnfU9g/l8kl uu/VhDkK3EiL1E8F8AISsemShJSnUvGJAySytw4GeEvE4x3DFkviMIDnw5xZR/8NSa5t/pTyrYXe /FsuecTF7RPKcuf/8TsKGN3EDdB+8oXtqWts5VZucXJYHIMGKg3CaXvZGD5kQTt3gIfSWXE+UWxY vrCE0aXKY2u0EnjGBBg+9fP7DPggScMQ4NMvxqE866T+3965Mk4eczUmrxQzdUEB7aX+17a9+FZo 6Xo7VwTwM7YyJctobDAGNgYSDMjgIrs7tI0iz+DBKI5Z16A1bV+DHNJX+xuOOX3nej/gdCxgSDEW 1PMk3OsE0FuQeTGwHv+9MlmfaD6OayObi6a/kmj+iCpWwcilpnqUpbqS5OCBjJWx60kzqYCUOoBO jtIoz+177F/v58G7gpYsLg+S2gjS6CUFAbY49NRKvqTU1OcEGi9OuOebbA6lGZ/2e66OGxyi75fH 1vxYfppMeF1HFFT11DHYI8d8CR3eTn7DpiZypvGaPgg7UTwY4j0WD3P/ETdnCwmOU29RjytNGSUF pl6DCL0s2g/1kG+fR5ctQBC9+F9CjjZmDUAYh5KWB2yZXBY+A9oG5k+pmytTCkbaeEhfZYGZuTsc j4NLskpQTn1Dvdi/ipk+I4OOQJQTy+E4gUfIO0m+ahQPR7yZ7Pl+rAQAWuIxQ6VgquutlZBogoIY nohpRu9KGx7hxFqsd8REVTwjggj1PjIcKS78/2LzbcG0aRqi08besCBz+ykcaXAg/Gfl6G93ihsg kIBUOJpytflkuOA6q68mu67XHhyIc3YPv95EN5JEL6KhcZWvsIXrELDTB2nRFDbpk20oskIyWT/8 ubPRPzUmvcyWEn9Zd6znHAY7y5kgGpQKnSPfLOCF8LJnzJuLCWhUUUqGOyUW6TtdoVO5FBWtRTw3 /YtdVEXQKMDO/qGV88ZMb3YN47VjG2kaEVm1j8H/8D4yyQUGiD9Jg44YveCg5vH01tlDLKewsuOu ir3ZLDGcHTUhPQX1Uk+8/hrGaMMPi6+v9AaMnvKK3mPoT6aPS8h1YqvxyUmfKLPUE9D58v4IQ6fy N6CaFrkQu9Yu3UhOop5ChJyk398IX5mvBVbSMhykPKWbA0pYWHEVdnDp3PdiFoHsdZJtaA9qO7lj iye7yCghSJKh1YAVgyeGKarIsf1J7iM4rLaW1YmmJPn1qCJfnUuk1joQP/IWCWwDt7BGogALDR4u KptV6UJkrSqneEy1QR0lut8KL/Z3UocRag9/tV9NVB8Ujc30/B3p2ma+QJZLfAKO5gGbYNaFU4Zg gPp10xLa3tzza7SB+uXqLerpPW5ZiOLFypyMPQi2//EpQuXKE4trnDgwU7FmzIVkEAKJ/NWC1HBU d05jTMOcfmk3DZEU3cKkl84yE2X3SXvPHqSL3xC6BC4vNRZS+LCmRC9LB+DUKUO5Cg6KsurpxTGd htd5G52sQMClUGKWjVJlRjDGDSopsINKgqFcIanzjFwz79N3lFNqxE7OxUhpuoxkag/Suv05miB3 WKU/NB0pJmp90Pe+EGTD4GT3osp1utczVnQNRwolQbhi+imZTvlMEU/uCnCl3NtnhA/DLepJnDrr e5IdESWwZL/xLudNTVwESely/CFM4YxCcRMKZ6LQdddLdZdjtlXNeEs51cwH/9F+TDZVt2NYxDav SAF/rilk5UDbsDsKCQ7XPsQn+jQ5vNw5lgLQna7nAeOxMKd30eD+ZRAH2pEuqkwXwJl/O/SvYqgT yvVCAmB51BGKAlgSmy3y6jrxjwhITmT/hKjvwhTddrBniwyQlTQEQIG9XKQXlZwN76lPwGv+S2tc MM95hgFnVfQMwlB2gbBcNBxLA5u+HhSfYS8n4JIM4UFSMPJUnmGY8MZ3nCTQ56nJHME6PcVMQP/O t13cjP0Kn8TdhcANT1B9448k8r09GTV6sfzs5ykXU7OMhBBJAa7q2AzUxqr8iISg7yYAq2bpkMFD ppFOIMDSjPc2HZo35iR1AUisM06HgSWNWW29FLs+5ft48lmd4Uz0EGbEVFSfksqQURjAszyV5lM+ fQM3sEL0dDQevtzBNOR4TmSIyn3LYtgDfqRCpS8oSpfLuV24tJZ3tMkSszJMWC2S9yGrjtjNI78c 10Osvv8EIRgfLFKJAgbN93bi4RKDCzFBb6r/cUFWv2Nie4V3gX3R23i2pdonBEE4SnyBL1rvkt/i YfUX7JeAEBeekwucEuMcLuJn5+9lQyU5Pj6i00lP8naCRfDbZja6OiWL54JtoSOnwPzdoLgE0qH8 oQG37V5vhU9phn8M7NZ3uctYVhPb/u3OSDR3LXLIY9et3n3/hdF8ud620hIR87ZKEkh3gZEnCp8s VrMg5XdnL3k8yivpnZnLfAi+ZFI+OiN8ck2g5gJQBALSrIPiLRKVIQvtWZq1NFZnSgMMLZcHBfGg ZFqxQgoo3sKyio1RkpZ0o2ghb3uIjrOQdA+zg1fSaKVVpYSJK5iKChmyeoO79J9mfM6xqu+Dh47j 1eHmzIXxIeikVNjZX1sbqvW3/tLV+FLjUfXvjb4a+Y9C/UHMv6FUy/Vm4BRPL/+qAmRw+imAB8m0 uuvQA7wkDoospWnq5+Fz3YqaaLztpQgDHyzfZ95mcm4VgZHBQkOfd61QQgBrOt5mjkkHkXuTR6nn 3VwjkY5fYG3fMyDzTmGTnVeil0wWvaM01/vRzClaHAbC1TbO1TBZxUVlk9WCdRjXXBO9KCmDlbQq 9MBPEoj5+JZIomqylQHK0PAbaVQAxBz1GF2RLkYn9oYaxq+dbMbAALjHWF20ULrRggVbLpqzhgtQ hhHL0hl4w2dnEla+JkmBwghKpF5xSr6SDmHQ1YdY+X1s6wHWXmTJZtVmLrql8U9F0V+MsYhUzFTy 1WP1xRDeDmKS9XNH2Bw8WZF+e+Rab7pWEstU72AsfrIXWjTD0JubyDiBA8LNWzr957MfM1JWq6EN 71cVZOdr/gP0EzjDMMKiajXvTORAOOmRVv3fXjs1gDHNtyTmdX63mVyLIDEfDOPEc8EeIKD5yHgL lvpnhmdiR+XlTvEf9FAA8+KXJ8MPwC4ANfsvVI+yBiz9LfOOPW1sJ0eRotOUwl5JYDPH9o9ID58c wkFJlljJqqTzjBA39squJgRfGrBIFcwRGiu7dOvasU/3P1glDTCDFP1GE+INaMbnYBIanwxsBgE2 nErnvwcMmIL06//UmUPbjLkH64wPeDM7C1NNXf9rcRhjllzwso+bPEXaJRY7ql+7phMPUuTTPFE1 68sT3TFpEPKHFp8YmB4rpxOfu/hyfbMZUH4UzIzLy9vz4IccdLSH69WUz2iY35Y2kHr9TzRRiv/i Y+ny+JkuQXR9VQ70AbA6ow1UeibUiHZM4ZFuqoC47Uup/TB60uV79YiGGgfQiNYB4lZMzrnOhAA0 z6/knq5tUYXGR/IR835h0//N1CE0DvPAxOUpY+8CYFzZexwr1vPbGF+HDA45VXuQf85yqqv9fjO4 EQQoqjQxC0FhEQnS4dFkMNpy6JNo2S3CGWFJM7eorK5deOTIO/poqCsyWJ7N8maLAP77gUFCjrYg SXtsaQ+lgb3Wzvt6LVVobrKS6Ibkk6I0trpCPOHLfROsSTopKnJurfNa/qRCDhjao+q5WIxCNj+6 aAMjGHxclQso1MmIG9+6h7BmO7n7A6bok5qbQze1FAZ3KuhjHNiVkGdXEtV0MaKU/psWutcjdIs2 PZkRGSYr8gs/0rniXIQoLV/WkfiT5EYNYYZnYkJpVQ+oaKC/smSzK8rf2RtNwlOx6fre3RLcczss KCyVuSg3ypdQNW1yyFJMW5boq3TP30du0E3AyT9f9qmjD3KsCJRmM9skt80nrrF00pyyCy9mGu5O UAnPdYA0MzU7SOkyw0xnksoZnXpAdj8rnrvJ9AgvzMnWXvmuKiOxOAjie1Hc6Uas4P7TifTYUpgf nYZP4FvsEQzjAy7JhtQqp4ad7xS3PIjoMN7hal0NPn9lUr4ghVUs1CBQY0Dt5pxdyQH20uZXGwEd +FJT340XtX3J9i8DNmj4237jsDkjgJq9qzxEO7lBdGmvVc9YlehOS4yna+st/WDgxBsTrqKvMjKk Sdg3m0E1MSTweOpNCBssD/Ci7GrV0u4FUKofDmQVSRt/eSfMPZtN5CaJvIn8vwYobtb15uU1Z62D JN38/3AdlZMeygoCbE6K3kxP8gAwHjs0QL8i9ffilTayOjfyWj7YtKihItDS50U94UVFG9Njr++V jeK8Fo4jAeFM5cT9204wqLBxXPUJlaQbNGd9W3JM2rp0Bxme20aUfGBuAAINyXXuwsUqD6naZ6+Q QS66QGuqvf3JPxngcCGb3xt/Jpr+7U4T/IIeSR/KTZssV1sZiuqcWfQ5n5v52Z5OfmQHqtK6IVxJ eZDJMRUkIBLged8U3eCFE5+Hk2PH6s9JFc229HkYv2x+gnkyRvXpJFL/JqBdLzSeAlwi41ZyhLoz gjT3jOyA8W/k5VcGln5Dn/MUwab0uyOeucT/DEwVLdKadGKN09RTbCSCItvsRZ3TMZhoGniLXkbS V9fS6bRtcxGangIAggdapGjCaN6ymVu6v6lu2F11oBWuWlIBL/RfQsZTs2A/2mHHlY6CV42pEsIU D6QE6/v/0kv+V5YqcIOAyH8+e6ZQRcW11D5JwPJr6Xt+9J7MWUK5PJYL6kpmnPyD75t6kH2Q0XR2 wcNbudbVXogBiTdZfkbyv8GO/PrY06/Z4q47ECY8G1J5VxX6UOrmUtyFa6z4c3jHNUV45YShSd21 aNwK8DyhavOzWGn6tEOr4/iWygL4YlAwhs5q1fQHMPWipzl4345Rh9PwucimXtU9V84rcm3FwCk8 4DeMk/zYkMeNtK3nyoHoVed0jQgKc8ZmKdJA7ifI/PaudL4HMwzhy9d95IypfU8o6bZjmNS3xIgO OXSDk2j9DHal6rUHQ9v9g/C+3jcv5h5tgqh3XHmnWBY5cVf0igx5WAtxHGu/o/ZcpPYOw6mGZ1rj kxLLYTcoaNJPeFTHus/cwkN7Lnng682y6HtmXSen4t4mZV+ZdOnNLL30SueuOO9C5DyEzLrmS83t bGC4j4zfCWS/8Qn2FHI7osvEquZ4d7R7L6+USHTPQxw6CpqNfWA21K0HKbIS1hZ8leNSxLu/lfQ0 cTUT5jydFBpKEfRJSDiwbwsrHQyACU87TnqWfT147hih/4nncmPpFbw/u0v5iH+Cvff32rwB0dFw +Nv352YRRUSXWCkogdnygBPneS9qquerIWaqJ5FD16/0/6FS/oUoLgXPaIZefG3jBQu4jkgS4jle yTyhXEOG+Y+YfFVJz+sotugA9MoIGJgn33B+AMzLRp0wfjF7Im3PQEmjNVB/St/SbYLn12qyAmM1 aBtyQMFs3KD30sarneFNZtQRV0waVClGapeyQM3T5TUIgqho1+bURxOmWqXE9P1V38qhHn6V3OSz g0pyOhWR4zTD72snf8bmolgQUUhbkb0jW0UH01tZ/FjNH3fQaIuzptkYhnLY1ViBSI78Teps1Dpl A80NrgoG+N8+88varUsRAzXJZkz0WyIIU86mvpxGId+EkMYhcVoTaMNKw8gPLu2tU2Qv1t97dXNx Wb/jhACr4uDpGNe5rx2a1HMRkbwWCZPi3w+nEYzQhlzXq/LmCwNuqAmJOyuRir/GWVRIxZPa8DnK RcYv78OOH+ecWWpqbkTGS0/TQ7+96if5w+N72IEefaD5k0Tr8R8iJcjAwwgO/LXNihP6/5JNFrvz ApnlYYRkgciu3tfJ4tAZZN14Y4+CBj0SmZL1GH0RcMe/BFR7ahWv1ndptO2MRkHYjDjc1zQ58Kn1 Wyl9rKX1nNBi/mOlQTN3A69ZZKdENG9yubSoBp/pQZEyR2pgGvo+bbUkUH0BJA/bwYHpBmztqTEm CfGahqXoJOL5BbaHPhxkeH07aXO7WfVmSX8MPSSTZinlRMSK3RsbIgFMW7DwfhOQy8W9xJWRIJGO Vsp5qOrw/FZLmZapoJdE91LTQEOG7Uv4PxSD92wTIqt3nnIGlFV+a4GJZ9cwT/zKZYpBms7UAzZn mKLzY8/toQdl3rVwhidGtEWQ/i61aokWYUkcUTd8tw+BewFmmeXxJRq/skP2mnlBIT2C7uRoXrJs hxZEf206ALg8bj3xchmj2yTLgG1g225PgAHVK0je06BWqE5ljqs1YTZe8Suc+UHmhM5f9aUzphJM i4BeSzgPlEgW26ADzS8BM5VZ7gI8MqR2qAkf8C+hPYscFffz2rrMchhkrqL4hSK+qrJr+GXx7F/B P6W4A9uKdLLV7ImwEBuNwDRH2GMh4XbqBlwMMoiWR+sx2xb6UG4qDIqU5uvNme6DRmLY/3ei3TSs ulFm9eUAJbA1USsJuKXAHq9tFqTFOjddAYzfws8egcdiSkBBJSzoT6HV5ID97MtUT6gwPIm8ZmmX 01xCBAwgJwbLC83c44O13KVIAwwRgHdRjmFilVG9dNG6DBJLKkC1j2otF9LFAm4wdclYeGhHWLnV aWvLrQ99LZyeAQL19WSV4jvliqvZtZ4SuOJZbwmFMPhUMobXUWKjIHJfXt5U6GXxOZw9SSehTqSO W5Lg9IYER9bBDS8BRoofGPaLyERcNITuxNzgQANXjliSkbWx9ZWZTiGx14h2DWfcc5h7laEIgDDz 7x6oFWYH8wJYMFQChYy1NlOcpaZyKhZKrf82/5uK9BucZS5UNLPRlt9C4M0LuKj3gHKUQtmwbzzt odFyiReJwLSyCMuihzK5MwC7uC3mEhn8z8MA3Y9J7cyHBnuLGpNwgnnoDpxgFXhOWblPM3Qlt19y JbBhiqecFhLHcUOwwEGGMIXPoXFwQoGT3qe4i7jsMe/mAMMQDIgnWagl+0pEIsu4ThnEa9GG2295 ze831Nni02l66+Ai+QOcP8lon/UIs7hpq/64vuQrdUkIKz7u5T2OMWE5XHhoj9bzQjWiIPTSqrJ4 O75KscAOUgonneblkM7GCi0tX/ed1bjuRFbRTS438PhFGxMm7pD3DhFNlWuyhN9rwXE8qYE38dqw qOn5e2BNggNe7Laoy8UuojmPLB9Io2Ropq8KtxXL5lMIvcVqxgKrttSWSUp76H/71dPabUVkt5M+ 7zmmXeG/u5tkwd3CW+yNEH5US3XTG4kuNIM1BTU0YK7FonKozJTxd096bihBcMh8dUFNhEqrm4pa XHnJ86MFdfDBtJHPqDFHNi41ULUrr+4BJTexhgkRRDqOgFzjgHUytYt/quOtCK5Qsn9X3bbzUB6f iGdXVKwSbuFSB2gj9FxMEwUk1SMPXmoKz5Ws29sxQ4Knj9EPvtPtTjmqXmS/cITLNCeO89+e0J4R S7C9CrtfDe0LvfRiPMiBcN2OHEm1tz9l3mOemblI+ljces7+r63yghZn0ORNyYlko9+wiMPYhdTB InBAtpP+qNP5GvyrlTv43ucnnxxaSpkXiBGQqLQeH4HY8xZIEeciXxDkZ7vaHaE1v+TxtL2qFByv gXUzQFf5jOZdh60KChnoW9Pkr3SvrYFgDs4pkYMYCiMM1N3B6j9AkxBvE2UCtU6bzeYYM4REB5dt TLGChesf0bymII8rirxdyfct6iKEz1JO2SJamxFswtofBkEpoqTKNF3pexTN2h5bpKh21AGdtU1g hHFtF356oE4URpKbV+yTQExDS05p9AS2CeGhHHcSPMHLcuhzS6+BkM6yfv18ucktZVd7QWvSRBGP EuVs+A88uVYGF52v7NoRktgoKY+tkuPxfShdwQKYJyf6qDBXLtUKXfQaw5w5LrMaqgnE1Mohr6Q4 pADfWvT9BwCkg4acfMxGS8mHQM1MHwoEW5qMER8uO1TYd+ctJx2ihVh/rTkunLdKnXloib52G/o/ pOQ9VNQ8aBYFDtfgQWXo/O0WYu6IGsN8Jrc6MPfi6Fe9ySqGXE5btaxO9bnnbjfy/+RLe8BxHvsu bBXa+uccPNDdm1cqyLyc+9k+r0olBXnd/rF0og95sy1gX8ACKc+dm0sOgohMbf58Q05t0SP1mWOk qK3BXkBYzgTiLjCrJBQGckXqZj0hrnK9XH/XtP224JtdNNp+RkOJznIAXy9S3R/PAd57LqFKZ216 jQRrkld1fRzqPxwwOnMn32DgxIITF5e/GhWZhVhkQGHLrvxkSK89OQE98E76k2HcRghgymnVTFdl zg/2O05l2Cj4YCG7Tf5MZ6j+sxgCFwnYBvIJfQ/MHCs+xwIWVyfkc8HQFABqZDNipuf9hzKsRGTL v6DRK9ZD8Y3nFC0j7/gBeNObLW1GxZIchqFPn48CrSuqu/K+YzkpfHZjo+kMHlKyssTnQcvglzg4 cwsfNSnhaVlxNwr+9hG6mcbu3kmj8u3E/IIcz5lDe8hUpPcI/zIHf4Gsx4VV9UoUyxT1WaHxtJFA QkegshoFLauwsDHW5eQQMG8r4ngILCFrMN6vK48pNQDB7yB5V/W3oHEwrQBXq9S1cvXl2jjzkuCe BLjhkUxDQN5aNWS76DEu6KgcLrP+sC673TzDlsKiqntn4MQhVRXRI6k8C7lRvM1zXozundrv7H78 +J458AM5pMbbvio9/byOH1ntl+2s1KrfvZGY6uhAUWfAx7HBj1y346jaVfcfFxa10m4oXkc32R8R fJ/betTABu08A5mfWHTnf1PjuZo5CrZOiPnAPjRv1kswDTlAiwQSyoQEodcsvvtURunLgrse5zd7 QSaVc9KRauGhMaOdBp0S4p2JH4r2g08h9ZCvy8OW/3g6IWJj6YjcPbeM5Dg7ZpcSufuLcD7OR435 p+bmTv7PQGhiw2IruJPsYv8dQAl3AQ2/ceSgIqsmu27cyOoJMps6B8TpPM7IGrs73mYSOJr9g5v6 XYazvpQMKMyhNoWKbAlu7CNd5iTVLhGl8G9XdChkO/rOCD+hi8ahFQrbMQl3CbKKqL9MyIbLdUFW rb12N2zOX1aYkpIuyNYOX4eGpgJ0wpCSZQ/rxSZdzPaqS05BJxgqCkrbeaKkbTcRh9NDK+A1M309 X8U0gnVSKxF32LLcwrebZrXwZUR6Kzoo0Jy5WI4FE0htn6EMCY1EGBs4B5K4fD9QR9wiCvrvsH3h sxwF+4jHGRFNsAmwSGdJESAQF9N918Eh5XjX3ZOQtz2pumYizyeHsdwOEfQzKL13KUWzKLMKaIb9 ikV5DopXMMOzHBrlPLrJHdN4fMVPROFa3kocCl43HOZ5T3Lq/rRejpxAsqcfwwsRxsxRWa0A5PAO 766kp1EoDjXK+KwM2PZcQFCcJ5Yn7Oeipq03PPGkkzxwM6MAzGYY/bKlqOW3gcLnKICxdtBYFLDQ IidgKD60np9dIbVCq5Ya3Ug4uCHdeLlMLGXc7GOZHBfSD2EWT4UIkdT+ihRhfivecDHcY5wl86T8 mpmRav7nU6LZ2/kXaUDt7fdKp0aXt5T2qt6uBCMt29e0LMaK494+QUyif+CjmynGVPjoEGrVakRf Cq31fAgZShXEnMKq+IkkAB7wyLQ9Apm5zT9JOFqHWImAcY9LkFrMFan+oLSH1IIi+/SwjUT7jtcm 79uwsA6jQLj0crLRdbcrQFP6n5MtRDKHvH5cU/VMmX2EfcMzxIZED+d+tL3qbVpZz9GdQrVuD8zu kFJiadTbaGvBRhxvCBty7LYqCoKsiL/k27qshBUWD2ufqZIkQEApWtwqCsh6bE/0HZLJQzkgPsjF edSPZXffRL4/LJ9V+jII7ElknOSs/aeQmaJ8YOeMmldPlQwAfvB1ufZfLlhvN0zfwpnvMcJEvf+H wroNvyzleoy69/jTONNX6z2nJF1aEQ/jb4WCjiDg27tePAQno351xa8EPy615kUYSkG0LdJVNcyW Xe59qUSlMHCnESo+XN+8KinvOYFnhX4sVivvUSy/MASYHhhySRkRYJPn1GUQ3IQWqe1uAxBDklLv kvoSmywR9p44GAFRvIDCc/Xpi4BhGKEXDOmmygkVG+YQNYinNAIMVnMY3mB7W7wAP6uZ8y6jgTfU NoA7d7AJXQZKHW9d7zCcEUidRTb6hJNXCdot4jJbgCqTPkV9pK+GQAwsh7PiMxquASDC7lBWd9yy Lhn5dLLByo8CNfgfJHBaAcp8gHNaNwvyDYUUzkfTQHGZjMgd39GDFLHf1bsQGJ2qbn5HXY8hskU5 dLBEhxoKMcDTBLQi6Z5C0BnJjja+MjYLSVE07oSMG+YEnssRqvBtEbclUo5RExaKs67akAIFH6Wq p+V/mStaG7GMHwH7iHCj4+wVnAbGbpGjuGU3bVUwxXuyNYzP8cSxaVkk2x/LcVIS3nmSirMdwn+U hXQuOEkFZh4K7m3s3BaeYmROvGgPY2TRX2JSo8P4qkLwBbhqLC/YDUfa+6JkuG0Kfquu5iw3pL2r XfVDEV+khSqf3gsglG0mT04SiXoTM63zVl/jCiR+1GD4vRCcrsBX9UmVTQg1KIYbkibIbVNSaFeK LzERIpyxG39wZTs+wLTpDuq/2boh5oC5O59ilKosh+9oPIFGOFOFc1vTa6NdX4hJeUHz276K9oi0 /YdTHJ3KZjiKovCqgUozyKP4xUT54ZhGqyQ3A8YntKLM7nZDo4zKL74QOR834I1kBRwuXxvJ4Ute +fEqOyCPkowPAatagH8YJY2nNnD+7cvlnKt9DqofEuLJ43YIoxWOZe59zpNqhse/1PZeEWn2Xoda AKnydbCfSKSbgRSFIMCFT553IFemZuXdfaz8gHBohjfj9va+g5y812ABK3aXji1tac8nNfi+Q8s7 /JuSRUzn6EQlXb434dc5apVjFcJcUhTXke2fEY/RC8adiLJxhDY+6zYP9nN8WRZu6wZdE81l4krt jZb2NnOYCKA854gQLkj6kzP0Gb7MFFG03BgBVEVF5LceVkFuDsJAUXamYRTDpvCgD/ZNUd36lUcA nxdiBAiFVvVgzblc8Febn07eq0qyqgoacbnCzC05JTvmCT8gfLYeYxsIPkixRqQwbC6LF2LbAtmv uQKhNoYqqXa9gQB7HV/bbZzq67DDM8hErBrYhzKlD7UW9pUjAILrpQeAqRBFkjaJmCKU48hj5MLR I/GsmpSZhWCFFUR75c3BLMM9W4RerJq6DXrQM6+lUhN9X91I0ctupYtcw9vNh7KFtYimdesfoFQu DaVK+vE/QAIt3019W2LD2rGv9x60Q1HB4E7Lu6+PiM592B4ufNXT+BLT13CeUVfCVfJoXu15hgnJ rH8+VZVMhFR5Ms5ipvpF08VKPB6AoFLFCRKPYIud70Z9IkoDQdGvGQASDp3uwdftkv5fPWRFHoJ3 1CgE+iAy0uXqdDmrcgDrDXOSI7OwdtN9EsSA5YKPp5jHG/6oxUasn8rYHsU+5pH+L0SyBdJI6sE2 0EG+sF0Yn02DYFtwJ03h0gWXvoHEpz0003fvN6mtK3mdm9GqL8d3/nH/lrXRBLX9ajmcdU9FQXPT XnGC7keE3n/gddZM3a/y/3sVriK3Yw2yYA/xwWqtqNMfA5Gs3U3ToS/XYFCvVW3dt+RiY63L8VuT MDqwJz8+UM6NHdG1/axuRG1NNdSf3lYgqnKqvzIB8ACMes/ymENmZgFYDuUH1qEG2xm0U0MicnoG M0XcRu/uNMQfASWKowJQeB7Tq0z0f1aQgM2022HkrncCvIrxxhV16mIrbvGT2BFoYkZyMwBQsvNe IGnrhlDBeg3RAVXe+VHlm7ROcFyCrmcgxTYakLJXrng3mXdnwV1Xfg7i57CZXtEAEwEf7uQ7lsSA jbeGkHM4d2W4hmzkVoTp4VxuIY6RenUJjdecndYZrHN0AoKruyF6XQ7ifYcQ2w/c4qyw5aS0mM/d wnIt3nN4LeBFuF58RmmZu/CxAn2r34u8TQ0wJnlFff7C5XMk6kSxANV7Jlj56ahNnXAsh88xHjPd ZHdB78S/hZXEDNYnVyHZoS6dp5+s9jgaugtop8xCNCbSiAPV1WyPESq1dt1wwn8JfDiJfWAuGgt1 I/Hzupj4qC77hyxT+c8hIWueVvhIXq7I4s1lpNHTCihNdfFr24NcwAG9IOo3ExyvqLzYmQI1iHtH MfnAYWWXMcfjq6ikSreXq05mKejv6TR6dlaDRdYAHw6M+n6XNcCO+Jvo4OCIlANhKijDI5WPOvLB TJGSbRzLbYjUzPYEchvYaDe/ZfyevI+dlrrM8AzbL2f7F4iOp5PADDfb+etsX9RW/G3UyaBBrBjS P5FGZAO48mWYBrrWD5oDSVQbmceQUtdAz38+KcKygGxl+49J32kWsIRx+21y4SNbqTa6Q0UpAtfy 32wUOzWH4PQETdNkPSjTpP/gObtcStNOEwHCYyKSQB71qtDDNry8qcy1p8rWlhtyViuQ57Y+BQTL Bl3Q19ER7cirbkj4jEcZKAERKY8P6bBn26JmaVeFMl4vfxDWQ1Nqf0RwD4A7k1w0DhizTHOoOakn qTmp44G+eVuaN1DVMJYR35UNVgs5LZtRvw5orTBowIic/uSme/W+AFh8lsQf5irNNK1+/pWIpIWL qhjGbjjXLRyFBl4HFGaI+C24J34iqqGGji7Hxl5iIlpn8zTKaoPP6aviSNAc0zA2SimLL9xujQny dvAjdicgXvgMhMxBDvlfMhv/zC0Bw0MSJemJmIDjvl5ZhkAi/L0iajDUqR6jFLTSvy7B5Q3Pcwv0 LsIFuqr5HJjVrnQRhVlxcVijp0wtrVZIPegvrLnsAsrL1BlGRoKJQRSp6Apr18ZBOSOWm74/3y9t /Lzwnp/LPINdCNLQysLTFyjmx5bV/jG9jQau8NJbyS0mAQxf/a73eruuqYfJiTgEOk+0S/rU4pC3 0CSQYRQw4YSXgf+5dUc/qqU2QBnR5JHcjeD30rwvxGfn0DJmbozJaSJXO18ekmgbaVdq0NKbIbLb MpzePCMobCoD5EqD19TxQpVQZ2vRMmzweOrJqYrQoIwSKJhUhiBdz8/T55H+8S0TTxNlXmJqO2CJ vNEBCwt/DjDoitVTKqdUqUPCzS95dfXMSePVT/VvnsFyHORPQP1SprVfhl6Uo74ce3GSg3zUKCC3 HNmiXAWDe9S1j8FVg3dx3XQepxZZ7ynPRKMtSYzl7fgoa0Sk6ha2/nDdUNz+t/t5wyJ4ANlv1x30 OPABncwSRdd6PEFRertyk5kiUerVyBpv/Z3xQi4l8STL7DZNQixlax2u+EihrBSUCuHhkrDHraYG wIjOdrOKJY/+SQYlDM+sOI64/2RhjNM4eigZFexCmFgXowvXZFEO2netU7XZoR76Vb14sLyCfDzs gaUVTvOri2LHtdPdSNehDR+rtfDBjNbokI2sJmiGCevaiOuUB2I0X4eD8/snLnIm4SwQ2+usU3oc MSqZl38VehW1yX92a3s4SdjKtvMuH3+1EpvXHYyQ6F2MBGNNASig5k71uOdFB0yPPuTX262a9YEW nCcgun0F+SNmZA0JyG+n9Rfx2AUMUiNwLNHMrlZl2PpVJbKn/sPOPTVCx1QgVbPBUdQwSZauQN2M U/eEA4tMh0BAJFVYfTUNih23DHplX6sRj4No0pTw1Znm9dbOI2+Z7ZayoffvNPLwXxCu0tBhm9YL ylB8ZqWbl8XIxLXXTdCY0dhNxDNowVNNYiZVib+7BhNgTIwOVSjODo0lmCVF2LxmsimpSqqI+W/I PsSP8+yE7b5Qjnsqx0ETC+wyh91OmILcGcsNAFfXi5w9x44lnHSPOCww25Bk/tOyoFxQqfhGPqwM 9TOpsueXJDs5fMCS3GV3flo0rzLhGOSYV2fGC9lKL4wjKWAr56W+q48b82ysbr8i6nw6NtdATthl nT+UQ5zr+2Wu2x06BzvJjuwK0Id1phZ2B5yCrpoH+PuR3bNvKK8EHrL9IpKepISjOQR8IUO0S/Iy nqpvUi4tbDKuPIFwHTW/om8tgzG2VVHruU4WDc0TEGs2IfOm2XnVgh8y1mLPaPxfKL4xZl7h1Fpd YDuasW6JVRLVKEi9lIO9b6VnTw1eerp7GpoOUZHwKnMrM/Q0o7D12AtEVyoEA9MY8FjugfcpsygE ZLaBDoxT/xnYCNVzSXEOeaeohCUTNweKn3W6DdSdvk9B45XT0lySbduZ4NcFC0rZGOlsvBEUbi0h cdKfKfn5OOstBjdIl2+Ek/rBhab99S5IgK4QJ5HaZJNaikY0yAE0riH1/KLhbMG7RTlQwBa7q5Pn hIn8+pjzQsdp45efSCnWl5PJ8HEwbQVYja0PHvbUu3Me8UPWyLI5Cabx8SC7jjWwurZMS/H9CM8s fYdsGatrm2fRcgXqKVFlyYH+aRlqw0jjAXEeyJZYTOHHTmetj20Kg/UmvO6b6Eb2Pmw3Pm7rHqlG lBrZq+6icrBJQBAsVARHNujB/gTBJKChsV6oyjJNhhqt2rWDjPqVK8QoedQqVoVBxHJ4nV/Qy9/1 3IiMgoO5g2nQ00rxwHXZ1XbpOorUh13Yz2HYDMCLtAvZ97z9YaHs5j7cnWTRE8slT9V33qL4s5A7 ZGt6M/Oyo6mRAJGbYSlE4EIpcMwdCcfLCMu0mR4CcMAcxJVf+Lm0qY9n/ltqPG3vw194le+Y0uRD kHYW615AlgFczhI89HYFJsUEBojOKWr8qzfXLmsuJa70hgmG5JJ3H2xrFvPGyZROBfMHIzMhxqj9 +h5BEaTLVq+FccvvX01q16uKa8GMzblUVxwp8uzNXTqsjbIkXFo7j3oNFhLdcRQV6Lv3R8Lv6/Xc hjgQu//WSb6n5MXjBOoKioXc5hgecbZKXmN7X/3hmGp9Msz7viGj7J4HOxLl+YqZOmDtpd+z4bmI /Wb1h4kvYnIkDIv9oRCNJyK0ngHtfOGHoaOvWKRpmPhuvZXY8vXeev7MT3Ul9v6ncMZ5X1QUCh3n z25kAzxvoUpHeFxiv47Oi3va96N3pZ+fZWb92jAJx1NM3BkMBJwbZNDv9iO9r1yuF/IRS2DZdllm qp7ss1Hh56wGagGNe/rWFm0OnFFZO8gNLZc1q1LuSy4PAosfv8jYeIOEt2F1qD6YI0f/5P5kLNFC m7NkdMoLs4xpZhH5ZpWPitfi9INIFC9uiF1xleFWcX2cMcLgbOe6+NKN4ozmHI8U8SpX5C98nYxb OCxnozymx8kxnfDGmlWHlhk0Rgr3DJlFuUKXjjSylkV7QbGUei8G3EtyxW7TAcwzxJxqYfxWrenJ WoPh1X5h+1G92u6JjKCnuV4DDIoeOIv+a92ibYf4q3X4gkAan10a1QIM0kOWoLXJj9JWcBBinrXo 9Mn2EZeK3lA/iDVnexU2Hj4kCOp95jeZsG8bFQjKTInhfSYTOpjdJJyCo78VGHwjq/OhoCIshZaz HUa371k6aeTY6oPamJoZ6HRuhg+IiG98oQtwZnOWtYgWhZt9S76ca8rhCafYMV8Tf/oKYnqQVlms vLxJ7QddyY7oz4p/jxx1H57A9Ilr25ZEm5zLp7z2s9G7yLLGTU40bCD2IPiNmDhOJ92dwdfCcYuS bOgpef5wp1lewyPp+q3KgTkjBsAP+pm4pJ+NWF7sCHqKqMcBpC3byrHqr05TTDkJsQqUX6W59ieB ZGNrQmWy77ae5ozkQl2ErUa5f+o7On7SaQUHxijha6XDi4pASe8YqnWGjbZHAfGqfh3Mwkhp6i83 Vr7JDNaymOS83pHeN0rRkQxebPJswnki+ZpBeqQ47TuqaJVorW23ROqIGWf159hr8knnCOgQ8tqZ SY7GrPpDfhetK4zKw3zfqyGDLId3AAMf6Y0OKQp0/71SwdW2Dn2Dyh00FCu9xm+6cHV1XSeGXGy1 JuhGedSeU59SMIVFq3msODSD/HC1XvJ9HhYGzTlc7HLMVf927HDFAgFcDVqgbq4ucuyqvEIRWXTW +MuGrz7PgkVGd/tMBl3/RhZZrX8jFd4EvMgc8x90d74gBxx+6/MQ4jqmdYYuWqwBSFoKEWxVPzdy X7+n3uMygbKYyKiUVK/6kYcNUfNRwZX1AZ/zCABuWPvo53ExoG8W3jlbVa+Uk5qEaZd49ERd1VP7 8RCAKZHuOkIjyWWd6XlaMsjIvpD3rrh3HNkzqhQISNimO9udQmIgKs7AeOIRJSDbnJ3aAqd7MChT WcP5OQ8RlkYdfI+CTHSLLya3lI1Ij89v5be1M1Qz8Eu3PNhk/qdHOtqSVWGSuyEvf3hRYXGXjVym s1dtFxVYuPDDdEDdKGqFV8o4VAu2DJphbTJGk4VUd4SxzT95I63zjAI48NlT/NImO1+zVyvaRfCm vhtu8Rr6KM5JiCwBgzIUEVa+mY8n0jZtYYuGj5nJc/w+24J+PdzEn8GyqSnNoD82VxvWaBw7ClKc eD2xElTapl/+TljrAkjQWwwoGjYIU6mQFcQag5E1sDNcOgYml2c6nrDFlGfibUI1r+MJZSXulEIs OA2RKvRaSnee+RvXP+xw2i6bq+bGQM97+qKrdK4ZOYbcXarKQtonxfM02ovvM1gjo4VZL+1/KfjI 6S1npT55ExiP1neAtYOTH7hR9BC13JOk7hP897EseulNxVy7oxOW07QlXFtotrIUXFaIZrnFzTHd r60g9oCsMIo0Sql41DmOJjN8ZJIJKg2XanYaXrXzNduykz3jr4OVAKRgjask/1h0nC+SaP2JgvAc dxfNaXS1FK49aL0VOralJ0wlwES3ucJGj73tcvLolxBYr18uEB0YuARAn1pjqGmtsxnT7F6ht6z0 uuShZTk+PRUAAbXyO5R37PwmMh0qAdJO4Tipi08+Z7esuWTNZg7PV7T8kON6pao9dvYcKigZOHEP jt9kC/9IWfvVvlJYzKMfm5+5cxtG1ru4SVG6J7IROWoFGM9peJ+tXfFr9K+1HkolHeBWeVsAH9FI Wize1rom2GA3EGF3CSnb/RKV0JV4cfsDgJI0qCkqGLQdHN5jbqUAPfiWjguT5GEhw/0CPmJID0+O atVhLXf+ZMTpbp+97qUBs40YE2Cg2qCtR3QURAyYVnq1R/vAVZj0mDRTwOSVq65UYoRYMexMzNvt LpCFs6Ks7nvH8pgOWEYd2Jb9LEn7KG7RvOo4y8FFC/bTdWskk7925CyQFrKqzuL0FhihN1XaJKTt W7LMB7vLMKYIDcu9LyPykIitZsa35lel3Wj44TqdjIWaKi6jfHOXbklwAFkQtXf0PJLmSlvXZa09 cDQQmqdNS5VHW9waUPcNGFFm5FqMSYBzObhMWOKSBaD/1oIZgBrUHo+oWBi927CpfoLXYagoY7Y8 dSvqrrzAECKVJzZPA+DFJkT+If02YAFf62Fg9EgQHiO4BTZseNSCfmWk31T9GXNEwKGRS6PYppC3 8LZIGQFMilOTqaG+UsaBOPkTX+DdT2dGr14mSchIL1q7hgnT8VGQoEBFDdMLhoQCSkAS5S1LjA1C dwAJhB1PSBOl2YPfxRUocD0rzV62Vif1AtJjnqMgnWUpKJpykmLpWueA9HYnCM/okzDSxOUNYP2n T4K7M6YimrQTx7H/1aZFPMhLWl6ll6kEMOqDqERfzkhwqLM0HzJOORKwzoJ+RcdmiFXWBQ0xumjb jRhz1EdkXnmtuDQkLXVykBN8aExeql1cokoTh6Yr9ZfKkYS4yX3Cs8VJpSyts32LM/GiAJQQLnjK bDrE0NAmPnjHgC4o3CCC0UcFc9KtjF+FUKO42q5fx/7EgX0QfEy2thgwePqO2w/U4o8fXyVFMy3z 4gbC1DFOgNNBN71WLpOpxzcDPPcm3iM5Mfk8+B+TXnMHUDKr955wlpsnMUGVkBqeN0Ikd01DJEp8 gRX8dTXIgsKeoXQTtOIBxVHuBqc5r1cD9J4TCa9BrpfTBuV1OLn95uTHMgVaXp0BH4Cj/kOwARdi LgYFnv8qzFkAF+aw/I1NyqNdwc6VCrtHqeIYxzAb0jsaCOOeoeqmHRC1E0y98uuAKKST027kVggz OLmFjWLywP9goGsZ77zc9J/2XmSlGsn9gG1EVnsIvxTVgKysbnLGUpbYw851ZSOTm3IsFtzjqvmw 8BdwNJOKBqo/3Ye+BwzVt4woIxhMOTOP/RbeS44Xi1G8zjuwNLp8zjlru7J4HaO42EnUNs82iNPT 0j8X5UqmOZCIB5F1utjcOjWcbCserlvRdfhyR+JjWMIjWyN2qPTkXsgYQqCTjp3/pt3D6SFOgl34 KGBTfX/kizi4cdL/vpGw0w9gMkYtMAfB2yfsQXZmnxCgqGc8JjbYpuHIL4M6tG0Cpv+XQku8uXy8 UKBVUJSXeTWSGtP6LU3zuwtEkxS68d9AhUkxWB5e3APd9Y4o09E8Mv78cpGlyOYPdzyvIJx/8C0m 347l0/SJYYJS1Xv0YPOMF7ULAN6kEeGglvqUTk/Npfx8cqaZ+9+b9+RbvbgEkiK2n4aDNfwGHxtC TjXox0aBKX9iW7FQZy0UdK6R0DXUnrmKpLPBwFo0iNFOHcjQrRJRj7XsThhej8EC0As1rVBqmSqj BR1BH+2tUN+YyMEJMtLszjafLLl461kQ8sCW/nIBQAjGODEsK2MHyVfSm9iepZGYM+wkIF4HvEO/ XCNPq4OcZni7uR1y4gbEnnJ2ue2S09DxwSzFA4JvH9XOWAp35kgo89I30zM++vY//Vk6CLZ+vbmN A5zx5Y7jf4ovqqWGu8qdv1yVIJiLbodX3E8MHtIJ1THSlurxeM1VxSGN5JweAu0NDFuPLKa2pZfp 4JyYkk6j83swEY3sLtVG0VfVBEAI5RfIZF2qZGa66bUm8V3UA2ZVNv+iEqXmp0XcagIyByWm8fwM c8TMpu2vBs9wXaiLNevvdJM86/uaMTGwR/SmQmxgTzY1zg+kz0hEKsK3mDaMF531ipOMAvEd2Idg 5S3oY/Ugw1mEzY+MJPTJb5ahXaXfM8o7oLqrTj0+oge0R26zGNjf6NCFEl37/dajiHiAYrZPR9yj ZKBNrxf0L0nwarH8LeKzGOzf6JAF7VQoylwN8/k2NLrKHGJfXf7i91R/F4NZJ7FpRJ1tnInHTPKZ BRliWtwBaVfAz9rwxhNOOQSZsdHUsB0Gk+eZfeM57bKEsHw8EIeWfEmZgUeSgPcvomAMD0ZrsyxV zQWakdrDZY9mb79RhX1V/8k/j2OS8xt0aW/hdbLllVeXOvE2Q+V48hoAZYeyF2Hb2Q20Ev6dz/in szixloZZEupTBL5M5Jjm6ezSsPC+esmGzukw94nXyGRYdSxk6iQ452c8O/uePqgZDUt8R/njUAoU wtsmdWsKRZD8yXvH4z1cxeBWUyy+oWF0GGC+wi8PQSkHUoeboPu0o28xLtnzLotMeSO85rPAAVIA 1BmLmjlqDBbEuVY4PyOzMDkhwWKSTKuPWpuinb6shhJeu9HXGBZ5brT+9RLTPj+dc9RLXakQcFdR lfihDSe3CH2vn4OYy2rBw0TLr0/OTr2k5dLASAgs3kclBwYnNl9B2ErOaCRWIZ8xKonaN/UtGyOo 1HAAiBd6EmE9/9wUTnAQzrfvbwSJ37Hhr/R2rXkXKidGC2ziWuZnKzs+/DRCcAZ5wO904KZATWh2 1z841Qtpp1kge0oh/LwpYmd7SZ50SrhgZf0I70LBAA3t8MA2LoQmQAxIzL9Y+wL7TktEnZzO/HYm WqeA66hBfKNmBSSX9avizk8xQ9mBJDtPUrrxut6XZlz12Lu4ESMOCL+6rpjW5adbMir3oNNuWdac fcSq6Scha0NdjEu62iAdBMDA0sG3X9h8Ar6u8kh2OHV/s1y1nhuDr82LvBxcuHdWyH+/05woE0rD 2XmS9OP1Vdxmr/qDHAXYA74A2nvuoyxNv4TkybMCMf6UBS/BDOrCp3YYa8ITHAo468DWLTHvvvy9 vXElifV/w7VXyiYgaubpLMzpkkWaKIcXjkLrM7YK6JfXp7x9fWbLcZQhXpmX9ZkmRSGF6QRGebO2 JQcvuaZjpHoqZncrovSoNdj52/xWOxAk8MdO2MSM8xwubbJLtbWM0VMTIK1HmEEgQ81ogI4SqimW 8Q7KJDHGKipSO11bThMuyT9R9D0m8N+Z4D4GLT1mCUZEbfN2Jvq4gQVCnLNRmV3Emeq3ECJYTzqk l4TIzIMsw0eOXIpMkieAA/a4WGO6wisUEUveeqvDGwkDa56mE9h8OrCNTMZ0FeYNRM246fP0fC4v 5PJSdzaAfNEE/Fcrwv+W51pKIyw2zf4tEuoOIsJTZvYI0zaKOUD9csnujLIa2zKjHl9DajbB+5Si Vot1s0RrSe8tUQT69TfB1AFPeihbEseAMwWKV1q5BJHAS0pQmLv2aw9wbnTBn6KL2FZPtnjJIhwp z8IxOs8tlqkkm8rVxxMrPpxikUEcQ63mr5LTImE+wmsyIN4KMfDOT/jc4cGDnyrJCCbjLK1gJvDr UTiSycSJxNeGuOxGNxNmi/CH+n2ydQrCHUEkjQXZWMy4SnM3JJvs73cthqArMXNMQ/nUD7A984ZP pMOEqDhuMjO1n1w+Uw6oYQHuh5JupUXiU7WW9FZYU3mTldBf5zy4UhzP+63wbkTfJ8z/Fj7pLtt2 LFleLxlq7N4BGG94uLMEZzu3vCKKCFtRprZxyEe3EDdoRCIrDQlPjxw0zKtP+YXv4PJkI7lSBrEe WKqQRHSIWtcPJtIQ3HmYIAZdsK+C/huVXbU/FmPEXbGf3yWpJ6lTGAj8yAOQM2qTY6uDbvqTq3se Upt/V28DuNzusQULHENVG38VgGu5I1QCGoFzWA59z1rrKhZc+YxwSyvCoyAog26atXI/kR2wtMb5 yXk7pS6iVAJ5OnBDQyC1+20L/7PHKbBECaOToSiBcmRG9o2q4xsqMWbTdKVlFZuZovC6wUytOOur rx1cRRjNUcKiVQh+L1xl4N85lzVkEJx+vUs+xsKt8qLi2d2m6mWjO01zp2xapUPTDWTk6FFb6kM0 QQ/UyG/hSsjO7eZG1nUkrYRm4t6uUVJ3NjK2yZ6Dpo+C1AlrlAcOrbyR8sJBh0vwlx86kR+54BGX P5Yt2oS3T3/G55sJwqTQT48eL8gJsi9dmKKOg8uAUg8unbrJs4bHOC1IyS6qTJM6I+3Y9LBow5VK QRtjP1ap5sZ9X3I8e1Dy60bbkXxnNDpjHI77ZJ6Tl3WK5A4Y3M+gelOTAn0ZQ+A79p8W3jwC6W1j mJjgZn5QcptO9/0vBOotapt8dQDBDtfPCt7PAwXrI9kpPMU9B7iPLihoSjfuW6srEj7IexdliPJk iCyC/tQQiGWWOxkm+B0Jtc/EujBeXNDoCUXmacPNGB1rwJ1LGkLB+ez8u+msRUZZI2qstrMHHCUz AkwUFw6DrugyjECnOm+ozNdc4CbqT4MGfJXquZbaZky8I9NaYL6rWwyEx51PX5LM1qK8R2fAaq8i DtPM2yOiFCkTk6Q6uiodnpc/nt49lgc1kJ7AmdJb/7hfPZIaAYMOolihEBY5dZviYLJzW1syVU1l UvCaTvZwjbEUUht6+aEzPiOmyhdPxcsdGLDNEHODPxn3b+IXZzGm4SG1bLxuF3RBwGz5cmrm4Wlx 5DOHbbCI4b39cDXX4IQQWnL2kAvIFhdg2mFfH03gN72zzhZO45X5mNm2Vjmym3zrdVE10DbA44pT FJeSWYF2+ixLPXJ3VFdH6htnp2lnyU6lbYyOgA8/Fq+S2/zbnKVmdNE9dAX/yHNeg1xt/o3xlYYV N83kLtW3fXQuKbiWMU2JpucrLrHoleTNfROI/+yWSLvNJV16+rJxibGZLD6Q9NldHsXTJAxniR6P 7oAzUuwappMuXWlUVwClQPKjp3hS6bPN6OPQio9VStpKif+eycu+uvS4QqVWvbDfsdVYDEKlLmgt L0IcrHUKIsn7U7J1cFOs55ue4k981wf3pNJA7GuNRuXLXBfgu6VEHP+RfCk1W4v/hLpBevMThAj+ hqPUmmZgFoJUg82zwjjZbiVrQeLBOYnFGzO4Dj/rtIo+cQadzuhLpKu3I7YTywdp5HBW+VrTWcc6 s6qW6q7BC5WjEvz23Qb9JaD0Mv2p5k+4ewWwy/ggvg25ztE6QIpkAWlPxvLtK4SwY7jX3PQQx0v0 ifbVBIcz2XWQQ9sWFm0U0uApD7Fop1Lxo5WnSoWz5j+xxzMrZKvszJ9LxtPOVEblycXG6z9ONTrk eRdhQmNxTF5Vu7mIhIH93Q2frrRTPiUCY7QmGTSUWwJHNq8mToi68tN+F4LCnf3pM00yN3CPuDIf vde8PAWOEGpnAtJr9tOjbuiKETb9t0160a3KFRwXAB6vRkPzkXVUJiJ7m6gVr0BzgwzHL+jh0P5m ThPgENgM/rVXHzH22YpyZ9refsq0Sk4sPHwQVqd5QZiI1OnBeaYdY/CrSgTO+sq/Lr6FQ9FyIW5z 1CVmCB0tI4OcKyNpnYEEYqMbnryWtfZTC3/3/RjLhDtBDFv09xG0CY/gp1bx6AEjdqSY7KKIjkQH KwGHU+0hyOKzSipn13hCg8Rc4Tp8DcuEaxu3+u7iQ5rjVJpagkAmX/G4gA7Fred0UiEVj1wkELUw qXwpFh2DswIBKPmjBYF9Nc6f9BPpyHPtnNn23H8o7EYGQMc62dRU5gC4/+oBWCjMNglqj94UaOT9 Fp5CYfBA2k1FXq1DM5Bi2eOUKVKsPvNAo2X+blHRG8f8Jx7qOVpSYNJ0AV+9rhLTzhldSGgSkJtN 4TODmU6YQqp/bdKBEMZ22kTGZLxU0kMhyjuLSqav1vau+VRnrpPZFpVQpnVt+zFRqLYaFSFf7zke L/hhHK4nx4dmjUTLHEO9E1reeNteYkgmS/wyYhQbCrThlk9RqAOUL4yK9HrbaVf6/Hb7O+OnVcLY ohMXVO0bjTmF8S2jzX6HWJKcPBTW0c3iLaK3FcU0Qteihl7Doc2fHHl7wjBBzb1kF7FULRNq9nTO H2nxRv6k0I7u3UzVvy7HAjLpupa2K6DOqRnZRTePBwtSgkHrqAOl3LKkFaLB0MDTYBlpNguuW5Do 17GEDYC7P8aXQOF3OnwAGvYsEb6729AUbPXqSrx7YuIvJ4mABv4hFbYeNJVC+zYxEmzef0Dm96+m v7P8lDYx6mZyf8o+6jFbXLwdyDWaqSeuB6lbt8Z8OYAJeidxI+dtgdfOJuW3Lk/yL4deXn3so4Zf rtfH8ROSeNXSix+uvAtTIDJg/jivxXDXxxMaoW3iRgvnfFT1aVt6IhdQB0RuweWjxlWw/6Hi5xTK 09UeNKZ0NLhiWr0QHXi8GqksF/PhAbtZIbY169GhghKfSYzD6wj64IF3YNWFWFQpF8ENaz+5OG5V XibO30m62b8aAoLCEmodBlkTNyncuQ7ngy8LrjhAAlLhKF6KIe6ZYdrtN26SYirM4EzCqGcwCQ84 9BGHySaRH621nkqZYZBHJM75H7rs/7aJTsuulOdAdbO3X477X/5ZVjxuB0p1RQZuGyUtecfldyhY Xh0MxO7477mbklPnFDnoQ13E865f0kAZLUA076hO0zfqAQMNRfMCyy7eaxjI0KVy8Lao7YhcvPMx YNLu+utjILNm5N5qU+zEOyW5ojwhJSK+P51Rj6M7gipmmgo9919j1HkZUETtkEAIMBaNU/ESR2t/ oHizdI2aGCTpl20zf48ujlEO6nltWfuyk72XfFOszXGL+RQYoOkxoNt6kbZD5tS/kjxrEnGtfAMK f2eCMKaTUfFOIRs/v6BAtqx73WqOZdHHhP3/Gg/vyY+vkgQ1N+dsvPm/EHB51emkWCTTVecY0ORc MbnyVOCwNqyFN73ZW4xX8fLOGdmmSn7kkFLzL/m9q7IzR2CwPbYURp1xTVprH2UIM3FyUtw/yy9s EkHcF2RTDHhgnZ5Fb3/7uTW42QWICjV2TU+HvK94yCkWL6a10+neLr7kNnBVBKlStOk9GcUgpzsx PmXrGpsMfEREWVB6CuCy8LUPzdPFMBN2wR4sm46oHrgCFWWYqIpHixL98ZVHwJcrXVOUukosa6IV gvnsoO5DhL4JvMpJ4MBrK42hwc1MwAhOZTDAtu4Dr0cpauL8+liRr57vHLx1PwiirJ8/n3SpkrrE 4tItLYRyM1zX51UCl7H8Hvfl4wfVt0x3N8L+7TnvTCH2jh5aziioGVSgcXitfwv5b0dvd+AjvVfO xiPe7zeFn/bxnA+b5wo/nefsOmNh29Y8N1SiGNKzrOwEoK7LOnQlf4pq1NiT5C4fcUeXx3y8EpUS AAAdsg6w1qxookWSY1yqXsfWB6820EUAWLDOYDHlpUgXIHGKbze6kfY62jxG06/JkEDLKazWB4DR 9Op5fnE3loE2UiOSTKVijP0ZtAWgJyEuDi4gR/sYR9lXNqHImKTPGrVRfLkwEcV6yGDrtb/BuDER NYXk+PYJ3r7TURgOhephTkYjFQcMegGBepW5dPoEdlgfu6J0vHw4zdpd9cz46F3gIjZRNCmF5YhQ UDMObf7sai3ew+4WKRyaE02Kk9ffN3WI+f5kjIKyXWK/jy8SnetEqn5s3RLgNuKW80Zr0L/5725X bhxN7icdAxgpl0gtAzCtksn0iHS9YLKIpAGd1GJcq7UPcNbSyhzt/VRT7ITEzJf7mt4jEqCVidZS cRhjULNxapABHcbNung85hXg3YZqMouembIyUCGr8DOM9scVFO3gyWFfWpjvwvtpWZ+cquCv6N3k 7wASBYgQEHNXd7jC5+j0oJV1J1R/+vs3qFwG4mVE5ETHezc/dK+wwxmRPR/zBFt/+aklpAwFIlam kSfRuJmANAY02mpAd3CgneamdosBeGdc8C0+5UZR6vBebwavO3EoctVSAgLYZGoyzjtg4DZrbde/ Z1P+UDVFPcYSIyjA+MA9MaVR0l5b7Cs2i6o35K0xv28opunif/GIehzSDrbsDD4jymO+ySyo7vEi EdEfPYqzRwJ61Mn6bM2pPPpCmphLhCFuaDA2gtdpHta68ij639xq/siuUSNv4ggHvFDtWP1e35sA eGAqiARNGkVgioZxD8K2L5ihByH297V9S871ao3tFf4Gdk8ln64H9HbiUGVWww4eFdL211cqElW1 tFpuUBdNZqjp+EFJcp8G3EQbaWvUv3GSJ+JXIaTExE1jdn9e+/h+iy/yfNr874rjoPFInuo5xotl jJYoateUQQR3hk7QciSQ8uiGHr/fOiQI6mNvxnuXo69UXODDd7Ykg4f5fXFdIsDOcnkGPFqjps+w CwJUg5q+qqbqM5Zjiy/odr3JiaNysHjiaWr2+iRdxGO4bq4wkkK6/JSQco0JPNNJdvXrSj5PjHVk frsTmTEZe8conwJLxVfE8rFQ9a43XzL0pro93GLcJ6puZJMSXP1mz8DT1dt/yWLvvPE0jpWH7h15 CMv1/LJvMphMak/ACaGspgxrXlIuvci0SRGTqkqfHpBnusyaFSBEXhaZoT2AN+Yde1PWkQBN0K4M JPhS3NiC5bkqTwmlbVzDlTiBlZeEXAO0v/SRLd4SmRpHnmvhhM3teXC8OCsPHjgc+XmZoBq1+KTw SM1Velq4l4x7Wlh+wO2UJAx1argt9RsqdAu3YV299VEpxorHQ5PQeL/WJCj2Z01zS2YQ4oCYxIE3 Fa/PkLKX0jtdnZsGy4x50PGjevrQzq80yH9pI618pKMPojGqUXcIANQk4CmasB0oQCgdNsTZIN8j oriN7BePyPnV3xlEFeBx37GtkGMBCH7/1AqCj9lR35dZfGWyBoQMmheItxAMOxg4oxRjkaT3ICU9 pBp1E9Wav/5yCaT+kHEcmQJmpeXLEvbldbRwTgTh2ckz1uXT05KmsWvSdYYqFPih8M3Lm1i6a1gE mRU1g2yifesmM08LcgokoJ9iQaNkf1ZdO0Wb2buuQNOkihQ1qbMJfF48yPUJXYP6GHbLhppjRxhG moSMF53yO6kJQ2BLAtRyp/u/rep8ibzbjB81AxFHhwTfEDy+1er6DX0aKPGnJmht8FnlrgWgllx7 kgz9Rp/9sxl4ziCBub033KeereobsAhOE/WOAasoLZlGDF19nGkjcLCTTdj0y+M04o6v+3p5JQhj u3BukRXn0qrgnsKoTK4GiEV35hzT1cWQuQX3yybQnNsL7ivzp2vu1gEmEdzWJaIrDP36GDv+cxhy j6SF2LvwR+S3u3VlGwnvmfdqZIBQ2IymBsRRmCx4wrVdo6ndhk3L8megI+vSR44/QOn9zZAZD9hF EzSWS4MIzmncky6OnnbkKc7An5zLRG5iZwPEPFph4xoBdZ0lIRgrSblbaDaMSEhdcJusZiz2Feqq svgRIiSOZyCqx1Z5Yb0J9NunMOkHntTrvI2Cz7i559YuxrnLQuxp3yaNdAz6XmsX2hJ+O+jjzB9b 4RzvnJBZg9RJM012G8Mpo6blN8sq6Q7CcclWW7VPNHeyWfJxcdkV92FYPBViytCqhYn28G9FMLhw C17sVECe6z7RSAS/cMnasiouQ5l/qYkp+ZmuZJFXtVCHtR74mjpKYl3WAOzRHkbiVlq7xg9zU1Oe gf1BXs7nNwNBD0RSA0VvmSQOZfXl98qFD4oih320wHD4cYvNLXqYzSGYDv78Rjntp7AUZmVa/wa1 VWhLAyBhFnKZBaOEsZvJw/LQSF9yfwgV/xRTboE/rlQzPbuINcOTu/WKegfIh7tszgbp0ewY9eyW TAsdxScn+HdVmYEOvlobfB+4cpF5kTtYQJFF0oGlkqMZBl6TAFqwfmIfjVVYigKB3v+eb/f2QxKs xhICOCSBsfvddKnqEnVXDlf/R7LPUn3R2NMm2d42NzaAQV2By+nJeddKYXPRBGdl8yslpAborzsS wExtNK2/Z9SWucyhKRk/0CnaGMrKIWKvAcH7g2DeQuGCfZnINkJrdoDzKPGzY+i+rkzUhcOIQr7z Nn+fA8ti8uBkATBTlzWrKJgGbQtuZ9gQDpWZEYwt3ACdrHHkvBe+WlzvMc6IT3mANpeZ5NBuchlI /wPaIauw1l4h69NXr84eU1Ny7yCrZYcrAIHLgUII/sIsCk6O7J3uSPwFix/B7HLs5fa1V9nyVgGy g4QZkOEvHGqVP7lNtz86wamafmCSUaMaicSfMJadVYbD88boeo4SzKnWF2jSurL4zVU7Jfx70xXy xmX6VFm6qh0sMJSW+1qAVRjQbWVhENGTHpWrq6bwa9PuwAf8MZMI/egE7jsCf22v2Usz/mAjpvoF gkEILFnbPntWsRFZGtnBL2gZuDjxsfyt7uW2O1akgpQ0RMxkYbErL8/sQQTVVevV8HJhZxqz9M6L m+2xp2BTCwA1wd6XTZUjICOvnOhiRgaZlEhcigmm/hq8UbRvHUaKXKFQRnVWeWWBLoBgeMHnBCf0 uiU+72YgKeBfO9NaFKZclbSXDVA8yVzkB8rC18A0B4ome1Kl+HFWhgvxtjq+HEtlNQFCz+6r1YT/ 0dfOMVbyoqbQIRz2n/BtH1DtMTIz7e4ztN00Xq0h05v+JCkNIlPcYGcDwC+GA//G2JAzGFX2dxsv tlUXYxj5Gc2Adnm0Q2YYvY6C+uIYqrYminx6ll2o8XfMpjHrmwTkSrnv76yAofIDhYlPufJZI4JH KEnsA4j2KujcLOHAMvTyrelbdbKAc0bQ0Ij9hwnKySbSzIHBfWPINRxZWFmCjMCe8Ah0Z0nGzNO6 bWFxaFQRQMH8kH1TbmBFhZmXrMJVk8WSXghQQMDtfG7jTGUGwhzt59sSY2/ItCZi3dDw1Croe86s IrP23PJqcQ6IpjnO7lWnLHBKIB+UA/PlH/pORqxmzfLMOgBAJ8y8TvHCslEISymQ14Px1Cl9mTTM u8ASv6nd5fN+bm0ORja6fKa4aLNmbERxnYgrmG+NLmm+yUqE7Ho30fsmAtjeomOnH2nyfa/nLD5r wBaSikekQ9hUSPiPfMQgTo0+pVVM2Ir85KzY85cRPTrs0XeWCbZcjDEt9E6m2UjTJ48ZDkATbnRK edYOekJZUBkNJbZ4U3rYaTFwszUVAKpf7AJl1v9RDzgirLYIftzEclpv1hLqCt17kCk1cqTPjMZj CR1AxYvb/eY2xAQN7MSoQNiz4FMAm2jqI3dnGq+LFglmULvj5ONoFhtRCpcyCTFVpXD+3WpzvReQ RJaTHJYoLoOxl5+TeeAPZ1XTC0GbfMFKHm1USf7DTBRyJH0Hnfg9hi1QAgfncL+JnVPVo6m3JX/S W9h0h6M4p2tFDzS8phuwikrP/U21uD7mFQOQ2bDCOiVGR8Zx0UDJM+mtSOekUhaRH9g1y1VyB8jJ EPuBlOG8eo3sqVBc1KVGwvbTB2hDz08//8FZQkkG2P2ZGwz+YnPFeNxH+/6gjgbbNCldr6SGD5mN qcDYEqnT/UkD89HSpjv0/TLDUKcY6WH9m117KhC8DJCCoNdJlycFDnWu6PfB0UQhqSL42sRNGINC 2d4lDCLlrbJN4ZzM6DtUopLWCeKuYxdNe7wVqMLF1Ccfgi5bs6Uzmr/PJG5jRtmClBJjEniOHEwR p6PKZWcmLQ5N3XaPLLECJqBrQUWiVqdLEKDm0QcYHLL3c1wJu/d/fiDHCaltHGs0sWKqviMD3H/B wLauRUfCa56OWYynToR1TMPm39Z9jQ3jLcs4d8r22WjdzZDh3HMCaysFbXbAeN28vN/9KBrX8Dh5 K5c3QPdmR2wCCWN0GwBC/Hds25L6SzbAsvwVRDKwwPyRLXS9JSWK0TR1imnupnSHOt/qpaJnX3KA 5LHi6RkQatKfPNJOgWSQDinv7ltludQ+GfXJPKXEOFWhHWFWJkXtU5EfGpFXAqMexmWGqoBv8HBa JLNdQ9ZLx77huNg/vtWmuGzau4VYSJWRqn73Q5kGPdg5BnkMgF+bP9Bak9VCTT3bnvxBpgkoi5Sm z9sKHESpnay/rhEMxznFnD+/rpAf11RRLVtZZLo1y5o4GjmyKF5BUBAdlG3NeUAPKfVm/DSA7Ays +EbnIy6QczN8S8kgYruJhaJGQrZuJVYL0H6EwnQHSFGoKkMqC/zUWNqCeds4rfchnmrFTgWGjSkm Ulpama/iZRXodmO44vyD1PBkVy1xGjs03+GbVr3Vu4uzBHDXq2CuPwiAFeTQJJPm7PXvR3kV4wOy Rl83oaTwuAvR8qmPkF6mGVsp1i1BXrfcFfoWlaQSPXE1qyDUSNqIdt5V+MZsCJboyOFsNZIVy6Te bIWhIaTx2jJDvzkWy7atqs8rtFiQLQaPXeVfdW0Snam8QklIET0dRQIb/xo8cPc68lZeaHvWD2s2 MHPMdufAdKoyNR1Br6ZWfnO1iW0HQG684SIFf8d2QOGMyxdPlIU/7aNG27HzZvg/4hSrkle1n0f2 c/kXod+ZsJx+8wStCnKWNOyrLFVmg1UcIw9DAcZ4oqfenwYql6JdHyTkv3TO2/uF9blvJhSScNu4 KF1fmtpOXhn3K2TZ5ZRhwa/KoYTvZ4Ip8Vcq9a36nOlaDc43wED0+U04QOFGrvb6DJicrNTWSELg hZBS1K2ESjpHDuqr5xEzkAoTzsQ8fDXIK/+FNM61iOQBhx/rbnXCEnJm1p6mQm6H3OI0FRBTBdfn mOcnC8KbVH3FbJDpBVHeYHxFrPgbPbdcXxgSggwCdzh0aLgy4EkLzMPVMNM9Sbms/8svDK7KYsk4 Yftpv2y3C10AgTQL+g5rOpMu0TEv6Nux85rpdIVplxLFJtHcTrVZ/mudv2ySU1hQlzU9rdKJv7Sm NrxRt34E/iAAqMdQzNjeCbvsWToeLmS1GPsfvSwaI/G8skjTccwPXNVZCJkCGoHskQ20OyjnHXEj lckecHtocAxgMl0KmrvhhxIg6274zJBuuecRp4xt14wTJgX/3dm6mzvR7DjregpQ3K7CaQDd9Ulp ZnsFNrH1AmJ3+8tG+s38DVwK4s3HUmlKuCv/LLPaAtOTczkikPR/DtdQj2/k1B1UPPW5ZZtN9ahX pmrcFqZCN8rjyf0yMNXJwyARLBuL/X1bqz7VuLlvmBR0Ar77S4O11Zym+gHKPCpusFjRRx2fgrA6 2mQ5o/WgCNS9oPGjGPRGAMCnUccYDpOe6It5SZReufO44BwvvDyt6GAaAYDhowAyYaTlzhhJzFHn 3D+UzsylCN602UHX1HbZiArgHWc1ungjXq6K6WIiNzqYNkM0QOwqLclCy9mF6zPxXofAW/2W4T38 6IVE1gXZV4evOG+eVc3CTern+kmGFPbq982HRGu02zv1qlyK+fTJ2pPi2I0hONqbeGGbHrzAfFmr 4bQGiHczQkXwqAUL0UbpcrREisQ8KDx0FMRWi0Bqlhc+3z3Mv0+uuQMgxBy7+x9cHdNeM+MS7d1K g8cszcMrdtIyP+me16Mz8SxNIK2cwG5GXJXErm3eWZnfDBC6kiZUTWx9HbzqtMZdJu2Dr9w5oBMy 0K8sMXSAC1vUpV0uTfkNqHgOgkua5ZaRWbVj4B37Ts6x28LIt1kigHJ+O+rg1o+U0te4KVfqEFtZ g8BJIIYRqXLcIVoAJM3fhzQZdVCc2H9L7sGV76TjfyVA9dOUBy3rNgzRF4rHj0irJ7UpoBNW6Zq4 bBpHipjxDvWcmUr/gu2ti4cKYbeRNFl8NUD3kt1mRs51Y6EiuT09DLZXVREv8F7bZz3FkaoHOv+0 iMvUatPv64sOuAiEA0xOySS442Xb2igD8ncb3ESiubyWUOHoIOkYfyh4U5S4jblYIr/atPBfkK0D 9+e8LqHqyCbzy77bBFvx9I74ZXn+HsP9Z5+VO5IjSE5BHQC5nxD5XUb1TtmAcXddbxucAntyr3sz Fgg9v6mBN7zT/3OeaUrkNEbAgL8no5XFMOrjp1SpYBiQbL9x2bKP04uvqSu6RD6x305HIi7PYUyQ 9MEjSYEfgIUsAwm3NVQOCj+nZVRcQdSoquvj6FGiCgk1gy6kouTpJpw8iFKoJFH7OTojNry1uy5V t4Cvh0TDScsL6dhozP6LpN4zelSN4fftxOcPKF3ISTzPfh8Jb5eOgxdzLAu+d0MgqbeHi3MaXF6k IG5BqmzRfwbOcnx+zg1q4eoUIQ/g3Ec56LEQH7C9gQtyaQHqIvWwT65GlO2U3F7aFHByVlcPpBYt 5dY9JUG9lUKG4mXk/Np9T331iec4yueRJgJnmnusG+b6eQvsyO1A62kYrLstLHLcig8FX9otqecC pkV+Ex2577Zm/Uxh28j+Iz3iW8w2FSuTO8eJHMFgZJPpJPVEcy8BDHk3R+XtHz/0mbXljC7HSYVv hfXRAfzAWKTSejTxvrPE0eckp7C3P9qn7p1StU4ybs0xH+gWOrB36T3LXufstJ2C6EBeYDMr0Vul cDiRi9LC8DPWYDcenh6A1L3sxPhhBfDPmGNuNox2TraOH7K4fw5FRRmxRpzRDXafTjSjwapWJmry tEyjkmKO+8iCZk+PeVF0rY+LDoZv9MNdyO2i6EYgwHvPMfHXfaK15MBixl4aMUMJWwYsJ36GPyvS p66DCEEy0mxW9tJNbLg48ahExi0TCnmRujiNXTirtOQLl2o0hgO96ksYpXzY3yVHfY/2nVq3VDkL W7B83ussGcBFeRecMxMvO3Uj4DAhPGPW0mOsJEBDOAOQ0r8OM5G1bVooFeZ/2VCPrYallMzn9ulP a1XnyP1iXE3qlOygWBtPE0MM074NobiDVvJxFlS4QKbf4R0ecci4SKaS3rOweS9fCdpd3fQOYANC D003qwo2XmTrkxmLmQcvjVFKVqe8xPDf5l65PExOCyAqUnsTzqiF2y0LxpBuZMBquq0eayH6W08w NAUNh9ymmgga8WEPToAAfJHjyK1LyHXyLg2kJRb775nduCAV0EZy3whX9TPIneav46TlFehyge2g R5dcZJxRgaF1DHXpaQpKm/xtSndFShUsSAecjabkA8O2BJ8pDsQnGfcf0y81i+MgW7DjOVVMvPA3 gN6csW5gbHT6wkfiF2kX3AmzxBcismHOK6gU5e8eTl12nNRnt8hP3dlqSl3mnz2T50a4tIQ05Fc+ nQTRGqYGCLZitKJJ6gr7D9eWpdrU8fH5bMtMVYeE1hJBWxD92Vjxtyei7/TmL+c0+vcu9MhfsrJP vfweEpu7/4CuyCojPzjjpzDL5WhOV7EsGa90o6PxuP3UVEiaKVuIL6cuJC4xuJGw82Jb8utv2N4p EachZ7Q67noxiPniVlzvTE7mb+GuaB54PPPCy1KGsjSHH0hasqaWp1mIpa9o+DVIutIVft/0jxda cFtW371z0ox7tQdBgZ/4aHqX/F9ruAlbNmTNbOo8bVKa6JjVtqPci7j550MxM4F9ZYcY0j5j6+8c hsQgUctSS+40LX5dND7S75RLOeJtV1+kQvxx7s/H4OOUKWjLKBK5uYtZMYxOWa1KcZWYeUoxSiGx J2pylJYvWBuPO19d4O27T6fC5u7SNHut92XY07QB8PPyn2GrOVpReHbDZ4knXa++Su5+NT+cL0Ck qZwA+tuzRnFbEgbH/HD9yW4a5J8GXnr2ZaeWdQr/rFsIMkUqsEVOv5KiztpxYWQ3Dp0TvJRlmzaN 01511aXsMiTNVh6CfhGoABfCJ7N4Ak7SemWCa0aY7irjNlhb8wyig8/n1YmqfUdYJHcQIgwJOREP +va3NUm9Xfa8zB5C/soNVqsnjewx/rGAnPLlrRAn/tO6j9vdsWXBLxXU1hCXQdGXHOaQNVwL7aJU KyokF4s4xE+5u9UO5dE2F9i6BuIpiZulg5LF+hputn4UkFxyhBHQo/iv+eefRURHdQ4lWBZCRMB0 4wrH1ymEOhuXuSpwb6oV0dlJO5kxz+RvuA0lH6oXXPuZsgCEFhbGBFJCRlHoVQH0BBy5EyYYLYzu NYgEf9J6JTdNfHsuTjLKogZ+xTgrVMIgFeolU8lGFZGqxv4tG3nZB0QA95WExW7+XtvKHGNPD5vv lEKXmNFvkfJP4I8VZHBQsfDfOtNVwuZiONEKYL318pR3BsjuoPjCM2fqzK9mZ5Tynl8ZAG6zlJO4 2EkfW84vFBUC0yLfa+BOT08KPpS5E9ffPAXgvb1hreY8yyshqFrJf5ykv9xqnXbIV0jzXO9+g4aJ yVC8+8SXusYevbQAem2Up7miCVUYVLTZgz23CYNQBRlew4ziT2mQy/4+5InhOzrBZTPBOG+hEpnS s1U5wrBjRTugy7ymwlByHnwd4H7o4+VGAXtrMTq8BhT01zmZLauHOmAAsiv1XziRT+xlKp/HPJOt CHBtFDmIrnTwUrg0LNnGjupefgRMgQwtnUl6ILuQt6egQa507EpEysxLWGB12/AbOMLAh9ccbvEG 3hXdfxogwnIaoiacznfqiiBtzKK+CZgxLFXgVMwO+2DLNK8D49mXjLBIaruTUjOjQ1X6ccxnX8TG zm8s6G0PLKFCr5OlE3fSPYYfvHxmdyjcKVpm4m7QKWBv0ZHuwqragdFvVlFMgk+0OVOc7+h0csCp XtaJ+eZLW8xEfwLQNfkF0dpJY72qx9fBG6WkJ+n/P3a/+R9evw1EbL8PottJfSMtVbHo1+Q+XaU4 Zz8H3Nf1vnRk+wSLaIhEyjrocmgEjtDgqeN8cYwUH1VS61y2gTY2W4vJHBknXBucaB4bFIpsriY4 JQsmTW/13XVNeLknoHiCjIO46Mtiqd6tcXxMFtAImgl9/90GXECAUeNqTs5JJvnba4P1zcWxDPmv IdQoCae+bloK5EDSj6rNI/91l6ztohtFs/3n3+LeQDu1bHFx3CQMtS8/1lSxBNBpUQDZhjS4jdjp M8SChkMhA5yDguk+HvdsRXByGf82RFdgFytELILTSNGE+tyZoV9u+t0AUQKcQOy2/jXo4B77WEtx y9w0HZsub81Oofxr3tevky1rQOrZArY5sXzdInlSviseeqyMXG9zHozW3757nsfXRvV5XW6AHwWL pW52svgxXyN+az8uah1bDVrnJ1Ya3S6La8HXgaKTKloEbmHvbezcQ03vTJmiVWllzx07cIfU/ZCL VWWI0m8DY13jEqiRtSi/ix7bqZtfYbbqagDeGAtVias/cdn/POuDylVr7J3ay4/CpoP+djZcLVLq LwljHNBAd25UKDUuM3O05NYAZDk9ITtBAt/9xNaAz9P62VkRi8vkQxZO9Cb2LR/ozC5kSAmURHs6 LldK55sEa1LuPNIhmsAGqh+7BRXLAjveoodiBwJy5xpZNtTFnuZMJ2gpLeNYGgr2r5aBxvRIkfXv uNENc1Sbujx+a879z2n47q+XyNycB5kAfBkg2HEmJ/MTp+tE+HHtiMNa6zd1AXWFXBZ6C7NHfX6i ZPpHhMqNShIt9FerXhJa58R8blkGo6RJq+RYSEaUvCpO0QnYSRZiSsN7Hai9unC//v43JFhh20y0 ynso+Oe3zGGXZCv9wX9SNgvykfaOyE4BbdhlrTSUtwjlf4kbMrRuaccBR64A4bD01JVI5eoK1nhG 2ND1TAGyqFY81ycO+FcLCA7Vl0pH6grhhE94JqxMZssJQkTgxn0bFZt6Xon/6n0SDZsLe1PpvRvt 5sbQDuzjs5uOn14BwSYAFGYeK99wCy5qgBSRmAMjcF9ALVdFFv6ciA7X2plzHTTex7iDKgCtKLN6 mNkOtM/K+UWN/3M194qbJJCO4sF4z+zbG/znIVRTZDgSZ+R0+ncjIm68yE6klR8FIkEQU6mrDbEY I56KaGDzhOnVBLncdytLnwpyvisGIBUI6xiuSR/GbU8V0+05rsTg2ElcV7Zr87OPbzQgwVBrTxe7 i9/pdXOFZn56dy86nTMx0gXVlwWDxNBqZSNDckKupZL/YCnmWj9wrLQJ37bwkCN57AyD3kHYpQhL N0saXsnqenlaGR+CdzYGzDOrdtM36EOJXk2vNAImXeEEj6uXuVWd8Z4RNiY5oUcGXZ9FoTKze2BA UpPBCf2efrd1CPFgpBqk8C6ZIBAGQpYKUPT3yBw8QuICff2kOESw+kUcHpn7o4gpa2EeOmQEwLf2 +k1TGY3pguGUGUS8TTBb17Xf8MFDhmIKmgLOhvfq9S+jacPT5GylB8peIiKAzvMtpEIwix6le9iS BA1Gp7S8b4Uzh8i1gYOaNHXP5OsSHkAS2tZCTAblXzpm+CzKh8E+rBcMqxXUhFeyyPf8BBZcDAXY j6PW7INQD46iI5yKvjKptjlFNIHl9QioPaZsl5qZgzRGXNE5OWnPB4rclzWuKS9JLQpgI9oGPMy6 AEyBOQ6GD1oinikWAjwat63qgIJMrlta053EFmtwY0DVfZU1pBUyJj1Nsr/ZVghvQYmyWK0Inenk cF7tY5S3UqMPurVkrkH6B2YFmqOic8tUEwD60qIvXn7I5KISL75VorCNbHVe638UgKgMtOOiy47m KYcjdv2C4vXOHHn0dFwTHjeCLh5DRmJIdyt+dAxdQ+zYzffeSYUITEWz3uC1lijI99LOjcIMtQ+z pmMYLQMaMMP3xoP5rOqo2vXTBRM+5Aex22rdWLpjesljYPIZGkKytMjsZESRVHKESwsoYkK+tfbR gJYPMd75VqYU1HL12MYtJ5mQywECOP1ysYrhiYFh5HVYTjrl1qw7cx2mlGNB0lMLg6hRESVu2S6G H+GO/KUhUx7GL4EXcDxKesrW3CBwPDGi8DO9Y1qz80bCjrGE30GIyclUHTyMvfk3+LHLWF7PUt9C +FDNN0W3hnRfElqCEeWi1wRrnd8Zy7QKZUP4CPhOqx8miRdRzUh8553hCXD4nXZZWwXY3f0nGt83 tzsp9iJ+gCGojrvgW49CRKh14GOfMaBeQiTwh2/F2LwwZuaXxzbrxKQlvDgWWvB9lj7RsxBkW1ma k+vhwQtXd/6i7MLbUDuVrXbnnH+ivdAIt4b5YJofxXfy4FnxD5DHtkH1aoqjMM+ictciwv1QIvIu 6qu4MJ6OIJ6pc6S6i21TvpYBKYAUCuSZphES2Cr84MQj5CEmnznaDjUUao1NrcgTFM1FT7y+aTf+ 7Gr71iF98CTuZtVkiScEoPL845REpmVc50arA/r9reQiihxRbczapEalILzvKOsCAbEgSutRuOEU lEQuM6+Ta9gQghqCqUTlBEiF8+FSPIZklbpC65eIkKo2jbRVI9FBo3YnblOH61Run9DtWWGN/QXd iQHerdMtF3k22zVhJxxkIIwpLzMx94oNi4V/cLCG1FnME3FCcvCeZoTb4pnBFkIzvufh7uuTuiT1 ZxZHGOpwFzrWoqv5zUKpF6tjP9OHb8WYEZSzPux5sato1ARRopkb/XcPJ+ebzgwSlBzEl3yorTVX +0JML6KX/6PIzS4HW4Y78VzkWwVpXkue2lCBcb9G0KwnKKHSKgGvTvVpaBpwrMFdRiL5b55tQZCF 3hW0i/l1Dp+7eE0XLqXxTqOaq3js/+t3luNAh75Nd043IaOVl4FTR2Yz46FDGJ3syl2JHH7ywzOA FvQP1l2Q2W8v9TSMp7Klf8HylvrJFIbJTkq8guLFXhZWaAV5NZJOsObttMwVwWLgnrBP2n2vg+3+ xiEkiGi/dpHU5KfsLey22c2veK/UOkkCsxxDyTXzGuh+SjMmj1eWsSEc2Q9LCQN5+2jPLKIdqbaT tnsGr/cEkUC94I/C1wklgRAxGBPZyn0oYCJMnzMizNcXsJM7Q8rw2m9boIAkCDfec3Gq7kMTl/we xgBSCHuoZAHETA0EzmlfM/kIZly0M05Ln6DrziXc6drYWmrdtNBi0jBcEXgqc6AlidGYFK1VanHA z+ybEyd+jJ7eAR34OmqpcIijveY+2sze0PiH37r5fKclqxdgcBhRjQvph0qhE7SBKBSNNHIV8OH/ Fw3kJByA0XFHqlkwfJDuTorPO8uoXpe9uQjUokZsgXMXfVevPqriSz9GB5YUm4Y6G8qWnkWyqN8u mpsGVDgZtiNA6m6q8Q36Q+Nlxr/Irst5xfiDFJ6aCzNXUrGJqRF7tbxvUgkQFfYNanfIzJEBQeEC BA1sEZiYwEvI3rmTEfk3/EY0PowFJ7HjZJj+WHVN699ecnlBmxB7Cysd54SJMEqH+Bn2lKvKL4B8 mnQo2w1eukeLoZ6YfPFJMJuRgr+DUV0W3hUJPBzKkWnL5m6h4f0yUbwIqK+xgPOsBFn1m5TLMD5y t1D9oW+w+GN2ZiDQvG5VcS3a0gsP1/Sc7CMJh+ZVtBfEv7/Pt3jfvgmRImhxclorHEcqP4+t9hM5 dJ0hH6z4po9/pd9BWe3h4uH0FFX76Y4X8b2BABQS94d6+TzpvPXZneWFb49cbNykBWXiIuGymIGf KFEMDwZBTRaIx8TG/pxEBsTcBpTDpl0oQs8xRRsblNlnz1P0ybPCH48kY7s2v9wRKm1yP4rZCmm6 nELIVi9OVDPnjjvB6KY48O7STsenitd8GXFtY5P2sLOPHgBBh/xd0wWeaWdTcHFbPF2MrhzKlyHS vtcpKhCu7BHdioURQFbgBfiTnJYdijhhdiroCfuvw6UvBisr5LyWo+BwBj9P3lKVVVr7VCXM/jpo Bq7nD8OrqfRotRSx4vcaeoqSantGWxnz/OllHXTrAR+EaJoAvC/X2tzyBRnljIyN0tppr//iXEgd lSRM1+Ha15pP+KkjyCpMwCQqLE0xiPoBxUN9B6LvjG7ylyHAmW9sgHhG48NTE/lAq2zcJAr5CKic RUaQp0tU51l/2CF9O0+LiddUUEck59Iz/lzvmZagEzpBlhcGa77ejOWFtiTfSH4eqMgqw4XcbbLq 5GG691x+G6uHNYOqU1JLZXChNsLBiVRBGVVhIuXPEi3mSRzRzKlIYeMVPptdGBnaZHvgDg7xZFaf nyZpqaReuFQYRRQGlbk2Fp61X05nOgRNtXFk8zrD98bU2kmO3XoUqi5s+2muuwzoikZRkNxwiHz7 Cs9VfG71tqKWhO1EYxderzcf/CIZ+Z0K/QI8EOsXuoUcG78PztpOhrGJR2jkso4SVt5dYkx9QZ9D Z9p2LvPd7u4p0baUqMe6mWNvUgVAaluSL7vyWkSuuTkw2/jYQLIrH/qAGmzDK7itixC+qUVK2n9i KSShXueXyO4U/1fBgcdJh2F2TzKhVPVyL9e8vQ750uFRI8iCVgUFrQSQ7P8YjAb4d+J4fDKk/swD JdNyFMPST5t7ula1uo9q6XmeMY8n2p1j9tSjG81VYYhlJrbNjwtTaQSOCLaUg+GVRWhy0ijSiJna cEQqU9uEFzaVw7b8c9uajK0slEN96rBYO58YsRwTfLfkAwAVm7hTSFITA80m8SZK/tfGjR1DZWiT KuFk46NA4obVIbkDTQfFWIOIIG8df5tEt2mgPYGNII1GBoSKW9CCj7iTlhz+KUPsdKQwHIfcb6Q/ dUgaTYavR5OHIcz8jK6HAOHVih7MIUybun/l6iR+BZLR3p3aZbIhrtDcA7lE3L7oGsJzlEct83+R DkHn0SEpieBj9vhNHs6Dkjjg53ZSRqusUPGPxfsCr1enm+0vWMHxG6Re0n6cjjuDcz424NidL+x9 T2cPw7+N5BIAX5hB03QgjLmZsdTHxOeKNzM1bZdHXVqnwmNnoaHjHNyK/eqp8NIIYCNKzWT7h6Wt Wh+l4pDCf49jJRd9hJwQuej6+/I+sJ17H9/Ly5yq1pfMeBeCuP8slwnnaqwiWd8/HM3dfgu4i4an wBblCtXNemGjlC5s63Y1FL3vIxAw52JW4hJ8jSHM+ZrZ35afMCpKfdXeBJjp+h9D46xTrsEOH+J8 c1y0eOuS27V/hYHXoo9Oq9YU7hEo5tFkghpqp9EMhksaBpUpE4D8ZClKf0Hf0HOoBInn5RsSlAit iS3p/xhimJU7EwydjpBgX90/9fvbUfRFpbafEXacOieWVSGSNUAuCYM18JcGbYr/ndM61c11Ad4D XCEsmymr8+WAM9VgzVEZZVIeUQr2HeduREWlX4Z7KJPDEapbo/osA/Ifc4IS5yzDPpY/iR8lWym0 Z+JfNQdQOWwDAm+NrEuGck4P73glMKUbTRpiIGLArRqz9CDcKScRRGxA+mGW7CbCcJ7gMp1mqLg9 dTPZXBSaD0rlAWrb+Rs45LalapidABU58tUwh9+uNN6mpbF6xXucdVMhOvPuSiw83wXUppFHPeKA ctwMB3I4ZIfXNLd3lBb2CYKqy28sMzRQJWZlZ1YcR7nUg+ZJpGJ2eQssZtBMGG7AbpIGzj8Z0eQX x0NmI+auKmvPYDX1D5v27h2/i99hDC7ofxJ7QbnCOZNt8PpUQLm3o48jkWMKBdEFKKcsc8oLxaXP tdKasqaUwKQar9fnTqzYsGZ0aYYChH/6+xOwUAnIfDM9FmvBsamkw5peg5zpjQc4srh0m0qfiaFc TxSIz/aqAeP+L8g8Qee3iSvLZSIUMi/Af+qf4iJWyGpoOb79NmWItpfHZc+h+YdGzRemGEMZRcLI uFMXlJbqYoNQdfi7MxT1vVaQab4GTNiK9GBCND+gWBMAxn7F1j2AtNCqz++Je77B8VHPZoTlORg7 PSTkGHxmc68pZrXBAl2vJyEcPjb9AguoS9jMEzScq7LlCdsIM/wGdZW+JX7CXNO2Cbniemc5wxSS UVK3+p9YKSKACrpHh/0HWdIaSVE6I4tBYlfvXm0Jsi1FjMUsvCdJ64O8o78v5T0CBB5UpqnqWQe+ VqzDFigzg9ldPDaokpeot9pK4/oz3Kaz33HWydWS6SAvuX1B6XOwNH6+R9TVKrHOQrwXiQJqo400 7pyP9u2gMgaTz4+dxnjD2w9pvG9EtzCcl2/g3sXaWQ2nz8lWW01W9KG84YJxRwIoMZb8RXFswVJN 5mW3L+urcfwXvu89FmKp5mt6IHX11kM1PyWBHUqzsuWXJRxLjJFhrhGGkGoROhreRXeIxZ8N+YFR yQADw8ZbWhYPlMmxpFvq3zAsrSrbhYK2/UqM02OnW5mtI1cbW1XBHADVPpidJ6V2EtHU6y8BsbSp QOPpijcVWyWYODmEKZ3Ph3chhevl9nqV5X/fgyn8IbGYWbkBhUlr0DqWWT3d/aTTXPmgRnuRpLZi DG3tS9L7Av5cX4e2olDpNdRtetqU6ZhRVkH64Qf8Sidp3ngKYMqVgDYglcSYVycIp0KZiijyTyBK FibtXSJIEJxmZJFjw/qkDsOshbUxcsHmtODWYdltGgp2XFq9vUrbnk1spyhYZszy45DzkkePTioz +1PAwg7DZHeAqqqoC0GavDHPlU7uueafaCimxh1D+sJ/k27Mm+IlwuFobhX2hZS4LZcLxjE3d0we 7Xrk8awYQKRpge1OjWyuhxDWGGo2z/3b1ZlrBcg42gk48P+mYywd5dVPlMmrxMye2FNBI3EIK5tW 2gJ2vPFWTULE9eK2nR2qnDraFAHq386WJp2GS6bteHI9vLSGxeqKSTGeRgyPATwsrnAVO/aR1sdU keoLdhqX2X7hPXFPRiO+1EeEuZSFyGVxcHz21sc74/Bz0zwLERLiO2oPLSR0uDeVIhP7cf5geTZN X06kzCam7O/8HlUZh46jXswD0RG7NrtvZzQKv6zepsAadkx/Co/Ldx4RPJIjdwnD4iJwwOIU7NLJ 4Ve5LiUkGGZ3Vcdnp/nb8SFG1XE7wIS8k8DqLp7wDsmxf6Lc6/nj2B/jP4BQjckdpzCFKUB+JQVM w2sd/nwKBxy/vVNcOy4tRiJzi+GlFbhFfMY55ZZavDs8zbLSxov+rXbrw4i7UynMu3IJKm4nlzrT cx7fyYb2++G+6etDuiO1OwBKbAQrImH9628/4NDr+ZbyT8wPyVd/SSyE7Raay3Sjekm3cCI4ErUR 9H2Od1558yyNV8jPH6/8m7PLeZwgA247wCR529warBN+dYj/EzV7qNsei+5EYS6LVLtRSNv9nTTD zcDOer3OkRbnOlpcgz/w+ukJyqz9hCjTkVikw7s1XzrVx8TNJP90xA9lPuoN8GD0Nldpl72B1WVc uYt5aMM5cdWVMx4UETyP2Rcoug3dIGlV4v8e/yECymG5UOqz7clM/q8QFchxJE4jqg5IqZgdVnVn od1PvqsXHnGojxthPKoZgkMJBQUC0CMNBivxlGx1oFrJDTH3f0HhIZBYgk3krHDEdESwjcPUqM0k 2/Hvaz1DMrS7GRaXDX9Yh5PcTgExUdCNSWhZegitcaNACWbipuLi6kFYJLVutDCanD3MVoHZ+1DG WxlmsmNhtokV+Pahbty+0lPG4tE9h+D3nT+ZXCyImkHJRJgLnZpiAhcFR4n52oBU3XYrQENg0Wes iW7MXbwkKRo9ERQMixWfftmPqPo+KLf2f6UQ9oRI5CjLxIQ9qx7yu51SHL+FuiKGtSD57QCmS4WY r/ZHLqQIDRMhft/EKFZp8l+UZW/qx9SS7H8WrljcKWM9QkqtRL4ucpLhmIZhYdN/8p3IYAZ57jV4 3L4p09HL6wLhtImeJUWrhSFs0ryZv2ZcX6kLFc8ENKV0cEd4RTZQmJCdlTtfDq6ybsDP39g72q4r Yrkvgme2LlhmZZ8PNUHdDyGPoV8xpw67dYayy9y3gpQGMbR0BFSWTYbRUrIzHD1fanjX/iNZOmGz cWmC5Y/6UBG7YAS9rDH1kdHF67OCv4QmW0q4mbVY+5a4PFtUaTvme42SljH+vmoWdpM9SmjYcIfC cJNRgz5guzsBmZaEZE1tQPjmwz6vYQlRnLnM9v2ATJSB9gIRKdcUlYrMMR880++snCXOofbth2r4 vdhk4C23/d16XoOwsOfa4Qy1FnH9cGDFrm3oo5lzI2rxAaa5/sAyp+hqn7nXTfBHJtVn0PDWFcpE bZJgoNNn9xYRd11iOXiiFfTX41DEthIr9pQLG2Xkmw4j2Jqn8dbKzTuRwz63VVshp0YJkoEfFlDU QHyob6qjhrJ/D3jmIXiNqyCUvQZT+BnjuFPJULjk4AJn9vwSiuVVgqWaTsXDemzFncRG4bUgrgek FV0DSQm7gDQG0jfaGcR0mk6qhoEFxQKyIhlbJQZwu4SpgBxj8Uph/ZdlvOBx9TTbLd527ODDdj+y Nq5jimbyFxCvScgP7BG6LB7KqkKc5dzpneRNebRsTi4WLRZG71GAyFyA1IfpfqfWlz9W1UtmHaRQ 65ng/7JZIveXXbOAovTGob+lG9HnyzrBAH8MZt48h+vU3qmn8bWtoQBP7/Fab+uQ6d+P3uPJMyFc B9B7cXr58esmSTrTeF6QxezIPZxyquHdTXVKyZ/DOqdn6Va+Kc98SoszoSTi9pKtixm01SXR+KQ6 O8O4yRpfI/NLxTkLgDTNPbbDrbAWiFpyhqY/xL4JDfAdyq+dxxwrsemI3E+vR9po5y8evuYjFsqr ePFX1bsaowwOk1ZPvG2clrlKh7uUIAI7N2I0usy0JWkm/2CxdXiVJSUah5LiUsPq4lEC4V02kb8u KtenspwYGfGI/GDok+cKruBJ8j57zdCXLaJuRQS7/rfsjMIW4wyL53r48UQhXC7f+KMJQdN+hLb0 T9mt0INyzCXOJLVSwXL7BHMfb6dM5kC2mYSOxDPauDKiaAh0683mVwX1Zzi0eik6F/yUeqva/Psf TpqMjgCLMykaSoQgltMf/bJM7RLMvC8aXKzp9PjjyGZGCAcjFwG49p4vVfo1eX4e3JaZkw741cel VCgVrlenrypQy2NozByfWsK+fWnzFVs2hzFE2CsttmW/u3FV4wJNUCrMYUtb/skK/Ad/GW3n40zv 71WiL/TI7ZBPmNnDa7REj1OKxJJs3ZyLjtfK7NDbnLJNnRUkon2WNeC0Hw7ojg6DgtEMFSfM/mDp 5Nmp10YxJOGVJKKKN5CJJWvI1xqm5uh6A2HZ78+SJsqU26c7sYBqkosL/dp+a/NeNgqE5J9S8iZb bvlxQPT0XNrLMhFaww70XJm/kdmFBPoxep2FHCAiZtoUKWdUzxfsUZlJS/Q5NHW7tRIXCsbogcfp qIK7RCfbUVb/n4ai4Hed163XeoHGz8DLXzsyJZQ3glTdhe4OtcKFycuqIloLryegAwxq0/aUjlod 9U1FK84KTH2AbciS1THk4GhANPJsfJewq3mSUGnYdqGzcqgN7jP58WBAqjP/eFZ4bc1DHmeAzWUD z7YJwPRZAe5qluQlz47LA54HwVST9j/fvjt5nZkudz2+SYgXEmiE3aizcnoNpzvXrOTid/uvis/R vACsXpyXvEB/IiIu98eEgEW/UvYVABRmJdJql/vAsfbgbxPug2YbCVUEFeKxpyawCBMllAx9Lqvp +hwDfxpx/Ao4tycJcI48g9PX1gfNHcXw6TD2gVjO71kIxw3WouqmF+XZYQvJWA4zuCdx6Jo2GVmQ n5sb6HSHiUvIubeUGgxS/UHrKidWrfY+mzS/91pBEbKBVQlL0wQgLCHndDiM2Qymtj08qP6tp8Ot sM5CmhxqrSfuV7IWaZLWEcDVxb3WFSIrxIoaFDpKHzs8pvfEWVq2/o9a4AlX88eCOdBYLYZazSov xfpEJjnx5HtmJRXXP8h5iEhIsblLuBWOAfz9SRAF12cDK4pVala6Lmha7nuhl4dBx3RfkHdQ0LKk YHsBlemjlTk6X6cIs1C+OyQelCyqPSKQ3hRZXh8JyEw8lIFaBU/peHgRcrzIVoFRE0jogzMHq8Ys XZnf519M4S0aUtAUh1AlGzTMVERJeltZVvsvO7M51UGHyXJ2s8zfdouhZU1yYIV8UsMwrNLE812F kMDJxELGr9US7Cpv0PEvdMIfmB0Q3MQ6qh9fRrJWcbiSFiX17S8xw6OMMviTslGeyuXPi0HO12NB VyrrIO3Ew/YkxiSVpUrCzu38NX2rDmKZMr4twg/VBxM5E0XVORVZ4rdxfTrUCSDGKGAo3Kmr1jiH zji+8Axc0anx1jLrWYaSOidHb81OU8UkJ1TAMWfArRE+He4lnaJApoXbg4RcDf5tW3yCQI7NpcoU amXQwtNqZv/aoHIXEvXzNkirFLXOWxv2GrKJ4jCbF3VJMxrrnk7kLNyF5ujyVpfUOfF/sBsGxWoX WvudNV7zfeVnSpDgqI/wr+ZVTB1mwUPibmGxs+HpMLxNqAMnsCQe8yd/6f3bRUn0wB/70wTLJoD1 eRe4QdjUbK9QvletyJrhBt3VgHyqof2LH/xs4beQZ1zZbKti9JPGrlg85CgUFDKVZzoiSb7XnLPL gysM/zhXA7RtMJ8x6RU0sDiCxHc+pR/Wd76Itw6zPzTQOLc6Ih1Sq/oJbUdH87n2rlv9aWEeyU5U 187+jOm/9VnqvfglOKwXnQDJPMghI84bnP6znN//N9sYHwDj7h2fWvTPZioGj9jPCr4pvuZ/4B7k 2uvflMS2Sfx2VDwQqEc4unJWGeK5jiX1502Kxaot50mzql4aV78bH4VUeeUjWKwqVacI5xthJEbr mdT8C6KlwPaFFrrjdvH+g+4xG6iCtA1YKVQ37nCCqXX1//P5Pz+oCqHQz1pfU1eEXQSpRTqgSynu 9L9mOW5rG6IlqwxQ/D59XLN7BYNApu6pcTOKeiknE9nfHphxQZVPY4sKwx3EwOi3m2oDD9Pi/4UT WI790nUMRkn2bjEQBm2eRkQvbK7sgKS54Gpx0FMoTVT33NOrJZEaw+CtiubZ7Gz29tECg7uZ7YI8 y+GrKkAZzQ53qSsr55KMwn7FNoIdgoqGg8yhoJNo/4f7KwUONhf3b4FmelpAx6JCVPl+dXstGbNJ 2O8DmW5KBIEePUmJ6OxTiv+q0IQlCGT9ACOJo9LcFQDKX7dholtqwxe80s2oBIo+RRgVAwGGEQFW HmLDrnKzzugkQmmQQIeEXFo6KcLtn8eBHeIflb35/B/kjkTudruWoy4RmUzcC7mpaybRN8+kXGTx DsWTgloYCxG1RO30ZqRycSFH87kLZ4wAKLYFRlIuKoX7TVzHQ4O9ePzarlDWC3NyRn3z9obszB2H nISYRr7/ZBWjbeyO+8THN6RAaZ+S/vPblGNaJ8rGrd/+WQBGcusUQ0YKkrDGDW8Dht3YQGXwRq2s geytkg39TxFIZFGGpqTQZPJuzvvHnFnCo9AQzR/N09KuWt/v5EM9AOI27Z92p/6TNkDfzNHxcu4g axUiJqvW+mg/GL6lnUqRKEyvv3mr6w7Uhx4LmYwWHtplW4e1R88XKZkODtVvaDQ18Zte3okkj1yk YhSBFt8sWJLFKmMcFTxl74H4RL1AXPH0mBDHOydFshF7MAaLEx6i0maFHGNQ6gplIe7MqpcFgvwP fp9e+sjGSJSU+d2ZRiVjOkQFZ+nxSoI0KrW1/KWny0vXvO8RrGPisseVyru98JwviMkuK13pBZYD EzdVz5ohZdSyA3UznYKFRyOx672CWfBhbcRdyOWSaZMuTCNQ5QLkPKIAkOKIWLNO7l9StYbeRIHg qySKJfCSzkEG82O391AVKQDAGkWPeTYDoVtdAHwhe0rAHB3FIQcccF3lbQ6iZsCZKJDyrMj/aAEc IO92FJEcZKEjgAET7K7B3jU/8Ua28AjiqspR0Q2vzMKe9qM5vv4egItrD4MMW7FhUOaEiZlVEHQ0 8PonVWxiDaAtJ6t2lFw4yMpLk6SVIHVaI1+YPz8A6pEubcATQbB8x3MFzOYSxeuV/SVU43hQ2KbM Ey8zt/YAVR/h+UQqDfKcjx/5t0RZxPg7tt134a7MChBZDf6UtfJdAiZwJnfdSAIt6veq2luj61xt 61uY6mC07H1o9OWJDWGSm3ZyXiNyhVtMq/CqK3yeCK6WwfXiaQdOr5W0DzBrzRt+5db0HXZhqXs2 OxuVcFB/WfKlZn5qofW9eGkXOtkbvZvcsTX7g4Hp1ZUZEuaL55sxjvPvSJnjRDiXsY1XDtH87KLK CZD+QEmH0iy5SkS4wb9MHHfyvl6NNuL7UfiQcEkeUdYxB6mxg0q4+MsvaI7aeMeDeMfTlN3Gnxpx gEdzqVpwphY6idE5dGdMxXVz9PViKf2sTAGjXnAkypqVxVDohoqEQ0jDbP1GQEyqRnu/PDYytC0x 3KQn7KU7dSQ/mtzDL2KuV13hr6+BtyFvWmApDmtT4Hf62ces/lKRmkVcAexiNf3PRXj23nd1pSoJ JWq3UYXsKLJTL5Mr2bKt2eqBaJ27tJpprkfyfaYqAu71jzV76Eed4+8zsqMvGqmoFmW5/gkUysFa WjI4y8T6bvilvonb02sezz+LIoS1Fc3JzhoK63Ecc/enQUm9ZdgLBaD4De7atE7nlqJTcH8B7lyj XgTtYQiLDf8yQ5e+FDTZphwyUvhvTTdgqeE/m244cfsthq+yR+kn/mk6VTwScLfSM+EDT+4KPuZ5 syaFr/do0jnETPT8tNjaY5cKWx9PCUbGPlacmE+GVWW6MJ3jGITTR5XMa0wPuUL+iv0F8hvd/XjG BJT1B3EsI8Mk7uvPIyFtV7j0+ZPq+xNzS4SBt09Utv1IriOmpnbjecFvjOZbMO/zSMYzBM4DIvjM 86Q3oidG8I5wusK5AX9UmOOImWSWlk5kPvK3hI7cb8hDr8horSSQKuvv+t1EFo6/fX3DtrQkgghm i8X3Bt/P0Gth19dXN4G3jaNhUm7hGYvc3J3yExLP5QT4PkMQokHGfADommFvGfcNzUvmVwJr454i ih6eXLt835jY9Yq74/tWQ+P8bhCxk1poJ0NBF6VNFYZrewLnmyHlzLkvnNsEfYsg3X2wvGURXnEQ YVM6h5+CuQS8u8qBmc+TlMAFJNnvH97mUF7cdtSX7hxCa9pPL3ZxALQDtgxODGd72iGeskKO6Ul0 Zgu1Q4GppeHBkOW4Cv9u0IFuHcWn2oZ8z/aNkx+TzNNIPS5PuRdo9cm96KpOzUqm04vyPX1zYf/T UdC6bJ2uJQaZc9C4VLDoXWCA76x/jLx9OskaN4j/yFus0R9dCNRNehUeBc/BBi8+Q+1M6M+UVUJN H1wQCkO24Ddmoy2JQd52U0FzPmEpCU40B/WB+I12Ycais7yy21h9oxXe3HFTiyQCDCapUOFztQcb +8XQx+hQG3dnwTmAAIRq0bKztmOVuqWtPrXmuMBQ2aYlV0UKg5QIAO8EzK7YcP1fP8171WQxozCc e1p3awImApzpFw1zoerNfmsDe5iIWtvNKzRUYOA+2R6ENl7mjEMg8dddS3+QiJdHB/cnv7rCxeky oFJrbrIOG+HIYCkiJw5pgrq/MlyPthRiVrQSzc3YsJBciJMP/UP0jjX5lobcsdg1sEKQvmpnN5QQ gbkF7Df41AfuC/2Hzqx6dyGoKLnFT/sB5zwCzCNNjFmI34xWYNfprvReebMOUm9I3EHToF84ErNl bFo8JEWlW5bTgLnVi7RY5kmw2Jo8qq0FM+4rsWNcobB4AeJO17V+TgE5yEz1tCplZLwFy/Xf8B2N 8CICiR4uxFdm/PmcZVw1xFC2hWWcU6KFvvjfoywFHA30WEnIyv1iFlTfuA8cPn/GBr2Wev/Fw7pK HJVoC5Duw7ObvRHVcfhVs1Ttq1u44iE7xlM6mq7kk+0NzGS7n49kBaenhojtBQ5ytWFk+GwYpSjR pFsYmWetFwkCvy+LjTjFNVzRX37UUmiXtfaMPfC2c3kr1wkHh7+50iNb3+Yur3gs2xyi3dY1XfPe 0AdEqvOxK5a6+SkkClQwtaWdpBS4F4D0MjOhmnCbsy9HT9n71gIgnqqMCwPxHuwMvMJlDrEogZL8 whHyqTSQ1Tjp+8RHsGZj8j06BoBmTFFqbdQGDuCW7vQIRsrTrMgGND6wlwmZbMGyHoVO+EagECVk CF9YfQC68eWMnB0SK1y6Xmfv8K7GGMR40Uue2ZeUtgapZUNR6f51ZTZhRSe2a4yrmNBZyNluhyJ9 q9jruYGB73Mle2+4dgBs1kl9nFarUFFGvPNbpTBCxFaJTqoPX59b4HXqznbHsTxMQTDiTG4i42i+ iwhsXeZtA8WhzZcUUw6zyoD5QeNltchf6xcyX/2BIb6/+/U3VffAkRR//GLlQCSEBW6xf5CmMaKL OhnkydGwgsMYaGpQRH69GNP7uLAzO1ONcOZI2Wy2SvrNGcXTIFb3sUGWeHG2VH+ePRmfKo1PlyJ2 sO/fiA6+mRcdpbuaOksQMvEmggmHC+h3LWWVBJGkhjuTgAEBC11LThdusx2klcfN1JepA2htxmc4 jIGZ/XZ+Cg0OTrboTtQWNbAly6NY+6OTIZBmqAFckNGhpaovb3sVIrKhSVqqaeGLk6Dwe3Mx3cXY ZPb165XydS3EoCpdZaud7vh78LgBpwzIPb31+5X+15Fz+pcDUrZDrvF0O9TJxWbCI/lJR6ROtxx8 r/4mowNx+icPtj3UY83aGJnBqBmOibNOeCpMtAuW5WjBJ00c7gs64bcdOEL5Y6TJT28BZOLePmOR 2rnF34Y8RpeqYH2Fg8WTAra/HyU1twLUE/5Kqc0nTIXG71vrnS/KieIy7bQmx39I4dBCPW4zcU3z gRPNdVV90RMdQ2yyRoT6AhV3xO/QzL2iQ/d9wtq7hwRZa4qKuGE+d1QK598TWn7dqw/9LY9FMF1e SlQldXGokkxcxUw7mgwwLfZtmj1J+heCb0yNFHgFVO9zSWYTsANNabADvNjAyZySwDTZ9aV/LCvu DIT9vvUAu19mQfeHKmSD1EltsfH3wspyfGDlCCpT1b4Sf9tfYuQLH1Fu70SHvj941Hz0o9yMypqy i3VqpW83AJADEG1cf/oP3n2w3SmNYaGFt0tmQOIoWDdJV7/JXYSeBuKtNq9hZWYs+/nJYg2Hl+4F 28P7iu3/GJ/QB5b/S3gYu1Jsbv40lvEOfyve3yVe3nrk7JMaBvR4pVjMDEuUuCN09Aa7mUyIndoC gOhZ+mX6Ni9SkKm8tkkwjogE7RTgVDD4stFgKm8syQriJykFrYhmiRhfNv25+So85m6pzdzlTwtw ysopMTRTvqkN5yULhZv/pWwXosVlJTwgyfKzkksxoDxgp1lp6fihTirtbZP/TqMeITuqykcRrTjK q8+sXO3yTkeITzVq8ew8+3t6wlrzSnBnaLlWees2f1XdMSO1AMwZV0/Tj7/6FP75BodxgkNZ3t8X Rnp1coDuzqaFj7p//kHVZ0Q/ctZw2w+bumXk+3018rMs+OF+3HLvRkzkYRbrElJXBcPfoh/aDStn Qo7gkikqKTQrw65rqCNJxHNKOdPrlMFGbc2gJenrNPbHwLmF3ds9frgu8Ii4IX31qO6ETiDk2tYY ObISA8tCVOeKAWNy/OiwG1SMSS9+Ts1nikM8lrco/t6EtuD2e3xT/MunWfNpYM/LOtVuUaJkNCtA gxO2jPAJx641BerIXgJqKq/aDFijku59wwQF4AsTGM8N4+xdKOh7Y0AEoVqftLfB46HOAKmImCAr bY5MPZEclUQY7+LnGekUyFocoKqRXZOjzdIc7lKVzrAwhHTGSuhWZ+r/Qt0keOUth/G3jSk7mzAg jx0L8fbIMOcpboUfzDm/ccphbzg9cDISEoGxbgbNnSVmfuuHkGy7yrWtJXqSDenlbZE7YiQMocn8 c75k8QfSrx0MTx0F908kdqggEa6UoQGiYe79M2XifYvTQjwBTPZPD8QnnUuVuRnV5fKgW6iFLIUi c7aBDUK9vviqL4iLrBLDLZSXp8P6hOra3vwKFfxNBNKreNqH+7HR33lYdR5KWlVHeN8VrUPapjao K16XxvYpEB/oBt0einaluJBGDBlr6v7Rp/gOBO0ufEP0pBjLJ0sn39Gyuc1dZAlvh9+0kHFFca+L 4kqAZS9UoA8h+VzbdMJxsjTrHJ+ig5DbPEiM+MzZjdCxUt79abc0aWvl0V2tGLaKgsXFLA4cvB2T /kcxRb/24rTGHxHJuZZP8mu8TKkHItQXa0NsLUyoW1tP12CQbg8BjYmJFScShQFAcFuX4OBktZul xn0hsFRI/lXt2TwDTCp4MB5RUdF9xmQqgtTqn6mPFQTz+ZhmTLydh/6Kp3jwgED5J4ycLwSghyag md3+9f4uq1PmhijOFVepSr/rVOSlhpR+g+LnieDHD4gYcq2p1M8wc/9qYzblBmJv8MFjDTts93k9 kDJJAYl2UQCLjSgEz/12BbMc7TQOTwKnKoxS52h1YgP2EePelufUzNHjGxjM+v5CjzX1TwxoNOjo 5/MqRNJiKfooBx7gkRzrEBCMTQlkFPSEDXgmwmZPF8pitJB8t7QbGd3y65bSCb0ALVyGGGNQCr3A TWX9+8Z+inG3v8wBYP2J9jbJsDPXo4eNw5kbUUwbOoq08VbLX2IZN2Sp1AVUUoU3wkm1QobA+arn mZdM+hpWqBNY9AGo3CQZ2NMvvmY59Ix97++SNAsDOI7VBqXrl+bGyqUdZ/nWIxhX9MHUH0KWUcIw Y/qLN1ClhQOb7xMCRKNhswaU2B/eGhnpa1+gORNND3rbd99/MKcjlZIoKv0Bvx2Uc8dBOpt9YW4Q X6Gn+lXvRmoZv4RW8Fk3Kc544wH3jE6JpEFy/qOG9olo7tco/+rb0JSrjzygg2i3sjHAy1gtC9ZK jRsz9eE8ua0fJZvGuWEfzFdi6PFtZJa1TDAYJS9joJD6TF7i2M6Bn/zdO3fRTa4NeDksqC6viPYr q99/IkFy41yd/zq7yL8q3Px3BtDLsfsNmJsRO+0uDuzXbBEKQwtMC7BTzvJFXdNjH9zuI/naPUUe JR9mdr5cWpSC5HfzrS1o51+E7CMxnYZ4rKX+ND0rO/18HUIz1tdxDI65zdgfAkEgeHiqj08+M32H BeDzbpLEfwlkfErnt6dVTtBOZiB/1DHwuzc20u4q0u6ciVRMeHL22zkPULa3XxZumVF155pr71Z8 gcibQJNenpq2NixtNgTr3qbgiRHKfnpYrZxTmY/sbCLNCSsUZZH4dAKaWEckaWbuYgqxYCfv+vZ1 KmJIZ1P3gY8haTC6guc7csG6WHsCLaU0yRXpuhFva4luDrtVKKn35Udum0Ct4acjfyHYzhDqRMoq TNmgAmzhYFUA3zjq8ODqmevaEUFTpSy7kS9IaEO4rMToWrdO9j12bG1IvjJro3yBJsIBjgYE7lkh wngZlQw2ycfD4KlXqefU6/22qv5kG665nwEdarKQze6+3kNs6STdJOkJaNBp9X5XY+lsJcNgKh+z xWYr96bLgfq9Eo3CjtAAgjOC6ClpmQlUNncEK+wZulIqZVUY50a/qNG3u/pEShkOmCqriUorpXbU iXtnem9cFbXFIkzcsTBYBoFT5rFuLhDG9lohguhyfGmCl0+7HJ3txmCYyT76MAhbnLjQr3Zg53q5 98pmsIdEpBtWTjv3E+af3KZUYtA1basT50HPtE4U9LGaAvpa95MwKkR28fJNl+xWkdsw7RRoFlAY EE7ttnquT0vTioRUWv/fUArfqU1GI/wmpTbAsJEOx5sWE/SFjy2MLHHfsc5vmhBEt1vLuAPjSTiP hgQdpBTlEu1hBfg9M2JBEGN3W48F9udlq9oIXOxJYWWqCXI50kv5F7G/kY8OnX3gnO0fr0IVVrHN dWxlSeFy1oI5+tgc75mbJtlMzsMpR9wL6tguCL/jJInJbnqp1tL1xOKGZZ6l0T+ih2TOT3CiwRiD wvvpnFXgEYavArM6JU8bjABzdB9YwSrUNPz3/O6l1FmDRiks2h2kkzJy46BTyadESOh5G5Byfmbk 2V/vw9txX6PQ6Y/hRz5KKEV84g3mSvPv4kS7FmTWU8pypK+ENUEchlLGgTVkXDYZEri/QeIcmz6s fw2GM7/8LVZuDYaCidVphpyGp614Dy5OwbW0nEXdF5z1bNfITB4gwzcZunnCWnKZ3+nGH4okaLuw X7WEmOAYhcufZ/tB0RRA2yrg5EWnN7BZ5BvmGFl43C/QvkckOzE2CdF2Cw24ZAfHThNL8E7YJndd rktoVtaH4kgT8nk2jGOYiai3jEuQL8LoOSRNRjQ+6fOGocZsH70xrmauquWjEZL1TgJtHB8Lb2W9 fCO263aHPyuy2Xzd8iScdZzXc2W1eePvKLwiULoOD6K+9O5mfPAPguf0GMcl/ZaxupoVmB79DjzN muBuvpmmBLG7AZytVt3jA5SeDUSdAqasRDHijAQW9DEktG+kJszGewa/aoipZ6RNb1feLIgthPQ6 wbNmJ7aqqfrbrsVJv7k8F+Lco9TJWwYzcFiGBqHF6wAFHLg8v/O6JJQ8nPbME4BaULh44Bn0s9J5 sqf5VCEu8ft/5sEzFTKE9f1J1oWG++skJtnCIN/IUXcUmFOAFqdKVjFqFKnuY/U2pYYGM9kesDJ1 5Ou9OmwDDYOifZ9SagXFB2gxz2Qf70QgwbnnPUYDXWKNNA+hVsysBUDFveiwOUWcoxuUt4JvvTWO n+ftqFjdyVdLrUwl1aMwoF3xzVGjJwFEjWHj46XYnE5EO2+B7V93y0qwwtmP897dT4pMw+bA9CeZ hbVvL/2qWBdJw5UJgIJemTu11Kv8GyR+1Dx49kKLNpihUT5AIQtpA/G8ByPXQSot2iBq8t8RUDGu PPP1+BJ7awCZ9IojIaDraL5eV1l8VyeOs9StpmwbqkwYepAvKJ+7p5BNBBX2oyPUbNaZ8xWveTCm kFE6hTjCDFYh/HLijJYH2fdnre4pHHP7q4NP6UISiXreQMUvCLM4kuqectdgT3tk6CuvFhU0sdgk Hjo+7OBCBhW35sS/utt+uiYEiLdt8UYKYdUO4aRJW4j2/PsIWcCV8eNktUIeQyQcJ9aeACycn+VE YtHoNKqU7S6fxSEUaXs15s9t96cCR9dDiaPqT2jEcwFFvy6B2lxO+afNhycSDcTUcWWjLhcUi2OW MVlw50B3AJFHRgmkg5c93ci6mo6z40aWYAN8+XPG//BuojHBwDaPChKJ+eQHiwF1FpMkbSMKkzOo +HVLVxHtVOXysNHJ+ID6KO0bl2O5ezsTYoQ/eo7FP+kMkzcUOK9pBi2pbnVFUpXw15LMaXXaDs+V GfzlrRojbjXtj/a1rv2o8nYUx4jyCkj2TEz+iYP2rr/KtTnmIUPco0FvysPaz/9WYLwn9aPlYapf FMkN9R9ac44KS7MmUr7zsEpVnutpDbYZ5ZilcACD+0KiGCrOypKBLx0Frs96PbW2OrIhmJNkOopm 8uVh4cLLHs1OpkJQMkHWOBbtaVSA823Usy2KA/B8Hj4bPg1giSRAI6v9y6fjqOw5zMcb6hlBqZV0 E3AtbBzF5Qi52GRqY/ZugLW1XmLcigKQ9PeJDIE/GGtu/37bq8G/5k9AHjJegXbNV/7JxIELAFoE lHCSR0spSy48LNvWgi9ooTrGrNkjZXLtT81tOnAqsllnICn8E/aYsDqCYffuM6+5xIlNTksbvd9/ HPkHKfTHLYqirta4OpVVUerDGSm7arL15eMUS2w0qeFf46wobme3XKMvg4wzVTZ2wvWmFLfdftSo b0tlG1l6jewsLUvm3vuTa02ZBOgq0vOJ74a4q9iKS+RN988gDZgbAZK5A2/lSAPWIrWU+Tz8XrfO UvlL7YMiGda1LIKi7htsYFyQKF+tu/aUUSuTykJrLbSjbVdtA6DF8hFwWTlyZ4/smTEsPOam+dXr zt3ag5OOHt8lbwA/EWlWtAirDg3hRpst/XAn07ZNwE0IsyJrdl2mIDCxaqFlHRyFbg73gIhmS7MB JyigkDobCeEnREb3T+pQlVL30WaaU2IRaQhCyC4Vbk/H1aglXvKT533a3LI7e2lXthSHLJDmis++ d7Slxm/v50+GbtRcHTTYQ8tjHMzjbdnurKSRIu+tIgDw4YOMHPCXCuYgM3LQw00VPux0s7e0cwF3 LsQzqSk1hJoWfTXxdNZNuaPNYcSFOvAjI0mp0iEaga5l5oCeTLRRoV7S3NPMTPUws4QHyg+YY83i xx7YmBdGDwp31G3ROvOMiuhE+4qa78sWsY+6ABfuqleIn8CKrgVfEXCSbv5IiPE/8TLok5SVYNNO Syoji/C/y0Rwot1yopof2C2yHHH6NVZ7DwfuDeF7o2K4ltojj4Hl50PwYwXEnfnMaKD4v4sXZQf1 ftEXeCaXl9s1DsN2ixfNtjaHDD5/kWhOWjMX5YFITTB3VZWU5CZ5eD8msYpTM2jyjSX8x6RjffzV RPSJX9+rfjy5vVMZE0K9kxxU3efjyftUvPCLQBJrQQFqNlEz0Yz418z5BkhklGcRORGUyw+9a5Xf 9+CzKeSewWaRON04C24aNrdTeNhowbO/hPSxD58RsK9MStwcugoBX8ZCaJMX9qCp0GX34hSMKQQc Ew6fRrq8IGFPFk9Csz6q5ET9sAW0mbecCQy5vb1wJytz5MnDw5N9rbyMg6V2oj+ytkMSbLAm2xqa uqGdtB5ycEu59AYMXl+ms25dNmQLL2kS+lpJYyDnRVVP4N1wc2TSW4jTGGraxYY2hk1XjrmgVX4P UswaP9tEZb9bp7QQ+4Lh6lNJmjvxrQ25vIlsT0CyG8cLwQNy8dukpPuKxepHrD30lpjOPP4Jhi+C tEv93bkGMGR4frQNxbbUTMGWTq/uhVhmQ0Fq75bc7TIU+Rgw/ZPrFwZhcWNTwaDzw9igEYQo0iOL y4EX4pGnqvrPCvc/qRBlU0HHobBD8o3QEIaFgzwXH3VA8AwSLyhVLZQIbNQTHnZhjvXgaodGk3hb dvp28V00J/pCzsns/EX6bhf07jCDL/HmCH+LY0stHbcYLgaOC8q8BTuf+73wC4YOQu9//Z4tlieg yWJAZvXq8CPZzRBu50OyHpLXMKW5HWnSjHzTyyUw6OdNZrxmzyoo0T1IA0c66Je+n75QjecMnB3u rj8zHe0hweowYMvedj6bfqbSdJ9FzOU34OgnN7oUiaIyCAeqLosNAxhoA6gwQARtV6VUecyu+8UK FhN7FDeC+QSbXT0zJdFzkg9voJbbdvRA5G43rURl0Fu6sb/yzqdP/QVK358wLJB0Z6zITgtzoe94 qe2Xzd8A8T1OZJPIvWRW/bVZ3y0rqkc/N/y384vA5vs1KZ93h3rvv6dNGbX+0b9GNaNcH2SHxAZC iUQWmZTz8tmrFPsUagzrM2yNACQZXEMkE9t625zR1P33+ANjdSN6X0E65gob81h3WHoSgDi/agaD OHGwpNZNV20bSVGw4n8NSQ2FMBcb9RhcZUuUcMjsuIdI7CBko9qNCW6A55MIKsWAvyHHJVUdkuvh 4TkXO9a+R9efInJi8vsZG8n5VSZ3vW/uvDJ1Jy9jpCCoGr8nnqDZGIPiRWj574EqfFkabc9JGXB6 Q6BBEPBCeFNY4+wpADfmwBf7WPq5eS/DHcPGqQnBaGqNB0S9RNMKPaGQtVQVA4v4ReFh0HNmcocu 0RMMzI8mFT+//hNKFqkc1Gk/gk/kssSKppxAw/E0jKJ7lVvhu8NGoZL27W0Wzuo8COgqaUFlxizP IXanXaBsJe1RkwIasWt6glkn7uT02WwNCOEfumHDY7SIjS3uKNpMGPRkaEV3GfXAWtmWdLYOizji XHk7h/BxZSzCytdDMDD6MCoILf4WNifvXPw5K6OkQA/Ko0kCMyVhr7wRgAAfFvjWsCFOcbYt207P tZyZg9AFngjiuwI6DenqtbfxjmiCy7rFJOozQKlIcD0vvubzowlETo5XCGtwWfQdIUhJa8eA9X10 8bnQQ+aQDfv7FTlCugbM7G/7FbGhzD0V95WmCxICB4JuMDN9GCOCfiAyu01KZgort145997Ep632 5VetVJPYaVTUxIxWHaO6wcQ1K6gWl3BvzpiTq1RM0QmV0pBUOepv2+XfylBPpl/o0ByoGfEoM2hc dlEkhUYwBAgSQVQmI+HovP9qwMkPwSUyvRQkl21BPLuxEGHE4jYkIjK2mAWD0Ul4+a8x3Ay8UUq6 l0JLhlFz0DYAaolW6tAAhNNuXk6hLWh6kxmTT0NybmvpeJRdZuBFioHM08+hiJnGHR6kOiZ9VsCi CyJRs0xsA/9cUl+t/emwjQ/vGalQADoA+pxkzrmLQlcQvt0Af0H9OAiBZ6Lxi2FXovs5H+YaR+fk 2A5INjPhVFC3nyOY+dLah7NzZWljR+/tovLrS3J7GFLH3oKRY3RguBQt3aKCHOJGLDlS6p7W5/lB x+ro/SjyRq+FAVcoLa1GencSohvhtDdRa6qQH9CeCrj8JV9n1K/BXD4wDfYaUKPz6DoNsLUXvqjT t+Q9a6rn4WPplyV7tvsjVQAFcMOvnKOcBmhvA9opQL9lx8ebTQ5WaldZV9A8R8t6FTbjZ4lAfR/6 f3lUbV3Uv65jVccLDWM1/9b7jOZle2/Bzyju84VwqBH725Jcn/Lti0BMXk9jb8XRuskMeNSi+i3S ad0wkXrdO061W/5hwI+Ra8t9vge7DqX1Cqeo6fCJpmY60Q9GkzNLE3Lw4y69eeetgyH5Wp0nsHq2 ihFZBu4UYwCnXMbjQRWVs04iCgFoS2Y/kqGR5ay424EhvbGNvp51HYn9Mruu2CaaJi24c3XAjtVA s8AQRhyBb990loygKlhWUu2eQ/i+7N8AkKGMrmOgvKU4ImJ0+GTmbwn2yTqKVtQCUld1ihMBRXdk 0c25/cg2Q5PmFPCcRpLcP802Gko0R+PeT2W+xv2NYmM+OEfVuiD17XXaYAftO6SUN3l6FbE1eVvj /WaJvvRgJIZn9VUVy3djx7Pf7sdnp9chJ66i9xQYz7xMZYfmASaS7XZDKvhkYsTaAq4aQmvrTmQ1 Cg1JLKB82xnuDPMtToFPOfei/gzx7anq25mlkN6paGqc6K+IgYT5vLSxdhlg67w2O1/kbvSeFSmW gDcDR8e5A6oNR2dJllEp3gVkhqVbSyk/EENkp7VLrGfsepfup35zHLQSltEx8jjwrOU9Oe91x+vv Xto9ctNOapb5tkNRK0nK4AH/9O15B/JD7pt46acLcq4e1fjV8VyoNi6BpFRJkR+cRMcc8Wv/TkGs 97IEwXmEzVr4DJ5ycx75RjUkpWOsq6QKjgIauNcgJXb1S9BaYYUJOH68HD/pxJr2Kj3Bi/hi5q4s SA4Qm2exb3FChqgs3HMQHs0oaJq22goPOYwhuL3BVYal3uuz2voOVmQ4XtRxuVsgYzEa8jO9vpyL Ccf6BlKN7DE9szKFeGqWMDTWihOOhpYG85by0LfYVkCqWSt18QKnp4nDhySKR40xSjAAo7rstlNR 7WauhLkgQ1NTj5owqdwGUZelTexVuJMmnC4Fk66jGfXehA8c8bGEIPZLy4uEd73S9S/OB+3RCryq yGC1SI1fDRy5EdZorGSG+OtmbQfwLxhqe73Eq4uRi1V07ITQQS9/mSm7Md/Y1M5Uh0H+75rL/i7N 2U4DkGecphl2jNWednXXezODXu0Wd9KhXhP0K85qvRTx5E7WmxaMSIe5NlA5eBq7DxT0GCOAnrpA N/n6/SF0x/dhxVi5cQ54NJgDE77X2BKPtWguJSsjN0ijQI0mEITsJcFc3c8edR0w/YbEjygYTUaV IOQBmfLDQJh15M+jVKZiZTOnopjeQ+VDYC7I15CfodLrgz4ZsWO0OxvhwjRvW0OvZq5XeTl0uhC2 Bu7M92Oo5+Y0WLPUi84uNrzPItiQyeN6JEK1jpP9My/vwuOEinFVMDHHydGPaK7zfglCeX7JVbsL YcVzuYNaYK8KEZQFHDS+lLMwhlF33THBgWsoVDvRf6Rir3aYzMrg6OZpRAiAX3gVwMqUJhoSSrOa /w1H28dJsnXfxdTQm/p1uDRh8kcQkl4g4rdwvc3PC4F4GXQSta0EE27VbM/h3OUnsnX/k6TmWKcH WyCwVDPqDC/hiUMIGnNdlptjGZfLOdNSfrAZo45RLhhJGp0Z/RdiOnKesr7GtZoMcj8r9tWt+Cr7 NNh5ZqIDIiVzmx9qSJV1e0UlVW+XMqT0IxYvZtUjfjWPdDXE//y4vZb5aL6rsx1iK8vqtjRUsGxc WG1AZbZln6nbafDSM/NadRlvIUz3aZVQ2btLC4dBkHuXrRxGRi1up8STn4ZvXzHQkRSMG2tUSobc 3BdQP8XoYF2dR+xW49WjGC9D7gHU2O7Honpwpyaorw8vxpimUCSCqalr+Xau39Z/MmMI7poRhmJ/ U1hifOMYfy5gXa2jAQZ7t1S/FMhf835PUAGSo3AjvoNiajRo+D7IMMjz3pBmh5Yi7hJbG+H+mbdB gaW1eMPcAgvyyEvdKHneupa4g23KntpxEm3r5UQVhcJoW62KpEhoHgNrp/aZN9yLy3dOECMIHhO4 Xet3SrAhmlqwDHS2XspeGGRBEj20UOwDikWIcbN9nLQPdX7w7tpiSjxFteOfV1lkpHFxf8txk7B/ YsxIMjQdRX/9wtyY1xV5N+DtPB8sNyZlbZwQN4LaDnyH3kwbmzB9MY+5GzTvCPdw58Ra3v2gBJFH ZivrK9JgDQGUtO84ihPQ93AwJ3eKbK72KLpBCA9giug4Mt/xBoHC5A979tOPQYmEvW16eB1WyVs7 tBp9keaRYVRQIy2GsTj97mvrnZVqCXfsONzU2Xh62FanuTxhpYG/mSn/uAuSlye6tmCSKoW9JLPL +H+xkWGp3Bv5OXOdwmsDFE2JzNnVW6kAnxrUNdrCfYfZmdOz+/oqzHrIWGGQGhuAv1z6qOqqfbMf 02DOKWpwCzS9d4nwAPL2WiFavTmtS2aqc8MlaaTwkvjb/JfgSc+kTYI6qz7ytgbVNOJypreKp30X oMjhW6g7DcWpdWnO6I8PYeiDvYGURueUYLTBHZTFsQ+LqK+Vy22sVHYf/SwdCIWuCIr7o6xDbbJP fCYI/XBwDV0JUt8RUVO3/8Obtc3k0a7/KB5excTYsMov7q0VPCkwucre/TTtnkQjTZBMfku91Oh0 MP6bDnfHagP5Os4apRsuDa4V2PX3tlq1WWeSq/799xjCGr6HKU/9m6UyQYyJ6H+k8LzgSKNQAicm 2JkMxrZy0h/BPPQKMRCwM2k6CjbmI4eFqH011ID7DIc+55WfAB0tGGR45zmBpAziT4BzhgYl7Rfr vvJFTGYCJFRBHRNQzCig5TQosn3fAq2X9ZNxU89fXQ+seZ3uljGzAN/SABsBBwNVciCLEMSbxwN0 vA74c3BIz6BCsZffy1M+xDzi9N+i0D+T0hk8yxWhb64ALVZxy6/OFnmBiMWL0RrWQMX/jaTWmmPK wStpVP88KeHL+fXVC+WeLGxMreNn2uRtcPtvMwWacd2xT17kz3B+OIT1gQA2exntYDgn7U1HVK0C hMdrXS1ebLNyM1QaH7JDlqHJErCllkNYcU+xkbtduYl61HXG8jdm3Gh90ENS3CxBml/cWsJ8J12Y VDRE4mozLqU2eBisVA0QRiIvOPoXNWvUL9cY1N1c8fLVE8dupbRiSuWyLl0rRPGCt6sH4DrTZne9 8WNv+1t3C8GmUEGckFJfCh+k+9A+DaFCLK4GMPWoievn71OUlfylhLpNbp3VidBhzGwQzqsw/L7V 1NYDVr/RUdlByf1SLukelJmj4yBK+mYdLTJ5Px9gIs9uZ0ELSTxxkPgaRNuSaiZLOP4gPNTe2sXt rsRkgqT0fLNMJkh1uscruhaFscYn/JMJ9BC7P+HBtYNYICZPUv4rLAhts5neN+cdvQzNYHA/huLa qdyooSShUdI0/PjB572ekI5znsxJzGL8tOV5ZQ6Ta/iPSvqPMYbIZzESrEdt17ZRCN1slXhpl2+I 9kkupECdSaT+ssLl3IK+5q4QVQ0TW3LADdgXVthZwv4l2soQB4ygVgsp+c1GnXDgmVQwFlK1zMxk 4pB5xqQoNcXFgGkfMTSEgij35LNWBVp5VQGeOrEtKyJ8FjqokjX8Ym8FKcGYBqNTX8BMgAO4LKKu 6jS/o/qPYl6W3S9vb3kbtB8lOB5tBChtbGRCyXK0ffoKd1fYorcdNPRrY5IzEGLFZyCH/QJX+aNk Yx6YfFPltqUnj3I/e2YZ1xJ7qlSmnZA7d+O0TkPd/PHoUdRPJHqnadBYD7D1aMHhmrpxcLUHx0UB OFHCXg8hbCc/5ffPfGQy9dWKJG4zfxHKDUrraDm4k80PK0kIXpZPIUXgt6ja63hN63mASbkyXwVJ nuILRw3cci46Wnwo1+HSwP3A/ItdW0hB1aa5rS3A9SWidwneKG8E61p+TV/+Z8952fbj8FJFLx/I Ck5hbEszWz5A5hJD5tKF0Ye998aoS5Sm5g36/JcXGt1SbxecPXJ+R4h5Y+jyVa+I8ksw484R9jmZ FmGu9oRW5zu9ug9ZQk7a3ms+/HuiZQiKF5dPWRCON1dzUsS8PdkxTe21AIh1etTVs0joW8xjWuzx 6WSjvXKWHd/hCZsdoduDBhjWatb5MFdYP/fIcQNBmazeox4yA8fCbjsKyPuL7+V7sBF2PA7UiZIJ pWo+LsCd01CySrtRoCHvyQla7VVOoohm7oNIOGGEbBPibmha7O5GvrSNz0lM4KOFz+oBqa6wD/Yr z2rxpuPOmcFwWkei8tZjYYzMg5BnXFKkkCD01oEDuE0jVaKZgNB4uZkL3AqyaRKuFoFQHkzaL1kp oBzR6WbZ18PU4WHpxWRdXlKlSVRnYwo/sLVTkBE3xnyNAwTXDaM8+cOf15iXI5nAaclnqDS+T/3p XztLsn51wTXZrfubVpA3zSxclEtS+TPWAj1hYxy4WG+yHzI7r+qPilh62DBj6FNjCJ4NRfN//xGF qyUqk5ALQB7etEXwR38wNhyAmh5RQuqS/Kbb4R6TBA2hTiv+z5toi5NxTFKxxuPF1E857DPYlLIv 7+Wu4bVVK/T8bLZVH/CtZxiQCBCFVSWHmSoIoKZnkoOl6AgAC0QOEOFgGlBJbQu5sr3pKhhcRvRO 8Xz+8GPMLi1dQCku4c0fDzjPKWr5baMoFtaWfW01kwezGNBWddz1jJsqHwPlnRZ4VXQB3eySPnkl oqBvi9ryNsgLeTyEvOFE5mTcebzVS/vwJXiIvtKw0IqZk3Bp8HwxF6mYIZ0vkNBIfHoG2xZz18pw JroxQxJetrxlUrE0kn1nkCynkmG5yQq72i49Xvi5nddIGYCeCN1svh9u65iasxInzwnPdqslouP/ XAVRKYy9og8B3eZbOg2GvmKg1nsPwMmqgoO3UMLwDdMaJxgi4QvB1sPs8SDQ2KpSkc7aqAfMyV2u 0U/5eeNcQpE4uBU/1n/LrwFm9WtSMzIBLefiKlKUfh19rpwG3aYfg6//v3ZF2XbJx2XeKzbMma91 ikrEuF2DltcxEgUh4AAE2+zLj1AHgeQHwAKGpK8LSVld8OqCsXFaQnEhVJNMnprZJJKj1AJ8xFuR cuBHpk3B1fLLHlEnu7mrbP0hTmgO3PB8sj6LAp9TXDwbXKPrKg5u7stMrXr09zd9tqPvBlFXzjLz AzotZOLvRGOGzh1qIkPkLlXI/1sgJg1bIJ3QupSawCPDwPvnEjQPE65WOT9BkFfOmyHvcohf+sq3 NRUagjL4mGAGIQbUEIgXzQ6aRPrsg2NvjKghk7pOLcsLhZ+wfjijyfHZ4GsabclKFR7sG+dUZhVh 6cNUcI3obFi0DRZ9cRbUbvQ5uOn91ve79TzGL+LPYGa++O3wtOC+48azA11txaCRBeUPS16r1mkE 65118e0vjhoFudEfkrbTHlEeM+UPMa8hhnmxSjqoRRzr1VuSz0MAV1Fm96jkhWSRQY8cLJnYajj+ zcDo9L1LmcI4DCgWxk69pY4bfY6AxqLCB5g9MQzNaC0M7METxGfROnYJXPlA1nmrDoRdCYLG0cdu QD2wqnmttllh8YvNnj2SR+5SnF0cxrhqnZMxakES6XKrp0BHOgEwZ6M3b7CCAN6viFYGibxkpxAu 57d3NHI7/J84J1HEOMA6tyFpG4czxnAnBb+WMF8HpGkzyopHs+lSB7SCZfSogxyLBAlbzcSzKiyY hu26P4W0Hr9ZJyAUibEjWwWZYwOJ91sYjK1OnSV56ku5T02snDi6ptCxRob1afWmAz/TdFBrMp4Z LExZmOQsvLs3RsukmCnA29R4qkPqkiVWpVX8lhu53m+wNrrAPx8uMx3fNdPEpD9ENHnUJDhkG9z5 PHEYcYJbVm0RNsISs1cRj8/tq/sBi50M0G433cT8eZqP+So9ZeJ8z4ccGG1EKsZvPxm3TXnIxBTz tS0rdWN5ZskTmD5oZ4rbzyBXMQM3cy4CY0ty5tEPoDcFvnSZmHpLb6dFJ31fWbwQSecQfOgegCNZ VeJ4AjDlwmgP8TypX83Ia8fQjT8/qqs4ItWEL7PlfB5lgre+bJ/b8UUS2U/p405d5A4fuu7Sdc09 FGyc0+lLAbQGT2UijKGUUwlmvzlhj+8E4ozHnuodWlrJBYRGKtmBBRzJwQM2pWrHZC+Ag2alfHML e8Fj0Z38KovtiQeRB41hCOiNhkoreqTGN6faCta8o9fT/PWuh2qWWoKeyLXjWD/A7kqdijjp2rs9 CcWWB4b3hKpCcMxEWsVqDsS7CmPe/5jdQ/71HE/UVaePCWKQJCs7CiLv3jUHCsPBvAUJnnQDYhAt JX/SOzlhASYVgIDsErA1P5BAi9Xlm2XNOBJvhVAj3sQ+kYSqzy/wdq+W3hS8e7783HrQeEpPZfbV Iu6U9p16gRMRqv+ApqgB7IS7sgw2vp21DqMElb2N2jaIdSY88wGNpzuxSFoVdkJ2ZRgTf/7zJh1t PcPV49l4Wjelkl7udP3WPDmuWGn6o9glN8lTXUfuAHhMwe+MosuR0RrsDQto3UFB9SS4Xaq+AxiI B1n2mZse349XyCQrCQhvXcux9VCzhD3XPPEcIu+9WA/c1W76Hpt/zClN+c/pgw6G4VqLbgvzKQZg 01WOSJvkSW9rxF+nBsj6UisJGjqnoCTYxAc4vQ5kUG5qm1CASVP91hxYQzfy89GYgZphiyONWthe T597xm8um1SxxS381+OkPqp9EutKQqg2DsVD9T5Iw+JmgRimLu0P0F9zGHe3usrj+8Y5fjHwZ675 uzYuaAnSP4tNEdyvFaTisw/uER0SHLYUIFdghe26R3HwDN27ItHOi+DsXsjCf1IZ0uSHb9ncHpmB KjZI7ez4nptudEp6PU4O3N+sIGG7t8VgQ193ozXUjEbUbHvTaH0QxbIKMFzRicmcY/4a1l/pwNRi IplVVvkRj7Ru/awG7Yruda1td/uFiGgG7XRV00CuqthyDj6usXQi0juaOa1zbu7m+1D4yGxbI3on FzMB92u6XYIwYhlovKRfk3Dffx7eF3IwFphL1apnGW3Xj9wujspAELEdXHjYY5LdNQZKWdGbOmEZ 3zFdQjZe/Bo5xiri2YJofM+3XqafTAjqOyaw3rm1+p8ZtdI8kc8Zac/TFR1z0rYidxuNQfYIfzA2 Sx17gV4NSCQfzaVTGRkc1kBKG0ym0yBXV9MKZTCTyWddhaYf9QIWdUrI9KdLKHTCuj/NnAOjI974 4zrCIUxM9JgVkyVVsuilrKJ4haSJ8EyzynDiK6wF6sGHKOI6ot36vsX5b0Dd2PMuUhfBjKC18O/u OTvXYuwsRUA8AWwluNDxfZmYShGg+C2Xu8jz1YCiMBw9CmBYD6y/DwebNUcpjsh+FjHDii/fu1Ld ksX37g+piR8Rpg9wFDppYg1FJE6GO+tB7PjWngVVdt0YLRcx4LHFp650ubBX6G6GeLcrWXSXnSNB 8w2O01V1Z8iayhfZXY3SXBHCIL0UagxvPJiNUfylHMJFV9t2x+J8zC27WRilpREllw842kTHtb2E s2pmrXdDizdWlQL3tRgKurY2X+PVKaXEhulWFsA9jArF3xx33tBwdN7B8cm8gDcwArvQsuLjYsSY 0KL2PwZF+YjZ0Ah2vd3rQgZL9Eiq8qF2vp/yG3NPp3NQbH1LfBbrjGfMEl+hZTwtoxmJr0DSy1lP osZE/DAGgBUdHCcv59l2XGJtLIeEuUkPV9SpEo/JMKElfBNUUxiONIpAAevueQNuo18cDNCek0Qd Ze75LeLMnwX9rliO03aY1hF6sVaH4OQH3cR6iIHjPedn9P7T/QperekM+V0Hbi0J44CQyQyl0OBM Rpehk3iy8nosQcOsMRnQxqY4GlkWVq7tyJ3y0EY714FYqmAx+eMt91jS95zrokE0ULSC6LpJCmrG wmHj0nOChaI9x/XBJyqYjsxIUFvsGEcCYev+ervbqSzdxFTvfk/zlLl1CcE0DyLlj9ay9py26GMs bZ2SG1D5/Uh/pOKYKDLBiCahv+eUrfPhdF0HaHksXiM9pn5euLzO2X52kojh7AY/IBe1dcp+7aKI UqwM2kiAa9QlzRXQdCW8TrV6oqlEZjW0Nh7NNOD08CZuzZjlYHNjh6HpKVQvwSCmSdy6v/VmzHz1 o+S3HdwNiBCyfOxyr4ReFMpGLIMnIZwnt4ciei+7pYjS+MAcuNA5O9lpR1amKQCrQk4MvZ0KeOya ni3YJFyFsHiebT4Eo7V202twXJjACu32Bzxqj61pxBwNpADEAwaCZtREHwYUHAVKsA2YBcox2AYe p5ejYF7JFq1jaKssH5X/2PncEB3O29+FXffMAppD6mzw6ZPC0tvmouSFEaw6Vc40o2US2d1hV2XI U4mF1HHHi3/0007IhUmeakthycjVfM+L5z3dyMkNO80spmE+PYQ7MJxlfjyvi3F3zggj0cjl5xy4 uOd7vHvLQvjIZT2h4NMhi9DoREdSKO8Z8YF0PBIl1QwbD9/Dmx6L8yqcSyYndu5UrBe0T2HPCOtz 1ybIL/6cU8lUwYVNwGNz3IFSiwBoeIuRJAD5xUt6iTLnsdscj/mn++S+b3HS25UUfNZ8eCrQwjAV uX67gri/zqt/J9UXGBfvLirsP2hP4S0xQi1/eZ9CUgXdBToh8ZN87vsMlXdfPozNYpV5g/UmVqGw uSIh8Zez3DaIkJKc+m5tWrqaiC1lnWksZyJp5uA7vWCL8GuMqW9V/8z3MmFOPeEMq2phhOtytPZe WZ3Aj/5s1rBAxgT7G+UMsV+vgF+CbwRyzSz1TI1GgQMNK5A7NHJZVKVPa8nRXmIZ/X7DDIs0ExUe KuMbekDVsnHcIRmX0GubH8HDazMP75kXWmHfnzGL8Bh1c8rCt96pihwAM3ljH44LZYecR6RY63gY np3t0vPZXsW5VEINPblooSAwvyZp7/yDUX943FOuH8suXxcNhzglB+9mOXbLYizLeX2C8/fzLyJh Pvtb4Ysah0FS7trO5FfOEFN1W1jOoKAg56x6luJFUOvPPEaElXV4V+aKuQSANt6dVSMDdM5QcEjS aPdsSA0nSw3n8CvNFdV3XeM/IH4Dv7u1Ey3gmY9yu8uZJFoxmKEW6TWdT1Ulb1jauDC5b2x/X920 MGCpngfXjAbCDj1IIS/YT8GVrV3fCZzLB6g0Vv+6iYjXAfCWbpMriwx/f8Vd2PYZOLlWg/f+Oa8G 9vnN32cLWVhdpyTwlr5U0dunbo6DfQMEgfsrbhferE2mVwiuqVI6MLXWgFDIVQyL46mdpGT8u2fs 5HdqWBTMBtldICxM5PnNw1obNfAYmamHXTZeJ59WLNEcnb2lz+ref748v5cGuXnLfCzcSiO3OyxD 9SHNSd34q5hWRT5BIAmb63cbrUwmJ1Qn/JupM0tpzv/DTDVR0pJqNh0c+2M22r1WxMyNUJFD5WfI zv6tIE9lSu7MXubSoBAiah3SJPtfCGWxiPHAEN3gXuD9qF48oH5coxV/NTXOXUaNDkqXYqFE0iMT UVbBny91mDy6mM3PlaQ4giwaO/NZoWyKrw17cdg0Zec281EFMxqC2yj7GDetXjxK1AP1ODR8dmSz /AnH30NWZGrV7e5D+qpFVp3haca7WQ0YCQtpB1XGHtZaMGLp91pditAMdf7nMsMoISG4sStwio1Z Dv/qtZWGx9IMpdXiL9fQvfXp5e+y2OXDADDMf2Dl3Gm5AEguFEUzGyHa60EAvfX0TYoZ1PPB9a4x qD0jX3cPZg3W1rGc+eoNEK7EPEOS8LZ7yB1Sz9sUbsEoMMxZwdUuSLUmyEPs/99vSN24ErDM3W/q qeT9tq7Xi6DMgUBh5hG+cBIISmK/oJMybn5JB4IAC5sUiuAvux06Paos2yoSlqlRhFelxzeNyFAx 4+BVEuWO4/tTfG5A9Km5I+hgIEgpzMY11yjV6mQEHcSWO5pcT9qnL19yy41GzDdWu88+P7tDMFaq JmgxvjBYmN4Y9tciIMytedF4As6qrcdL+eio4fLp/kk8JB960EEZg71ftafZ2aXIcE9oIL1fMQHg AgvT1sIiV1j+zbepGTIVP7B9X+YU2x7PUO/LLcqxLNeRKB4td5rC6vrrnFZvnpGo6vDSHvihIs8H f9K5oinXF9Rau3Jr3PGezbNHW1H7/wnYdBRWfKODcsUWfwyk2hBmbRMXOQ9eNDuUl64sqDTpVzSj XGdDe8P296XsKWGhTlpl2A4OakEUP4uo11NXdho8WO9w/WtfveexbJPSPgNseCeefC40gw9uSRix DJR/PMCfqqhJDNMeRpZM59wkJ8kgBoUJI38phMJBNgYgxnLAU5VFN+lK42+CyKJb7sikcIVwKqIF IeCvdQK7uAPQ1mTbwQ0dLGqILPAKH6BKIIwojpiw4kDrDX9WmwATKgUvELYxWY5b0DYdArlaNWAV nsa+YuiIKz4DtSP3rTFQ8/8I89FCOSc/qZIsCb/GvfVfCX1qUMNsFXptF0nZIYSiETYZbwPVXXvc qZlK6ieN9SFZqcGp+4b5kp5e5cw5ROPrxOkAo3ouOjHEJS64XtjU0/V/slRSjokOzwh4OdkehiYb NpVoBedNTOwsywbHFiAe9KU6ndYRBIMaY+b4oSbpZroJXPRQrq0Mu6AoNh6n/QHrkx84wRZwzux2 ZcAKzZZt8vjhMoTsoUMNB5hpW3MMNrzApXlOWuTkQysaa4p9xaTd/M5+PGRlLKhTiFATUwH6nMvW bTKKmrKuHUynkv5PyvOltsa2JZQoA6N5p88POmvqu/uwqGwOQVZXDSGSeDStAnYd2Me2wdN8RE17 3zSsMPo1JH4TemGWZtsDvbKxlcHGFpQAwpF/y9w9g5qkqMyITWfr4oEEWLLDiB76OFgyv2gO/kVJ t2HOyVZLI+9BQJCoZEzIVS6oPcWhsih5ydSFQKjFVC7hkRi5GehHZPImYIqEdC4tjtoh24/OWDcB T8ZspSI936XCTaGlEpvgdgm3dwFYbt+kdfo/GDpFKERtxk7vyf+jNVCnExVfFbCqJCbOD4vsNOxT N/C8XISyj85ZChpyJYWAwLFpndFkWOiKMxSLAHdZcnJjy05abXCwDTBVC7kuhKomkrtuCCohsjWP Ph/w2AP6fSBV4v2Jv+L4mrFWJAvWte19fiuDpC1r03h33kXU5x+i2CTRYQ2+VlOPXfleNvXdw0Cj E28Je8aTeM6Deh//nH5GyVzHSDle9bUIwYP7QD8a5H4WcRflJY25h23QJz4rRdjjDaiYsieXiDgh xz9Jpvr+D1VyM0YLZx5a0yy7icZgJPPF8PVmHP+GodtvCcGVMvpgBRymZy+29GYFrbH2PK5lYTmr 0dPD0AZ36mzLsfapjjQgzJzzJ2H3gE0mKFj1iDubAj352UyYMcA3R38u9rMBFNFB1d4m1MrNPKS+ wMBo2/iXKsLo789omua0DIe0jOdAJyiVV8NiJrQFVg092yB7xJmS5LX33cgAiDhKDqB87y34Ju9f rEJC7dGyriddo9sZCIuxIB/uvaEfuxNADWTXgFEYy/Xu0Q3saNyHz9/yfw5eYqyEqYDDZhEv9a+u pns6O3t7jV3/ZQGu1nWe3uddulvkcnO6Dp5j5/838qswWTC04AnLQpDTvDgfFdyHH7DSDfXkf1hp DsqkD72l8VjKnrI37S2vyeu3GUhvRe5iXwLPWbXVcgmCe24zaINCZ/lJee8NIApC+nvk6ywhuq5c /GGoRLhY3OSTJ//fVHnv1S0EqFcLMJvtB7JEafn2NbSMrXv+RMv7TlT5NomExlaytqbQjqPG5u30 8YuYja0UwrDd3nae/o5tQxZQ0GKtgb9SjbGN1Khxj5H9sv+mrgYQy6mqv2lKpVomj2++c/MoKhuG Fv6F/Zzov/EHMXix2yN1LwiKILj8BvRHytHPKuDi7PGk3GyqNWYMDhDHIVJ8KS78TuGvtFPuxKNZ 7QvivISfiFtbEDERSE1NznMymFf+8tZ8musQ3z9ZlVXyxje9UPhf6egcyI3Y8MXB5cK4RKONSgCg QlAbeznQJjPtplB3Ht5hv9Xg90CSV2EpKFy7yD72IQwSWTUvrxPbAgA1EFbTLfkDMFsbIDDLoGU1 5XwuAxAXY9nS8tM6FsFlSI7/LLR0a2p5M7EICRuT4dlZqfZtgqUXuPXysKw3WLaHyTVmvL4tQSuE 730E5Q+rIlwE2hwn7aDRLwsrVRk495jpUDFDCrSDRNlJNMa61p0ttCNIyXsME0kx7OzpYH20AcgQ 3rlWvy5lTbKVGbYjd7p9Czac1OqenrIkFT1HmHh7x5h6XwFikzcF4m7xSljxZ/6aaqMQbnS0gBC8 IkldAdXyIl+RzEBe2G7hA3Yz31sq7++deQT/LAff1es74a+BaRxr9tjJ8Z3J8hTjmYYt19h26SuY 0VtG9mwqeOYJOHMFDiJpO8GNqdD2SZSnzuCuK1qQoxiO+2oRzSlc4pA+slldEi2wWO2ATffZDYEe Ishi546Tj7wTR1GASBeaDh46AJ8UIBLF8yYIgJkHx7+g5UQ2QMM/NILd5O03s9XTcssExoFX/AUx TZ0l5i22RXj62a1dxXBl61FHaff5bDEQY6l+xjpyvqV3RsB068Yw4RC3FDB8pIQr4uE6ogWxnug7 whH7VZTZJi7dfOba3FE4MuZ0yiN41Fs1ibrkVPm7eLO6WCP62FG2/49A7xjk2CK33DfvcwxXp22q z21JDH9VBe6+E8ifzZVRwgnP/O/S7ajVEXBdUb0uAky9JBRCNkP6kjBO2yu6NaCBlQy8udntjlb3 8yK9uGZDQeudkDVLakw/+kI8/I6FwCOLYHe9BLu35Au4jMYo5DEWXJjn++fv2/UcETq5rxUNRoA1 4AcVugb35QQArVuUEugeJHrM8qKOncKSt/RbNoKT9M9IEY12dvQbNhYNm3HXGP+cB9L36Vd1ikf3 M2qR1CSCw4N8a/TqSIiv+VMqJC30KfInpVkkBw2bX4M68NiQDPn1nkeUEpykSeqoULRNQBiOJb8+ wiZQVehdF3BCVgtS5lLAfZPK3BHj1vDYkn9Wc0/aSpiKoE4wpB0Flt6abudmtREa3n/3om7dWn79 BULgTV3DN65A6D10hAgos/VkSCCTD1CQ9KNngcHW0PamZKe1thUDpCBZIBpy4teAlMqmmaTje1H/ 6EixVmINF3QgYZ7/Ib5IM7U+M0zRzrmB9hrhsORblsCuW1eVJRCjte4FBYJyTgJFf9U2Y6rvuLjN jQBBOBbob+chrUkM4iZxCKAQWUtAZxsKp6UmzYVIRqkL9UrUaMzk8sUX5sR5MV/mYJvWc0nZvILc 4WjhWezlCLQQ+vxumId/qTvYdzivu1QXzkkCEOlRUQyKON+YUuEJ9qGvHAc1WBfUGRq5xeBTDeh1 6PG/rujZBGvj3K27wbvdvxE5hyfJgkcvv4YfT+u6uDIHanEZXLUBzo0oWKEbgWL8Qjh0v5GWxj6w o0NXJHq2ZuBT4OAAmaD7rYV9U6IC87LO3BVlEAw9PzW4m3Ll8zYKxBt/OBJ2Ysx9kBvFqjvbqz40 s7nfa/UUcQ16DWW6gHsmZB+cYcNa6n/dIrht1KRVtsTyPHpohPO0Srj3bowZopi3tMQIY00gUeRk KU9du56D/3Bx83RWFMuaBNABQJsyLWavUhClXiAOP5YGGkpPXJe8VtEY13Od9wpXqQ982xuPaVQp fxmTrW7dgOQ7ruYdOJ2B9OvO56aJOyAuRS0tllhL61rkKv67Z2EXa4+UBJmSR7Py28NJrFBmZitL c1JIRhcaxCruVth19s9rUmKMHGWgS4Kvfqmj+nyA7PS1WIc3JRLOvuhffCYbdn6+dSVdxCpHMcaj 3YbdoWpNEvN5N7tRRRxwc5wDn5//cupsDERAQgYjIqXVXzjYpwGPPvSsGEezu0S35UiK+/yadG2e KDdY1JEuh7jt7srl5pDrrV5ktqZIN9dlUzu69YYMHSAaV3PmxiKFPRAfe2fIqtw8Ktd1r2W+dzwl BW884HKwhp2vyF7HQsOXDpwKMY7/KAdePIR912UGQQc+kmQC5BA95Ra/Y+Jhnvf8qqJeHOxLSdyW 5TJLqfI6XfCilwN4XmJli2y9+dRVFGNwl8ZdUT9T5I387zhr5T3s6bbjtDwJHU3LWA9wRTR28957 ESiy7ah/uDei4gTdec+Ak3CuX2gThraPC7XVvqtmYU+RTNtQRrayel5imLSucQPjObFBbyAGIyMO LtWQdEfg/E5ZpleVw9FnseWof5WSKLiz6PQxboqDwbaGIOFob/wLILej8k0B0rCJGJVhLOgejG9y F0E7WzEQlLTn3aFTzVJb3HjkDoAo/I3yw23FAPHiLfzRbPJRIfBm3G1iB3Pl6wwEYmAe4scbCHs1 qeCp493Vn3sGPdOUVRumJroyrL+AC1R0zGOnzxCj24dFT71pI95T4pduMVwxSELpw5xP4Ow0zeix Uzg/9JCAN5/1S2oozvu8itorb0uR4KrG2zur07/8r/WuKYTx4voYsWRiS6SHv+bhbrgtBGmnD/uX O0SeXs4023Bu/C2l1QLQGLeQguJswRw364UQZLglBFoF46Jb+mVP2LS+B0bS4jJTW7d3wYp9+t+A J7Mhlw7TcQtFKbpp7oNr7HhhWonwgP7QuYkZoIiphoSRZEJz5kNUG1qHkVvBlXGs+qTXm1TQ/4v8 z0QS7uWgHSPHMCkGsXWRpzY5T/HvAk5Ub5wTLZB/ngX1aC8YN69wG3W/H+Ewbu2/jnw6g/s94Z3Y 0RHGbikTa8v3Wyl8B3IyCQKzwgmTKMh3cXL/NTsNosQttBydNLZqO78S3vW8+dlVI/1RhJQJ0IW2 GuA3qX/iT5P1qrFHsICDd6E64Up/lOcsrjspGIg7oKwuONLLNeYIhe5ijOzfMR+BXInDUUVdnn1/ zPsjLrV6KXL1MKy0+o1sA25UtqVx8qXIMLPQfhe9VefsQi3l1vPTU9ULnWMJVj2iuBZWD8Qzv8cV O101i+SPLuAJ7lyZoRPCp7TSWoeQh66R3ICcQT/O1I3yUwyc/DzK1zHkpkRRCffp3BDc+9/GpVtR BMIvQAB+PGGGg199mn4o13lH/mYqKFOTi1zYBjIdxfXQNk/XeSiGx8x4Q05JAzfOCE7mPD+C9/ob 99Tm6icNzDGgQ8P+kN+9+UgDcF4FBqF2Zwa0/4LOelMxSsr/gUpmj7gs+k74oN07d7+zZ6ZhWhQp nkyaRnfj4OvqHuOXR5XXXmCV3luI07c8+cQb4igwqGSuzLC7yXH6wM0Lxg/H1jzF1EJm1Ah9gGza xQ+NcAHDxZTDnOp9enkJzJJpy+GNZKPSYWk5faocoF8B7CIMkhrG6LWbtNLBUOiBHC+HT8gLtmhh gowhK4OPIf6CTm4876mGZhmgCSFX4qwouXjqyR+7vwqx9s7RIB0MWWeoieGjR+h3DiDNLvGqG2cE d9sWg4MiwgdO1StPMK38f3i1HPNPVPI1ukL2X3cD8PPFJLTY/oUbPtNZvEt0OhLFGFgqLZi2mH6w s3fKpL4dmDaqG1PpsXKleuP5lgFgGmMMBeHXYS+t+EYC1zOuz+PddDVeUVyymzTS+mn+2hFrMmTB MO1GZkFSsgTmOOORuPgizl0gnF/xrZdbEwLnoih36Ic6e+M1K3MOXj6HxQ6Ph2gBEE8tPnKxAFYo iM3k8hlpFuasBLOxnS7jqiFcHnHysn4TkO2msO8rA+tGGsAH2bbTcXW9ND8s8jwM00WoxsrudGxD +yHP4VQzHADnYZ7ntnNTMM6sN7d0UgWvwEiv6qBbpQRY9SGgJS983/NT8a1kWRs1wssep+4jTdUG ZsngoqJT8EGEgVicCDz3t/EB2xAJVzU6+F3lAWoXyQY7eWgueJsPHjZug3/IZYOOf30rSbu6RNdU dk2lNiVVrNFr4l1LCCUzVY1g9vnCmZ77pZAZv1/QQvxiuTiqg5vHJ+cxfloZLZ1CuLigW1LfDy6V Vr8rUwOMBW+2XsfpFv22xW5jkSgi8ExQ9yy/ILJjQ6p2RNu82w6KxOWwwu3zuiP5wME6lYUoj/n4 yVKky5cQLlpMSJTMl9AnjWeH9GHAYDsqXhvYRB0UIMiRZBU4onvXJ2nGj/zRXBh4ICWpGzgoxPe7 nntY/0FdBH/ZAc/YaxLvdpwXj2E2a5cqvrwg45xNTyOYqyk+4a3TPPTRn3VrGfmbXsvHmIUFmYPI VddLfuTDumHDkFJK1lZ85bHOtN2aMU3WUuzqN+qj2XNnfJdPlJ83oGqbaV8F9TD9nZ426zZUL94l aJ9Mnhr/4J/DtbdVWGXvcQJ5Iz0hMofiPRdVUAMQCVRwWpfW9U/UI2gb0AWhyXhltxfJyo0mdt5A qtuWx9fXRv9L9HZeMnZWqfI8e0RjNdNpzP72D7zkljnpY0O0y+3mrBb5nQN6wDRNtGHNGtrwRuqH r248HQxhyqRYNiVruT6b+hNv1RkyeiEfieXspvQkQx+wyTmSLKv8nIY8GNS5Jak7r0IEr91hmEEx gg3zJtd32OT6AKwNYBFevNFYmxQRuwO4bkg/jFmQtGkBDLI+vQKPmq5tCNha1VqCRANVsBezUYHf fLhGs9+YeBBI22WEW8ZlSVjjcsdMyZohnxMo/ANefQbFjcejFKz54zAndzoZmj6oY0S75ea0/NuO NpQMi/YBmiZ7P/8MbLyeGuBE0RbVoY2sdktROh9KW3UbVer6E2qo/prmSbpi9SJF3GhTplkAR0uz D8iMLsuXvEPjHWQmzSRqErDzKEuU8ot4YYEpTL0VUfvj7D5Mn2C2UWCgJbzRiAn3DNtg8YHpaBQC Ws3Qx3uFmTiRtvYRUDXaZa0u4p2QdNjHeoajNPMR1SWBgB4y/U3bqVkAa0+noUkqgA7vsnLMG48K n91pD0Lx8pGpfVUywWj+4qPHatjEnIjp/espe99F9RCw/5x7hNPtR4z3yXwJZlU3iSGh+DsawyHH L+E45enmVYmoWt0WfVOR1qCLVjwr7ewhVPimArehajYkHinsDmKV+WOlS+OWKr0mypG+SbZcyl0+ Ojq9OsnUrc6p14/RQjXAKt3qca1SOeSrADjv80pR0TWyf4+xCkpmfQq4zAXd7MA3Rz66m0bPA5kD flZB9SBTtWsQpeLpWN4RxbJ6Ce/Vv+PMjyNfNi2ef/GohDrsGgP2EK982vXorWDjgQ6iiRHUM51j umGWSEUBRYdRWLcqa1TfDSCLuqp1WIi+3lULhCWUDU74VlysFcI6zY04sai/dpIzYsRfm5S3vyoQ NIDG0eYhtHuaASxxaczf+tU9kl+Tb2mHa5ldUc8v4OAfzskmCecr8M8bK1qqxOIubju0Ez1C2ycf f8S22nKFwqkKRA0g2/PqJno5axfYrTI7KUd0nDrzeQoHZNwdnI8q7+leGOP0p8rRXYyc+CN7/oxy nr/hXOIxML3YsGNqkQ8y2tYaNbYEZbusrxU6LmtaLZqC9NWAR0EabrUuxtvfSm6VpJIwWvy6Sc8Q c51yqBZ5XnEw3tYxrY9plgxsopdMna4hvqn7GP7swdEOwT5BA3g5ZeetoLZrMHLT1HS9Mkr0yFP0 JhEk4FOKhl/L3gZfoFxXoq4E9y6G05FUGZk0aOcszHrLgRMXmMrNPanR0FBO3TgWowVPTCVmQtLo dcA1lSJyj0fauBgE23jp9qPAtIEVjdoxILO9wqDmjCp6Q//sevEOhwKbKUsEA12ZwgzvN3WveLmi DsmQepIHLVR9rn0XQnKNNqsGqSwufVqk3BSk8Z6eVt9Xqsl3xTyjMmMNLTT6nGfHcxJdWPrz6ml/ 9lWnHrXDoCQN21/EKPZNGLXcyOgzdIitm6ij5mRwUW4QTlVNNNrDV3CGi9YAPIpxNL4Nsaa3ThLy 01t82WSAo9WiPKx3F92p7b6Z+xDCOW1ck18+uHieOhnPyJ9B1IOx3oKtsj2ui6fXrSlKd0ZVldJv wjp/6fpPxhrl7eI0MdqQBBVNEqLRZZF7OUwhKYtThbOcLJsvzw5sYurhASh84kxPvgDhd+SfUxTN 8sQmUMhlWeasMmUliBdfXoXtMJyc1uAQ7u0U9uNLKFxDS7dDwkmYlzZOkXiSdJPcxyIFMXjGySmV xxKKQbbgz+c4yTI69VByVFWFrvN0XkBnGlX0dV8j5bKPgrxs1BlNSuhmbSdQOr+mmsLNZ2wHlAED I7Zj1tElqhPCAVgQN7U4ut6tbjtYeDUJvZMSTFZ0IkVnfbQ+BAxqbr4oKpUQ7D9h4O3qAYJnBYth 0X0qmTMXx9C7lhwhsFvqkUgmfWiLMICL81wuQdUbAd8BG7NgZRLActxJPhuVYEf0+YFeSklL0wwp zWsq66F//Ddload94MhWnOkVJ5xi0AoqzmufWGxmun1x9Wgnt2bJT3d8TLf7NopyhG9EPKdjLx4e H7z0blTAZg7MmvPKC+bfaFYJbfxL3630ufGJ03R4pVC5ugiIUbcm0C2H7Fs9v0SGmHqedDzphm4w IscecVufeL1Xoermxff6Xj8NkwHIwLWeP/sGzySAqMNzO4Xiv41CudI3acX1XBLCTVJ4sm9qSsB6 npCy9LOrDJDFOekiJXGy4tUuDFLHzjsApadWN/LfY8gafiNfPlq4OcrApbLxQnf4/1MK+pe/jML3 +G1n6i5XhOwSaXzrfiUiJKmdUg9jCbAcq7i3784c7KD2bqpccjNQqygnWUUEO6IJ2IYuCnR8BDHU Y05fBQHMOoSifZTpCcZsud3E3Ug6rpyjaKKseeXl7y7APaXMqdvqHLhUSe7TrHDwpWs3U8z9TobJ JRc/BBmGEM5d5RzyPu3VuizdOiaFIFgTXoP2Es69964Thj9L9IcBxuyVIXz8J1JFmer9gCA1vYdK Pqx3Vqjewv7z3jugqhLm8QfoLrG8MagPDQ2Qzrdgroaig0YsN8DZ6Yq1g5HPUaQs/FItxme++GPJ ErXeGqMmi4Q6mGAydFytxER2cVhh+zYE7isHyL8wly7KVvtRM7790mWKHPhuBT5hhmNfLTDr3Ywu m4joYttpVhhs4Nr+GD6aV8WHBhNkVoxKSl3mWcg7QXuOVm0bY1sbAMf27hpPehk/8TzqMCdQ7kW6 qKFd3IklFeCUg51Sh5EkWZi+dOVLTOYzhQJKBQKQPl2yw9a3aPKQRuiD5jWTSH97nuoSO/0ROLQY QbuLoK/Ft6hfR2yjVkJZgGXEVJbnTL2PzYsSEcghHIl4L8+wtA+WzFe5JJyCbGlgfp/7kPXj+0zk wrVkaZc6v4XJO6g1N4uqbnpFZxWA7DHSBN7PqeB+0fpprJbrEpJzkJW6UoHWUwON3BoGu9TY47L2 0SWSRGr5/FiBX7lGZr56Q34ZJ0kJ9O/4eKq5/g/ZxWEQCc82aui12s7Se2w8ZB5sFuNIKz7ShYEy jR4v/s661JbRS+NRPa73x8VeNzpyZhf5I9RazT4ysGpcovQlhX7X+3Jck3lEvZokzihjtveB5+yt EyWc/SmP4m/zNBGseaD192jrqg7C7hPGglAhwtoeLleuCP9knpIeYh0vTDvP0K0Bzz/RbDuwd7yF ab2zQAv7fFcEXGUU142IuLVkDYWvidz0iM3Wy0JLme64UozSKREsjAz+Ui9+Rrv5R8riUw1vSXaH IrI3p0JM7MeLBhXZGwCOw6MFmtMWKS67wGdaPpV2c6endOoR6PQV3LGRLpMFYwG8GbAntI8AksuN KRXzdlyCaFZ8ader/1xHt2VyThPhbGa/TDJuF5ZJo9YYrXZPn4IYEcBP7TC2tiKOKo7ozXND3kct nZClZdz1MPpdIWcB6MJTbyEwa6O2stWdbjbBjToHnIg4VPZRFekt9gxxy4e7INcfaYzK3OXW0fM9 0BaHeH5NGVuQoUqyWqud6qPLUVDYVFViAYx4pD+ouLL0ru/567UTxV/m4aw1KOb3KtBOHXffnJ3a 3wv2YxQzOELhtB63Hcmw8YuvZ/yR7Pi+nmWUkYrBy1jgBWmdy0Xeeibi2dfijWI4SKba58JmayVL hdS/COc8JSA/LW0v2tXr/825ZZJ5Z2+6hOJMVtC+KzCGfrULzQYpP5uEURk/tnBTLUQhOmLqD/9h POwvWMf/GihB5B4bIJ+nKtDVVXKiOqngzEr8I3Y9xlj5WG1BShL8TuJMKOpUvDK5OwUVWHwpsOIv CdbVjhnEDVP2aTX/RKNkdeuNqjrwT9Z904R4yjYwv4OE4iNnkeFZKsj5qNkfd+H6AmdwHoyabuWz DW5jZ+fFZhzdKZOZSKvxdvYIqZmz8UOa+uq5zLEqOLVcJkZ91TyRpQGgX9RfhGxdlavT5VeuCzJ1 03GGTlPRtbTX1aWXustHkIfT486nS1mDuJZE5fasJIV4GQB5ZB3bvqkrBsapzXF009zziVoEm1Cl 4gWOdF95lvLF1schkU8edKm2gdX3lYCOHx8+DT5zCjLWLiu8MYni9zRF6WfSS0RqLoAp04mrFHEg 5W9g4FKqm9PwEHg8LM9ldtsQEOdW8I/dCU0KiF94wHDh9g2JsWBYMRZBC6I5DNTVj+CKUtV2JdaB BzQ468M9mO24fFAVtoqeUGXCOTZnoLAQrCL4S6ynuJyLClyzFMqeONSQstPcvc+xk/TYDE27w/LS 7D6NKdLqcd5i0N1qrzJGtiP0ndDLsdN5JkdmfRyJOIOUVA+JZ9hDaoJUbyjZMI4nkw6m+DGsq/Un //Nif37Ts9/zZgtt6FB4IENKoeG5kA9ar0arttRitWEpOTvcOLPqXoWjWTej0nM17YjhVpFlNbLv Vt3yUvPniQgu3dPwTeF96uk3C4bVkhXN9SPrpVxJfK+rRpbfdU3dCuVl2cvB82e/+c9pLw27OiMG 6iIgVdpcZffJHlPaE33G9CMKcY3MqIRPgqHtstQ/RVDcrxNwymbgdsYm58dGsdQ+e7j3L0jGdI/g fpxF5dSR1l75FNp6WbdS1hjZoyq6+DxzFyMJPqnaQgRvW7EWZiylw+zE72oxm7uG041119bkf2SD C7ZhEvDzoYMKK3qww7gGylr96igrRlhD/NM1BSwHZmd9TFK8MSGpU+GofLAkmuDkEZJ8QqfsNOVB t1bVXY7m6VLJteirepl2L08UcQ6PP2waYpkZaZsPfTsa6s8u1lZK58uTS57WgT6LurpRgUninsXV IG+oa7z9zTSb12izGaJhgXne0ABBdCvzdleYNupxXsAmNKKL2l+jJDJ2Ph/LWJeua/zqPGWQi8Y0 QZpnoS0QVG6icj7yhXJdpbcCbWHVcOeMz+VyutcR3tf8B4gwmRlbOJq8RwdbY/wNVXd8DmYWy+qS zC4PhZbZTNMnLYSo3fxBiUQ4GGPmLOPSx/x/AEUJnJZJeSRZkd1fFG2YCSYVbhqhARE81NEOqCGf qp4L5r7gY6yoA2ZngfKFmxpqpoeO7+o748vIXA3rIsUJPkZx+LPxmS6GmZTypy36PMDAnWQ7tRSr DBZsbC5xAUYoziZ11pSz/tlvGVB/lvA1820+zkeKxzjQpG1Jpoz36BVgy3Sc8o8PUsU+2apqRqWh HIY0dvM3+qclIv27myK5TiRmeJ258ZCLdxoKv4HXlb7SSYFNPAd4kfrfQJ3h8SaJfQUqbE8kCX6N cs95WoTiVx9HU/bp/+cBMxI6YxmhwzR1+YfGCYqASVYi+xiexn5vS+2qUhmRJgD2JCzMNPCVlxco kHmfZHIA562a4XO4Z7FuOVj+Y0vBz6Mo5xUFC5B5AT8o/7naR9w+cr8Vs/R97oNlib1baLJXcfHa Z7DSv9sktyLp/Ae5loCS0aw1exCZcgPPHcTHuqY44hnpbsgOxy5ATpJT3Sai2vfW6yAnBBTybFTm BgrWl+GSjCopI+z/VUAsXBKuHHB46I47MP1cAWC+8kemL/LKiEbfGtTYJs1BuJ3Mu6si0Si0Duw7 te3pFrkSa7MNyEn/2zrH61QJqaUOiurItm3UHlBUvAntxSNJh3fukK84DKwfdZZIRtCDhqgmYjB1 Th+b19HUZPScn5y2e5KiImhdrgycmz0pT38AreoxPEaey5zr50HxiejucTca3ThmBDntFAc8ERi/ Hbamo7RgZEJ2naZKdTX5NXWPWulWcXKnz1jy3xLS1nexdNfksSyewmH38U31d4njQsRnt2dBLwj1 xlK3gJw44a5uXWuo77lHW/58hLed2OwLwZCo0HPKJfKOm721xmmbdhEtE7HFPFqjs8kir4N8StE2 jfwbVGlo0woffKOo8eZx8BbP0NEg6j8dU+H3LfxFRpKW4i5aoVb+C5R3ACmj+FiWV+QTTYQ3pDWp oY5K81t01qMv2BCoRGWbttY8ze/NYm3nWfp9FWa7xBoIANbRGNct90XomCFZHEoVqB0Nl9UVSdLs 4l2LCD9ryQFVwQ8OvpghOrvgofDhvyJd9Eqta4GFYCMk/H47myf8ZSF6FNcMvGtA5M1fruH52st5 KJpoqkOUu2a91kgeCsUqyGcf/baJoVcr++IkPbznnQQQ8ja9ZMarhEp7HOV0jYomwkJqYl6yZxzy 9IApniWqXiNnj0DGyMdvL5tRtHIaV2nyQw6UMWmIGTXSDi7vZrHYwkZYZt3q4QDlbQzmYzl7JhIF /YNVUpzrLhyK3u5Y5nZdRYO6846DD6JeFQHDWVe52KCwrf/UnyHN7MeJAH15fwCxIflYkFNxEyjq MsEgJIvT+6RLoBKsQ5W6QF0FYiNQ2QSyK4MZi0vTFGAuxxWInGKOjn/VSuk4XU/XDf4eQXcjdgra 8Ih1uKeaHL6blk8eDTEII66zGV0CFf8D1jtsYv9TXdzdB5gDod/mTWxzdtXd3xKKRxMSsb/gM7sC eH/l1t9Qv9U6OfOzktlW1sjpwZdL01uR1hBDdsuffzmXeKRDV5gP0bJn9BK+c7TULT7aIp7tcCVW whY/LBMpysiBiHeBYvLOvGWvHEAizMaQ+4kGg8+Ykg4cDgkrFoS8yYtuVova643em1+7a+zKNA8K FsrAitN02+kM4Jey6Z9s3NSHeptj+uzhUEbo4v7Z1EcPijlz745kNGETstHYuCqIThd3MQLgG3QL cxFXYLFNqmp1y/BE0hOwyi4ameSfNhtlkKO+X7RI19DxU+a8z68C1R20Pg6xN7kMcG11RUsZslKu AlNi3RK2CEK6ni6n9RM6PpME+d6z7r2FGGp7Yptro5RA09m7XPZqeoFSg+o9eCMUd000U2Eug+eC C0ujZ9aOoMg8IIuNR+PDJ6m+WG0Ub8su7A0k3jRzHYdInCkBrp7dvZu/gXNjQ2tINtYTzek3YL5P FZ8XAGlLcjg5o0N4azehraYpxwhLgR+5rUzFJ5mCMvIigNJVA+0WYyIXHPVcnfK3wEOqpNkLa2ZH Ar35w4UVRpWEATfyvtqERbOBwfiDbMa2s+6YTPvjmEIry2DT7OzJAMaW02zpgNpnQeHm1Qy7PAoj sh5qqbsp0w7yoiwJ8lEoJYmxYRCJkL3yqiwjjnjGd88hmul7JZuvHJ01TwNfYI0hyxahn0zeHByx 9HEdbHaQC6LmJpRYH2u1WWVXh+1mJ0kfBFLRTe5tYBbVdvQOd5K/hIDBHrkx7Ki/+iyGxaK0BIcv /9GaweWJ1M99t617laHFcnIdSUdykyNnyNf4jxQN6m4x3/V5XON5hC5MWpqWEsEwf/b+ICs7prIM v21Gqhbi1K4hW3YZMD/G+3+VKcxLcCkptmnNidEOs+Q1ofL1EzkZXdo0hqeml1jSeNRnm5pedCLe CKlH4BiTd8X6W9eEP4tYv72NFD1l7L32HhsgFGX5BTlWMFAfQVORApDXdlWbK/KIDLPDnt+FK+cn 3m91bQNM+TZm6JcfbMzro4PsveMvJjYT7yzV0gsfZepxRnGU8cJl8C0Xef2ATeNIYDQw4JdSGl8G aCamtGSXE3nDc28F9n1xgY4taSzyEmhY3suSOfdg/gvYCNluwh2iGTDLVHQqUmTHN/+RsyPLI980 JruU8rWne/flNdfYVXhKvyQWvrE5KDJpgNKeO5GtIDsu0+y/921MQziewRw4d7joujxva8J6GroO ywZZeGTAIALatxhcHX4AB/dFV+MNzzh3I+RVtkLlOADZjG/Nb+0n+BIaSjtOzGkHOxm2UXUuHclB CMxgIj6yJtcBFGIqSzU2kv4NWD3rTNvsNvJNW6RD2AQntWAYV3XO4S/CKamPVV7YC7mn1Xkjbcgb d6BCFGxcFpnaH3pjxjqNHy9oGnxpbzajshYkwpva2xRiASqMHawyMDbOviytCrLU9st/UZQzaq1q iJnvx1WiE7Jq7r8LKS170ZOx/jxuDmXa82pRetyPg4OpoZ3nYl4EWTLmavsYpg+oo/bO+IufyRGT tIgXBj50XqKf8VgkwR2YHPQsSYv+TiYNqfaPpPO3qXSVwmbCK3NI+ohbc26NcRFTgTx2izOYYKs+ YlsN3R32FU2vl8zpoOyQkGIDW1TFop7KwbrcMp4b/POjGq2BWbuj+XpGPyAh060FDeSAKt3ZAfYj HYZUR1PaeOhI8gJhLXYNPviXZAVgbxV6OUYc/Sz9v6JAbMWqrVlRZDKWMl8FMY/dft0McnSjjvVC lQAWDVIIXxPxQPOItZ4lRnDytJ0OphzuDsDXl3X8uMPLf7ttsJ84GUsxQcFjt5fZXpv33eUvadLv QascWHMIkhTKfYRhkNXhidpgt218/xfzJ2uBig3cJ7FblDSDoG5PES4ccc6fsu416MKsRjQYdnD9 TjHP/yJkzeJ1gQpVRQ/rJL2iUiGlovH+N58GD1ry6p/WLQfNpz68KXRvMYrxtOn6smXpN5MX0pKB In4kV/gPY6QxnZB1vttuZjDTstFFY7euJuL72EJhWTTBOxYgwU59++n0/n2ncuYjJ6K9P7UpH6N0 OjdzYUFNQpLMuNmTYHwZi6Qy1FEgrALeyrFW+ijHJMZ9LqEb/C36XqgktoYcWN0S98thLmzJcOO0 G8YEVOLrOMFNlkrjiFl2QFW0pyZDcGBmstKFM+V3KBDKXaEgYFSQ33j9Ka9BKeSH5Prw5BWPfYxH b6SU5T1sAx3x8rhOisXYbDIgxY3uz20BbO4DdAOTJ02EJ+cFFS5YGqM+TTEl3knlhPNpWPM0JFwg Spdy+wjfwtUK6yYikfytdxMwumQflc1N3pzvgJuzUrqux2L1UR4WIOsmr0xN48Xtvo70AAYv6x8G BA4roVakUZNGSQ5zd4NzLI1akP4uiz5e3vkE3TN6NQAPxZG44rGwi9/VvBUA6WeMjvtQUBg8htPs yVY02eAqZ0bf3LQAp7zOy9VJFqVMHssMzSGUbND75RhtRwg6Ms3S/gn3OAv9PLuwZ4aJOdCv+mMw 0kU2AGmNZ+9pFY827MBYXTeeNvuVYUhF5UKfQUXOBraWQBOlFBXsAkWBiKEUJZ4fTsXpbMJYz/AN krzfbrVN5mnERWEM3yLSuzlPOO6aiY004edc4T0iqq3DSwc92SA4gED8st4GVZh9hpvKK+qJfY9H 1AYMddo4VQjnShVFPd7PAO94ZmdOb5LoLgtjyBss8TVwtW4XLbYuR1BA1BqL0UmQ+niLaHNlbGjL HQmTQD00nng9RHloUz+tVq6mQY2thbPttFC/LyVQFPcWdpLaj4wlZgDU4iIiQbATf4+5YZ8T5ra0 YK8lEo3EHgVVC+WLKymb3gDHBoxHPvnMi0rcGP6erHNPGqzojICkg2CEyXTT/qJb6NuHLfj5wHc3 JEBrNVV9ObcGjZ/vo1ax2xwfpTKvfsoOuyRbAIxWqk5bqtXtUHoo63loPALkejFaAx6VIXYvV5gP TahsK0ze8/bAGBcmcEQernQUX53ZLODTa27d5lxdHpD9/tt2yoTIG1C9LuyRyGoN2SgzE2JNVUZZ cYNyVSCzYYIBzrIxq9k7Vb+EE30HJ3Cjfj6ibBaTWH/9A3gOHxFY1t7Vc96fHu85zMo4dxo4wAb1 7Thx+B+ap7HUWzhQW5oqhL/m4jHunJBQlYhFiDLk6YGwbcbY+o81hwTDpRfAEhz+aKuYqc/s4Beo YlhpQGkdxocA3b7eeFbIf5lSIbLABysTBXFz3rlPFuEwXrfOxxHIQRsvXJADI+i+FDvEqFQ/Eim2 swXzUhjIsEVfw8UpYuwoDpO3je6fRHsGEEstX6bPJEJchHdp596oFgZ9uOPkD02i2aTwx8pGWhR7 H5e8s5U8yxnfpCMmadPj72iKrPAO+N38+vwf/vsssI6P3FTIudqGbYYFHtXRCv4WveweeM3NEjfP QDa8pY+TPZ8G7tEN2piltKSen5lGf0V8WLKPJmFAieYxwoWn+RUgYc+zMGwewi150DC1ZsXOHYMZ fQ5KNiDdQK52ePOxeTHG0CsqTCp0NTUzetjOKNAQFadcbAc2sxccoYcXjX9Lt82LASnAsRvwyAqA GaKK8X7uGBWwhL/iH3TC5e5LrYK7ynpVT0iH7xGw3kyMfjc+AgKYWOv9EicDTsg8/U93ghoP1xAR C+6jQh1DMSw3Hu2ApZVSfOzc1ACPCWCGJsV7lYb4MZE9KPzAkjLx7hDB/x8r/HSKFVvkZwpz3Qkg f5mCyGl9sklnp8bncImI68MppP6/8FV/ZPs/rz5+dyrJwa3uKW58ZzMdHto0y/ux+IUIQUVyFG8i A6cdOHLF0L7bBFmEs54CX7LNCeZpLT/xUyod/VctHc1bmaPOjOMEIjk+RkJdwjzjcAtNhIdVQoHj WkYYZMcCoSPbrCpWdNt2PMNhlarodUZeHIlwWVAEljJN0ssj/zrXWt5kcRamvusQYbJWqT1AbdGO w6wPL/E6etVn6IxRA0TZnSlL7kAuLxLj8SS5UXt8q6WM4Q0Q1UlMZaE5xax7oQt7vUNbmlFuwyO6 +tnfPjbef3OATtkdNBwyVj3ioOvhefjIp/lpdSQLnEw4D8xZw5ib0rQgpugvp9TizalhFAPn1M4Z qJhFmYGxhIRchz0PuRyphZtGR74MSm/G8nwhf9F3kIRyU21MLZffRR9Mb6aFlI0NimBNC4p9ufc/ 8Up559+bDuR2GQ3+Zq0wRr05DAUjlN+dSzDfi7iABj3yuPhG4ukzDVkxIXsaKHQnm6gg9lnfohEU gd/tqaF0MYAWQxuUJOpJDmD0y85rvpdz9GuqZ1kS4ZHXajGOXnfCtxwimNNTrT0OZHnFk+96RMZT bBAf2e/r6b0cOJICr//cbOjSuoTUq06vK9dlIPC4ahXQ7f981RNppT50uSk6ycAgiuukUNiy8fmV HKVEScdaqdqzmyZmcWJTzTDdrQotKqNjTbeONjsFIb3Z+hQi9fae+GiJzWglPVbYOxcCTAedK08D u/wceajjtnl1o4xpouZBUV1gqQXI1XtveEA3eLIVpZtoiGxlBO1qHCk4eaCOoYg+sllymWzmecTg vBTsiyhdu5fqQ17IEKyCfyggrf9VjbPqyPjO/omwIyXyAEVKmiOtp1jpEwduKlVrBE0SSl5rLopE jrWHeL+GDo/jU6gA6vg7DHzjROVgI+Yp9POguVn0WaEB+BiEy00LjSp5CqTSFIRYThotydKfv3me eyvhc0sEHBbtRHukR4xiQRZGZ03Mg9UKw3iU8WFpybM+NWQ8XIsA443/ozRpPyQEx85eLHMH2Xeo gShO+QUigsAyuTcA9kGqd+GGp6mDpKpp2m9z+JyjNgHEfJDdiPZEVFNxQlv2/Phx4bwhbirnAqeR xel6wUdt7z5HIar8klnk0PNkWn2dhz+XtME9Jle0FtLlNP4Et81jNmKci8LU+la+bQHUtK9UnIPl HiuS6HNsPLg7QDRkDqm3vW9DRLOa96AlhUIw65Xc5C0PXEbX9WrSx8WAIWS3JAlPmBWm2Zu/CgTb UCyDBLzs2Vw7yoVLLs66wTlLrzJ175e9a9N6qaDcWwCM01OEyQkEF/eC4XbCcRLiDWq4Iy1MCWwL tdi0mkaUkiPK9ddEQ/ySSN2c+c4Q7psqIRb03hntCX7CwRrp9iIdhacr6LWUtWfZA/PRwRben0jO NNDanbDmtmb55n3Zrbxsg1VoqSox8aiu8IIJUBCn474uBNQLQ97atpOQeJvVP423mpBVnU7J9QO0 VMMpeepTH1d1ew0leN9+PoY88duPmKZqAAxS78B8Uqm9d1T5h/8vlLWTRAgb3ZsoD4abDAaySUba 44+uDBr6Gap3PpeVEU8f8/tcEbUbpUOhEQKPbImdq070jtkmpu3Pm4HflJIXtnFRAcrkivinAH3Q K7PQvyQzcm8SNjuEv9Ia6KLPgIagLlA83XfhNqDsmIqRVLKjer+H9o4cqrfqd8QmNAVmPL1tT5Xr bSfaFWVeYMCGpLjOjKm8HZH8M8zXskeZBvO2NoOm3ntudbWh2mg1WrKU6cPohmtvjlulmZf+fasP FiBuCys8nXFBp3V03BCHla5pOCZAlfSfdJWb0IZ9XMflDOYMSxUHG6AyMheNj4QZ8r65Ulpb2uaD Vph3L3aWXdrH+NKUxzm0KqyAqKtG27VFSdEGYJ4hPlkbotR/XMk6W1ag/e5l6ON8xH8H1GLmIuZc RMuG+yu9J1MpzQT0RKSC7p1Bg976KzKHVH3AtcYUuo3YJZOHFav3hkgMOnAMX2DobN33gzybwAD3 G2Kll0TH0oMzg3IwV7iuEw4mDgplf3hfjUS8heCWPgzfP1vWVD9rUNp0XreDvw4gU+K2er9nYfiO AOr+28kbwEZ89QBEZhVASdqqcz7FaEj990Eik/pwihyP55T08QAH0TSeMgKQNZuuBwL1ZOwI/sPV gaKQI1GxCu8Xg/KmCfG9mnaVpoFqMUK3HSINsZ3QrYuIgxBa5+6/xY5E6dSkMidfOkgaiztDNH8k W2gWo+NVznuEywUDzLxU9Wo8JDmzhXFPGKJGeursamvhgVV1KAKJ0AneutusqDhPXjDWR8CkYyN3 9Ayo2V6ivMPCwwqcKJ28P7sJxvh4zvrJlW6kjo+cIa13JSSD8NnABFtqJpgz1NT7x+8bYj+yJASe 4qow4QkLMyoylefQD8m0KtRYcXmo8JADU1l25WcdR8fLymAPOKvtKzwftrqKmjak5hvI+Lf1/Rm6 7tzW2SMZ99K+tqBmiwyh7+9Wl67FvAor1wYT+qjdGw12xEm9Kl/VFDhZaVf33/rR+79IQBBQkGrt NrNSTLGHTeig9dkQDEeVKb6CLxL+/HokobSkxSdcJISI6XZHrck0Ygc/5g80IPmNFek/6s+ukocg NZ56izuV7HyJxsEEThsKqmWsGR8F9IMaENrjRGuy7uojru6afi3yyV11j3/YrPUF1JEEFm1GDWWU Djw0yEQ1GDeu869ZjLkoZ45Gshm1uilL01ycdNa6qF02O1ldRyxYgmp0vcDAG2HwaYyD94/ctcim w9W2l9gTCOfj9Hv7FY9BfXM4VMGReZIYc++lSoPkC6fVrfS+sMrklpMh3gPbrb0hTG85KF7bbVeZ 5pbtEvRmziG1MijttUoueLcNenibhdj3/neCYoJsHyXmIhJYt/347QjDY3Kq8sPDsB13coSVdhBH b17/mlGRSgBL3DnAd1Dqj52hqUkxqfSAkaM3DvIk9DJH2ZE/jwGK+YhCeQG3L7sl0+WsSkd//KdT TVbP8dN3/8mdtacGc7YrV1p721CCgBRthrhvM7B8rX3rRShZsv+jTHSgloqnnFV+y78u/thwkc5N z+2YdAFsJY7eNx2eWTCGx6sRkNX0agDRwLG3NOn754hw2gNgUgTRXwNQpGY/Om5RXapP/3nTtcxj tjYGDnT5wz09vpvh5yqh2kwWj/MMGerOgD/ubu0UddCnRmGqQ3KGzgi+shC0n9t/fF/PzAOF0Em1 akGpDnUAwHlTXJgAhO2gYo1kwIzawZ7kp37mJq35vLk2vmMhVpwH/YN+qkCl/rIoFRsJiu97d7p2 RJjJejQT97RwQKnYYjfI1aq7LolhpMAvm5oI+P8mCSz9jqB95zWc5Pw7AX6GQiY0v0ts10X9UrYz Xyo4+2oVSZrSOI+5/HF1Z86uzYOOKwIGD6PCZt3oaCWio7zUMrLjRURPid+k0v/BGuA7fbFAKPub H2T8EbaWJG4dE0z8hVS7QVF/olY47HncacDHEdaUHHAMhpDa3kVGnMUspoMospbvCt797/M4J97/ PxSSQzsYv8I1UPbt0rOwGExdnhmnQyqUS04OiI4E6R7EgIqcYfuDn3ff5w0XCJ/X21wmBtJP2Kkz MLd6Gcvi0Jd7tvGzpOZf8Ckkcwp57fMXRNJyIkDkaZSJxyDkzTOWaQa+/VT5DOd+4IGsMYH2PXms 6x2qlmv6h91+ZNjuaYwiITmGCx1h/WcRt1NlWkdiVLyoaaMI010FucOhlIcFr4Xdal9nhG0jpRy1 fSU6VVlvNR1lQ2JnrsSz1xiD4D9jGXr50GI3lqsH1yFiyQEPmsrVbelTDatftLrS88pAzH4AbwU6 +3+psIBJjdJUivATxnx2gI93UN893z53mgXHzJ65VKKlw/9LPcA9DHR/dyBG/2LpJNvtqsO6lFWJ hXPPAohp0o3LLnL3ApsDfgCgHgtTX2UGirw0wxlRwoM8/gASgWSpusTgkEy7nF01VR1MvG4wVUY8 dZ2Ae1NBsCSckhTCPSdM/nma5miceqYuSjd+nWXe/IfIlssftI4OHzFqIbPe20CjjYaWfDLMgJUD 6CXX+dYwaPnljHqrXl+61LEf2h+A7uxjVEokfgvSUpDiXaoPqDTwa4AHNkFFf0q6FzUSNQjYpFLG 68utKvN5SAdTjGpskn6LaEa+MkflThJi1LmCkxbvpV8+D8prLn8AofF+JP/IqB5U4jEuhHmKDalq NNadMXoC6ZL0b6sPourRnA2ODYtYJbOqnmdVHHBZFUIbywBbqXn8AEz86OLuJyWsDoHdgUqT2ROB l9n3iZpYdxWBotuuCYqNyRwjLb4SpYdUGjv4JyejvyYM/DNkeTlkuVTJkB6+ZFPjvZftSaBrO3MT qb3qaj0CREEXzzmVnFh4Ie1YXKpHKxufJO11vK0+oTgJkDY5tx5bkwpEvKt3HuCDroz4yzxCVtOH FVaOeSmX/z/DL0WDhQEjX9SuYKJr2mX7mLVZGuzWWJEPfqjxHV/knGLMptBU3wqfvATI+CFjOWpi 1kInWPpev/WrrglWDxIXJODJR5AKkE3bUXWJ/yfcOMJYnhesLQ1+glg9ZJZaArDSt2Z0WXro8/Xx EG/RXtEMLZyIzcig7uIXRocd+GFyPZamWX2srzkwiqEOSENUhSI77ePqeRdyij88+HhyrEkoGY+s aX79+7GTQqZDi1mBMVT8EzqdrKBeZvUZNQ5NZi2WcOj6B2T45ajAIKt2Itfu7++JwCXb8hTYdPsr 5rF0nnSkgh/sbflRDO/7mkLuC5XIDc0Kbb+Caaraio9K78VA1nR8n/JFifx2ImNUnDzTcpaIJf8W OiqAbrpNSjRZf6EBoERc35mhRMvXlOtiXZqZTfHNoXFFBQvY3VL8pwifc4MuUBmsrW5YQNRsLfOY SE58ZItglErGZywzBqDaf6sjF55xVvfc16Hbi1hwprAXIgMqSRbcPfrDlRpjxZ/sdH1Lmkr90Jd3 wSr/qQYckrONUkDOdAQWKH9queHsdnY+Gt00Wi7WYJyFeVu/o54J2wSl6bZC9JdrngcWGrE3Nxrl /sSwvpx6lnF+4u9S2gQTU7FG4ADLL+IiUM2fKmINPCicbU84KZH+cfIOVC7KXs1UGIjnEVM16eCP sx7Z2XOysuwW00VrSA9nPvcfD5zqaaiaY28kjjetbui/ObK0tV2piExMfHMBUMEYl53M1jD6q6od dGjN1DQAF3KZzPQvzhyacNpq+HI41Tk4DKJnf5hv7MclOCrK4SN/HQu9+wHC+/stDluOJqV6Yj82 xiCZtMlTrvs5wDOJgLdi6WVkvVGQmo2p6iRTpdKZ5h8EDEicJA5J8aLn/0xO6HYvxsd2j59rfJX4 q9CeOkABbbhGbEg1wuC4lJIKKllZ4xQLYL/A7t3WKlW2q9sZlfQSRsbEYMqVCJ6kl9HtYSaT4044 SuOKWbAod3cXo9ZWoeDU2nIAQW5mSWGfwVRQwxVHsGNpYB/vtXPjYnKHT48xHebrdAnEHEKXa0Js mTLdkgFiIqRBLuedsauITS2RyGxRIiLIjO0UAxWOhsFg2kb1gGY7A6c8reiiREjU45eS5DD3qQtZ VV1l2v/xYbVdvhnQ+sfof5dQOvO+42ssPVgLwfdPopf5itNGkckjmFGF+doeJod1gJT0z5SCCL1b lAVFDBusF5wz7RjhPw7ahg83xdgkAolK/IM7+jItA0kV2L5rD/BNjsuh1yMAmMUxRmt0BGLwhP9w nGz5vFVAkhdusrtSmUTRe8O7x1hvhC0Jo/Lw2WxZQpKUjtNXa3Yl6mcejMx3Pr2Uza+sCNA1S7gS nQbo2aA3OwULCQyO6cHi5NCRrUHodV7SKwXhpeL1F/i6j3ZLaQ3SbiBLxOh/42qoqHV3tW4iUDt0 /r5ZD12VytH6SdkuN8E/Ubr/YTqi3wTOu73YhoY08F2eynJoNIaMH3vAaf57Ak/eCfMiszEzvW1V vOzN3ZZ/CqxymTkFL4enpY8N5+JwSsE/EgnOMFMQ3gFGr8dxXWfu5BD7MxR962iOLXaTcBStrwcF y2vV1g8MJ9Z8nh7CeXPlz3uMBtOMmBOHjtHuawwrgMTVuF5qEztNhgGZ8v/pceJ5LVrZuK7DeKMU 1epM33hsTCrtx9W0BXBvatGRFKvwK22G6ESjELX0f84Df1NddV6zzqrbZamcpapR8ad8g2h+y3aN nnupgOheBM/b0cg1zc+FJXxTvRJChZTo8B/JNhf4Bf05uvpxmLDEYg05k3q9/mkxclJXEpbA/fw6 ttbNbJMCCuc2IRpR/SftoimHmnMibNltrFva5YWiNzT2OZNqdp8NBBy2hXizPw1seSdLgif99lo7 3QBQIe4ApPXrbkcVnjIc2cBJeYvjiUFDBRO818aZpLviea9H8OSrCxxDXBLJ8B47N+VhXI9keIDp 9Q6U6S4O/N1bDnvEpUXTfHHMx8+9GRbjrEVeSLTEW9aQ1MgphJMNgPaX07GW+m21/WCBRDoI0OYs TH8z7Vwc9JQL4IbJ9fgdZLZMEKDuwKlkbaFJ5gOE3LQeLXFO+bmawdg8xQOk9VN/Jiszgl6/e6q0 /dmS+DCNtTovtkIh9U0TNiByAxzRZfPRYCrV2vhzicgcaEqTh+o1P6HpaTJRYiYBN54H2wLKzMfT oXZKceZNsP+7huJqcrgpi0Xf6M+PlMQ4yfviow3H/X6n1UBfrlnZ2N91zmSk2dzo9mYFCSg93bsh i57XvxLDE0hErOJswVA89oBl30cSm0NJYPmwtX20uiDa7cWnw8EdMS2lDG9fUyL4MKpbvOyw+jJS wxC8OsHJANeEJIbCGS21bDDlgL2qoi2Hy1byusGozhn37RPxrMsnRcSvQfu6RNbd1KGUD1rFLlvT 824fsENvFprh0b296Dk/gjPboRNuu4aAc44MEsGNzhOEE0e1dWxP2dRpGGHC3SZJXLsNjuLoBqx8 Ij+nitJh3ukiHVUKtFmbLC9+OLdTZyqwpbieRjsxlSHjGbbXZYkIbSBb1pm50l3kWmgA2aQd/MFj 7I7bwSYyNBbQlhymYjAD6O/6zV5Lr9F1Eql5nqIOVcVPp/wMfVl1177j9ji6XN/YX33gf0Ondr0G pIuqafl3Xd2A9FBPANn8kS9Cph+TkWzQNFBQJJSsIclop4XtPAon/NyZlWTfCQE4rA7GknVjniEu wUw/F075YxhoReJ7VLD/vXMkixtCnEZuFYm1rlMMPiBGoyKZnTEYcw9ueDHw6kf4ytGVX/p3+C2M /vsaw7fl+ibsfH7Y7rgnjt5Nyw09Uy/UJdcNnJuRb4enWs/QAkkaZBcwnsDMDB0RJlvrWYmC3EmR 3Ht5rrMVEYSmPEtALygMvNchKTgHvm881pbgpKy4QNBQj5ZmjKQu1NAYQu17c/ySkv2Vte5nWlXe msI+TEkIGUVCEWSqPMxr/xkeMsntfJ+OL4vAjxlXIwhwhvRUDxh0I1sLVq/DwHvXitXyjxE7IwUL qni4QNB1/JaaqEjePdXWZkU+2ljQO8GmKYu8GxyzlmriDY7A0SZa9Z60Hj+7B9RCXzT+B+PRBvfG dmu436skRu+S7+o3u4b/C7N4kzbp50iFHOKeuvwtI5dZCSB+fpJuI17LFWQHSOpu0gDSyRn+nKvx Cyhe6rjjwC2qLJSRDONLpUhF7ug2NrBcoNu0V4O3Je8fveh1tj9AcDmM9hh3mMxVQPwObXS+DOBU MZFAkAZi61dMgfaGtpOZzlouwFCXetgrjf+bkAH8akqzRbwfC0ZrXuuO8KKvFn9FOHtFVIySieeD MELgi7Pp+AS9LvbGgd03jluCGZYS8clPEFIc0r7udZmh2PqUwJtC8pPZSs1UofKxhWqZjZeoIwxA mhoHncKwcHOE1ALsvxpLk3KbL70dtjkhmCv3ulJNDSAieAG2ksMCd63eGXRN9wOQS0piAmW7OieN CCe+RhXrZXeP15HNjGdmzJ+b/01tA6DK34antypMMZCCLPuZGaEjh4L1QFwk1IGd6ifW62MPDCm/ TrKiu+iN2JGipuACjKpKAto8cy30wou/X1ysBIIGnYuD8yq+BEL6pTLF39tUeeNBD1k6gHez1m8v OofXm9lLuubazAWvU+EUC0e0AJY4tQEqFuBYcJb0cj07xCp2KGX2ETwSEUryNprMei7ceMmOmXA+ YrC1zkJckavzGiqiW32I2ptmlEmWGRidtYlQ7kH0GmtUe4UcUhZlLb6Xumg21s4KPxvxC2xeaCjm v3lHwvznrwrAMiXzOpi/2Fjqf/X1vsXT/4XcTW58HcTCRAPvpg0x+XVaxmhaPZ0N76qUCh3Hxlb9 c24CDILUx5DHKYbXvobwmhtVHjy0KhJ5bB0Qi+JbJ12/Xy9wok3t9MyBr5m+OSxtlVW3c2m9Gso9 EwQ27Xs3i4FIlEig669oGRE+UzjzBsTU2Bj1cdBhQDbFyEAfkZLh9dLETP3yi2cUduhDYogScIyD jb5WSlKaCAFEXFPKhQzTjoRBBXFmlw05zIzfcnRspvSSKrmskjyM94V3mYY+LMaBUdPF/JXtbJjh lHgR6nkzuJPRmkXao0e2NmsMuxCHF+kH0A3yrs16sfjOOKvI7FJDVFZClbXoSIrlW/pYbOV8nTYZ YX18S6RxLRac60++GsQtbI9E3tNZu/wcIncYmKW57mbpktk+GNYeJRh0Nixf3EepbhnXKAgFWuGv h0XwMZ0ybLiUlEqDbocV9dYUriLRpmvwv2HJ36hX50UvOABFirzoz2r8ghcbDAJRrlxyjvAvW+qV Lrlc5j4BxLXT+4JjQc1zPIC3DLEIuYV8R78uhdQ9R35tqX9U1sH5vkM7di0grCBzufxzZIitaJu3 gNY/R/rah2SczuiDK98TJJsADFBNb7pv7Z4zz+Ds9I/PJ+7vIb6VfL5McezaWCOqA2iudNOZrLMz 6JO1IU70CIzIA8YSwZ/VhrpUN3d/U1wXnuuO3FEF+BaITFn2w1RD++BbWk2+pjgB6/A5EECwSUpp 5lGHc5tseJFfUuQEjgk7YHHxaDc/8Q80H7i1x8rAVwB8DI3+PCkzZ5VebHAdqH1i3h635WvRw2r+ V0pE6OlJ7nONrIFuwCCf+Lj7U8MqJC2mYnZWpZiRmHdjC6EbNYR+7CWm0K2D9sSbdl40J8n0Ga/z ZsSeYXgvV0Xb6jwX8hUgzgDGdxL3nMF8lmJ/+JvLbspWsx48Df8TSl8OTynXgTT8iabThxQSlKYh GziCXMwUHi3ux7XpVj9qQ5uoMZB8TylDoWr0Q7xx4ftsVb0xetPSNn9SLD2Zd/RebTbO/ZfRDTOv YggLgH00Ym0fZt5ITUDRPdiasy2gvnLVhL66i1TflatzBZhbd1AHO7jMIMT8npCbKL+ch9qJxkwy M2cQzXdPi8XLT+5gBn+NQtTEKYfE7DNf+IeVuUwsKO0GvQHmGh3Ef/wakWdT3iF2EhmXyqg0WqbM 7gbb/H5qhWWrvcPVvumeNjFT/zUWF5IHBOPyXcr8MnwTIjCVibO8z/6IXxdt8c5RlzOvTHczSek/ bavHdgj5cKkn7HFaEh+mZMbVKbpbpE0PxVNewr5thvsuT2TbTsdAENNjLYEFDybBhc3d3p4PILPd D9tUCZgBSjH6+zvopE1orVw8dc5abjU6zEux9S5iYdcZCxqEzd4O+BEYnpmbabCPB5pmtH5e0k6F u2aWeL5HH+hjRkt1BnR5RVWbcxiUTO5Goove1/NUzhUasfKgpX2hH506USHMA4v96Y1Yxd7ZfjoH 4+tt7zCT48xFaMu+OahbijPKZ8gMPGAxdxqyDPg3KS8nZtQ966bCJ57mttaSVtkRuPz4uJUqcJjX oepSSodo2wvYB3EvIPZnyTcUC1XC2FVB6wrN+/GHozZX7rsGIKQ1OwbEJvW/bWy9iO3IW4A+ymuf gpykySlJ4+q4GOTlttDbpke6jgE1wqG01uOZJW4Kk3oq76UiCayfgnQQny77VcJ0hD21EtUyxu5/ pYKIPV3p0XgIpvsHiBNanqQ15ZobUnlrqykxwWQuxsmRHZOnUyTgZShooR3Ew6O4Tw+tV6d7bqSM IEEgTFBk/6t+rIQsmlLKKnzfF4CDn9+Xv9IKJKe5fKLQ0EeW9ca2NDySv7LPcJYpNnnq2UCE9ex/ dh26eFAPP15zpMAHA+GRp7WmkbxuUqVJJvt2ZQddJ5/3fcqxnREshROT2jAaXWvpXl+9srKJHpAH YZhrsEXkMhHanqaqsse1NOeh72m6NjkUBLlqkPFmNudBQx6jXNStoqzDe+DzeCzFM2AIFDo0mf3B XUrLuNFP8hotDC99oZranL0+x6Xeq6w7LXkhOHVviMXiX/E3TZ8c4MW1tYTI68nxzpYrOoAI+sJz NFT/8RqGcn+cmbliKk/zzaVCnUntY7bMncjD+cf+ygUdBwIPpD/QzvKv4pjizaEKJpv2ULMRBG2Y dusw6O/ngUE1kBOAAJMon/gBKGV6CE5+E7CuWTZcc5sAKaJQ1Qm7wsUWXOdR7oS+JnA98b6SI02W r5tFy2Ip8m89aF64EeC7FWdm0xLUrPMVCQRVperHYxt3QZHGhHTHyftbgeVdKDP86fw8bD/YoXHS PdKBiiBXI/NCXsysHnXU4iX+/puhwvkcWzYVEU3Tm3yI2XpabzxjH04hnZURR+M1upIU5i1CqENG mftIVSk/ReGiKq3D8MOE/PKFa3iY3tvpBiVw31xKqU6IbKTYUGE80SxgCPkz0+yysMsUELY72RxL bWhutNO3eRC+Zg1fw9/LNNngmr1uyhJRz9TTVmeucDCtaQhRehAx+HzAWk312UCWm3bytUBZNRhf IqHYLPoDYZKmWwPnmsAnbh2nhb8SPkQO94uH/IKMkQtl0Hy3w7tMQ850TOu6dS0euPPQAReTYEgs ppdTMkvaOZnqO4uuz2uMZhbP+f8O8A1K9wIp1Ky93lMi/m0JcWIVFfK0s93p/KkBbSwTUdPWBgF7 bkAdAOD9RSo4mBW/6yvKmI3uAVpIzWjd/I3If41+/FskdQ2PJEGOAnJgMKt92DrExHf1za8yXZ7v YgWwiyHn5qKoyJo3LK7Vmh654/qDKZ7U6iDy933hKDblHgOll9fqebjmUNb2CDYgh11SuilgRkJc dmNyGCvKMjz7w2fjx1gHPS384ddsqUU4YqHKsQEtln5n5KEMCRiYXMbd1JcGv4c8XS0o7tpIa2y9 EX9XpubU/17caOZAg10mUpC5tq0kJ6yiyfPhfa6IGi/0QCUxUvHpAqvPGLYaryA3mPyw8OjwIcNT CAwx92LG0K0TXhLHKnhf5mPr2YTmmri/b9t5eQ06dtyny5lsB6H3LzmIqssx02/RfDqvUsfKW222 w3czuRlZxdnwZm8BnHU6tY7BZ9KuCrA0fBDvsjbB4aP6HnPvfE/JxaVtuY7W8O4LXyZr9swL/X+y Jq+mwkymoanZ8q/hlBW1mpXcTjcRWunXGkZiQ4hff/A9JYAbmov+510/lOc15gyuNytLRCaUgVVd 2q6aAIwDrh7EJQA5m7vm07xA6Uy4WH/2F81wgz+ME8njV2r/yRpkSw7bVsAl+zu9r7MqpUtMOKz9 gYE6DhuY0uP05DarOzBpows/PfGi0iKAqgb82oRNzxEaV2zVNpZqkmFpVhsHitbn5tmBmqiCCfwd AzDnpnY6fK2OdCj2SjrJxbpKJ2V5SuiRuCNA/U3SwyQso1OP1b6JEPFMHW4UExUbV+ffMBzM49yN M86I0++PJ9sPsxNg383IfnCPGQhdH+xkb5jshU9UyUytpcN+yNwL86ZShqmLo4fqLkcLL0xZrYL2 zHoMu+hUTBKCQHGCiOgQG7Iz4JZnarC8fNXvtPKJHjGLRrmqEzyLIUOkDkgUjWnv8VRf12bOh1El DF3Rj6QrDvdnmMdPsKJtapIcjCk7xBLF49uOE1bOAZS6aH3TIRBuyFD2a1ciWJuZkDx36xJdgY5V qAsfa2hl6XuZwnuC/MKx+TUZTIkQW3z3QPqTWdWnQltFkGjpzyZEAnTSfM471fVv5PtQr2fg0HDR uh+qON5w+mV1lep1ft3FAyUs92W6UrfNpRQs5rGRs14gNDRLOkCFCWEt0b33yMmS3VkDAsc+rE46 8ILRpKO8ISf+heqXi8fr2d32NmNOVAHod2dAWorenD8EoLr0c8SkGN3frEmydvsJ0BiT/IM7nakl +MWEMu3Bc/nGt6XMKu1AxTgbMT910mOPNFX7nXywtGO+PLTVtwBkNWZr5j3FWTaMv+L8ckvnzi1s Gr2Qiis8u0X8OiyBn6JjCjlEvdDUg+LG8rSs+3A516r3oDW93LgF493qj6n1xZ9E3PNP9GLlOxcj pl3boh235Rlu0uNlej62bebblOWYgftFblXgkEs2DU5y2HDq7ksWzFayW2DmlepbLyICPybaxcgu HsRH1WrRwIZYgijxSJ8HqwHlsFyX1LUFp8reaQD6nXh3L0K80+qQAtrNH32X8MV09JtgvOKulvjF 6jISJ8yWHHw5Wdcedrc7ODlAx8QUrdL5adErzikq5ZnHPu+jsdGowO0r/BMX8YzuU11+hrUO/OxZ ZCO4T7LyXzsmn4anBA7IhvTzT7AsCX+j2ZlaXLE55zDYhTbXLt3kBegq1Hx6hmyTj7WT/Oe/VKl5 XQIRdLRs0PtBNLwHaBWhZOtrNY2Pbmvv+Z9B1ufbcRAhXRx4RfJ428HC5snfUbNmHgCnr1dE1vZi 22h9SA5AN7zS9nhQl1ga3naRdA77MDIKsRaCEAi0Pk9VxQF3hwEEOGva6MH/iYSSTY3bVIJAMk2+ lUGXcVe3z0vfPJPW78s7yW9F3xTvip+tR+d23MfCfIX+RMcCvj6/Fh96JFFqmvPlkyHDtyRg/xJU VewyQcEEZQs6yyA7XfnoK9Dv42pEE5LyDjOHRvuRRPTm2Kn2UpMVKNtW5/EzA9TKSY00zV+Y3AMe JlZOm8F+kBGAVaqDuerYtlBaGU2a2FlDxN1Sp+AyNQKS0fvkCr9+dz+ITy9u0OiA5AE/aAvKd7/Q +oAVZ01n+D6oxE6A6lSvtU7B7LRyZ9IXPV9zc7TIEq1Dxyp4bOukQrZhy8teg/EvcZFtsQW3OKAC QmNWHiAiyDIBtu8N9RtVEhwvMIRbRAL9MI4AMMczrL+ufKQG0QwV3annD8IPIffGpZo9dh/ROvQa M9rpCHGVZJwaBS83Vhn+5PKDUvplRnB5l+ZlAcZuo9LoiCyYJFZWdDYEr6Oqluhv2npYY8Q3GwvJ VzxfvTeCezWHIgk04k5ILWyYccwC1J5CPtGE6RrBCL/OLqP2W1C5Phmn6V1wGKdOkFrJt8fjfWKU wlqL3PJW60KHRkAkOjOXEefCHgsh01Igbgxv96FBP91NfnsBqRb1gp4j8vHu4ZGvov3r5+6bJIFw tsgZBzVFwbj3+wC3mkwqWpTDzJkQI3UDJ35bAgl5XgS3g+dD8B5SXn7xihEv0YktpWnvw0o626h5 Ezn/kkAsp4DSiyIlQL1p8EAqlP40v/OWbaZBgtp1F6vDil6xwyf+ueK9UhBL17u9iRT0j2Izc2VQ EvN+dT5NGNA7ZIO1ZAEje5tBVy8Ueup3dCJBm5V5oIF+PffubwbmrUpNW4UiHgbluw2FojSTFqw7 wtamKtJp51RUBPyvN7qi2e3DRZ8hzw8DBHrS6AWRrGevvRlGbu7T0qV9uQWFW3N2XsvkW4Fy2m6I oXr6KLry5lEAYTRANj+3QWn7qMOaBxB91bWsJbfVOtQGnJZmcFAx0w1tkpVj/aSBQfMCNGdhRBEX BmIiqCRT8RbUtBBCSwbA1B3p+oqIOEUSJXOtqHGFzFnsajGULBytwzetJlEhSZ9tw3j9SHDICy26 FOH8k5e8OFlep012QnbXUfb4KJB1Zp8xJY5oocZO5+q+G2tCrYzpOjnlr4hFvsRbmbdfu11DLdLJ eq0wJzHXJGNVqNcTx7vnPcI6uYc8s++jfAmP9HtIu14J7SINQu2aGoKT9Sp4aGCVDgCqneFyTZ7z +JgYdtCpzf3J8gXQm7FWejw4ZlZsJOwWJwGfxwqGJq2+Xkw2+xxFt/XV8NSBx4WgW7JwcYu+y0Al q16anFeJr6k2cLEpO0bO5LYr4HVOHAiwDbKkPUAfMJi33A5a9CJ46uqF1QjaRaXNohC3YSiDKlaO Wh9RcjDkTJpwhSJe32lWCiBBVlk0JlaRhRp1tRTyCBk+8K8Z7aWcjEb1o30nFAI82JjLvhp3tMUs PxnhAJyhCqJhmGUwcfkZeo1PwJ4GkK6DX3KmbX3MVOXgEtNmORlkk0wskHP3sb8tetqMW5pXvRY9 4SCVKWRnrGfovSmbnwz2tb0DpXXKjq8cmuIReBUBm8028QjU0T3bABOwca+WrENLxaPlbkwPD97+ /dg4AVdwd0m0kKfIdCbMb18jD5V5YrOArpjprP7qoyli9tIPb2HARoE11lI22pkHffynz0iZJBUO Bx0Jeencjnor9TbKzIq5s2GYNgWQ77MeZeBfTSsViWdaf3WQfyDmc+tqlqS0VE9nDeS0X5FBxYtf R3hlMCTDwPZ2+3ArPKJKYNO24NGuwg5ZcLAerWvu0y9It9m6n65Q+Ht2W1nd1YI8C/C29YHb1KIX I3aQVRhcGLzgURfqKZQDNrtpLq34E2fggOVMsOFrX9UveAGRodWdQubfbkEAgZPOqT1geBQFse96 uyPfUAXScgHcusGb6YpBU9HIh8Wx++e7fO1J2o41D0MKjBjYGB1Yt7ZZGHP0R3wZJqqp/yBQT8IJ 7FhKyRZvC1Q4OPNhWdLIC22XITFPbESom21NWg3R0XsZ4D06NoN3kYBTge6PF74lC8cPv7keZqET oSKXI/5FLZxn/FeHf/X2XPd70Lco7lZ2U8G7xn8wLQq9E1DgoR/URA9U3KuZ8SRMD7iCzgyj4bRm B/YEGt40xHyXGi8vuAXCSZX8Cxha3j2JsuyWrzBieNakPsx1I50YCYCWqQAaylBe3oGR+ROwDkgi 5qKMfzdBzHUw2gazRx8X/lve3w8Ass4VkaSAH4h5JgZr2PfckvL7dHT97cnoi11XsKMj9gsBvaqS Oy/6J9hfvJ5Fol37mIHTj2rrzBwPkHDhRHVBpGTpwZEZ91+4VU5inzGQqSayUuRrraaSzDqSezkh A4VGm019D4jNu8kGBXQ6d/FkAvymEIWvMgoZD8I+Jm/KefuLiWFhs+KOMTGGhkLpnujYUyib+Sra Gefjw0pZc4DVBQBhYNYVsHPua9myrSXJvVnzYnol/2Xk8jny9scTVHFItYl33/Lmjl302wEIJ7MQ iCvVB51ACxKwjwu1UeWjdMWYfszcVcxotSGmEjlIQegJ2m/MoaWfs8mK5sQldPQiENuu7QdVy3EH XCCyweEr6Zr6d2bUmkymN3Sq1mcb2YBovZs28/40ZthW7YEwOTWV+VeQK2CQjlAfd9VrKWMJlS40 ru9hSqc/JFdzpCgnmW+1zJEjBOkyahAdMLnds3tjD/kjJAhqQUFoCPR8N8aMDZX61sh9BpLzh0fR X9Aiu1CKdMnyd8H7VJGY53LnTIod1lpwBnKKeLujPr5RxWKz1NwGQP1RNJGk0c095ZGzPbF5wM5O 1c3TYhZxEiuIGMG00nSZNafEs93LfC7dcsGdAtlo4lSYuSyBq36NC4i39JdCyLpD++dlVT92dbiB ljDHg3IGbtQW5ZoI6vyHY3wT4tGVGbrUWLMHkHG8QAUtJNRL6Sio9uHSSzOHoSE1Iz20lBoiJX7c +vYiOgZIRXnSBF8Vhdcw4le6pq4xOGyaPvAA1d3FSWASAl/fq+Y6Ekp+YMVb1ZzVynvjJti2HNwq PzJwOUSOLiMXeJtkFF863TFPeUXfHZj5Rqn4q1VK4GpUPgjItoRgxAkyS2T6wYH8Qh0ViX5w05DZ DMGp4d82D+GuK6j7vcxs/CXRpYE74pCp0cc+o3xdCblGSur2uHe4bAOe99YaevnHh41fgmDLRO0o 63MFab6Ro9CkgPYftZDWpCcfkhwZGJYYA8D92oVE/TwehNGDmW6Nd6P18vzMSWsgOMgPpBq7VF5P 77OOzR3KQapMb6qVEMxXpV5ZSAf3I8srSeh5rORuGf5SUMtYhKz8uNVL1wCFZE0bPqv7lSoBzk1Q n6PgoD0E5ZcobB3eklnh0ErfEZoxe3HmovFvKVkguAn9GgHsk9Nwk/N6lwZTFdEJ8EYqecIYvHA7 DggkFJwF8YeUoZYbSgQU0Ykrl5RgS9YsDKLazmJoUMIFZHP6ftGfqnDpE/L/uBPdZe8/7q+Prz81 N0P1hhEqMgiwDPEuDk74P2Jc0yyKfFizDkGP7K8eCgEgEnYVn+VYaoeVMGsy2QzxdhxhNGmnL3bo CrMPEWiICcEoWYbgdReQSSHPvc9IeSV5NHVbsWHq8z2wnBE9Xfd5FQFYLBG5DJ78LWCtPwHaEc7+ SSOwj2KWMS8H9eo1dW7pYYwZWYS3KiHOZxtkI9/aqJn/Q2VlY71nPwbvnl90KN5C54fWWcRIKAkm 5xsyqwhxIYCobyQSA6oPy+YFFjLcSmHbZ834cuiQVQ2y3DajxnfrFnhyf1vz2jwLRDpYQGfuD2ZH ggESeX/7EPfZ2l2MmgsttlysSbR8pmaME9vFYRYKKbgf5iF3yo0eYvALnUD8oxYTGNal93d/y/xf +WI7CD3Zx4HAk2frdIpHPmo7A0gMh++HkJ32ymqNYsnAXlhsNxdSMnlj99jFQW8SV98P7/N1yZOf jc20Ouu4NifWiitU239JfJClAfqS19Fg72IhnfrYTzLnG4iq7oReKX74kpbbEK5AQQZFEhmMIeCm H57d8pqmJrm4pVKB20BEIWkNdg3xSLOYdETa/Si+qTh5UXypTKklHts08lgkDxYDiO0qHz3IKotK H9i9ufyeIrUF+zekCNM3JHbnmi7AU0jclQ+Dw43/lki7Saxd42MrDjAqgVI40wGO4zrShPMSKy7U ckAXCybtX8+f03S8Usl/2NDhVL6DXprUNPQvOpW/eC7R6f/wPe/sbeho1MfsgHmQ4fyhyB7tCuRK Mz3qxyerrM6PGhG1igHlILB8UrPR7dbf9RqFAy/TVbp9bM8NrBeFmINR5+K1D7GjeFDkN8eBsWM1 5/ZNxq6rYdF7zrUGmWq8QKIZcGjbpI+IFKoxSYCV7Z9wExaPqu36lSiZrG9N+4oFdohV5ZMfS0hT 0AvQf5gS6izzTiBcbYzSyAOPl4d47hv00A3kDB6ED8wPdqyyrUOqIOUgAa/XMsWCeEi3wsL9+iJx K85zHK5Nl3aX+FKxJAq8QpRV9izkAEya9eQyPsQaTWW+rrGj/2XFRBmhs31UxcZPIRqFU64WSr4P +K2AzLq5y7vgCGCQkXi9NZ+dfWirfiaZOqwWP/edIPCO0/IIP10l1GyNQzKTjNqvsjgzdGrRYA+Z 2ZMgnWZxI/LShhOkHNuNIYVhhwdNBjP9JmW9W7LiirzIa9S9DOq1l5tKaRAOt814Vvs7D4LJzGU0 loiA74HgzAVnDFi8f22L7FSRYsMjUCBrSUGT9qyvpn0BS8HzB1HPllBK+5nBd+gm0VLheDQs7MXi 1zSeAdnrC76vgkuWrArRIpXI1FCjYtg+6QVXV3qqcze6FWmNwVqGt5Q5lgQfLvtHuNrzjivhxK2m wnKuTc5KmKhWTSN40VY5qcBkuNpYQTIA1OZBtdjNw5vzX5PydrAAKZ20Hc4uLUoeHEM4us2bufH4 T1wpcLJCcdtZR0SJwgBSB36r4XPS0L6i683htZKfAqARcdJUPaOIeQXpG1b1t/9NCU2FMuvDXB/F dQtYYUrvUKqt6cWKZFoytzo3U8ZnMe4S9gvJ3S+U+wItcaKrQ4JHj38tfsKgqUOViKc9bpCUPjnM 1n6r2BH04Ywpl23qVlqO9LB8pzagj4PqS3XGtEanG7N7xPn/lJC8lnkaKKgbvH50wR0qrIemLhSW bZKzgFe0amlMbJhcYgdC7n+shBQn+wZrnq2TkT8OQcTnaYqVn0VTFV+j4AqUjW+8gvfSQ6xJbkXC eHW9nm1eZRwlOUKfMGNBS8+RbsGW+/Iep0Ba1ZaIGmY/DXqVf2tn3UsbTCka+0JbsHakV4kUEWUm JZkgsylLqDn1E4Fkx1q/YFIoEJbRsEWMOuHw8zDZ+TzY2H3m3fkPXghMd0TVywd/d8z6M6JVlToO WAv4xW1Vc5oHczRTMLAX0xlRAPShm+lOlbpA3vA8PXVclATub/Evs12WDQjlgYrVr4r9SGH8MaGN AHDpkotC5XSHec63FoUGE4qeSH4bR6clJL+W8zFEDgbI9Ekqj97FHktwksdt7DsT7k0e3uR4KT2h PfChOtF0aujvD3ni3PSHRhBZl2IBjEmlnqNRBKZH4ewk/3fYLch8pOKVFE+1fWmL9qhA6wPY4cS/ 4ie5IBwnU3iyTkoVOwy3/IOBwBAYEmP/jtWVqkFTaAH12VCIxOYvPFgxxXqLQlYIFRsNLRUayoqL Tf6OVVxfC4hq+c5rF0c7orOVOfNVliCJU01ZGYxAXUj0Qs6Smowh0BSFIfRMp0kOzSbyyoD1IaGJ z2lbs3UxCSjDn4LD88M1aQJl5U4Wwp1uzzuEjwNIVSkRFV1TpjW5LhtvjAE4krEptT+/w5rVAzSc neIN5BdHzruypR/F1EO2KjhSPUREC49pOoYks9iOnQlUKJwvT7c2EuO39OKYFazX9BYfIvFI/fun 1qQzTWCDjGCLTdo7MC7PC1U/VDGre4yLt38o+Y2xOMOVQYeEGIn9ieXRLsIZrXMKNDhOd9XSlHlr Z1eUvPWOhojXW4s5wPxf0oZZ1998lgTEyZdSYeoWGllByQ4mPfDaU5Q7iCmrtWiLsxGjkpDJEiFH oeNoLusNIkbJ48hzs4a86LkzDZoO9JYrBOrqQqX6jxtSW2adq/ev+MZTXR3aND84rANxljlP8Dxf J9xQ3gAkd0L2UQtv6eOzTT0nbnyNrdEZpLtJKmabWJo08dMKNPfQ5AjVfOvPbmYNx7+Q+bPDNqQz WIrO6G6cBEvFRsUJv9EOKanl+lq5JQrEoHVZLXkSEkvEVQjAhLSSm6r5bh4noysT8tbV/s/eJxLr y33JjeiHBY/guM5RIF8W3NmT6uvRf5C8J3soKJx4DT+xkfhQt4MuraZGVZnSx29c222qgen6Ci2a NZRhZNkuRAoe8fQ0XNUQnxssKQtoxCBqqNd882TNjd0+nBSIN/ceOhLyw/aNwnUT33Hw3MwJS5Vo ck9pU8o9wi9yZAnetOus+RZJLth7SN+cW/SEcQujQhnyjMJ/prnUVfy/1ElKCmp3S/VoGwv3A/jj j1a+WBGusmlAHtZ6DPdxc5Q34UzWBvnrUZxVpcdcy68jNPB8mYwgAw3EejtHCiQB5j0qt5/SYf1g JMbdYAZJ/34ubKpr1uG/YQIRrIZcibK/7dMnggk+if6zOBET7EDjGH5/hYUjCRziDoPXDs0Alh3k +Pk2+aKE5J8RpRaYJ621pernYR0c73OHZ+k1fky1n9tNto7ZDFtdp39c+xkhu7R/aucQonvNKWBS PnWjWtPSeHkmEhdOFJk1F7PUquZXcBpYiXcwZv/i5t3UIuEeQ3mQGn7j6oqA5VcpAo4H1bkcAMzL mT+86sew8HGetIWJJZrIq5OQSyYNFcOIch5tsh/nBw6ch2MtY6tzTntcQ0Yfr2xmgq1wrEvMZb8n t0uiAnrnbCTYBI0Oy9FBwJEPd9URl14WklE/+zR2pW38NrJ3DgFRkg18gIEE5K6JVTgKmAx24MdJ 6MdVrZ7UeWQnAsmAESK92BKRvSZlSepcVouqbZHrgDZX1H/MjjaWjC9ocSRxLISAQ/JlQvNfsFi4 bMBMVO7B33iECe+AMnySvpS3jtTuwCe2VlSxDYr8ft6q2xznX53atvktDm0DRKN6j+ZbTczklUJ+ 0VThOIJCZRCPW3eoxK8ust/za7yrD9bZi1EKBj3tlRsFZxRNCRLgUxL7LlJlySau+9MgZ4iQNIIy FBdxUObl1xdsN5gL8qkOUyYU6bWiGW687Wf61NxsvI7j0ibZ8h6k23IGdE3uxI05NKq5u/zBRC3G zrNrMpp0WUQqUZoMJeR4LBjSOFF85ZD5yQ1EVhF+Q60eZX8ruuHAuQYBuWbVOhN+YyNxZFwlPNpb PbJGbx6lebKfxvASVslwP5LDMBCrM9GcMPGRlLc7l7SKZNqqF+E7/EC+TzKE82HnWOuApsVnB1K1 lTolNCrTdNaWPTP+GxtVsulfdL1PDMgO4uRCn4rbI29YIe8FEpBFUZhn+WA7unLSojgHA8v6J8Ld 0t5YpvUj0ermZGLrvsYPZlh7hyricHGl0P1VbESsRMlaiLU5Yfn4PZPJyzUnTj0//Js2x7BxqM2k gY/cTtWVsTtEtbVuX7pPmzqr8BqlZmt60FFZ7LUSX4DJh/cNCq/sUiUHmFkjnoh3BdN3dEWherq+ HMqEB5Mr9m3Ig5i4Y9TkfJIhA3D9BmzHAE/dPzgquDS9Zzd7POVBwsoZhAEBwJIG8U7jF17glJC1 QtvVM/D2T+zCmM5yCdnyqkoKrmh0AmqqnBUGhwVWEpEz4iorhkB3IIh7VI4QtlPvHivoTJ7KvRdV XqZf6cajL/GzDbDxSVQThho1qDFZfSdhWHhsz+GC5rOeeytENAdag7TLNAkXb4SiWdJTYEFiJcqn MSt3E/8kDE413rn4ZgCmcX5f55HHkibi5aebXVIioEC1TVv8VKhXxQ8qCPA44mh1kM3iLACcPUGU pHW0PaKvDnr9+r4YNvdnK88vMbeeqkkq/HqzUjR0qN5pFlbok3htB3FiPczUT9olE8z/B3qT0ffR 9/5iyyP7l9qFt6O/QtUUHT/sjJWnlx3aP7244RNfBIfdWQn4yM2ZYqWKFmQzi0KNugd2HUurHV1V yv+UKwjiVYc1Jgm6lyI3YwspOOfr++LCohVafirCioZeMvh4FOr2fzMvKM3vAU3bsJZ3C01S9t2p vzmo4EsfyokuQOTvzfWuPLBTnWgPVg995H7WiBp5QZJo9i1qta20Kd50+AcT0SyrMBsrcjbID25V yjt3UaV4w12IxeH7o6hkEdxTEusR5n1Yh5hRxCzSbWzXBNqJ4YWq721Pxh64Zaei53CXZyrd0Hox L7CdTjcZwvIcFG31ZVP5qsDRIr3+7iTA7li5Gl28lyg/8rBd5+fInEdiCWPvuGgCUHvyvvo4TDWI IozQTeUOS2d+85jcqYX/Y68IfMFp/H3RGzeaxNVMUlgYI1qYS4rLWknQ5hugvnyKCmXky+iYGZXu bPHtBfb94fmqyEt6/3HaHN3OxWTRdLY89IRZdSXm4AHY0m92m9dAXsnffjAVCWlPPTw9go4bzk24 N983sNGEhGZ0IANB/TELSNYUzQhhR2s61Cqc0kMkde805JSG44+hNa25e+VSEZCWLHBoOI0el7mD tFPnUvhg5hQbJYozwgtqBuOo1kcEB6YKppXElMKONOkgFoPRZm2uRD/y+qu2rGSoTnnVlY/pG18K 85uXUe5T4wrBc0RpmEdlFBIVdDSNXEbh8vpTT3NBrpVqjSqTEqdecn3FmxrnHtyFbSvofWdGDZW8 Be/mNnioXUFTIbI/voI4XWxNnqssGxR0zxs6l5W/Zd2tD7fWnrIh/kDDCTG6miHf9WD7ewPHSt+D CTCsXPGqQFm7aGB6gtMqitv2bIDNbxfWFRXam5VTmkfRWRo6QCX+K7Mep+DUVg+JuJCmL9QyR9Nt sN6sM3UOTlsPYJGdUp78KX+KfVgByoCgrrlg7XfaSji6y05uKzAwi8TUUYYI8cmqm8a4gPKXI3O1 yEZz81we0twORlhB4wqE4tam232cQ9WPOAYQOCkUmH/a+4N4cFiOqq+TCVH5T7jErgEGeRDEAac8 Wx328pbWAe/BaGqK0Ak4Gg8oo2hINVs8dnkD+11lXMj+5TuQxMN3nfs/uxnkEB+UtWRn1kUrGs1C 1MiZTwaFpiJxIq/n5FIHz+/GE4fN0VLQL++m6aXMRw1PDJ4h15yw7Q2ge+amAyx27XoahG+Kwcu5 THf17C8YRUO5EJDigZm71Bft94FEv/NXw+w1RoNlXazSUUYNZ+XcCMhMU56A9d4TC7HzF8LNVlmz WxmqthvQSWUJWP9J6s/qOkhZPce6s61jhpgf8tBO5AywAjRLQ/J208eY7WsIanOfvGt7ub7yhKOa xOSmKJyvjZd/HTFu1u11o8AG/hO6IV5Q9pOpYt3UfYtxgcFln6nfnE41KnG7pPfZC3dmO8+ehCZV 15+xdT/6YpK+8AWk2GgBoL4zDFL3erCnM42xO2DokjIysC0KFib3Rwf0rHfTg0Vd8cKAFCJOVG1s D47Ya+D/Agxe1Eym2ktx1T5CHl6t4q8/UiOYmd2V5KLALONMi4Z9cS8K+aYav2Hcos5qRDaH7nFU HjVJUVEI4N88291AMlmZ5bIV3QZs4WjQ0wsRbitQddaH28D8zhMRFJkoILnmR9GbQaE25DAJIhT1 R8bfyGadIZKXOXHKBD1bHG3mAGpC7Q/hRw5UxX+FLluC33y3eaZmAb5uLx61i6YpwMSRkYWMVVno a3WT9J/bdR/vXpfeGB8uc3r4xhFelskqPj4C6VmwoLswha63cGBok9zV+e+V+qWnclXymsDtqd3H Pe0tTOhxBZDd6KCWMcKlnr7n9aN1KnRhYLTes//oQDKc6ouVBq1CRDf40Yx2N2HoLKWqsg0w4jt8 hVkB2p3KmJDevt4FUzK9j+GAR31xk2t8a4MstVoJMHrt4pHl0dkQ9V1nfizzVqZuZymBErSpdKmc CgzzwRyMvt4wzyUJbERS45mJYfRLQyr+ExVktZPqhtjMLT1EnJH01ngaGpibJSmKK1AFm4RnOLRk 1LXMpyUAP7hGRq1C9vkO6yhmShLuy9O6FF9wcj2xfjkUBm2fANHPr9N3TFbGFPg+t+gl1I5ih+Nu vLUnZ3NZnSUmMEQlqIwnhO1GmZ1LuZCCzfgdgl/kgEw71TPwC3ZB3DstcZGAjTQcf64gMgGozM0L g4liU9Mm2StoR5NTghOM4d2QDjAxDfA58c9jNF2ZIQyiZJjIq3vgrTDxEf9/Cz+Qu65PComqKJev nbfaIZut8uhpWVSTBxSz0O7o+Cz1sZfyWRSZJdh6YN9je3+BlH0+wxavo1J5jYaInprTRNtAUE+l 3yTaD7G3e2D2HK3TyWHJAbhHTsC8I01E7vPWdwIapSSOtiKHHSnmEYZmVVpcc+ThZYHy16a6O43L RFMjbCZ0FD9XqUCUV+UV9IX+PxZvwROLOIpsY5nO2uuOeofOCANR8scx7n5yH/Yn530kPOEzpmvB RdBdDr/vepauEOlLY6EPeA2R/oiOnwvr6mgy7ASbus01ergC2FGsEJrf55RGwrNRntEdg1ZIPXRs fz+EqcSLs44Qi/tfODeAfRjkySaLVu/uTWp98SuhyNNg8BGQ5HBmkq1d8y2DuOawlxmkirFvMZir eNNYYJ+YuCQ95Nr0eYFIHs19LKgGxGZhB5Natd6KHkRdb0hbmQy2/EuqpF+j/KB3F8Ecb/fV7ujR Eb8PBm6Lb8WcJKMW2gJbhzERiXvjSn1IvTjPIxEX2XxWQlm/0MbRkcJ7rrjv0EOocyVXlqW9o5vT 9Hb0X7FAWgNxPyzY3iTaFunR3rOuQofPr3pIwqV7U3ux+SHpvoRkUqF5PGU0tifaiBSOgy2OBq4r DfJiP+T+9aeTpj+kc5mQ7iubXL7N1WJq0olHlqrMrbqmfcDoiS1bvys6AwvAid+RpffQPV3ld7/V iXmQc1b2xo88GJYxrJTMZ0zXigrFExJGO+BW3HdlevWM3o5ZoSHKSYamtfbm/CYB+UM7jlFYLH5d 70kcavGsRQsctnSR2PgFRfl4rCgVhTg3LcC9vAdPSxHh2FjX4mxZa5RsIprex0zPYA9HdiVTPkH1 QBx9N14UxQk17zWPDHNQEXav2HBsdET5Sl2tg2NMW9AtmE4/smBzbrCifyzWdAMfsbUQNsDxUkxA NnO4RPK72jB3H58sN18bCqErIT4t2QJD1XQkncQ7f8QgIa6idlY/zurZhiNFu7PZlKhj/qH3aQkN 1YcVY8UvhISzSpwiJyp0XjFzmxyTRYXVhqAu+C6HEQX9sOQlTOswOxi4YmIPhfCSEqeMJM039Uzp 0Uj2xEM4a1sRdqmgb5jDGqclDPRv3WRr3X5m1K3QhlVzGzSMT5SF4DtC4XUbP+BM8DU9q920pyJp rCoaTR0ozpwNRa8Y2mANutoDBoAGbGLcow+QD+ctLEKSQlsSYj7dgk+1kCCu85fMY+dAhFi599Zu P+qFY22M8dW5pJBcGOvj7o1XZ4+nZxrQ2TjqkW1gCOX94mYPuTABLirm8qjw6by0yJu5REyf34FT rz32ml9NPOGYuuhHuKgkGFqDC9CA0A0ZVJ3XVJYOTmkUvLgRpLnhuwjV4muQk1gttjOI87rjb6kF eJyXySgsjKPETMOUaE4rQuCQpZEHNtiqyDwc+fQMcuodsAZB3cJF1wQjkpvIONjNThib0iUn4KHl ZoPSzg7HzBpLcdmwdnbQs8/aVMc/H2CeMCYx5SMB6g0QwE56gP8kqh1LHiJGqQKztkE2rW2JKh3C EqpgfsVgLC00TbLSlN/6mCdvtccxsnxSh40vk5H8yd45qF3zH2PY+f1Ivq38VWyMXZ12kngFB8mI pOcqZ8vShT+8+xldAckXXd1oCXw2+K4MsCmRiAVK7QzNlkDOM/oHSixYat++52dB9sUT8JVYTXlR aw9LfdOUU6GW+L9FTj2yafdhJ7SY9aJyvmEdo5gCI2jXHA6a/EX/NZDtnKJqe00s8Wp7CCaLADv/ 5gkLbtc6eruQPsjlFNIS9XQ43zo5xCApCEs9JKFs3Dt22GACjiEg22IPXnMq5KNcnq29HyBbnatU WjF6mtpLg7LbxSvygF1HSqGzIW2Y6qB8ohHpV6Ny7xEWi2kNomHgt5foNDUue77RfAfb1BbxAKw9 AX5jNyXEpqGjEH32iIyq1La89GBNqdjMxkUq6kouUY3jpr0XTqpU35yBiLVcJR2wJPnmhpG+QSHI oplFhq7+BEguOgq7cpGnnbppE9dtJUk+XmI47YSaonrOvrAUTdHtWpgAyvJtOv4HfT1sVJWHEWm/ ecDVdd49YeiIjk7V4MX2bR3H+KZVaI7lEQ8Bw0IhITjcvxCB7hS398MkaA/X/LpL2rE56Y7sFjTY Umg65XHKW0HpoyX0xemZzoe00rBsc+H5fpTVzQmja1PRqwaTHH1TfkBFvbkVk2Bb2gjrNXfNPuuG 3EDBTqlYoKZEO50gAD0RhK4HXaCaLZG32Jaz8TxZ2AxTxi3a6dIlLTyHk8mE0Tcl2Cbc8L5CyZZe jr6XgnkXvUfYydWAREOyrSNKyg4gXsy0AwCtwGex6UzK+UNBxehk7w0+R8m5u3GpV2W10RbCuCWb sus2+PgvnbELpBAFkrLQIywvKkX8LYpdkrVlNFkI+ZcN35t0I+rcC1ZgfGYu0nm6gR5hOu5XQiFc uGFnrGodE53Sx5lL7yzgVhgWgoYmRi5R0y4wPVdtmKBtoffADgS83qFrIbgwM/GEFhnQXsWBaKd6 XrgoqFHhi04ql/K+FiVIkgoj0dV29sMZ2dLqoEUx5pAdl+8IvcA70p9VlbMYCuMSFALX0bsHjiw4 6AlVm2S8dGzAc0ojYVNpzv5HKYj9Kz30F2lZGvEPdRXZVpNSWB7lmxRA7zS4aXXM4ABQ7Jr2WtC0 jsOvkOrZUjE5jwBRUBm1BqgaeuMIKTAI1TT7bFzfgV/wbJdGcktTzrec5M4J7VGnk3Cnas/WFK+A HyQMIdwqVdBKrYNBBSkV2oSBWlvI64VE95sF9N76CPhGlvXXftd0qxz9l+ORRIMI/LDUk/hiYy7o JblUTU2aOr4r/uLgVBhgro4cgJszvFTSmU378+NcRmOVkyYIkSa44Nz/n1+ORlH/vGFMVg+5y0xe un7wbn7k7YwYhmbzUjlL7nQm+KNDxx6OeBc64+JjZ1cPPqBalkeKksyQHQZwqljwRJBrgnlzDXHA owmnwwTbjUtQIhfnKJ7W1DkU/LOh/dJQalZRrV/ebyHYGG6I2XPQsN4uAjltxlBRHGi6TUGrbJmv reiBYWfNTb0epJkp/BQjsRhf61ZyyznDMOTtHGp6A0nYMsrERlqKJmhE4VDlbNf6jhre7ygK9E40 0MNk6HETeIMwQvZkCSMPhXCgCOple83rBJqyZrME4N2BgrY5JFj3wLgCcsRWFbN9VaQP8vrg8so0 JrmNeHIXh0/viuud8d6EYbGk2yOm97Fhcu2eh+JRioFxz6zAVHIQAgOaFQDwKP2YvsczxvpAbMIG 24RNM0+6IYyVk4TNHTtCFHPJK8wQYWg40iUBxL27+BkZlSb+1oqj6o6C2T/hsENNpzblAy+X77R0 /WBNXQ+NcuRxEKjt79TFfOfbS3L2ycJNCJ6IChShi/zRJkJFmQ6wleeWX8Exy31yoq5JyvgVJvf1 f4VZrd6He9EPynYcynvIQ4n2OP38UY0Y1Dt3GgybcrPmPSsv+GVGzLW4o+6Nuof6+mWdSgUbvJCJ 2c1S3y79wgZ9b7JI8KUyL4htS8x1pge+6bI9SCqv9ASFDEZpW0qh8hVRrtFfDeePmcIpxEHrifrF FxNRdGWOnbvVUOTl3UP8ouyzwqu31/IC8R8y7g6+0phNUGO5rR0HfNF5xbCZkrcGvyoYsocphOM9 Jtz1ouVCt7YLygYIfZMKWHBwMp1p6oQtKiiPDKlcqgaCKOnyP6noYsJ3XMYxPnhJTKBVJRv9PDmQ rL8fxfObOu6ACLRCo15IJnfkjJ2w8+wfJqzwAxxN0u75ZVwwGROptwEx51l12JAy4Qoo60Y62MDv 8PF37i9ZRA1xoLVkRZFMUjcaa1sBVaXGYqbxh9MU5eQApX7BnbfEmBiXKpBWb/ruoSvJDJLFL2T1 grOZHl1COF+5l8B4GD1RbsUm4y/AhEqtdfjPAVCnZv9TNyoSCiIqM1HvloBAiRHnjX+aeZGmrSRg 5yQrG+uyp+RjlxtBoDqzZxAE7KGf/gOtPvdkI0/fH2RUqz8AUIe03D/k0C0kI6tpOlEzzsxb9Ak8 Rew5i8mIfDHiylYFGAwD3ImSOBRu2iA1Q3W/uptIh18u69ycDukrGr0OuX4J+ME94KeILXg7DZh6 13z5VEsUUUFUpfOktNSc/EDrmwo3E1IECEbL3PaRkyJgOYtg+fYxWYfhY3//SlDShApSJ62RR5mG 1b4qOgDZQ1ITUOSjeNC6Y4YMTT8Z2xEigI617is4cI1Mfz/+1M3HfvRvAMzPB1LS7nDl/qiPTe0L m9ZEM8QM4f2J4T1xOnqPejnF3IgQXzG1h4pZPYEGb7La4ytIY1e+C5tP9MJg28fDi0G5kiEw0wQX 0Qlav+lqgHFoGMBo2ueJ2FCg2YiL9kkhqkTRQjn+7aRlA5Vl4Zl5XrV2MfjK2lTXOIrsxzeYH8YH dHfSEYypfsImhP2Ro44oDyvxPCa+VwDoUnMnJVChmY4BEay/3VQ9s/ygyehHlDi03RihWxcxpVME BpW35fROsGF+x+htxRfoWSplWgbyY1Ivw4R9uGPJQApddoU5RFtSf3VADQut+TKgAWW+uRQdF7Xw RDDZA903seJ8jYkpEqK1CmlS9SgKwmw3DY9917SW9NJ3Zshct70yoWydNplH16I1+44fk4iKFc5r MegozqpbTRNg1SxkJZ09MrrlfUmwBG+wFzEkj3hM3I71WMt7hmoOK6hxAMqIZlyGxImoDDXM6fU7 mNJf11TssVKvUpdMjhrXVOEp6PmnPH/WPp24HttCYb86L5EKeLw/lUg0vCeDq5VqfXHzg2PUTbgJ lApzkaT4DZrNv+3lgI/tSSx08y687E7i6UTC/UhhB5M0QZBG/tK5Q3D3Hnv905AiJbbr220OqWko 6+4DLd5yI2sENqwZsxvbkXHnbkqN3Gv1vCgHBg1wuO1WXjOZlyUdkfMQyHq4o6MqDk9P3jxDmb83 Z//vH7scHwBWAYHEqwFBqJqpLbUl2t1l2WR1Wqz/Z85x5g1MDtm6FKTW/QgUL0dL4iO5kdTxXzfi vtOSF9fae+//UQOztvi6g0oBrxdi5GaDov4X04bG2wHbXp4/iD2czZlCacI5+wht5QgBeQeE5j1C BJ/5rYtuaucriRz2SaWuUXnfgFAoGZQoOC3hNQZdkRJUvnNo2QIFmEAOOVCVes47CNC988EvyAXd y4Aovq8svFbgMKlcMUFiYwYitsUOtxhSjNf57egt8wBh9YY8HUBKxE3B7Jo3KpjjYVaSZqmJUZ4N bMyM41VKuuCOru69xSOvpsV84rYL0Bu1HJuH+nf65YBPS7ur85/DRxvJHmzZDxRooyQ7/lYqf4bi spCB5o7jZMJmQSyrs/GtSrNI9RLk05+d/RTHIRR5I/CQaPzdjTEBLjvGpc7ON3cmJSIr5gw2TqeT RIMvFWj2IiPb46WOJ2ssmZjzSyes1r2u7k4g7FsQR0feITx8ptW1PPp//uLboscICW4vOEbAAIEd iY8bMUwSWoYp3db9moyqHDqL6WK3ixPCSF0ZRw393NOrWJvFVqENb8vYuNRVuLWwKQW/00nbR8cN FpcTTKwlgIlTwsPQN0j2rHrX6rqGnCbdJzonWn1rt0+JMkJb5F5tZwxRqJqc4kqkc3p7H59Ftw3A DsHtKxSC3/kMU9/4Ul2+sNTvpPY/jAy9oW30C7nPGl7ROTWgXY6PV8Ib7kNKPir68J9V9nwVCp1G A1ImlZuEN1UYFmZpgtmqY+wdpvPF/TL5++QgxEKjO/+t+7a0pkLmyiHadJCbv43CfD40AccaGVv2 eMD5c2ZT9kkGmzMuF2WIyfldlpApz49oDPVqKlbL3JOYp3rslEBYCE8qDHKWQthJSyvflCFid31o 6biZsXqtK6jWe8HaTTCzop31ST1EYZK4NzYYlxMYYCe2Iv8aTNVs74hBtFY3sIcKpQ13SFhpyix/ WFGZjSvobbLVyF9AC2WOa7gio9NAiE8knc/eKVRnzRXen7+WnNgb++fII/7RlixEdoK3+YGDnyce RA+Hq4Bv9KgA2z5QJ5WS9IavCQTiOGaMiHIUPI92TJ2Pdv+0+jCP8BlLL+Ej1JfoaoskkF79lLyW Yyje8YoHRvtR742oaEmokc9gIF6ZXA56hViKVUwKmAq9S+2ptRHoWuiL3TCLrH5HRiew1mzI/VGj aNz1DU8zlSiid2LrYazKvf2buYd+8HTFsqJBwuXVm5KUu+z8NKVbsj7KlRIOSXKRLwkr3QagpdSY m9nAQqyTdNQtdfNELTN86oPk2M2cZ6sViuZLUzgdi2LbcTBS7LBO7biBBl4FZJ/MtJwDyUbynmrs RngeReP0M0gRhKejxW2fT3e3Ugf0XoaJKGPNv4tk60PPNwynhdG6bmYR1LoyOCQozOO3jYUzU5d2 PZQyMUfjvfJuPgKfpXOXHazhucRpmLjdCmAB02FhWKB7oLSKqTBSbOoSKc5z1vQoYfKsfnA+FGCA DmqEBuh8S27uPf3CM2AfeucYrn4iIBcnYEY/3UrH0s8/slk9j9vvagUp4haX1jGtAYaMJZFSo2GJ Xosj5b+FE4vfHQH1BnFyG2ewBz9SwHU8HAIJxOyCYaX/pFS/J5VKxTvD3T1eM9QTQQT8nEDrBRcj 0LykLgUXnW1b+Ce2+7+i3YP8XbJId/OPQjdOg4//76nt9Qd4X96JWpqU7brgt5oVETGsOjuMKZA9 rx9pkw684uG+kaiB+uotRgxE8od2rB2qA1z+J99f47vGrp76HJmygui+zZgn20Ry7sD8GkumBO2I ZQ7jHkMEJk2KCwhKl1wIP2Xl5/oFN4caEGObk/VxkhgJ5LUateM9Q0xFfFSMOGO+gb6OIlnnoTf1 271lCK9TfCE7erx0p++TV6X7H2C0a+rYEDTRm2Q/mcTJkMFMLoCnk2zHpCGAtPCGSIXHHhbg8glN HiIrA0C14rQyxSZbnDw6SWwNhrYNn+08aiTh+seZrsoqoqTvCle6j43cOF5ew3fhpkUqxPjRAFE6 ans/O56ExqJQkdSl/WX3noYR4iCFX0S+QvSvpq2EvoV2IpJ5xrc/IoBvjgJ4ksF1u3XWPQeL232y l0kC4ygtWdGInU5ua/dVE51IkaX0d55ERKnq5xUXJil1dr6aYHKkTeRNimd+XwO/Ae/Wf+cuNTo8 VbO5DL3LxPhpjmShJ9yI9/JRTwF9loOIRx2hlIenewuNBH/GIEZ7xQHf0Ke+s3O4BW0CB7LuTQEd q+7c92OvmHsV3YwtBMcnXex2QdUolHUDRGMRVFBJOZLp4xXejTxpFkBMFSjxPWMDNWFNenhDyLeZ n2u7skK/8z+XlLY+bl8SohX13PFIgEIDZTHSK1+d0DiToU1pCBNkvyOJqW6yH0/UZaZHzV5wKy+0 HngulOzBMZj7r4ZcKM8crwFZwN8Prz46Ra4iLYO/0zK1NntuW+bsaw8bsPOtyEsLssQoDyk6umR3 lt2XbBdchfGmRNRF+eaJmhTqM6gTYsitVDWuVE/6CbJB2iO/tEsO9xca2qmGNyJKy26gH0pwVY5C UZ75ykeZUkelnf75AhNI0I4JqOj+DZgxf5hqHSTWCUyjzauTfL/672H1gjXTHybIuwO9hOAYuWRs +1VPH7UcjpcPEuLKdu4owrMem9yFVWqzbFRZKVwXsOzZorr/YF4TmLbNwltb+tGjHyrFAxk5q5LC C6kyOZQ5noVMVDrVewEkXgQlYUi3/BY8xa9XwYIKqkr90lpqbVYYslkQQm5EphaRDxqrksMnaXQh xFQR08Sc4uOoenHavwA/YN3IK2gdJairbCSCXYJsGWLSIaazKibsfHQ1ZmEZD6XeFkxc0bZwasGA KWvzeWEmb3q+xEXlLd2pRRClb8yeLYh/GEoeqYPBlZJWEtb7qTmtG/iJGGBxaOp7wpVQlSAzHIeu 6Q7oJM5Ik5fQHnZ78DsqjB9YMcaNSaqJxNFH1WeIHeaQTcJeXEEdm3UUsedoSi5eRE6SPL86MBj6 ZSgSFz9p46qVTlMYYcFn6CAfAfTYNfSknzgV4Ny13s9v1nWpCVlFqsQM61SWZ499aRkY/wIOlwcw BV6ZwDCPb6ZQpeuJN/Jq8Lo54NkbHGd0yHmzkBXu8XCwmc+yC1tY3lRC8GcsQ+V6vPK0ZU92xS0W yrP+SYCHlDSjINrFxsNUPQ1trvT2wMde6VMyzDo+o0pAag2TRdOrtQ8QjQluFNPJj7n5cGCNLS9K kxgxAi4TjVtbGc1NRAinh78zv3Ha02AshCpSKWp6n5WCSGUZ5Ej3e8a9VYtzHNoaexUNjCkDKMbL +2WbL7D6oLJbG6qBsOAH2FoCtsTLMjNnVdEWo3JpjTwhnclVM3CjgE/Sq4dS1UH87zNq4gYd/x+D P3suBE0ClBnuVDgfi1UE+Vw7lSSEZV2LoFJCEal1c3eFSV9qmhESbJIXF4eoYL2+M2h4rwLB9boA mWVskYnn7k1h7H4IymZPipKzhHV+3t6rbqt/S55uuzNn3BG+NVnIbWakNyBvD/kzbDhiB+HQQlVu gWexKa0rirat1JnXGOv+8Q164KqZW6bq0sdTM8I6AGJQY2+Nf8R2s2YKI0gZkKwS5b0C2KDje7hP MueKHMZjXKTy1qcwsOdthCWGEp9AHVZeLcwYoSHmyUVlgJQ4ogTAv2+2UBzyFAbmrD28JU8CBkZR KgJzNj07d5Qah1H+Um8/7BYF1YOR4st1FvnysgSuVX1Lz9ufEtsZJmmue9RizfwH4y6taXmF5E0v 20rBrUfxijDlq4z021FFjLS3lTjl1cnhLL5dBiPnWc4YygYgTKrPNEDC6uwgQk+BfuWh8mcYc3PY Vs/UKd1BsmDcRLNdCvYDKzvxHsbwKjjBoO/caHKQdOUQ8YAMIrQTCDwRlCyAV1X2/wMijJK+pn1e UKtdjj3rvWgNj71cFkUDZlJkcYrhO7zNMbx/YcfyY7Q0jNJd3RyHK/O1xnPncfF7snKkQkGbv8s8 wl9syLC4DHnPyth0Dn+Gs+cnRMw9LatEeUdK27m75azlWdPgwp4CNseMm974bEcgqAx8TdJsNdzW NSCjdrl6TeqXJljrubZu1/q2zesHXWS6P3p72SFqpjdc7GfWU3R+CDPs61oqJYNweONxfz/u+adP gYNBogS6EtfSXh0tZe50+0yCAD+2gXNzX/6Z1/Z2HJ48DjeKsQFO3YEyBcBROxZg3FsG44JVou3X eHRHrU6NQxTp9BdkTfLTxfwvUIXBJ3rZXSI4f/ObpeDtM01JCnsB3eDeqZAwXNUS8pXUwMVyFwww kHVElfRDYpvTZy6fezRFcGTZIis+ruIqU7SJmuuVIABJAELfy2synqA5JgNHzd71UvHZ5OHXuuSV pIGA6FsHTZ6TidzZcFr2FzG7dRbDFQZ56MIjGwS91Ef0++cJVjRmSCS11PgMABevC6RhFDOMoaYy n1piHCeCWN4k7v4IAuFgUGX7p82zfnHPqv31OeMDhUW1gdrdb4zykxd5I5itMdc8v3k8Q4ysT/NY hRMT51P6hSG8J2XXQWN/iVTJxlObPRM1L8j5DTRJxiWTTQ2pKut5pNJlGm7fCmQPwnn4kwlPCF/6 eYfKK76NlZz4LkcUd+Mi4CT4lqmHFxemNBBdLb7w35G1iSAWdnav/Iobz8xVYyqmU7hrQeo8r5rY RqiWeNjk5Q6wlYyZ+jwALS4wNKO1H9BNe74EJ7MsXdFYXeZkujcCDX2Urq3GiFQAqhRm078fvxgv 5vwX5KZfbw6LuUTD1jtzuN2u6lrB5QeRmqE//MaOWfMlyJqNGATOQQZCUwkpGQE1jUmF+n1lhorr sK3oFnu22bwkvHUxmE6vsYqk1qmhQYvSSWlLujC7A9PezC7PlZpUr7osrLFrDx4gmRfyGZUOs9mn 8EJ3Gvhj5xcwGOSgPd3qbLgDchD887QRJ6eNQ3wKwh+9cGc5Wv57oEFauqbl99chKyxWGIp78Fat MZylJEqfjtxH/rk0rpCeWA1E3JmzOvEJFM6UPp6zhdVFq89KlotDdh8OP/Te5EMLQrdiEOcUW8PP 4eE49oO7WDpNtX+/UaFujV002w5S3F4RpaZf6Q+tEKssmcx+8DACPj/NJguXWuiYgo6BbFUlrz46 rz7ytXYR3RPLnajPtOYYxAVZhL9p77bnejZissWb9FfVH/9Slmw7qggILxj4kKm8DWjtKVjHORsY KQIUHA2OlGCugBQ1bSNabL2vBpatH26P/sJ5yUo3Pg5GprTQla8TcWwUeVBVnFmeEi5kQSxVfaCV edln5+PkVVyOwEExj0PjPA6W8w3cAm3aYCebxuqDx+owAvFPeFoC6NfwJDQ2ackOu7ruRRkLH/2S ZAYlE1RRDUlgLvyCVcbrBj/OwwtpZWw7IZN6eu+Dj/QX2+XkCgwA3pviKo8GKuiy731idzoU2+UM 1OCp+OJVQT4ZgatXbCYZB1LFG+xgVi2U6IykKcTtRvG4trm1xLvOccs0n8MMm9MSVSN3KfbjpcNJ SLkYgmholI0kR9ViP1KKKBXXAWuIIjYusMCvyYZciw+3KuJeT2dsNiIOIFkuYU0TlVEIaGt7URPK 50BmL5IBGelUY/UjZlYkest5oJfhJpxPuKSda6fjHyW5poVVcXkj40ABRJ/bPzdDmjfHvSCIR9FI RsWWpxw72qpULYafdA9ol7UXvXN78jYKYH0FIaORlsmfWsZmYAcWosJcZTBA12flCzGB2t/KB7zI gH/rnfShKoYA0styKWLTSvHZAqHSdHDLXyF653QYAWf4tQxCm/oazr8lIfXFPyT7SM2vzxe0VlOz QMyT8ntBBwF/l2nlEJD1Lu+LK2L6tLyRJGKDwQ6ofwxP53f5rRgEi73uNYwCyvK+1WEhalxfGPAc 2tCEB/wQkho69xoeEqv1pMEHFulgT1CQ8SO9ay31GFhh/Mh4tAO/dtMP3BVKRldyPlpqzYg9BglE 1+/IE8FlnuAADAPomSLlgA3dIcT84W7JIV0pt4l8ONp4czN5/oQClDTCuqD5iSqyU+sYZpt/cMXp XHeoSY756pkrW5BgGxjsfAyx2rEgrmbxKvlJYnw+EvzeRFhPGqTF/daGGdIPA/YmJRnA64vzFKIA x9iyL8JYJePY1lbuD0xV3IKq6SK4X+kGgaMgl5HcHGJj7wM165F41lzTtS91b0KXRk4sjL7EisVX al6HdtIlDnxrbaRFa+UsiJXfhUpIJdhHibPA6F/uSkEv7r+RHlBGS8r1nZW6GWNHd3Osgp7fCDli jqIi0HyICSY/B2+kulRYyzTgyKX/GPKpVEOHwI/8rQElufSQ6oSUXAmtZKll0RsPvG+U0b1y82aM rxvnu5jXFhOUED16YxYF7juElMBGiNPrp6Sj5qmd95yTR3QEwCbpACGrrWqktyBdjnG9wlE/XKWM B0dxeb2UxXuOTa/7y50pn0iUtyf3KFuC0yDCyWEvyyVCaU82dLEMg+gmq9jWIMt4SS3+QWupXH2R QAve1gGGDbzrEH4X8LcGNSLvzqkqgY6dO+VyB/DVsUV9AhGHCc3hM7AJ8B+6DWbgzz0++ScNfDZ+ iq7iXw4m855gjWCZGqVbSZSzRbiSogpbp/3qwJL630IWvRMh0geCjwHLqJXm02EGIED21hLtNC4c 0+eUYvn12R2lOo/xvc/Z5XpJNlGbqRvLCMZ948zSj0o0eimBpPyDdjM/jE4vC+v61cgHE7ZuTOcv 9OeHEOp7RKE6uecU79FGJcG0XePe+V0vGvrWWdaSRDj/fh+589ecGesx1l1P2jjCrdFqLayTm72i tFe/oM/5UYtweIPrbbF8zjiA2epJ0u/L3EOKsaVkGqX4rKSzkL/DPBp4/wkQc7mo+DXvsBIiBPO3 IUpVlNDOxDpgbp95kDkshHPlKXxF0hdIVPrQt3Jm7dLAZk4tuUksXDeBvCMQJw7BeU5TXuPjSA4X tWfcqb46dixzIoME87xQVyyt0bXIAHF1jMa/pYGopJN29kcESj1TXMKZrVgKcF59829IlYiWlams W6kpjPCC0zll451L1+FFJixHdDiDNu2zzgP5EWBObrpcCqBmZJXhHaF+dWDza9lPx49K1Smd5Oyu /W190SlpTPFXWW8T5ct1nB9uiteEymaJZcsSPacdHuAzKecAfjFOmY7b+oqUaIyRz/5ppGp8MCeS s88Oc1k598NTGHVE5Dit+SYNrsKTtEEFIPc31kOjQJbIvsTs/9flQ2ZGutsu7LflhFlo4cPWYJGg dcGjsehtvJH0ZFCU4dxvC9jeVC9Z7DuEbfWw9NSeMyxd/1JrQ02v0Ph/hglk3ziKKxPdFs1slTeY MsGebqIBgI2njV/3l1W9Cidsvb6jxfcEft66jYLD/3DNRVYo0UrZ8iTMrPUm6xpwpY3Mc2grGVhv 2MN8KS2PBGLuz/A4MMX05xWQmO32p4JiXuph2XGwmoKzK+4Gp8PUtnFI354WFeCS3Daf8VjSbvV8 YQF/bVSOLRNK3w0ARaKO2vPXiA1D5RCto4bCRTwZcNr5/1KBMqFV6RHqqJMR9vLdHAhe1n48jfp1 8qkYzgAN5bfS0xl7IxG93d39wv+2/pAUdOsecr9No9lpmiQyPyGN7M2pA6Clhvuib+BS3cHaQyjy zqv6tSQoCkfh/YATIqTseeYGEeTDxpHE3WdijbTNRSsCqyfhbq63obynuRWHQ3EFEtV90Kx0sZJH ll6c9XgtHzlnWU7pQhkUaT8cfPv808ivmZPQp2LCou/RasY46Op/NKF/MTXB8HKXDLBt5UeAeoQc tCxdwDfRLhPe5XMvvLqdwBfSAyx38kBl5ZwUuC9Izdp0T/hedBMywWdMRzCAPGajV0K2NaSE3FgB MdCiKuzrfHYeyloA1Z93vTgIPJ0PRhkzFm1vhA5QgoOc9a7KGN6spKltxLLbSMC8lHK5z9QPV2Yc fXISVtl9ZBeOK49LKdPYcAqtP6jQ3BEh9aHixCDxN9R92WIvFmzBd0nHnFem4R6QH3ylkFZKSvU8 Zmf6LqN/bp6PRJAOXx73S3rgDuhB46BAneL/0BGI8y/Q9nPuqIuhI6dcZqIE+re0+I5qpnuOJY2Z r54GorRYgIwgj58l6RFEc5KbOa0RCnBWUc/gUZ1UT1CEhKuSD+31AS4Gi+utZrdFJXZSTJTASgu3 5Zydk/FXGkRFuSvP3JBTyj521Q+3SMagzhcAzUSyDI3m4i1MxoJQ6XzNm5DtAlZzv3okBETvzv1V 7hOVWb5hB+1FjDjv8yzPsAcNm1c8+WLHfOlF+ndafG/rdlnNyZvZ54Mh8H8xM/VBAJm06vo6+NdQ HAZcabc8/8WNX9F4VCO2XH0Ozq4If8sZW9o/PmzBHCQDoFd95N8cG/YfwUkC2X8xhL8nySccqQX7 aNjpbOa7iWtX/Zntc0AU/6xWCkjG61Xdgv/Llw/9if8W+Q/sAIecD4AhQsSADBCJUty9m9dhZeZn RFXQ4loL9chnmV4ScSgNEC9kMbUO6JRH7BqPB+QuYa4dQVZ7BWJEg087y3FaiHjPMbRCXW+KITfW b42cbJIfEgc6PmaRbaYsXkX18nZzrgSHhh0xpnNPpor7uYm+SUNXWtLRnFT3ATY0Zj1W2/mMod5A nj6VYMXdMtB8KVyc3Zczf0JgWx4HFpTmPbSJrPkuSV7cH2/CaTeO1i7qvik/44zOvlncL+cMz0KH RJpeLts8HvEqHkuYYHVGJfCPr/g5nVXd2hLBhwRV6o/OwnHnngbESuOe8DlHeofx0+15gQw3l8tB 7Bw2Dk0R95LJyip6MlErZltQ0qxJ2QosIJJYcu/gJm3DftqYWsmxDj3L6yNBDkm7UfpXVmZKThRV 5y8FrCyJMutUIDOUR8BAGVJjFsejep1eO459i2rsj9Cfb2p8b7hjHQ74tssZNISRXcSv0JzjHEy/ ZrzYyirI6/g9PRMYYayzEE0PbHp9zPvZA6u2mk0ZKwugZw3FZTykzPppwdYeRNfhapU+uAtsmmdC XfhJo/W76vhSY4nUJvUKNfzdVHBU60RPbIeSf90RpJnuWjcwMOrA9aNEibzZW/Tfx/V3rwCCuPQS t8F8n+IUMwxEX7kS3Q6ZYandPa4JQL/JIy5uDmjUs+e19qQ+Nx+2nFXAsxa8XmTzaDgd6ztmAu+t EKdC3YXKZ9C6xYkgJdkfYdqKmrKTR4i9NP8oJMll/t1CwDIn43RKKP4i+83iuaM/UawF6ea95J16 it/hLFai/zm9G0xbCEqSFmyyCIkcTVuC78P/3im7mHtOevCXohuSqw8mkq5tl4jz6BO2+7CFzHig kj+vzgxZZsl1WIMF3K/ypMWDhB1rjn3uOoaJzvuD+sO0p5Fp+i8pAhjgDGJEVcv4jidUKFsm7iFt Oj09EkuQcv7NkVK4BGrVp9x3140U2Ob0sNknUeposu9xC0Ws0z6M9p4nf+tsnYMw1FBPYyg8Emu+ eBqKIW2g2THyQlouT6zQFmBZHEIHDTo25rns/EP1B8A1QsRVLIi+CK0z+GyV/PQ3zAlbO4vp8iy8 N1jWHzYefJrQI24q7QoYY5GkN9KXwSjCmNT0u6XrEKr3f7vJsh8lw6HKBryEDhh4AqLnKVPFpL4K 3XVs2xJhx0X76qtC2YA2pX0v6VYQr44dc7CiWN+lyp460iKv/KfkhWpAIFAjQiyLY0oZK7MiN7x1 ZJnncIs3Uwd3+YG+Pr2eIrvv0GTcKJDfnWuW0J9ZQtEJy5Z0SJz3BQfgnsnb8k6UOa9WsPRKFGgJ 7hJ5IQGxGi3YmNrUNq2I/UWUjd5CdpSltX4W2VFKwH16dJA2k9a5ZFuGd1NR2QW1xqrZEYzVTgzi XyupacKZCPiJzOULSuoDr+cxRFMegDcIVNO27lNHmX/PeBohWoUTt9vNWFP+2my6lsFAzSy9j0F6 Ag7NEJ/8UxstAl+A0HHPb7uU1Lz5HdzOjDBxh8py436rM39DkndmA7LRarXE2HFXTCyED1frM/Vx INjYEF+tX49WKtlLC8TT9OuyEPL1jfaFMasQX6tbkJ+GeopWAms8EjdRtEx59AKcC5ODe1TnevE0 uPvjsKzBNNPmggkA2ojmEr+i7xjhvPMYtJy2xxQBL7VsEyzxGv8t8P5DG+H+iZe42srvFeIZV6l4 h53EoaaarJCK5t3UQIQENJ4tusiyhRd+4WGKXof9NSxlOclACtJqxlX1A+AFN3K6EwHSelBrrvDM aC+JSQnrLSd3mnmOVGkQe3Wyta4Ps3conuWaF7FvBnQjyd6xCyPywBLoNJNOEz7dh0WX/JveQiFm BoDfzXHSdg7jnepUvWvVCvwRcmmATIhTwh/5A3UN3gQBvkNOIungLwhjnMYiDlQgT6YHecMddDun jz7IGW0E++so9y4pXJze5w+TXJw2fPkyVq4k7KDhRQyeflkKJZqbLUcQfMNr9XOlhEDqPQoFHmdt b5fbCdU4SuOCxGF8KWOkdhGBlqi22BSS7ZdUgqQA6jgqLU8qZAk2YM8SAJIqQrUar0AeYQMCQ6aM Uj7Z3m/VuldtJkQ49ZqrU+jBAHpaRI6ugrqvCJYc0W9GBRXYKyviGoMW69Vk53mT8ogSZvi6jFZD Abe+D6XXHGGkY5JD0MBePuGKJj45Y1PMlTKLCJbocgrExgim3RmvBjZNHE6NyP1mzqbItyBzvz/I 9p6lWyKaVyhZdL8AreWcgarMZjAcRKiS9ow55Krv1itOn5yJaDk5NItLhF4Nt+enfSrN94U5zZZ9 MKkhij4FVwjeDtYP+QJ/8g6aFB+qi+/A2wvekUkQbBRonLPriFn2o63QYy7nUB+HzzPVAm43T7lS Ps/iaRhnCtA4zvF/sLOQ6L4fO0Q0dujNUKitFhugTAlYVkMPJLsDYpHtQ8hoow1J9OblpD0rmUbx oP9wkMfn8NI5HsgVrRyk/hqvLbI+OPpkorKpz5LCokweaIBsASZHr055+cu00VES3OpjXg2vddl9 qGMIXiuK+8bvEAt+KWE0qQrPqdmA3CYQ/7tSoM/9Z1Y/afS+dOOw6YxeIGbAxVNt1Z6CVdgUBdqz BrClWxghQi4dCVxsFleZPled173IroAUp23JuDtmy39pFOQqfDoQjDPQofyNzpE8+TWa44X+gsHB 9e8A3uW9diR0lUFRaS7kKw6i+bp6ybLEUoJv8Z6AQn3MQaXy1SrJcbwtIDWb9HdHCrzfjaq/T051 Sli3QFgIGwoutAnqIu7r9kEgU3jG1S+ClDOPbKapMQ7ig7LYzrPpP3nq5M5am5TYw/GoSQiVkIea gYdfCm+mnGh2ucfEX1ahxiIFzP8kcHpM3u14eFKyk2ee7vELZgxpdE3/sgYCMsocBZBztxW5KiTs EZv2zEew9j+QT2P19FN6+J9vXXdO+53QYGiHWu5CcpasWErFgJfLO3P5IgvVToap12QDNOBMyX6W fY0P1/AA5/eLiR1YE8WQsy4LfZiVqnB8JRsH53CefuJOuKklu+7rhJlbMt9oR11uBmhlfZnGbAWm nKGnDC2N3yxGeKgg7Uch94KNd0tvIgAxkRp5IzC7n2KDwTu6qsmihSVyWHWoklvz+198yZZuTzh0 ELKK9MPEjac/V3FXsn9Y9PQrWECqHeC1geqtzRVsmRRmKWK9llxyAHkuzNRPQIkCq7Fb42Ta88YH Tp6b2KbzaqIAtr+kdL7a5CXNui6AQu1DspoDDlkkvJi+rOhe65S4bcYu0cyRwipU+WsUWHz4fqJ0 aGYDgH79RiZnwL+xHnKentadrkSbFJVZAjoqDv4Hs8RWTaqE3hX+7txVwNeRsyeyU9CLTY1Jeo3z GrwAOdtiVzMXbjUKmwrUEXJPGw2YmNT1vF+YCTlr2V22ECcrWbnMfO1PlTxI/gnpwDXbzR4RZZSU q4gquB/q1awpUhbSf7SBr9C84eY9op+BKlcTUO61KchCakozMfUtPAxb/MzpjrLqSby/C8ORr2Ky Az3M2AlDQwKm7cKMywBFBHs6YewqkZ0ltWKaSfXx8vyaHhuK0DEUx7ShY2J+5SVOTxnUUf96B1V/ Gc4rfIZvuz5sivWo+ileggKgGY3a4W4cIhjaqXupCRF1+a4X8JjuYhoYHdWzWTH2nnoR4af5eZU1 o1sMn2If+MdHX9tpYyXJaqQQpt26JaCsUsyWYYbWuPuDMgw+jgQz5v4aszeFzvFZx99Fru4f/MoK x3oVvCOCgQEQ/3oEPcmNe+rkHchD04IWDF84vaQ19hSx67UPfwbKnpDt5X8oOg+5Y4qCHc/KnFK/ IgQMi4VKPQSUBNvFRKydt99N9R+7eVeXNgCkGfeOHd1CHgdmtE9F4YbN4NvdsWZxfmpc1q2KBCyP B2/tmIozQTWUVndcd3M3r2EnEvreAEmwBUp1zc3Pduq+SpkhOrE2ixfXZZwoAxOsIQ7kWn4gAMLI w82u00p5k1kEYXWCjCjIgS5awPgIcJ+O3JBMl3jQNbnBIkY2tC3rrh2XRSzlPZWfLpBFGy7zTLXF 6bElE/9Ew1qoJLMix8LB4vNx/YEQi5QJb8gwl7nKVGxk+BoOaBUPwbCUTQOqnK7EpHAC/UwdR89y nbJzhdRc/9cr6C5FsfY2MDbkqaqUP3qbfFy8W9tuSS50OvieH42XPIdJKiglLaDf6jZ77CRCZWJG zKs3v7tyHvn4svAgRojvEIHjR/lnIrhjT0y0IFggaSvN2OCDOp7vE4ykZCUgRcWx16qUwOR2dRDm XzRt+mD2WA0Rjmlf4EUVL/z2jrCBG7/Ecr5kQP20SFot0Cw9lQp3l0TrMYUxL6MwiSoelKvlpVWb wKsknZbvbZI/lb3zAJrhOAdkE9fVL7tVxA5jsz+qr2ol4kIGiNzEcNiokn1R6EJrVOIAwz3qbGAb ZODpdWNyNNC23Pe7YFsNJlRlwp4NfhwgXUUXFLyQ6gdi2pJXe7NYOnhjLqKwrF0sM59S90jeawB6 /lTpwWQdP/vynRMJ8xcHuk7/xJ2TWxrXbzU8btJsPSd16pevjKSzPma8Lt5vWCFvyd9h8VwC6Rmo bn/GB1QJoHUzZLSxh/qTn/WsGZaBChXBFNLYAXcRlr0Z/ynD1jFhulzwxuVxQiDC7BqGc4zLnSou ilYTJfneul0mw/SdXOPgNYMRA4i41nWNeRXha13dPy0zZjg/w7sGNw0GszEA1THLkg7LfMeYSohc QXBTmp5PIlTUSdusqx7vCvgVPBfRxCtZU8d1NXu7Eb2Xf6zRdHSm/0+I+DxnrKHO8Ze7uC4di8y+ PUmahrlpP/G0Txp+IJ3lddbMzbtoopsYqLrax2gEjMutIz2cUEFsiygJZDLtKJx+3RvXbGKPKq6o uSLRWCCs7EaAKv2vtJ1O5lEGgWMxpNyu1zQEmIwFP2mBxdWw+L/atduSN5sPxNmed21XoJPyk57m 7wJALftYaQbeK+J84l0Dfw4NVaXNRuAEovKo1QyAhbJ2/X+Ek2HMH1As6YIBUUUOwd38SJTta0WS km81+wc/lTo2nN/aidQXo7G5EIT9orqzAoVLmcuJuswTmbT0FHDNuP2tgqwysrNr2MmFKdY8USlp TdtPWEZ01JWXGnzr289mptKrOchgxOuR7wTTHMrlmWB+SfxhmAbcf9DejAE+XS0nRg30bTT2m6W+ yxybXBhVOxaiAlf2IjjAZo0jKbYU/rzyE3QA7/AyR3ZpycpTMWHZOa0GTl9eM39BDogvg8x/q1Iq zdGVoIzaA2ackmiGwaI49IIS1t1bPkJkFyrTFTP9+Xc4ORaeHsHkHKmDAftJD14+zMz06v7Q7oH6 h20cV1xadf1QgUhKoDugBqEvwjxSGOI134t1q4DQynC2GfLw4Q+kHbkAYLYu63L5xWAyubi9DGVs 6mXmDp16gharWjI+nQCsz3SU4mOegKlb2C01h7v04YRIUu3o1l3HPNwRqs/4gVtEy4DrAB7Ik9Ws HqpsGLhb0mAg5tWgOTxRlGfTr6AW05xg+jTwh9WEwysR1KHQIT/0DicI/3ozFH96IQhK9nLI+4fW Jyo1WgJatEAxKrAnuDtgd4iFYqJd3tLyMYscjXLuqn/+aH0JA2xxuB0T2WMwe86V9g1C+NCJjrgl DHQDzrGn9AOkg5wJZitG9zO5slrB/lxXjNW1FVQH83SYNzfkTWOm3Sm0gwZRbQesdO6gjqkIzq1D BAwvaNqhl1Eq8cuu/pSSQhjcHA5l+aakTTasHRU4T0KABD422HLC2TGHxTDrAUA9TDAvvpWcanPM JatGxF0Q1dNQaM3ahwzwzWFMbzQCpC6dd57Yn+ntmpGgpihdZPZatinXi/bat+AWN7HUAIzbzx4C pGVVP/BwMv6iLtM58lxIUzx8ZReLTCQXNkgN7cexAOvodfI+BWbYCWqY7JBmmlonS+0DCovihHfu DC9OZerd8+U8a9ltmdXRGjh80sl+Y26SWL21OxS9rn651KjVM/u/JP95hyOCm3+SCp8qDrUpTSU0 D8FHQL8PdtXxi9tVaeaQgReUKiBvKb+RDj4Dh4vBq6s9nU6WPr/mbkSXL8mURHQ68LvZRqIVq2kX VBK8mUT1bBDNGMxdOKX6SDIWb4CnywjUKBeooCwBUEgZQibbkK3UUnX2I8n96O4/tUg/LSQ/rVPe uZ4MMC3KtYd1kDNps5omVU4ro8F2X6ibgm7W+LCQQVsKlLXChRLJEjeHI7HfdbL2/CT3oL+vESxs +LCxjN5dZ7SLlJ2lQC9RV7DyYMpIerRUSRFPwOWrymkMyssg+Qx7Lxy95RAbSDGUE3fyVLE6yIsW xn0rAsAd27iPc++N3XKTps7oIUKyYN2tcvTysk5x9RzJWHxLSoPG3vQSc30ryX9xEiBasb4El/WU A3p+upEAkfyx/szd0Jzv/IV7GtXPSlea2dZ55GgtZAqfw8jEjZgxONNipzS7yUgWgBxrA3Gt8AV5 uKw++2t2dmHhn+HTUCYhWnfEZABtKhk1m23U7eH/Jezyd6GWDFsicbRSKIl1+3dkGiPLShUhl+Wp XjqK1kaswqxK+F/J4rJWMvJHg1HHps7f4ToJYuRPXIkwg7aOz+qtoT0bmyVg9DsJwGpf3aYckSx4 cddwQp8lyAG/RatTigAlMoX5In+vdufpwucafiPh5QGqXTMWhmgR2vKWXW0w1RPP0EL1n6zjnvWT gcBsiNMDJ5KGoQBKwsCif/bfMzcNmYaxsPN4Up543/pWTNBDXbCjlVTdfTg/4v6+JvE1goWciALk Ij+AMLeurDQmnRGe6fk15QbHRzmnG1gavhQqSl2S2uvVpFT3kVy7WMvHw00J2dDIk3nOYeYCTWxz GIcvYLqfJvVI7KjbBouJ31NRIdKf2TlL0qwLdygqVz1no7Sz6Ifm5SOjg+QEOY0YA8OQ1Xn1zwfj UbIkN3E+kIwzRpZzq71CIDNfoXcph7niNsvUzXXR09V0A68avddUHOHL85/FnujeMABpo0f+iZm/ FUTCJZAPhzndqfMmwgT6QsQ/cA9rJtPjI7UBxeF90YRqfKdjqgYpTeU3auR6lMxLRDktXDVkA8F9 SgJlAimwvnzEQWpptwfTqc1gCF8JGHseREvqoJCW67P99U0fRD5OYT5Y/MiQH8FZd+59E2TeK16K U8xMkpXC75B6OEBP9DOqRL9xDsRU+bpZGVS/u/OrDCQApCp0kIwkrBGqpdxYdGOGVj/eXQk277aq HBfameEYvMYDhkvy/zv9MpmbXEFpJD0hNnM77JLNV6CPfx/vRDQpnB+shQLTkWVQS6Q9JnhmEXFU tWBqOHiJD1AcsiogEI01K2X2C6LP9YefJUemWsL3tBP6mdQtNT9S0iaKa75qx37jXw50gM5Dm4FL hDGsYMJYcRLaZz/TOJhp61V0RQr0yykbLdDDXx+xDhSgm44RldazY95RGbtVY/5HMX2N3WznWlxb mkNvj3XnnsejzpyNYwgm3JkQexJI+9V8/r9M1t7f0norofq4liqKL0SJ2nK4HMKeHxESP6rmp4Co kzLaAorY2e1daw1C0mp/FKj1Q8qPrZKaktVankP60g3vEek5nkjqfsSISzAg9fT2fF9JedWiAhAo yGWgvCAyvnFKPiZEbhN7CJKPMVXX/vbX8+I7lPKclLWUIA5lofB3urXyJ6UdHjgKMg4MsK3S9IET ZgjfJojvyjM/2mA61PenXmwbiiWIaTkAibgA+700AZXdeLrQa6IpUeMnfJ9fT7ILgB90PpUH6Pny sLXmeDLiBVjV8H3aQfBS4QUSQ/uPR0uXcUjIXt47kKlbSG57Jfzzzv6B7p2fpXgX98mJIKxnlZKu UVkeP0mE60HqJvI4CYUVKa3n7esqwCp5UpuDXyEoB3jgVsYV4hDN7qJxAWSP5UeSlYjXq6nPzs4x m7Dta4B/+tCZl+iRK+QZZ3VvZYz2EiElBtuz30m+ZBwugf0rEiXo7lBVxG88RFuJi7CAI9pwn2Gv +cvfP6z7xh6AV7iF48drjQJ47oYShJBw/sKe1nvQ0egHHhd1t0IHVmefJauKePfe9W21ueT78Mt3 VF+6PNmpCZVlEoiIei0FlgpyAnpr7SKwEltNRAUiIF7yvxJbHqMpQhZnG8gGCPzz4hsCEOvL3I2Q fLQLAptV5StFTtH9xSq1vVqsl0EfIkuaFbqpUKwkKkLCyr4FQLeCERdp5G8CfkXXLh0grsIHye/N D2LskTAm4LzHwdYfhbFwz7PtGF/lL604tuxtjsOjAoSSPTcgb6lIiMqUwKmiLpSRnByXFXNhmtcl 7SagOLbIThOhK0dau5xrEoomAgr1u0YG0HKFcZnIuiq27DgGGsYp6fetJVVBRf+yaKbhz+nUyrC5 tENmAXtgVU4aRXpu4BwHt7DXbhQMuFm+WG4oSIzUcfrT9ZJ5ZuLGTBdDi948ihadz252yrLtsFUP vmV6VAkFzNxdetV/1VYQf+R2789W8awUFAwert5caVuRBaC7iQlm3PxSLoWQUPoAJiouYNSaltZG qM/PgPs7QX5eovs/3iusIJ7moc+5XL8PMTEzz+sgTXwWA4mnu13QJxch+hQarGVrqPjmLVjRdzjP YA4pbyQHWqoH+LkWMdIyPM9xivVFwxqXHZySOtCO3yaPj8YkrGAbvgFb51lPqex9dv4VgU0OCThd IaP6dLs6aWLJYLM3M9IHLZA+ngcTqrOW9Zpzf8UZBDhKNAXISa7eLCrmrDYEW3OcsqNMtEGdJbIT 0MhGSlLXjo3YxAyIdhE54xUR7JEksMvSj8X0CnP8Gwl7IvNmV1YGn1yCfIHK40upph7HzWqhOcir uwWrQcZgPLwM1EF1ojxmUahxUDrr2HhyxTKGWEJf4apNIB1Qa31DuQPMR6Nv6HFGIa9v6guJsEGG 0SJr3ChTFWCIV7OZJi4hhBNRmSlbLG8JfR6r+jV06VWuBf4RRf8Tp/4jSUXdsVDPkVLmna/nVklX FcZ14OUD9Goqc3WaUqvz1ll/k7v2t6Ro272lUyPHmeteryptvyj+16ezHuIiyMqby0BMiS63Md0M kl57bYcwN0tTQc32ggSf5TH1yLCYUz5vqeRypojCuWaTRy6RQCKZjbzNZ+sy9R3eCNGyEXr2MhEI WcrQR5hkXDp8lOJldtKBHN6jojQZ017cP+mQ7ofBCvRca86CjixLslPtmTidKBgSn8Rq3RNMkeqi hnZy0OexBpuEIidFLcflNWQByWST8fMsKyXMf8BgnG3jK+7ZQFOBKYE3mAx1iiPqNsbpucJvnAHb EM0mRe1i3A5OeBDIOuT6QX/QanY7bkINdt2JAZml0n3yXg5VTaC7w2oesQoBLM7Q6d9O3sDTGNQT moS56U6FA3EwmkrcWyY2HG2R+rEYB1whk9lHuzvdunkztMDKzjTzyRSY24VTM6G38OZc0tG79lKS T/nGPQrW06uuYXjQywRDZXgQPHm7qkq6Mq81fzPXg/HAssRW2ICocDJK3mdtHCr/f5pjkBZMo820 mhW6+KvHE4c7ZbDmPf8Qhi1VDkMDdlswQ2hq3+bBIElhRDwFY41ai7Cgg38sPrPMbo8WlQL04E9w XRmctcuVsipA9NoSSFLHR+eTyn533pI9Uovx6R5sGhLRY3Glmfrt8+5YiZDndeY5km45k0F6SnDk 2SmcbiJ2EamLthDZDwslN7BlL/1qAGM1w5KWYJCQsotJ+DwNQh9xXCYt1dUwM4HOuXVpYZZOp8ZQ bLn4lM3Cm2aKlWAAbxa2ygZTA9WgrV/YwxTcqJxKpo2fklTeLdPfbQl44vpKkR34Y6SSogz1OquB TpLQMPg4VhO4F/0NQ9h3Y860xl40g61z3+9EcWHNiZAEx+GEbDu/fQ5hrBlwCHEVHub4ccZguNGQ BWIbCrWpv5zdRpO/7hvzdmiHv80DgwIIqHkJRmc4AkgcpHb634VN62eKb+MQzFEiSFcmeZjbAP5p 8eqtY/FyoClkYF6VcHU0NHGh81+jxUa5AS5yJ3rnsJfSVq2LvyLk17pCVFc/odrfgMrFzxI6uqzY d6BZlklIjIRQbFQi6xwZiMzffXVKURPz4W1WsxBf6091S6eByQmO2U7oiIVuTLZ7olMl79+0WCaL sd1+ZwdcRCRSXP8hiWIuzy6x0lgQa3C5FxS7plm6Gt7ddaTUG06z4SpARl8VegZIpO9k3JIby/Ak Pr5Kyu7E0PgvMqV943qE04zHQ3pGRcj0iASwwMZr77plBvkHCGDZqD0qvFt9ihbD0QSw2hZYTmG2 2tLSFVFRXfsHKnFyqyfcyFvFsaH9UQ1WWz+5Xwkz84LWnbB5aQbUqri+cyvkggMMYwei7sTBFCNy 3dBpmggzXerxwDdzeFGm6FHUzCYjgvFlJlN+Y9UVLgI1QdJl8k1Ok4j1cBaCupZc3aFmWZaxsEY6 TRyWnpTVRvXBduXAt0+4bF5VlxWq0lzW6I7AN6LgcXd9xT6LPHPxgjounTw9BrinC9xhjHEvqTLy LJ9S4HkgqrJDbYcgpXyfac/60ERUpFss5mnrJpZYlNwf6K/2THVeyixSChMog34rUMl7ULIeKmb6 3c4GpKg1n/hjQEvJCyOoya2vkPBtQaBRbRIlfa7eorUO89hhGRDiHGGhglfg97y/VPG13VMCCpUx EYG7TljadMb76cFrlNiMUZQwJbswktUvCEIAhIui4bzMSdDTHUQB+leRXdJdcwBOjxoDAyxuX6Lj Le07jq2Rf/MedY+xThYyMfBq0z6h3xHP7rxDrV6MJRWOZCDoNfTDYlZWhMv3RtvR9dCkjComCTWZ 21cU6pJHpgBvUfPmn11cYHFVnzD7jdUKajQ09T0cY3jhNn0+WTP549N/amiYmldyD5I5Vi0+s1v0 GCxWVpPomf3SJx6SC+TWwHzef+dgb1MGGO/SD5LgdZwDCwQ4thKczSMcbNtbdAVTL1rr5pv98E9U EZYvfC0u6QRhDgQWahl7wT3q5alUV/mEI4oTR4W4nakw/YCTbK0fjotvPx95M91HvKBaKsL9uYnY yw/6UIjogcDRBIgu0WlPvhfS+IiWoeQ/mGYxoQnO+G3rW2jm8ibm7CHFL6FNy85IfAaRTRG27a0p chu4QtrJAhei69/cdnH0zOX3A52qTpa9tlaO7Ny3yt9YoEmcg8j93mspQ1kzpUmA7BSUGbQAd2H5 hl9wIqOoolJ5LJkF36ACU/9yr3Hn9vnc5dBJy1QLgM28c63Z0GPAgifVF1qJmv6cDXpTrIjNDYbW AxTyVZRgPqRTM4+vdigJ/q62yWEamD4aeJjZ/OmhOuGCnBcvHKqPFwobaU2lYw6bjDy1JcHvw5K2 zoZmnXGKEhol+qHy1JJ0Gmd6gVs4Ysl/Gz1D7Thjw7W3BEq9tgTA41faRiutxn5ilaqgtUAmETmM P/8k6TWyFohQcCtFG4WJnlQ6nSBXGS7h0K/GY+GY7c4OFae+qT4d5sjf94zq+sVuefqFX69NFrwi mZNKfG7DUDjkBfoHecuCwsDrv4RXExJmIwz3DWw6Zpp6uOKPAcBlSDAhcgx0G9eyXw3lVEsWkf0B aAjCqSCM2TWg2oE2jCD79s9bOqHUgDOX3DjFmDc54HLLOfT2SWcOyYroZhsgVK/uT4D5EugBrFtB QYMQ+vPIw4f1uf/N2IdhDaJQLSLEITzFQldzcdC0PPA3MJrHjU4yD+BTE0cOua2f/nT8t0HZHa/N jM4jk8ehzEwpv7M384E2zd6GW9PdrqsmJEHKjLomRxvcfBUQ6vQyv9TQ1O1IvQRNGbrm1qJY1DoU wSmXV1a0uAXfDNcvMz9eDNcUH9VRu1uM5ycqb3OhH1Y3mHvxlprMI4bhVj0MYG1StO7/i8OiQ6RC Xz9PCjQ7EVATPTLGLI8i4Abl988wVC2szpeX8oBVopSWI/Xf7Ev/JISWlkz8d67M2y3KN04f/piB ra2YdRbEZyVXodn5tkcfxpDuPnswaRtWJreiQv0hk9YC6Go1nYDj4rWXfmCujeXseIUXPB+UN5Sm ryUZmMhxm7ZidJElrZ8vAXN2CPUaWM3Fy+1Y5mkTJHpkuNthxOMNAsm+sdyV2KbIr5F6Y17iw/O2 yDvqrYM260sunksL2R37AYCGyYlxkI5fwNdjGqaHBDI/3bPJrxorreDlBs/R3TQB+659TdT0IEGG 4GpgTJxKtvSViwljFwDeKgUzq+ngrCx2aKOtKbu/tOOLKMAzW3gscniP08W7beqWHTNEiopflB3h MdN8LFcHMnWinRDdgvpZTHDFBSm6tJtaZJvYKhpAnbyYJ01X6/+E8kVLiY0SYzUzxF3Jz/jtAu/q 1WGPIsEj+qFexFVIYqkopcNCvSfaYPdqGnGxjgVDwt2jnJeS+X8IdybfvcKTHmeI+jH0fhlGOUKv L1hcQA6TjoGFLwlWuNnvNKljTgtmROv2Xb8ygwPgJrNUUGv8WtNsZzrGo4Yqq4aHaiNOU75MKtFU c5V+eDcMPq/iCypW6qcvFraI2PAUkAyZsIJJfOOw2jpGbePuwxypU/H2WKbFNdLdsfGH09JVyLGG POG7aPwd2VogsWwrOBllgY+yN1vmQpXbFtBxl8ZC27ZBs1xa7wwvTdG72rgHDQ7AXEYp860kPN19 4R3ybXxyaWNqceFjKQ7yaAbVBsF/gZ8+8GragVYFaUe/iMdbL1NI1xJHk8lP8Z1lwsYAUk4/E9O6 QygKdmGRlcXupUQbsyCMswXjYIevimu6+JegHfzSoY16QDiujJ8koUt7Gs4uOjAFly2TKBMXIsNK QXwsF5KM29yU7FApKXCbp3Q6OMmIAxvhHfOtekNUKyU+bHoJx/bP2eocN3fiegaeDcGxMoLKXIHS JkQi1J8E22OniV9UsrRtwwtHVCvKcwoTt1uMrN3AuxEzYmm7dvGneN6okp/tX7KfYXZlN1sdiUL9 okEw1bl+rZlAv4lVT4dWaVdbtN8XCLZAGJ1M3M6S+1bxHABOVKQSC4gDl1+eAFP/OPvoob/ghfIx C6v1pJ99PPzCA33t5o4UOBP6t3YiLEO47eMGyCDCBMgzZauvhh1FqMM375C87ElKKL9QLgX1NiYa 5SBnvCTTeKD6ebboFOJGAN6oxeKUnqG+qJlCLYETan1vwFkXSfo3pVJ+o4AV0WN/2wZRm70iVlTE U9U9VEAKuMBBA3lUEIR1V8vSvWm0R/sHVC22SepHf+J2HdBGhM6D/DrIeNEexiqgPC8hHDF7eoiM WJrL9JsCfIbiYtaf25hnBAwTXW67yTNYwyM67m/lKxIIFQUM7uDriOr0Qs8QBPCwAbVd2JvmSRkP 570warxreAvo/IcA7QJVNJRNZ2aLVFawWgCc/sczCdiuE8CbGXaXfz0TeOdEzojvE8kiY1vVvOFQ wmIYL1cVP87iE1lg/wVQc289U4nyboTecMmh93jmqmn+8VYfOZRAHRAjUu2fkcRWPEIHgrzyj7iq DZ/oMM7DBLF1fhsPg4E/RJnRibFlc/VhXjmJRd5PZmQ/PJvXdL23eJ9UoChb+913nx5FkumVCX9o W/5x2asdMeQljTs07TzwoOtiHh9ldW43S2hoNHYfIzNdlyrejHHGRbR11AeNUDqMKQmy9Ryd0/aq WVnm2z0D3W0s+Lt7bhBIEIlWVrrgiY+f34dcEAVPHQrahrCsrsN6lipHfdVv8LW7LrtyEfwZETAC Wb8kNTb/wmxu+FUjZ0+FUD6gNa03LGnyVEs+CQM2fvj+arYFPGevdb8gkjKMdrnpNxjMnQTh0E3C WKhXzNzk7CZcd82e5diQXhQI+Zf0AoAGKWDhRwvnbO0H2JgeezHG0V/JHa69RmSxJDFW+T7l3OYp kTUCmnF+dfc2d73bZkcTA525nZem7vy1Y+5tb18HlZuiJXj8TS1kkPNt1E8C5TP798Cc7nbQcdIF ZsWGVKTTc4QFwW2pVoVZ+Ebpc25S1kwJm3Pk11Jn6koWznFB3hj0vvTlhJ+MlWmfd/p5SnW6IVAe lj+rm1O+1SVbTfVKGCajRkI1qlpBm93QR22aY61pXlpAnGo8uVMK+kfeURoGm/HZUT++O+pVMsZk Dzcn86JC6O5USzUUDY2In/TlrkYjP9jneBQ6OrhO9DSDTRYqBDw/6Vz7quBcSH16rpdfT6YTiuYa qCf/SBClKhHPqjJvVascMAfPzzkKXNKqEUboIHbIhWquF+G31Xl9W9JB4chM3jRucdWduJL3EoiP Y2wtn24qNCusar5oOqwgjKCfHNPssWnReb6BPd8xNrksALK1u4jd6iQYwR5MA9dB+Ds22scozpJq pf5ZBo2LC2DEBHYHBYXYVl5Gg+JK52eadfYqPiEB7kwCFTSCJft4lEnB1PfABhhTAwBoTmlMvERZ kM3TY+AO4M6TRuROLjfiIY7n1pOercRUoFYBoLAvRmEDMWAlQgfEpmpEQsqbCHUoHvZYEG2nJlJl oXyWuv20/txyoQ8pdJ5DtC3PO/LVUxkdDA+jZR5msigC8Cu6UQtyYTgKLTjuSt5BdQQ/vumgNqL/ v/EKSJanmrArZdINyKF8OjTX+idLuUaKrljNfEwLT/U0Dumaq6Ea3lcFlKKWM+SBON9a9ShUsPJe Cg+jJP5ZBZfNPStAB1Ecticu/pC3Pc0dO8u5XluD0LFUG5Vnnx/DCKCPSkHWBthweh9lEM/PfsNh CyM4tA5eWc+XW9S1i+MFYANu4sgfu7rBp9dMb46z7n4+8xHuiDcKSTne+mZ5O4nOjqvFd8M3Nr3A Uoq6l0VIFsNl/Yn69gRPUeueqewgk/NfwOoF+6CG36eHKv4mrXqFNurUvChVdKP7n4UC5b3msIZA OVIs91Yt6StWJE+ihVojJmJuuxNzSTEpOiKFcjXYgAby9EWKpbewdZh4aN0KbhA8HxoBZJqkW7YY qRWV44lu1I0Tlrt4Z8DY6pcECNSK4WhPyteDpluM69oHcTIZRywV2KWKPH1lzgwHu4pGPTJVgHql vOVo4Q3Id0+jPycO1YL8EfVxLQ/KEAgnCHma5eQtIw9a3+sYJ5UdIFTccChv//xBU4lNxf1SMhRE OqQ+SUg4KylzZ6SDV8IrE0UNHq2a8olnlNAnLR8tGNpsOOwUHM8zy5rqORPZHGpLvJOqNqsD3dmx A7DrqTEuprgRNIIdhvjCt3jg5prtYtjkYBtQ8Qtn5N2xeL+0H1oQE3taeQD/b5NSIWK1hftFW7Af rMQNBdeV3TNl++b3OgJhG+w4SHwnRpdEhccs0LnRT6/peIQNj5Pf0PZ3XBrh3uQQ23FVBBvAGQuw l05eSoA0iXUDj5hjuWl+70gp5M9g6kxOJsRWWdGn4NBuqdZmB+2ucl39HXC0T26qRcwsdm+NArcN ob9RE0Lbtl3+5mztz2D9B7RYbksxCwf75FATs4YL3dYXnWL4oZiMiwM2JPJf9pcGxSQkazhVLBYj eWDTHU7LEpy2eUaViaDFYRr83eNolvQ/LS8QHmz4p3GF1uaObj+RIExtLO9hlUx6OtdLlr7zlJHf pA+yOJLLhukchQyTessOsgjmyc1igsveLlD9i3c/UW1x36CwAyD/xY5sguOtoyokQYcNgZIzxeV5 kn7W1T26LFn73AMSUnnL6lCTtWNEX4zlRmcrhxmfYhWcJsUlzYi8u8LzMB3DYdNQyy5UdR8NHgyC EVeW44DLZnDFO16fFqHxRm442CqgmEiQsOQAbzI2GiriZLvlwwiWArOiI2zQ9s5Wpm92gK+Tsiw2 x5ql1CQDUtcfmryNceY4CkzCLB0/LlAtMnttQ8OlNCW0cxZ3wl7F95twMNxTLYMxDRMeRKYNTCEj np5jLT6c8arTWf0PUxG3L6fBbLVOIXho0apivxylURjzE6TvAsTGY2Fgg4uuYZMY32zAInDlf3D5 /ofzrouKnxGc6X9xhWjy9A3CPqMfNZLw4A2Fz+S4VVeJvapwf2UekTTCljJmeGVXqIqNZuuh68Jg k/FCR/Lcjf5phO1PpZQl7208VerkpF6qrFRrGmRRCc/O55Ke33hcoZCBrLFcVFF6fPqeRVKX+R9p 8z87kMCrLVqR/JZWb7hoWlbM7TdwcHvLSMPxD9ZJ+qZhnZEK6ZssatCAktM5SeHQUR9QsY1hd0zd eEeaNd8GVMULPaDhdBtXFynvMbPK+T1RcyIjePbFzUTwJ9SEY/2/6ez8QJXZf+cV0iwHGmfP+WmN bLqAOeWDHFK4tWD2AsUi1bPiPZYONi2gQzK99O+5/WejwoB8B3d6sbX4BOkYAxOO8TrP0w6wmpPP AoU+bALWjpmTnXB8xIgOC2ELT7ZvWdNolOVBas+kUeyPBsxuYbjFyAgR1Aqx+6objfpfbzSRUOV1 dXGv1KCR4DJWj9JX6bPyUEinhWi2Kbbz4Xp3CgjZvUbTNQzgppPxb1vRAqWBlNFvehWZo0FDXksa kYb8sHMiHU5NyA9WAE4Omemh06/QX6ueuBSUb/XKFw/TYO7wtP7ISSqrrFn5pT5O6SiMvVbqryc7 Sgg0hk62OKAaTk80k9P6HFtdCw0Xcax3q25c/kzz5H8Mi0r+EYxetRFl2821u6KJpl4w1kB2UEp1 PDYElXzU87rU4C1tfIT0vOmx0fGEArO8dexgsTN4LA5vjMWWuYlfrF/DNqx9p45kNBoQhMUoSowT +XBihmmj9gWlrutEqzhruV4RIZr/ckT7tsWUoxKDj/L18h+LLGD+4fAnZ8KX7IQdTyEzjI1iP02t xdOHSloCNb10xh+LEl2fH73VZi0H/Ip/gyJ4JQIjonFXDY6uS3wb7OfEm7+UvAL9xy0M8xeOoPVU l9lA+AfBnorOUvnoe9q6uiXk/1oaQZzPQihVaW7bmU8dLY+uU29tgMD8PT0zY+y51d9oWSPhPYln +qbaPMBvr1Yu2zeSg0+GIU2Hg7np8M+Ys/grMHIoo8f0yq7dZbkGUjH6DRSff+EBil3RqjFw9x+J rPLVKCHNgXZg88okvXTqH2RyPqlG+gJudDefTeDruPlNBPynINd+bWUOi0+ftFkXD0/FkMFaT5M1 kTWOCVCG3KItBqJF1fKNdtBYNqxFpL2L9JpTgE7C3ZF1+2OK40/Avm0Cr0ywLE5IbU7EgLh7tVyr 21SteF6fLnrH1eGyY+e/K4BouRtIjgexHhzWuDBqqPsLYPz3sH/u2Y4mvUj+drXL5BgnCr7MFsp+ wae/nUzd0KRSMLUPSgRinoCGKhHgN0pWC37qrb0uwJHYPFWRW5JLtmvbQJqzOWrA7UbFlNWqHRFB U6mfY3onOsRyt1ia/NnLzZt5CiE/jQ6kKYRK3hVoX2KYvdWRArJYfh+rBWBx6h+35K0ZDDgMIqqB W6EWTL8tMele+S6JuYcjkiOUcNCX8Mkb36hSKfmHg/zdH6mTSkD0TUKt4tiBoiI8lHCNi53Kfs+j 0mY66sa5t3Zs/rLYJKrzQfadcCII22HcO/W8pmIXy0PuaHQCrqkC4C16P1ON/P6QIaQoXjvp8b+H 6hrdvZHLkfD27LeHsoDtRZFuW6g3cZAWJ46hEilj37LGKdi5JTyMKURCKvpzyKm44YTcKm5qsmuA KEQRjKNcaL//20xUkZK5uLzE5qd0dwlsrroqsnftbtzJHAYLW8LA+s1cwIxnryj3W5II1JzOUVOK x2IL88FAYI2B8kwTO2ws6G58Y1Wy6mdN5Vdzq0+ur2iWo4vOGPI1jZ1v3PZojV5BMn4CyUFjA3qg eX3z2dwWRfTN4ARbIFBTrPctdsHOLON/Icbb0zN8aCfqNzYY0OIyqQr0JlFSyuhJZIArlu/8B1U4 Ke7f67of3gqlzz7HkPEAhkR7oCsHKv7uRoxlx4QOTw7X/qzcf34TI8Y0GxtNjzpIz3nAmFYNBzHm zt5GCMDr1bBlOwQ9nj1rect9lTkZrt53yfX97wGEZpcQ0vmxpX5Lwt26CxvtXBTkiz7h+ZbBzNYq BOypXG2b5ZIn3KhxPmFoOsf6+8tyB8H1sVoXZH+PJH1jD5u8gP6qtLfVERNxEeo1+WbSIo8AWc7w hmwrD0auH8SQmJg2E+n6p2w9lY+lPqdeCNrehgJ2HbloPzAHhJzCxEUHPJsYmFfNGdmJcHLFIWVB voljWAer7r2vPXFUW3QqyMeyAhW69DUmF3YbF5Rnfi4DzCwCupY4DGE0UOPpMtHPE21LXtuB70Mj 8bgNTlqaRCLs91Nh6fMgtS7n7WU8vUHhD+Yxh2Q566A0OeFVY/sz0bBr4vsFQm40V3sYW1JXkEdd 8PjplCUyJcwQfocoLSAw/viZEph8iXwm+yNetVDLObpsNgFCa475uckefJ++j0mhBBbHxStzpfQp tel6IWIiVkmxVSLJDIlFGsWvD+gNo/zI8KIvShKLq2ih6Sh3xStcC0j9XbUz8d5XV2u/NTf47Iuo uuJiyPe/xFrxcpThghha7Zqm8oxGb8q3sfWRqpSVbJKJbOMBapif2p6h4xVDvTBvB547wQCDK+m/ P2tXm+oRStr+Kf/femcXB/vrViPvFMgQtioTeXIcdURImqQ3m0ENi74Eld8FWJMQkLhEIfuahOKp CreMdmJgX7n9L3v9KzHOu2a0hpxfZsSju1Ljd2/3gPhQv2FQTx9zLJFf3KVxIyssZxcZpRl9+u6m jaIOAvAxeETz+tmM2P1moK2g96qP5owF0hYIcAmBBStM9SNksA6nH0RgvI1J36dvCMIjp7/iW8I+ Phl4R/S0ZL5V09QIL90h5Abdn0fafljSmVhIj2TmqV91v+B6DEP74SC8enDg4psuHp9rXkJUCMmn wqxxuzCNOWgC82881POeJYzdZnG5M1WWK35VuTzK0nSOdwiuRuPsd8aEDk2P+m2n6HcWGXUKMMA0 wGoBIR+5jdvvKOaWwtq8XHV2yDDgEX42vxNb7zzNYE93o2bIMlXjXDVgv3PRZ/k9JGicK4tkYmk+ 33mCaB2sV5zbE/EEPe36lnOWN+6qEBkQoR3l9WCg3u9UktsL7wUvH/kxtIavAq7Yq9Erx7vqe1IN vYtMrgZVfEKYfcxeU7Iz/RPYlA61O4XhaKFXG5chLLg9oLy//9um6EHAp56NDugWBWBVb6dKU2Bo 57lyvL3YnPjWRmElwsEAMNgU+X7GC9JuFqB6dUOPS6MQwdgNV0fSQ23NygwXdaflsaAjLdgVnHFm YnuWFL8oMwy0Kye/dQ2a+FqS46AIvTPggvGbbohlUvgOSSlU3TV1HQI/e9eZJ9gkmzmg7NCnVgum u90kDOdLM8r9maikV/4MO/VrXPpiDyXZK1j4pCOc1l7bX4P66CFnqI52gEQK/EaB/+FKgFYRSgu2 C62L5BfeoTeCCiOBIRXEtwwx796UGk+vTM85NDWr53vbVCMlXCNdppYR52hicZv9/+3J8o76vgf5 j2eX3YHA8wX5VEwuTvBMFciDB5hEGdAxBbPAOuxYMFhbSy6RHrALrsGT0HAnLDnKB1sxSJ0JHAMB PodIlP4e2PNraw+5MlQSMgasQgHnW3NYgn3ZcCJBXw1PcRsMVW0CUCRTQo3LPD11eCwH9M4+JYIb IYBFLnOrc2rzxrSarxEt3fbz9YOU3xNxaSDYozNk3pG8UmOnwGB4pfq/Y0YIVwi/RA7gHNJtno+I edMd1Gq4UH8L2Vcc/oFWsV8gHD0JIJMG5SRxHovWQVngsXfFQQsgXIkCQvVUQiyhPTavpmTAup/F +2DRRJzWefHfZ3bQP+vTVbsPkHgV2/RQ1WorTAamp4bsLdcG2SMLnhNJTwpdnZUXg8Qq7fyqtg77 vyv5e6DFVXEe1/TUYquClHr4LX0TfcCL4ehmeO0D26WsU0tzYHDtVXdVIPflIKdEepvMJD0R+I3w fPGsx4SUeH3D1IPjjRXf1RG10Fgn4wfFdiwbKSM7HrAx20ym38pV4TrfJBot1t3mt33Rk3hCtlKX sCL9VhhHnF87Ehgov1yEfVAi7426dOVVe3aivr0e51n1rY4WsLzeH3T163L3lPivvN5M71JOj9f9 LfYlLdpPHknZpqyijNdLqHZdQk/LSwxyI8hvNO35qXsLMS1NI3bNlsg/LF4I7NmgUctvAPIiLcTo b2qGvQbmLYqIGQ7YopJcDg0NQXkWoQTXebjJi9GhXL4g1OwAcKmhkQpUHhSdMs44mnLZIgtU0X+A p13fd9BsOPYLFC5jrdVplhU/Ic6ADCJ0tg4LL+WQLlHdaZ8rZFF3Npa52adRrk/DE0xZGxwHhWkc ua+QPVZeHkOIdYqLCShDK6gvI3br2bddlNAAQs4qccg+gKm7Ek2OApDT/N+5Dhbx9bX/6AvNc7AC xTYHRIpK3d7fJyhURsDj/zGeJKB3kc9LpF36PZ6FIlz/DyLLM7pu9Wbv6XE5D/MFmQ5cMA4j2sxA X6C4k4pHZkeC5FQhdgB1cJkxtzPAjNzAIiqEl5RgFg8mP6WiFk0DGnOcEsTqk3nTZ5c+uRDV5s6B +b79PzkL7cc+zDhjKTVN1w8QOxYG42WrZGzdh2AROMbPa2k/sAdSY7jpWdiL9hn83jiCQ9Ukiq5X l/97THRHYYmZ+Uae1lvWR818jTmAZsYysTgqjFWqybZ1zMWQb91durND/asj8g0toGe8H6nO1UP2 iZIO1uqHXtYqy16+xJI1wQpiEYETCN9JY1CFzkeVHrAqq0anzpLRocoXRUUwAeFiKNwhFUf/Q1wL dsuLO0PPmH4uJkrR41NKnf2t+mAujABrkJh3nPhUesTMg2BEnPrZ+QDb81i0+1gx+j4U5LLSlQ8H wrX4KzonqKlEP+/kl5IW+fZFzJqAzhgz9E06dbjOqMOf7evoE4GTDLYLjFowhWpt3LVBj1MJzEJr il9+rpquNd5w4OfoX/Kh+iD2v+aCbMVbed/IWGLPIz3si0FjUToxhZghhOhBLuT5wTLPnWRH3f8x BVTHKVESwYtZ9bgppyKjNYwph8JJoI+ns8UaWXivouuTLs/ENnX+nHqFuMMZnXQqVjf3Tdbqkqt2 G7KcD8FvPmRy/ikp9ly4mjWHHqnUX7bsO4cdeEVWxk8dUaVFsEyqeUkBy05+zTZseOxXlnzoOQcc iQuZGZXrxDkbQKmGucv1UAqifflKkZ+nG7p2zbTXqRDroLLbpimkaMZum8QB2fJSXkvtmENBzCzX HXMh8lBuz6ht4mVLOSWX9dmNL6KJrq0cuHjO4o06z5zLCtc8XhL6zlqSFtuwdaH5YW1PStjTM6IQ UGQBhqWrIVkpOEiJV/0tipsQWOB9B4NJTXhqp5iu4MjIw62FPj4LrjvE+ngSFq4Hd4sZoNKBnMGQ UhP2ggi5tS2Z5YFN7+ed5TEZuEYDQSfwwkzPnoHNMcC/QqjuCkYDmDNNOZXNul7FyOsYwXEE8Fk7 W6s8Y6/As7NxDlu0AtCmP4uLELFNNXb5SIAvSpBCRzN9/JLX2u2mvRgQpV3PYRapW+jOnrBBGpK+ cuBpvcRDJ6OZzLy+IMLHA/CqKMcfbBFV4y6H3zLCaNmI871AJKVSPjw8Vslw14RZJHwcGwKuwDSg wBvIFOYrQJ13L+c6Eg8rRh0GEt+8E2NeLwUyN0cgLRYFtxWOTUnYXpN30TGhUprzHaz5XZohN4hm mQwnVjrI1YegHxewD0eATgcvUe6QpC/cXxWGZaaGaviHvUlI3H4c7Q1ZRx72pPH/20R0nY9IwTjq OMoN0etgSEKE4XCpvzwOMFzqgImbvktZox9EY7m12tm9j6ogUpCXJ7nj6FHxxswyXbWvMEg3Z9g4 Ek/sAfc7rkNHnAe0hY7iEajAjHoQS8AD5DvDHlnd9uWZGsqr9SYNqfVdax2swJM7it83yiG99USo XgP7iWF10qj5qj5QtuwSylu7QfVezRU0lpitGeCgPHuSaDzw9ZkERPSp3bYkvmDryaWk4VdGbuHA N21/i53n4TEfsyZ1Z84hi5DHRgcCT5vXAxjaNOQboegnajHxg4fmNxNL2bFnlrQG2x8gymmtf2w5 GB5rBy8Y/rDHJWjDo2URz1F+LUiRpox+erWNFGKDXe6INhZlyQXCaJDchvDBYBMAAld7cT17Jp2l QYNBDZJTY2DMG74dbi/Ed7aWTYCjglsfzWqcQH3xOkxjXFSZjhrmuExcJi0XIVmtrYSrlAFBTItM fwTiPb/2i/Vqrx7fEkb2v7/veCKvE+1vJ4p5YK/a0MZ6OGxXbj6nmJOJy36ox0F6pV+7jS48iaCl 1BZeO/ime+z53VYiqWwCys5yafzcCaPehzuaXbchDASAytFbEbuGyBXv3X2EILBDrnslFOLA2tpw Sp7gBpi4eGgYX7PG1MvKNzs60lV6yZy/wIehy4RdhZ7wwkm26lzkEucm+0ALa0BWRbDsmWsVC15M QTIIMM5MRS9CMXoRnO0P0cIxBMWYbThj0uae1mPlmvtFniYPUyasDedrCUCkgE6VZmvvZDgA9Mz9 A7N4fo7ymsON6FBAcNXjPBMY2leVuv/6tqXPuXwyDNZmkVI2fySpBjnxEQo2nZSz8VuwoyIe/3UV iY4GStEIYmUcmzwjNZIozAKbDgUCygkQDL566Bli2D9O0RYj23mNBtqE15geOAjBUMwdEb/lfPkF o0YQ62HUXqSpHU+/BSfvb31FZyp9ExKo+CJULJ+HBcx/4byBaWpITDG7Cip5M1+1Zh1sZDHDXMmX wjm5lC6k1CvjvK+luW1P/S7h/AA01/rImuqS7DnvbtMo2gu43JA5HY4TpEZLKbcQbYwrpf2SyF3H IOyMxuIj2BW2t5WLPiS9LNlb8nzWWqHH0zc1iSsNH8Av+DQnWw/Nv6LT9zuMF2PAsWB5f74mR2PV 9xcYS1+f6Ze3Ej0wemrDAoyvxEtlk7MV1t12Hcykb+t+2yoLtXX6QwYgYXQzSOS5kZUD+IRObtIM 6tFtZrGxKiIHBl+nBOHaP0Gsi+fGF6bhR6kxwYkPqvTPyp8Bc0m1TorWEJ8eoT0ZUX5bmscIyeaQ AEZOk9OQnmpLOEadfc9XK6Gb1FeMGTsDxb2ZRaKpF8zRZ3bbjLMixaJQ8PYUEho8nA7e87brzyB/ X8b1xVDG/YU0jgnSMdAm2YxPi5sQDWHU1XuACqN3/Exs30pSErtkCHMR+9mi5uQ9wrlLGGHP03nx uguZHL16983Nexu//ron8mI0DIYqCsq+pmo5ecoR0iQf5bgsbJStX1XGgEnDKE/VPR4Y8BPP0PSE MadGckAXbe74kY++UF4bFJx9btrcbyB5kt4lixorCmCOSSYn17Ik/6IykHKOIYeQENr07dDZmgo6 zyFdQwReIM5vRxw+ogyx93aRZ3NUzjUYXziTnqp3iB2V2V4e5sLp+5XR4EJC/J/SBGt/Bns56Qy9 SzmAfl7N7f4CA2KUiSJ/VZWBhLWOt2I4wPP4uX4/E5S4+hDSPCzlXzgJq0oy7boo+1lmJPHmi4Di 4RaSf3r+ke1iDGCCOVIS2KuMfYJ88RMC8PIehx3XCmUuIaiGIgKNEYubWO6xFZQJ/x9gnblRdFpV JDrdAipbXpqv3kYdy3/ZZ7GKGrSe1FsMTcrFiePczbJTwVXYvDIs4kcnROTxDjWlsCQFoKJ1WX6U 4FmjXWbF47c45THZp1+uoROIRFWYYO1FdLtiPffmx4dvH4VsxGbtQpiaKG1RVwM1MTHRYGb82mFq SxwiKCjNEdyei+qAHiAhuV2Q6hMli9qza6WA6KCC9SwZgXzgUlzvxl5coOk+V7sLaz26JGFGcamV IKzQx8GzZZ54yf4PND35JQQVzaRVbH09Ad8KK/1LUkE+ZsSmWNr0Tdru+oiPZdFKIr1l6azlSG4d s7UmZJfb88HdX4G6kdrObvrfVxPqyuHhQPI6hGc6ADy3rTIqa5Ck3hgxA4l0looobzqZqJ/EHi/C Qu+5TKPABUcQ5PAhh1ItCW+yrwfQjDqgS5cmEfbj+g2eo+ZSit36mHSjp28Y01+rONDoHrHnNvbq usezf1IKtsxVngkOijvCdyDIHsNktxmNiF8osAMGXiiNiWu4/G/eohoE82ZHJ8xoOJh5ELlhLLAj sfMbT12otaNywnkP2QLiqvyLK3cC4qHa7GCXsWhqsk0nRuWAwDFgqXGNjKJDZlgNVTgHlSoHLpFB gnUBHF+ZgiHahsWNX/vOjoXsR3Ujlv7r2k6KCOZsReBU6pFH1f+i33Vw3a15L6zKc1+sBMkbSwmX M9LUMWMivpDb5Atr9N0zxUebFSYpW5S2sVSiKbKjXfoWQ4twbswEdBU57nVRD1L+EHbekzXTk/gx f0C1mnjiT3yIOulJrTu7dCkAqNB67x9DVRwUctVAW61woFHv4MFZxMT9npnXN1UpfUzUve7dzBuq TFOV337mYvacAfW+HTGvQaxNalu4Tuq8zjbKp+oATJUFrWQ46oEgGWnJaao0UGYFOEqyrC8s7sOZ NiyThO8LhHCPcXSvQILTyTlTSvY1swjCeMaGoXrCcFe7tfx8wT1vjjzBGmLQDvHydm2PPgYLJquf T2BX/y3fLRP/SYeWJ/uaD7mlJXpTFmbp8ME8qmiD5/1jMPVBviZOerhIwh/LY97JkVTXMhFhahQU 2tWPOTPo+2ES6zseP5olMY1xQq9HyN1ci/VJOc5T0j4eJJcLFNYx9t80V2OmrsHqpiYD6e/8al30 bnXy1ky77KeV5pxJXROYF1O2do/Dmw7TLdG68MVF5Drp//SSRDA6PiezpHWf+7pavts6Hez9nCq6 nJYnDn2Jn8wnH2AVOzhvPKAIEVFP4aooTcaZHOeineFdwdBYC036WilpoqSvhmMr+18K1nBtWfKQ t8fihy4dMnlxJz4dPZMsC2PJzBHAPsd1l/2wEfgBH5gAlZeKcIkoGgSmUnobfO6Xc0PNXVz7YmhL gdbdIEDB9praLncxMhqzGbsG4Utci5brs3KdOLjHt8nOoP6xklgsOy4rgPsQ8HbwCcj3Gig/0lj/ P6huazsKdlZkVBOPGEOnCIQfgip1SVDsyqVuDIaFL1tLOer/fuzeukXKCjSG2xG0oxBauMKE4izC cE2DndGY+N5J8fFW8fVY9ztRcGscTh4Sa60++Ug9eKWc5pVlQFkFhZOkkFc/kYIilP7/wfcUlyT5 Qb7ze3wpzb41u8exc9wiMS3xn+l7r0vaxxyEpMu9/lVZj7SPdNyPpv8HR/rN9lRZ6OHQgecxnBjy PkYSHkwK7HnfKawiZJ/jiRa46TUPOecS4n0DAQVtz/Xzhi05Wi3krhtWoN5WMyCMCe2ynR7rHlXL c4r4GjXfDEHqx7TsSWY+/yKKEPqR3pBt40Oy2t3HEl4lodU9UlbEXNSPVQBGNGgD4rlG3L4chenx XBDEYUyViU4GucIO6LDt5Yulnp+WVOQK27XDHm4mNZa0iOUYG9uXXFZbYMxYiESjlMZtdUyFOwGt F8Dng38ePglyYWkSwOENc3L8lkuxsMhBVeJoIZShNkZ4bBD4o/5TC2Xy2ugWv5JwWtd4mxkubfKa Y21sKWA5fbIQvQ3gucOEteLu4V8HGGzDQ7EoWdy1nY79fNXeS/5GS4ZYoSAo8zPpqffekGzE6nH1 kxtkAHoyH7je0HjL5d/hxEo/3HdD7nWyYt3ptk9gnO9/R1Tksrl+7E26L3K9IubLCwT8cHseE2Bk MWuJHQMdr4Aoq67oTRQWZm1VkgAK8/x7oeZpD0q5ELHH1d1Ie7Fy0MIV/bokJ9qnsj/+ltwK37Mn E8lYkOxNbu+gcNx+oPC72LT455Gat1RWIGTFNJ9kAM9i21MpiVztIzYzM8GNWUNaQkNaoluMz8t4 Ytb0XJV2wuvF/rbYwkixFjINuGPHtWXlY+uPBQ6A72G+01nch8gYYDLbciSHJHYp90O51KqRCBFn i6B97gk0OwJ3BxQGjHrb5gmEVR/Wovm5MjrQe6Egs4b8ChscaG8uCZQmRVBqT79YdqZFgxreokqX 6DrcE/cykDyudVhfb0MYNIz/4IrR3t2xb9d+ypPWq+ak0Hbq4p+cM3COJMnnU6G4QdzgAK7rH+fA U4ySbCv5VpjHFSVTirz7eMs9fNLIy2RS3NnbBGXlz1tI/PExeFeR/DmH58f834V48AA7e/3RJN0g QBwX+GWmNSBa1bDSkgnpgqmLS3J9ziQkoVI+aRzh03MLy40rJcoalSuBFPIc3QgWkUJlxWUUmZTe SdxOjMiackEmZloqiRm066uTRYci9wZl4oerio94sLTWT1hO1j4vsw3eRgtkcOBO2+wUIkjT2g+A tbsoZ2ND++96yCYUhtQJyG06RcuDreFxxMuVz3nBiPVdfmgs7YAa/5i22wQmgaVuNi0PbpzC3xbv yEhw9dHdfBBw3SoPzDnYofQ5N+99BbH+hXmUo40HjNvvjy+lJ6dPuR/0cVFwgwxpcGjPZwGgavgz hSXEEyiGfw4rl9Vk5uXKOYbq3muZl00zFBCn2Ukhf3Ot9Ey8c5jLBzRLnXD+A35+hZkVb3MKGdTw UXqWQ8pMkYE3r/G5wKTAtBcxGVaer7f8KD9KpZ70SQSeF0T4T5qkrl71l9w5dbfiKbMJNW8vRfEy V4VhNiJHQQD5NMXnmRpRUUSORo29HTos/422+KCCYX9Uqj+67/1ews47X32L5N5k/5EYDEc+JXEw sl3PPMZ8plkWZrbGhZc862ESGrgkFr7RVecxs948Q3ED9VIWg6DNemfhZqAnBunZmHs6DFKsyO4e Oekyreym8vL9LGrEwt4MACbAZTU2T+h7cL8P0/DPrdo72pjWKOWY/REuPbYRc7dmsmWaGlX75nQ2 AoXckBQpgRybo7BRr8Ix+ODHvNTp9ML9as+xKQQ6d13daHh6dta2nEpzjcPgKA9Rvz10/hZEoyzs C0VUZqB0fnjVf5OxY8C8DX5USTxldkCruGNj4l37dlL2FQff91d7X0zcai/VRZN87//A6tJ6gpk3 64ajhycCQClKJ8mFyWZAU1FhTnIc1B2n5hNKoGo/DpnMhxkLxlxnwSCyA8oekeYWwKCkOg2XCGMQ EQs08CUOSu1mN3AkjQKc1m1YzNSxc9fo6vx3JharBCU6ytUzGH/9Ffa0o3roaANXr00U0RiUlkHy hwker01sIeLzq3TDPAVeez78Y2x+YNhS27MVWbYeWzxIjGDYrGQDpBwynwSH/HzjHBpjyaEQKl7W awkPV9bQre2o1JRZ6SnIdh1c8qQPmIx2bVd0PyPtqZ2xyaja7aUxGShw5Hj6kAhm81jdqGbaE/ON KUHorg3B3bBDkcy30tuq+ampCwNx7BkOvJS+1VvLMVtBHcvQ0EHUELbB+sfN75cRCV1P53Hq/T3a QYyyaaEJiGI9Mf8A+P40V+fCmq9S3Jn+ghE7I9zJC9nmGNDRPgpUZaEoO1GSnYbkEWTNJN6YYSd8 fhCzWdrRd7xcV0lp3coCEX8DK0wM6C9Uhz+8P9LVVnuIemMdSsBUEY3dLs4KPeqqv/f+ciiEWS8V CuAQoO/Hv4y4osmgy1OECOSpt/TrN/FL6NWiuv26MpYrtstsJktvJT6Zx4nWAZOmKT8uXMPhK8NU 8Gw2cfkDliW7+TyDF4SdIBwvINGxTJ4I7znwINDLYc/853nUCH0OkUNjxUaBhlqyLWhkZeOakd4Q EHz7jgyAfVTEYSYr2i7Tl1cROLeegJ7sfc2/GOm5R16Au80+5zy5wrD1PgufehWmEjvJAvcaktLC oGxoSmkjyFGPMhmE3832SnDWR/3+CerCjxdvd9GTizTb+4BTYES3pjgUmnLgF1cjiEdDciqDbyvI yWyeMoW0pj7KG/7HwuKJ6EE1U5VIAYESOWQA0gD6mevoDeI+sr3pp1MSOGEaFTAxTGPcP4e3j0Xm nkrtyKb2IwSntwNwtnHed/GC6mdGySdeC6vqQYQZjpz7F6axea4v/4u0d8EtQgaVYQRYoflliO/g BSAfwNdVgBasg18KAZKKdUYOUhbeAraxuc4tilm03K2FxiRwdQcDhJ2ggwPF9jcoCCOuWqIRDCQn D4d6DZbP5IKfYE56Pm3lwBUonTWyeh1skp96Kxd/PDxWQFcGwHgahVAldjUuWDVB1PtmUgu6Blkb lFieR146JpLHfbcj9xiBCs+qVXMs7c6FiGHXs7Fsem4gepsdml/ALxGzs3Fj23yxjP0KXtNZCBkS Lnegcd1KkmGcI/yFfxUHh9HpW6YolvTB9Qbw3AGIX59+jibDUyzSuGDpd/wyuSBjh+kx+hYkOjpK BH7GCQ0va1oQi5LuVr8JsalW+/YMnIgB8myERVvsvPE5hwd4ZccBDMtet67Zt4bxouJBCV35IJE3 dMua6J4bYy3rG0udIvtf8CzRy1gNqY7tyf3Owtt2nMcXFKRkZ5i72C/F6h5UIaD9H5Ph/txwF5IX fuSvZS854eHgfesXc+pvM6xuvPcqxxF9NMY/D8O9TtMxm5Os5P2TuKJTXagRsNZm9ApcUZcVjbg6 qwcDd49q/CDFsDcQYD7t6z8bqivrM0VILXGYNBOJ/fO/NYHpGkakLP5Cw1idzFqV0BOgGXcKewFj Ad6JIdO8rK1U4w24eZ/BTdfMbBsB5fiHTonZGYB3goW8EJRrTpiyBUfBbi/wU+82z6/OXfk9M0XL U1IeY7Gq8LwWOhaF/5YFyafv/T1QGbrjIyWBgLiaGWaxhzBwB5JSSfrHtAoW1/sVHGPSvzGUOv3S Ub6WSdeY+rcJ2OaY25M/LmRAuCfIs9asbcINQylPZJcz2l2KNPm4fB4oHysaJZP5vbkeo/dHjdQm WvWRrfJP0veM5kBR573C+75wOr2ePLATEwtf9Xuue4dN3tMge0O61g11bH51XFIZr5nDTJj0pzKq NrLShLVT4406CrCyQZtW9GfdvrcaZwp2d6C1MVRn8QqkMLuiuA1mS3EsUZhD1D5KwxMxPI3IPIXv lPtRK5q8YsIDVBmCh74pIoj5SmISrxI/DEy5RRs4kzIiq1BBHRXN/wuRRknOkh6rlNMTwPADpJs+ 0HX6JZoBkr6hw2Cl1o2i1ik1bMk3e1f3xCW5DUqW8QuP30IqNj7X0xlEUa5dJMJK0wTEqC6HYmw0 sKN2YTju4Fhw/WsT9sMaNimv6ZbZIy54S/1bbB6N3Ux4ugUjz0YOANVVkWSV15dsOi24lHTcVwHV RYQVhPROcmT8DYaoO6HVvIc8mJWVzzbohFn/65XDm/zLA2gpAEJbk3HCOOB/m6KhL6yPye4jmaBB iGdhIdMdS0BNoTMLnO4Rj5VqtlrtEP/M4RgR8in8OC67DptykjttJOyngvS2Ilm5C7t3GHUe1h/6 /DKVydRPUAQP0WgI4t/QieZyzsK/jJPM1tT1Y9QjD9ERPf2HSqzrRjO7JkbJ3LUcv4l/H8yyYmWL w7ci2FTx9gmivba4Gv0Bhc1LFMzVhnfLL/Ayox5gO3FpxgGOp2+dReMJ3YjuMXdi4NqyHgOYIhNl ldKKiGsET6lTGpeWe6wugO8A0GbR2tFeV0/kPVt7znCSGXmrbDTVAk4amdSAYLb4Updl/YtGB+pu 1CX/qXhzs1SxMpnI4eM/VILV3Hdtr1qTNBIffSg42dW2O475/qWKEbrLyfexbFjQtOEXnofAVmYg KhV1Ny3A/LKJYMIjOhPrmRCIVD1s5DxFq+jrYdcbbwqBC/J+HMS2RD51zlvrCNbmD6LZjIUot6J1 q9VpNfC3VMz0hij2E9LAxMLBdlVmxm3ETHPjcb0ZnFZbXgtynE0l/6ClvxEcI/54rKHpDwJbxqQh U4Ex/0G11VqKcdtY8I1IHgIkUH9odVeoXZg9G6Nb+gb6y+l4y0kICLqLgPmLCZfc1j3GS8G+K7qy lF5TyMoM0SFYBv0qAXGs4/cc6GzFv8eboDg9RNoroPfs5V+2g78NR4Jio/ZhW7DHGqZrPBN/RLlX xw5wQeskBEU3GcB7hbB0xEHqN6wR+qjyYkCwf9QWuIg4vZxMMZg9+FOxdypGyIuucJov7y2z35nY ViyOTWvNz6W9gPgvg5R2CxXHRnAozLJhDurCuchE3acTS1UghF8w6yEztYYBtyKF5j23fB7anlaO MYbjpaBx/T5XHoHqyy5FAkIDmCO9O4gciz5vPv8oanRjlWFLsTSA/LVNkpPrhLxI+grMI4532rj8 IQq6KQnD3k/j78WkhxUdBf1nU7d85NOd8HsTWBZVSlNVV1qB3SGGqtTLLyXUmXi703kfcLhAgxQq phRnCLxtw9CwnFOe6bLk+Wt40XoyM9bJpF0sSg2mdNVQ7W1akuY3PlLvnzlsnPaph+MFDnTBOJci logUqr95K8p4Io8gwxIivR8uL49atWFKypIcFVoBHGtsf8oZ9Lr76XxWVzVI2v0fgCRDGJ+mthYr Y/kCYIF6fbHUplgv/5Hoy1PUZdm2VLNDnl2T7Hq1Elvh4tIOoYfYH4QYhXXfXhX0Q9nEyXZA66QE d2/cFkjXNs54+GFcht0aCJW42aZdw7lpl5MHUR+sOUJVSRvstASIcFn4SutUged4/DlPScZ82Iy3 SyRYAz6n2/dC0EEuhIjZiORdVFFxb2ssfnHD4V4exkCavwUP5YYhPBvSp039rcNpsgVsgUKvRi03 Ve0UZPI2DoJCNtD8IQi/rQtpw+jIFyF/FxJeXqhjK0aWJazDjf4TKlAFV4eC6iafLOy65BawtqvT J+pjcsVw3h6Y581KHlwJWrPLpqmJdRO/lLBF4zRq+WAassRuqpQ/yW0nN5t0hmQpnI9BiRWdHv9o 9pBO4/9MM2Fxvafz4nIPaKH4yLbvLtn+o4gN/J0MM6WYwaI9bnkyOWaWOLp6wn4YiArrWYp2iAcp 5lc7jAZDfsTyVxAVK0RjsHvkLm30ujLVqiDkQxCdMbSb0/kN6yYta7H3nksW6hBy9Dul9DwPQ54Q WWVn22zvW9o3/GDiHxjVjBnddCih/0KV/8/bAi4pHrZCdXdrYj1S/50KUGHADytT2ImRYCE8K4er l0IQXXjl6M+Ud8xuTKwevE1543hH03iW3/m421syMaJvgRwZUjDTmUFI/UqljSdxKeJ/XpLcWFbb u8gPIjKNE2fSemZK3nStq5tSUIT8VYh3XJXksMYzT90O/eAaBVlv+she5RAzlm8LKz7uMUsg0twa WN1hFZAsUePx8z3UahUn8x8R/TEyI9+v0BmS7ETahHBhl5ilRCpVo8wXXVADVIx1tDd75EUiRbMK bRQKWW6PO0JWO7pWbj7f9g1Vp2ctp6vCHKH4itk8P60fKNZdQNdkQ2rWkjLqvI9FENqFsySzh6uK 563SOwu81jbA8ydZq8MNLITu3wFL0ODHxfjU2rQ8ZA2fYXU5yq15fZ291dj+/Vg663mtqsF3+HD5 CfuELcjnRgGofNvU7APLdxggqV8AxLp6atOfYA/zlERotvW7+LVHuaYPDL7gt8wQ01Jv3F9o0VN2 uCdARj+rx8XZRH3iXilMFzTsq9l5nCuF/Ez+m7VPxoOO+SzieozexcaamVRqLDn0QuK17Sq/Q7Fx G21K0NEMWu+s8lNuGvrkfB7JXClsYUlBRMEa0VlfdsaBVj0LAj18glgIcPSRqOdRz1wzV+n921qU Kr5O/sOiXovfXzpOM2BoJ5GqCa/psJ0iiJd1qAKGgH2r1PTi2kmjQdiCRSfacSRHD+odRWKDXWxW TJi/FrL2QhbB6lxwAz9f2IDPMY15SdGY49dOlCTKKqu6hecBVPj3ev8XG32WL3GhdQeHvyQuYnRt DBagx6EKnevkIM2DqbhrwWvc7+SkzV2Ru51l/N3PIyPRMxY0S8G/jVOkIcs3/5/mA5zXTASzT2IH Zn4Wak2Ne5bwmMxfIuMIVorXyUGDOPYkOVXpzEjL1rAhPTFa7pHj0sv1mBT8cxUXODyh54+lNAj2 w2Z7RmHddcSzmI9jUa2W/FMabUES21vl87p8EcNjEyy9N0x7JnvTQ9AoD/umLf2UtZb6wq6bsY07 Bec05qwYwUZuSn8JlQz3mbfRUyYRBCMHP7/mCwmFgka3EBdLMqrRhNaWqjuS01KSspWuflyGA54t EpAlbU1UjKpbiDKIthg6obLYbHHTI3WSztdBVT3Qio/v5G3lqnsV2U/xWpaw4v7/rasNflgBHint byB3qc30xKn6kPviePt44QtYFNgmzLWwKENrDYApbCD5CmFFutGZvc/o2cE2/LsijbKbGZZW7qVp aj3FwGsB/VVA4ImDhNHT5Ka4B2YZIwPg+9BUPiSSLiNGM9wWeOOHwGmLjQ+3SYoxrGcu4ZVlClW5 ZvG+tpRvxlv9KLZjApd+kBFruQpNmlXGG5yK/6d4/twAmmWJNfVNUuTV+C0JtblJgATj7RC+iODK ich8XBBWqsG7I36qnYfiIRdQ0K3P5XEQ2oILTO996LbPpjRCBCdKLhknz/jB4+sb1zKz+qzpqhFF UA3kGqG/CU4LnpELbQAyWFzczmf1uB6RkH7prikk5oEcxUFrQnTMnXsucxd40Sam4KTz16evoEjw QHnqFxmklo9vyEjjmHyaTFuEQU07XTa0DBKOIWmI7hBO8rB1q2FyNVoOpVXXWVoPvAzP0FgIxQpa YOe3fFRYgyLkmF5Q5RcwMAPazb7yjpN1PIvA5E4hAzg5IGbT/1ePebpv6Y9T0YbJGp2uNRvjUcPl hdE37vl+++yiVBACfurjgh2IT3REcxUHfvzuY2/hq4DOkrDFF08nvi29oMYFZcm+m02dHvixEC9H 4GowEuYGQjh5adVyjxDi2EFOvAwfT8cj+FQ2+x7Ys/RFk2uiKYJHkVemX621Uka5gHuj9TC2+je5 xVAZGSBu9x4RFkQcaw/EFi724t8eFZhaFLidttYd9MCMTVhUP08TF4K8zY9yb2N+BsghUe9htQVE G8sf1X6X2q1hZx15X8dxsEviNGiD+8m2/6omecxDCGKGRSCK8JTJbEXLLjhJV3v/JARF9tf/M/Hh gRsTYobAA4hqdu2Xxnc10tXM9TFUemGNTTQu61iXWo0VOxJYQiOtdI61jhcZlBE+won6j6VvOF70 xgVwleoxN1P149cdf5dvpplPKg6YMEQ1m6IueM2UIjT/fvMd8y0WrL4tXD2T8lv9YeQ5Iwu5As+N Bd10gwgEvvJXjmleIMmF3piQTkBPj+njYfGqWj9srxH7T0oWpfJ6iIvWwHos56DVYOciUNmy1NIS H4wFyHDQtRXph7I4UiugfYPmePOe53GNHz5Tmfl4hxWn2/41MYvADxGTmo1yahJBOVR/sRYjAaS3 BHYPZJOt/Gx6Ag9FMzEG+3k/lovQXabN1SbCk3PPqIRIsVEnTfqa4GJYvIA7e9DYqj6t+O1y+h5h Ohn6nBYjYlrSr7+KE5J08lojsk/q9zcrnuv/pSHylQcunkdcAUdnNWgdy7UWX20bawIUYGAMm3sU CQz9Yxy1DoaV829xB11Z9W+FlXHkACcpicAczmxaNb+Pk6qSnz8h53SvZbOltlNwtx9p0umbv85v hYdKZPvJRfs2aZMgNGZjW4AjcI27NY0CKdIzK64CS6mAk2PJmu9NE4T0zXsNbb4yICAQI0jSuDhy jKL0W0CAuEZEb7GEY8rpZLp4yWrnrfKSkl2M4PcADSzPeSer5/Ve9Cbt7BDFtAg40yUa30G+fVu3 sZuYlpLa7yjFoA4eZIejVCYJ15PYFcSmGwZiZTFC5IckiUmwtpuNUDaPu0+DtIR08i0mUThdHGY7 hvZxONNi0JHVwDJxvVuS+hjmU48JnAtg07X3MikNtqdbL5fQ4qjG6kzVbiVyE5Ldr+01xPjuVGdV rx2CDjW4ZuJYAZCdDWdYM60pF6KD07heCjIAOG8rgF4TV/1b49w7maOo+QuJssWASMzTemf1VqoM 2OWxyAziiCWj7Vh0b3I3yFXGjc5HmGpR5ZFx6541bpoMWFBgTq9qU3VRnGN+nDcZ+3DdoMNOKfjU Enh8kKBAdRhrn3vxtCDee3sqFQuGwm/vOpXF7mGU+gViNSvQW2eQ7fvDzatXYVAtqTaKfEuKdI7K C7uKvdLgOh8+nYjO43qikV0cyV1FCLiMq+jKoe3Gw+TqNrPQvXhC0jljcU0yXF5DZdgx3GLRDk3+ fJjOzhGbtu6m3m3cqS7TFZhPhTn7WHwS1PL0Im1wUMVXWze4xQdFFdWPObpKlBN7ZjzjGJ6iy3Y0 ChqonDusP63XHyI3oND+Inh4iPbi110Pkyw4I0GMU22Asu+ZOMaZ3f0vGwkC5MVJaQYTh2rEVsw8 ftMJSryexP8DRO15I+H6Yjq3h7wocG9OJwa3ZMO+QFDPg6pEeV+md1qPd69WIGwVtm1cXE6N8C0w eUiVA0i90CEp/Q6Jst3Vz30o6zHBvlRj+GN8D3TaRszq79NU+S9PRnRfYMUVXsPHryRautzpSZfj NoVVa1PTgZdrNc6va5ENRcVS1WND884/Zljh1sA+gRvk3KnYJWiEjRfSAZtX7k22nzT10bOuyBXc 7UOjNNXpxdyFLH7Kjn6MsjgXCPFqGR58TByt1evnpneh6p5zx7a83F5VmCmjmAPaqnIZ0yLlkpaw 1XQvEbTrNfXmV98Wbqnem9fLcdyefG2HFDYZ7v6HMEt1SwnzFUVwKjqvCucSI0iq2N6uKPxjQ8gO Jr1D/5tRbxBakOspdVF/FLPCi+pKtrJUv1pZLES+mIANQOseZYTjIA7wTcebh3YrC2MCPnFhe+kJ xLw2++XITtw30Q/PVwLnZQnwGz2l9AzdDHf9zNgaM4644a0CMOEZ+1ByyIrs+kVxAoZS9+vcrYMA FtkkF8EBmoIvBVlSnr5ddKFjBp5WWgMndMJ8r/XBNaiWZ7NUjyaw/K/mE9wWpTAkU8CANBH3LzNK oD1xRbS20PgmqG3HZYq7t8Vhnn52lH0lcWBy8GsLrf/5uCm4uRs5btyiqO412rybqgY03JXyndWg JGQmWsvKT3tnLnspIZxWAmIo1CAvHyxE3ZGI+aFAp0zk+WzVWMiovlP9tHCE58OUbvyub1go8PAM gR42iRhvgd3LMgXG1qJCjVuNf3boOXaUJGJR8rppSaC00Cv74YKh5nreGnzsov/ypAeeOdeZtP/w 6Nl+d2Kr84umDKoCOIukzJtE37wVYPQ31kZZAoHrXebgj1T+OaxgUkshvzpAkSmKTXb/y6BofgUD T6H6QX94dknUs3YvXpdTAUGEGOM6fJsd22Re8SHff7XTrGYO8rDsOacOeBxEzArArU213SqJiH3Y QdBkwdYv6v0zHYA+Aath9foUDI+A24rGPq5IO/2c9bFKno8Pax6CRdcUBm4qzJc4nGIkz8pxU9Kd iSXIzABi4Tv43FCyGWwHdoBxTsc3XA2BM81MA640KnY1pshVp9BhByzi4EjnBkGnXlg/9j4Oi9kN RwruUbglSI685pBvKzbtQ08zn82yMJ2cLi32SCp+ihl8XQFeCuoNrrkGUt2XP+5dTLATAXEDnOd/ E80tm0DW37nImBmvaodhishb16G6e+5i9kDxmnm/DZwPzqMQk+9hRag5kE1QQdGGEsGCNHsStHK/ MEeLkjGbkP4Fut6ZwzNUsgnR/YeBCxfL9CoCwSQXab+hz3GCrzzbtnl3AXVJzdPRVynp2odd3dGN +o0y9sgI0WA2VZvUWgIQ6cYOmDBLYNgDU3dLWiIjvcbPutuMDn9hipmyTHg6cInasqzurh4l6Chw 1ZrsDyf5S9IzODcZy7NZb6bbSRCl2ikQyqwzU21S5oWtNdQLqZ7eT8sxTtRKcv8/nyA6GpmWFi8j /fF1RRBzVWgmI+H+4eIH5d6gpZd3Z2/Q5lZZVmNMpVu9/D3D2P6zx0mEbaNvrVZj5WLQeFXb0tNT xr98O82XiJkUxHPVFBbq4CkBYlFZt9wQnokY4A6/SMfrRg56JQeGeNGUNTaprutCuRVdPIutLG9+ IL6tDIPTAoqtoIjhGVGyDOfax93B4x171YqkwsRfLk/Nb94294VchWZoXlgmBykSQfEr4RdFe5sn NScdkKWkJUllpORxPUMhic5xPOvXabKjM5Mx0WFesP6S05pgiuvKAuL+LrlLH80MLSSVyIMSmgt9 /xW9wXGJMg8TL+KbSgjc3qZhl1vw5WFAchNFTxXZUKP6Hbw344656vwwsE5UnVAeBZ/ij48U4vmI ZorNSKJczeb15I+A79r+S0Iu1vmcOgr62RrTS5zKhrj2N+pxzHjVVfErYIjXk24XnHdG0lBmxm/y zE6Ya4Pc7qclIhgPDQydFz4kffL0PKarW3hBjTLqt1XYApg29sloifnbjpToGFYwiKnNqfEKwPd3 Mzb1hDraLGuD79XVA05mnno1JZ9dnpAex0Ig9RbEOY+NGRHR/U88e0U2mp2p+HUpQKb6ui8VsDM2 AkfxiZrmesktRfeHHEL81r+hXgTYmU3RbQ2beMHHTgRnkWEqKFUYmaxz4E82ksLW8E75Gk5767MC dPx5JtF+rgYdCdtUB3tVvu+BtsyK9p+3pCEkYu53tiOU+9hnIL1F3KnN4CAeWADAcgLUpIF6+WRC fBDJUtONGIbKPDAvq0kC4vuky2iR9cL5mhUSmZvUjOB8N0VV7L+Xq0+ouIdtswOcqZoUz04K26OQ YUHNCIRRqu4AEHb+jIwIB1dP7cz2bEJ8SVPM6lTQjJdjulHXdoBHSgznF+quswsK21DhDHlKP9T8 uqLM1rHumyyfxwTXt0EW+LrOw1hfNY832bXwFdKWujrYJVcPBhxx19m/D5zZed2zdtJKEqcg3MoC T9bOoGJha1QcMBWg5Mzr6dwkpn+3k/PTNAPnGag/6z3edSj1q9rjfSob8+1+zcyFCszuYVAhw7Vv PY1gQTohiEvjqSu7sNK0rfpm/DdJzHEHgt+TH0KTot7AUreH2YmwabQNLvpBtSczased0DDJuajc SfDf+ardMXivvmN3DVftPUxA4sdsq6jusEHRpWF4XDW1uA99u3QpeAT/JshVq2MSn2qojWLIavBq h7XKY52opxGz8InG7h+IkKViSzB98mdac6q1eNnEM5yDSJtUYrSLVQcJHJTyjG8p+2rUEm8V2dTA k1HBK/01cPFxpCY+Z5IrNkkXgbSsXDxvpit1SUQ8Q0qO8DSqCokLZD8ZGsJ0KxOMtoIFLkBnaR4U 9LSuiA8zfuh9yQeuLQ0+G9+OUZqvHP1rczkuObJkYMaqpS9Ucn3ykj8j1WMbVsshMMMYLKMWfZLg RNXmLTq78G7D0bR7YGE/hgfAA8deQw/+cog+hLHD17bREfWN3KVY+8O960jXXm0ih1kxe43hxvgl VHs1S8HAKIQobwYPqRrNiyIOETlUPlyQFPauniYelXRq5jRjLTCrjzGppuJ978cE8v1OQeQzVj3m A4ZF/DGf8No4vis2FHb6YNK6v+hsV5x2a3sYF1tMDOI/eyvfUgWGaNd/x40oULgEqqLOgsb9RIUS CoHtgENcoqVbtv6Olgkc7SpmcuINLL1i52eQwsqUB5929icma5GS+7aeWHSBICPFfE90DAV6+JuH sRgR+bYbILwUP0Y1/toKeFURXogjU5YO08cOFIkqWXNcsS8US1EovWKBB2PpbYYiaOdyoPk5tbRg gWAmXO2L2+978HNM3jbrclTfVVIC2DbAPM37AlljWiXnoE4skfmWL1wdsaFgoSOLEtMz742TGd23 Slmpe31FN00m8o3LOSWYvz/BmnM9Nu5FR07U9OQ3cutIrshFJvxdNXig91zDcysqqDDTCJInhRVa m0q2G2g6wP8OvZ+BXmAhxQgSIEF+OKU9qcWG0ijUgndXP+qDkFdrDP02GcxA2zhNBMQS63l8nuHZ MSoW1KTlhvZzBiXDbsDjI2GLE2IluUMGeCazmteryj77Ybm6OBY0mLdlYMWlDLFnnlXuQ6xnqXxI BoPTDXXzYJO3AaC1hY5fyjVhuB2ZqlXZOwckvkrr+LQsWWWC9ysTWANjDeEMwWrv+0WUusNm0Pwf X4G+xV32+dCe14HdsRv4FVtYez47HMJKMOcH5LwscdQ0Q+LKc35O+9EtsP3CGR+TuhwypUNXa2OA dpoEzlmN5R5YqP54FlkaE/6xC6fCR1qgr8DprAurjY35jQHbhAB5AEMXpa1kXSZGNbpOYeoufYFF ZMMchu4v1mteuQYl2yMfJ0YVwWu1l0GuPkVDMRX3ZQnYpjtyi1dnxakGFYR3YEEWZzvZ+xwX8/7J juj1F4p6BzIoYgzOylFaGFWlfdZacjauowrC4qqyQCeknae0FYo10PPnQQ0GqXmKJiUBrVv6jeQF OlFDvTB9r+6Elm84lMFFAOhtGo8KGozTKRX+MFiUm8GiSNvoxQGpzIz+pRvMGAcuGmsMPRtUOnfL S+b593EVWHE5/ZbL4KBMw/CPpqBn4k5q86F6a6e7oaaPMqYi++96ienDIf7G29kgOid6v8q7bh34 vf4HWC1SGLxtnM5cqU2tONO0xEBqVTbVhZcr1sxInDoY42chDMVfGBn6te0+z5NBZHlagGDGFufC 2fct1WqtLfyVpGzoa6YXT46OWkX7Z1M0zSir/Lu1Lar28ibryXlGObCWbs4gBa3QcDZ/2ME4N/8Q h+f1SiqTgXCUN/paIdrtghMEcYY5jiSiREdTMHbK6motOxEZJ6wz2w38SCTtpP+0iaq1hUSwP3ii 6brIdDwxGasSLz3fQnHKT6KAssG2cTt4anu8bVu4lTAbXSWS9zx5oV5TvFTyuBFUL2ysIX/0rjtc 0b1TIpa24MCmgs2gkBTmQ5HNhPblYQ23GCQrMjCK7Kf5o2SefxXK1OiyTbuSDfkgQnu+QRFCnerD YQB/3gGTxNjH6tM49RrhH+YxX2bq0sfoIPzESqYGELlGXX6ZGZAB1xkRuUWjsVnF+u6VkfisUbr2 QyuauN5Lm5jRCXuNnx0qB09NguHS+oWQR2Bn2g2dqnKWKVD8/XwhPW09YYzanGiAOAj1/KV7KWc2 zMoB51bZhFFhY1ZhZ3FjU3VGyBdwnSOdr0c3lNpLskS9clm87SR1K9tONgwjCpwSsPOSN9mmspu/ Jk0gRBJk6goIJQJdFTRLB+sEkZR9aqgeKdRA1Dz8+60Z602azmd2vz/7UvTcjrXLiKiF1Bj5xWKQ hn3s8KTFF1c+XKAf4DSc6FVapCGbmmvN0iSVdGnSPstWQlXOXX6++uGHp/BHu9pLeFS8sMPDhGfV vvb9rkguBBrtwgCMyuNI1n8hye/vuXe0LHcTPQfuOaf0nqXyYCEmIM6fLgw9ugm33zSqU2ozLWce 8uXiYCQvso+VlB46enH2wf7mVmajj8PT9v51J07DV8R0Py5il70Eal8lOi4gDoWVBUvLPoBFlf4o VaxefTrRBbPYHvE5wg1WOUysoDnnIYd5dnFam9pfBjlLRYk8dsDdO7P3CCdRn7OoCeNbdou0I8pC CIPXJHzoSu65z2VgkwE1OjyxsK2qjHheuPM0FADJ1tvElg3X6VLqljdRhw5ZL3juZHmH0VgrcxLm lwsnOo5cZ1e3G8o9QImLvzvFxRt66CKHb/10AI60HIWy26A1Yk29Wiml3T5Nc0IrHm0iRvp9XS3E Sy2v+t2FLyUAwABpLUQSVrP8wDk2hFsYUsk0WCaiMwSzPXCSp8uKyDsJUsFgtTnBIYd73XDT2fID gwcVk4qLIC3CxcpPufDHSdBXexroUO3+pMqg5Dxmq53d2zdCPoMd8N9Mj37BJ2ZsWY3m4Xf0vnzF 6ZWOrh8ag6qryVd4UP8fwP5mXerJ/TtSe2l+zTKBT7TwZfkQR4hOncnUbAqI6i5xfvd/x2/rZODF +JAHEKsMJcQwBsqcI7GN7n3aglA1nyJin1dS0/NKQIPONzkLNfQbTZmMtD501ra0jIoWRcL8bsG1 8LTPpxULXVNQy/H5BIp4vbjABwOgNf4lu2SRqlslcwW7U2nEe63tQr89UshWT2ismTYR/etR00Ao 0w72njUvty9vJC3e9K/as4lIphw2jyBkAY2yAGxKDhgfgkkoL9HBzZX6OCfCg/+QKu3VfqG2oUXZ bzpwmiHBqBsj2JhPL8Vz/pr/1NH+sfPpTVkuePHWCMjhzqTnoisdXw3dXJSA4mAjC5nMz3KpJbt+ J7kAorOaAHT4qHZ6l3RZBkCJQ9tho///zdt3LhbknXtL9jPhrj8Lur/lOl1jOmNt+e4pDdz2c4PK WnPRgZwGqD08izOpz4brRTStNQ4kIbFI1JHQlBz52287DpPrw1gku41IaK02XTLvroOsRKQo3/AR GyK5i0MT8RanbU+sF0+pziHn4r8Vnwx6gy2fnKFEOzuuIQe5Ulh9wC0Xk4OMEtBLg1zJkbct5D+D /aICHyV6+kM8Ad4bYzM6B2/WylSYXpVixGxsL0b8BSf1T7ZlgCsudwEtHuT0UusLu80/mq2KtLP1 o6YFnATMgvebhUNf04fD7FjjXkpGRHlOMCbmrB81hX5/cPMaejUEUAaMwBdR4kowFuVLBUbPGq/i H3uRuWfTUZ/qQ2JmB8f1LXlHQ2GMzoy6/04wiAa+oIoUH2+bqqfPbU/lM2aZCezvA7NtOHF8r2iF jiEcBpZxP8sxDT+x4BTYdew0C7rmc+0nRwmuLCe1rgDn1qDR3oX0qR3OQTpZe3Em73mp2WK/STBf 7AywOZ3rDrv6CCZge7muQbZ3UBzd/FT+O1Ylc//itjbgYDJl8z7BUAQWuGYdY2rpOVV5DTvgct9B u5m2Q1Wg+ghDQIsiPIxzC9ZNxC5ouUVzcToR5VZznuc5Ow2jxkmM0t96Uidg8m2kktLaqudhJsG3 kk2surXjKZwkX6f1bxzDkVzuyFsdngxKz5FyelTab5Av7H3dZQ1ZY15mj0KjoqCu3rDQguzpaU6a O25yjnh7JnQWiA5W0aeG2Mqxhj2vCwDyjBW9jvadcVR03Nv7rPXPtbhNh7D7Hqbm5stqxC6aswBq PO0JXOXVJ9MqV6DiIpj6eSJRRCDkKe04OUom4+ouPxsrW0SgP9jZlp1lg3Fm7p7aSrqgjDLZ7+lF qCX1XDobM/KrxaeU73gM7HVfCvkoOhZugJVm30G9Pg5WgJxFe6a8XAAj6ASDDZu70i1paq1sUe61 ZOfIM93mU6+DZdHKHh8NaeaWAcqrDESuJOJ4YUjtsjRbA8oJ0LvY2gTm8AUUcr89Xs2pI7jwJf9L PLLZeI40ijTxDNYDhyb6Nrw9h7pH7gDZR5qziZoJ4bXvDy8/X3nCSTL2Fypkfh6w7wifi8f5zWrc j3HP7q7hUdRp870LKBl8IuCYYqeb53VfMaRnKYAwIT1praMXFELRCNVC//t2qzFKtNBT36URum5X rNbI3grgDIEm/Cf+G78UKnJMN5sgiexRp1a8em0n7h4lfyGiZxTC/LnTC08s0+GrO2Cgo1dWBap2 /EIVncKx8v4U/Oz0iqI5ytG6ttTXkRZgpjADFjPWl6BeYBxZ2rBToGXwyrb7gan0xONJMPRn9O2u LcavRlWcIczEmi6jEHYY4jdBuUvSY6ckMrlkaj76BGpC9pB2lumXeFWK7MyFLLnjrHNNbhBNy2YQ 3Wht1JTJdrURl5vGYr7Z5C43s3qTXyoR7NAJ9mNwjLNXcm5KSZyJ5vrrW9qxzxbNQ7t6TZb2joWp NyGB9O0reO89fZK64+7rKihQPZh/+RXFekELqP0kLsWL4xBkQZPhf1JaEzJi8efKHIbwhf2DuieM t0DMRa+VDSbcCFAVSzHL1aWgWBF9Wkw+dy0YLV0A77gz6znWprGunHcPpMZ2ATG/wSDHtlnNEzZU t6tmCbiABzOioeNyCP42nEPfNxeDAVW7sq3we/rf1WVSekmIdRk8nnxdCeCs8Xxhfu2oqSMZzK+b mAJBbnKH7+LlNhq2RcZ/lEX/aqBq0wcxNbV8dzV42dFa1VuZzp/tDulZN2aXh0IhS1C0vgdIiqzH itWshDPt+D+fxdhBQwj3U9p8vOZuS+h03u+TkMhpPzQ7DPOpJOMvNLhzg5lpL4Vxpzf1dZ/LwWx5 ezoWStcnauXWVQNFLq5AkrMBe0vcwsNbUdWlu1XivUUjohHN7jR7c8kK0TGtKwqBVH/zTam0eExl yGRJpm2pAU+vJNMjqjbQzJC70Bc1U92t+/KBeKtoEqbVQmMGBzLZEgq19bIZEXYQBk9Ydb7aG2xY 9CHY6/xTLDfjE1YLOyBe4Xs6VkSVwMNCKSprERsdfKz74QMtDbwVkQ+0bbokRLb66JWarqSFXvjV LaspyZSVeCuvvvfTWFVLn5kWGpamLqwlQEvVcrVMhqVnrWX85nhkp4e3l/mtQ+V4l1GakAjHK5xW o1WPLQRubJawHH7Xc485FXND3X7oj4Fv83p8cn0VAldsksxf6P5oEHHpC2xK61aEv1Kz+0qWUPiU 63vY/u3xU+venQd0DKMdWlkU+OalRNfLdc34OEQc5ayQl6fR/rhgb3vQ5kyw04So2/wWljF8AZ9F B+tZVui3jO+/ZlaF/hnXxh08kg+QDUwNJsdchPBeMr7HZLuhemX40Db+3Loyuy8LG3d1LKLJftRb 3AFQNFPuBy6fz47yolqPHReAVQ2IiNB/R7EcVUOAmP2ZhyLt3V1EUG71SeoJbPaS2LLo9Iz6xOxN F08l0FvXDg8VYKm7soRADIlPEjW4hpr4h4eLzWWo5+5ImQrFdk8YwkXsyHuSMCdOpR4pepn7Ic2B kOlcZAQmycg0R6/1K089BDLmkdM+RaKAj3Qxmfjv1oPvrpUKH+mjIIdKfv+s0xGNWB2twKvFlyPr 2y6hXMcc+manVAaVYJTenEEJMst6MkGenjeQEDkgSnoICOR22HM594F3OnKK7IftkNoeVbBsArfN Iw9OciHP2O24sGXKg4bp2+6lAnaWfwrbJS9fmfSSLxsv87QICky+O8i4Mja05YdaxNWxkipkpWaS 9h0dcTZm55P5ngkeWLDsqBKxez2u0woEsyPl5ObKiWUQz7boK043oX6VHc2mRDiBVh5Yrh4Y8WT1 ieiMnfIYXo03hu81geYZWO1p4VGnM/tjVJ2nQ/52D6JwHMt9PnUibqK5l+hV4zufMA6kdCtUAEMV /vANh9hfo8tNbY6r7UaJMk6giyJ62rvqOcKVEKUEvswISYoJm4xnJv3T34cmZkya58RtlmdVRB/p V3QqgOzuRpSojkVvWZ0GO8VbgN86sPHYN/CfU901rpw1EtIY3qwKVXp+7xDl/sHLB92OVRz7qBy3 RXJhUv+hyhjVDh4Vxt36qzpFmHzFnyCGuPbEzPM03MRB1B2jAgjfzhIl5YZ9MPBU46mJCCCAnPd7 u+viH8wgeRP6oPEVGiEjOps5VwCAEg0z7dLrGY4l9blNAWflyDjIsEo+Zd1tiqW2KblSNXnDiLsm r7J+EMtEMeltGa4dC6kTl6D4eCjg9yJmbZt3QdkTuw3yW1sVgjke7faCS4uvsMtVYWhB2QAxmXxo Wx612BAQgl9PJkuYuv30YNpKy4PTrarIo5kHm9IQgx10koDvZ6HvUvJz0SLnfpeDqfYI1PfzdCyQ ghFAf1X0IsYMgp+GtmsFWHrfRAn3saB36eXKm2LQoN6aKnKOqF3m4Ofc3fRGYX8lvnotNpt0LJtO f78H5vw7MD7Yl8JiXTa8g2NyZgSmLVW812AQ9DsJkvTRDpzh/P2KA49yHHSZgi/27Nh2v3W53vsh hHL1pl0aj8uu84wnA1b8IYp4z5vwr6EfBrctPrfTlCKl1HuuNTorEqW+v5FZckpDyPiD5yg6Jl/o YwDGJ+Rnynk9aBmMzOFdgzRWSIn5IiXtoM/Umrxzp6MfPL+n0u5zcZlL/nLv9oWxUg1EsYmPbwD9 PA0pOzlABp/yyN9uUKRfHzUuFchwKDwXjRdu6NL1tupLQ7BleA12QUokjeSX47LKLAr/WtLaeOZq g2I9SvbeQU3hBL1bbQmYjZW6ZFL1elU6JZEZT1ovGEfuIh6fdZl1+AH3gqtrk3QdOEcUDl2x+lNe DyvbAKn0zENn1n12uw6P9oohjp5gFpqaf3JEQlGjF6YIlXbvxz2gAk33Y3lEfZj7gOJFZ9pyQcPg cGEh5u+y9zOSdB9VPjzrAcw0EYG07cxHOUrv3FTNvI5daOpqrtsd0/7fQtLwlDzKQVF7niugldnV 4BeqN2CG1U/NyY6b7czXmer4cIbtrCinfjS1pjSsqGocrrj1bBnWeciNaalhpG3eP4WqLd2/t3ZL ELATbOTj6APzBdcnmejbq3+zbZdNjcbX15Uhpu7eZtyYwGk1MeeFPJ+db1cNnZPcgK3RUAXLVVbT ilDNv4ab/cdAWIJRlczC4ugeYJjLObgyQ7ySUiQxvB1kOrqxoSQQ692+jkIteDnx3U/kukwaA1e+ 6Lq6N58Kk6m7X8vdBa0IdyF0GZDuT9vSy6b7G6KDM3GGeOgTwY6rtB9xQIfT9sE29m6GcVaRPsfj F6KoX5WzdocDidXbwC0JwiIDlmhf7nhlS/gqLzDAoVkjgP04DxKQyQD1sQOoWPViTM9o6Tq/oZce aZ/zXSUHkq305EFnf4XU9yvW4nsLxKJYi1sEVdWz2xrk2hWuaPfyw/PygBeKQWCvhf9lLQpMmVDg fGFF+KXpA0pyg7OXfzwiOjyE7blKBtRRmC1TTpYymZC2E6mKVIms7LqeWpLNebQ3RIdUEEyrRH8y fHppyPF5vXpjUs6SqopZ9/g0d7ZRC1+OrvbEzGcmBJpjLgBqYg06rKo3Mb8kUHOWdAqw+chxZPXZ BDQCzNrpr+b6Pqvb0/5EC2oIefBVRkDHkV0UKb6M+C2hQNCPsR8O+71iNrgWrIPjgQasN+mqTMSJ sg+SQjq2ZmTGk3L1A7rJ1N066TmZnuihX7P6ON8cXHw7d1edl8k92juzXWyBOwRYYgEQJpTEIend Yj7pGxYXyqPqvVZ0VyNjoChwdbIA3NnrDWFeC9tp/XbG4DzR+8nCRbGHxO5JwlZiszW9ey8/6Eu2 piN9+Nu1KvY0asgHUoEs8l1ScZ/4BLwYF7aFAtxwB/nyfbKsPyQxEQW05bG2xyDumCvYrul+Q1po wmEGZlNoiyRSKqSdYNvuIWzPqpCYeyfeJ7l8X5zxPOJQsOBppfTJ7NXmnUcF24jlE8852c28DgTA kBuuE1WUhCJODMSgqLAOR+wmw4kKyofnWyM067L32XYY03Qlw8Odk2pkXBnDhNp0vWZdQK8e3E5m Xh/sQUoFwu/ruNifrT/quxK6SRxqguXqwefwbgcevSP58EYIRmx1Y73RxRJYyw0FSNZYivkrLuQL 2uG05cJaVA9WgPVED2oPcliFvi4Em6N2EKOR+Cs1INSDMYFJuSNISnhZfwC5lxkkeDEBE+ucEbrQ NAM9z8hr8BOm4Dy+j4fv6oTrNvsVrN/Wuk41+cSzltct+YjuQay1YzBz4UPA/SjKAIPWzt+IuOOu 1fCZaUPMcpp6i+5c36Yq0m5z54N+rdSlEh3/pS0hifST6jFs6irQxyCl/zPTFutIavcgLQtDlQp8 Sf15P9yVmOmRkEvYd2u4tmJvvjoQbLTseJFE9NI37SOZBF9Gd0RUVBQRskO9jVeSsHq1I12cRtCh n+YEOlRpXGOlPod5KzInsGlvnm94dnCBzzW8WiIqKZlmkCwa/A7zSrnq0mQVAGdQOGs7hUJMv/n3 tYqHBf9bp37Le7V8ND4+bLWpp7QOCZ4ne3vJoDgfQyelLdswuRjqC0nSRPW1AbySc/EYVqch7CkR tvcXRBLkMYQb32ruq3HxilnGRCknjqauhL+nhXEuFuXpfOUmTE855m1wVh+EN1oWyBCVMCAEyNsx nKyLTE8HZHndky7IWScZHcmfBsztDyz9gMMePzQADDB6J7Qf5Lfg/FYw4iEFsQBfap3zFdXTZ2b6 DD0BWsFmJM5Z6P800TCQjwJ6+v5wveyRHZjGvNywil2oeID2iQOP3ENo5vNsFBg8yvHuJV9cAMxz 6J3aP4mIxazr4N/7rD75CraSuY+CT5xLpx2NpfgjWefmw2zRooq8D+OsMfMaaWPHN+jWmruL2qxj Ybb/QNQFAkJeGs2u2deXp9B/TOKdQ7EPOtLDjwMtQM5GpB5tbAMoGgWJ/UF7Wr8+Vu8qjIRn/PAk Vrw9079jv6BZFgb2uekXDo6Ovyd2t42ijtEVKKfyFMFRRw/7VTOMjjJHCWMoEynuxFEYqsDg2Zwh QlUvNnOlq5SUoM4ktP02wh2qWS3T2BIYfY3gSj+Jblyf4ysm/6syHfKfPRMlYZbHc28mBB7qrk46 cJyf/B32pGCUboQjjpBDGjaE/B80rp5lfmE7WSiWdTsvDCQ4scnhR2Yi2HCIOuztYKmdolX6vu9a UxSXo8ikpCeGT584dzl5GH5hxJEUoUayKoiZ/cs+M5yPHSHqg5D6ky5hUKp/XPbBTC4IzyoPXnNW zmQCIuGtDLX792O9h5yV1o7LdcsWn+uEMObchNc39ZMH5kqWlUYQfEwlqq+ecSGkVdgbpYTlqB5l drYtp6KTma6NXwl+jRt96hMnCSJMmu5lstZDURNCHt1j0Hn9/mS1Z9kjmF1XyyHk51gBbnV6mCeD OkG3B6cEkR7ySW/K5xBZUoY0xOvBFUW/DzzqbZgdVnOxYehdrIgeW6rwYLAnyMuJbyxoTJtXmNmm rhS0bM9fXrCc3aFO8MUKoN4iJwWGBVn854gPP8x6lnrmeNJTVh76y12WUmivvl7ww9cycYETIsTU r+V1mZbdFg2kevEJH4BznTExWOzA7zSva/swJa3MEQJF+XWelIIomPVIoEc9PnbnEZxbIjtWNSmv fhHmB4G1I2N9sNES3EEqaFbiH2tzaT2tZRiH2FmIG4FH1do8eGXGKEeDcUpQXeC586w9+5CWWAVl /+Us9vxzQeTkLMeAAj2OcT5q0TosrRRlCVJLpbRvWLP2Lf5R+0mCQIAFLkk8HlgrAIrEyKVq3Mni ivQ1gJHe+15i4taiiHUoUo129USLNa3O/Dz4N1x9BBBx0yq3rNfzSf8QEBflP0gaq3ylWrz6tDz5 bUybP/oksK9y29DAcUn3Mb1/PyjWF/dg0iUa5vZnPM9HJnriml7EfxIviR8T7p8+GgYAbnZ8uxLX 6wpT8+5E/9YgA3y0wupXNnNoRNn2sMJLDVWaqU//kJ00QvaAOxJ8W00AdOchseFcHHyefUBE3V4w /JjivC5V8TPw68z3fpze1oAtuzDyQfiai2OW1ZFNGxjgKDtOZgL0aqRzVNZTNNFIZODLLDOlHAOd x74Cf+/c3fSKTAUEyPmkek2v5dm5jsX8GKU9DK77xIdR2F2kAUI4ke7W8zu1nUBolRGzykwkYOnd z2lCg19gEV4PZAaZWc/yGoLqiIEZIL3F1/iRKzs710rKd1NKvHtfLYYtpORRWNW3p+c0Zqrvm7VQ FB/QLdMLCkjeUAAWrrRx1RDeDr7tg5DC8hRndw8oZduBsZ1bBLJ6IlLpO7CFF3RFRn8Rn6PULcFm 6Rhsh0mIfoixOe3Yv+aBWp9YE/+TMWNNLwNNEfiPUpuhFZ3hDjHUz7Lur89Hmfrl26L4dv5wt/iQ sM0f2awNRDTl4X8lYFakcIDHCiaB/VnomhJqkNGA3uyNAPdHT+7/FkA9h0mODN/DRhbH1/Qx0lZu ciZAe6zN85ests50MI0ApUXVoe8rMNN+cOTddiB4Fn5PSeuF+YBvOwvpp95fGK6nI9N3yysOrv+G 2oMGcNq4Qer+yZfmrG8tnRBz4TTNdjG0b60fdg8ThfdWsHPravdK3A8WW3YUI4ZsfpUtA5aEHR6s mYNl3+Y+MhSszcU98midP0v80iTmRGVmwdm+8aDG8VIFBJzTHLXqB/GsGiyf5b30GEp91Ti3/Ui+ nEVfTaE15fg8bDzOPt7zYTQ7BF8Sx0zSZ65GDV9n1q4lSqlhLp0w4+PXyFS5fq1FDv+VVdxAG4uZ pEFpSsTSbsWvdSuugjQfAguCwzh07sDs+j2cxpR9xIFocQLOMapwPQIX2WVKYStbXwy29iBOWTnS 4pT0VktDakSJXmQr2/lOo6QtOXK27MpOUpXloLyBY9/UMh1SlsEyhDm8OZ03xejIFTdLze4qdNtA vwUMHWP80sHlwO9o2QEd2WQbiUWBYht/6aioXyLU3/0FIaeTOqtEWjhjxKr39AjfJS7fT+0Rhdnr ba9sIwpn4iLDLx5egyKumP3PrjXbzkxpmW8AhVbhh4+odmp2f9X83BfTiM3xBeBILtUSmWWsCgLn gPSCmFjj8Ux6p2tUom/k6V8mKnAmZNduBuoXOxOnMZ0w695qpxQdJ4b4AHBJrJmlWcWqLiT2cjdh ky25XxBPeA8AhvKRMRKUSF3MWCOAw8BNTmsJWAQ4GwMs/CvLoPYNVU1KOgDF3eBaf3U/WOvBc4h5 ZENWqDLiOzVR6W8XsPuWRXlseEnEaD5oLt/FRGrqBf8Hf0cNzrCBNuT4BCg7WwtiqQb0MHXwTdAc RrcCz3wmzK3vl59wJd4M6S7mwSo5WEa+2d6Lb0oPS75BcMnYYNGID1KZ2a8ubfnsjQ/l8lY1iuEZ FOyH9maw9Oic8FEAn+zc5ZVZ8YPp82VMihizDuno18UpQ4v1qvBqJyRP2CtdqIVSv8HP1uxKwS2n Rx6E81rkU6C9/aCjic2p9Bo6ZQqhwJAmswUWtVCfB/JwkJ52l29VIvgz4tWxzBpcp3aDtvmtDXfz Dxf25A3xhoHhP/0cIv3H80iwktXQRIqkwnxgzvouCvfRuyVZ7SrSRVq2MgRIiXEPt9uMt6/+k4Hg ceNtkBg1nGjabmavjdAE4raUq1f1BKzgVvzajo5kW5Pl8ZlmRS7aQyPEoG04emoZpbbGEPjxVhKw S995UI09hT7v99rBirXi4LejcaLXsAhZGaRsuUC9bbDK7iKkIZWBgPyHjsyFvXzgGbbazyxaAL0W R3tgQjXqfPOZ1UyfV3GfvfWSnX5m9IxM98cuy68p5O1WHrAhwsc4twtsCHVwVo774XByouqGBKDL WZ6yAvz9OdeNz8PT1BK78d9kcmHqytfZJgWYX9RLFxDtLZZfKC8GOltjrAwjU4GbC1bVvcEMVLYf DukuDBDfnADGEuKAYC3aTfiJx5/UD/OCEw7NNcQA78sh/jd5SlwjMVXOLfFylyMIbwAF87vIDNtR ULzbA3XLKq78Lkhpow2QOJDgWdA0a5Z/meclJa8eg5dDZVvHmwL896tTLS7A1//08+G636VCr8uS 6ANeoJDJ/eQvOOH8R2npXXwsO4fo9I4ZXGTJwzwxpDUR/vLww9rtljuRJelQsTYFwpLmS3RrDwPK hgMoPo92wKaXWDmbaD3BwepHpQY0buWCgjGxho1kn3OlaNdV9AFUJzayBXZPgpH0uT4SKyWzszjw AF6IPIvqgn4cPVqeVwrhcsASZvNNBcCmyCNTUR8mA2D1xHmmooI4Ih9W1rgQi3/efL6fRi2GE6TK hVdc8PR+fS8V0iqTvEk7HCFlxutLcIrMhmL7dqkwtbU7KoJxc8n5MaA0JaWdhsrqNjS+QJ0zfpRg Rln835XW+GcMVIQaakvjv/DGRutay1B3pHeEjITMdwPfzAq1NEK9lvJFMvU4QraE5zht2dgz8W71 liB2RLGV5s+PFLtdEDSYasvij7na3WUWvmvtvs42l/r8++ryHh8F5NPZkFRWOp28RWhI2qE62YGP bNUUqqdgRqZos9G7+lmZ2N29WDLtGt+E260q157ZFbCrYCVYGwX9jWAB+eW5PtRaB2InfdtHIkuV PuzzmgsD+GerMq9E6tesL2hYcd76JGJyT7VDtUifiTlcbU8f+jnxSL5Q0ifIJ0VYp5UEZ0EI2/jB Bd54kbIXE4mkETAdMCflxAlIB7EQRpz3PW1uHjL2gN4dsPocZVvIxzw20l4vs3Z/HrPHBZeU99W6 kXaR9rbaiPjHDAHOkVzUIZCeiCpmhbhRW5T6+Y+P1uO2otDs4dvFvGeRT36w7k/Mn+OJ7duF3Wvg s0o4sd5/qiXgSnWtTC1526KU841WhVo9EKt8Bu2zkTnc3vXDlRdGDzD6iJtjEVwzbT3lHlR+CYGv YCvtUBAuHvBt452V9llKmligekZHOFkMHG7GhwpOgjyXOcWQMVOeMC4oVrMUe/19XPx77ELViU69 jmK8clXgfUzyhaiA6JOgCvYS/SCGw+Xv8uL2qtxPpSqCr/jH5nsKsni4jB1qmkMFIEODTAghRi9K mu+SQNKH9HRzcQvOUOM5sOHdJ7ebK6LvsSF6xFwACGOZb7J9Wt8jw/Q7/46qYmAxe/d3rWxm2XL/ /lLWE/+T7Vwz81nnIgoA6WStp8Pgz8S511uwcYVPJE01pfD8WXGpr7tBoPUpPA9l09KpZVaBqt88 5JbQXPWDINLm0EW7p0Lp1Axthaiip1OcYuXTRKo87z+hZaAG/1+F7ii/+VGWmrnqpimd324x6f2V TRjNSszECwP5lB93sX5bTWh8uwBhdIU85FApBULr4qHPy+fN/7toTb67ddTzR8jmnSiWsZWwrjCu IpeUPnM2MBui05PLpQezTeTJ0BLe8Rpoqhry710hKQjlU3pB2jVjEYDzDgUj7o0yWqpEuUymAyEG U8kFcz5Djr/hvVnM8tIpAK60fJnNdm2Zn0ukYPzpclLJXguX5uC/GLz78EqEVMsTH+k6U4kK0n4/ 6RIVUCL0NQoAVfxg+ZZX4gItNWKevPYglnZ6akUar0XboRDta1yHfJ904hwOjJ7//E9V2lbuO3nJ j8iEn3gPMC5WTlVjHvyHNxmBC1VhF0+X2t4SPK7jKEvyudnBHd7BUtcolHPfrMI5DXGpW+KEwR9D LnSYOkgz79dVUCjI6r/rwHah3Y9ko41Bb+qFhnkKlhivhct2Z4Kw0re9IFz38zEuGtyU+/Rw8q0H XuUNSF9aV1m2UZ3zhy6zESb32dJ2GB93/jBcJLsggjEescv2YkO4UoiYj9nMAUjTi/owqaM6oe4b 5PttKD5Tsg9XObFUDhUqTNm4vwWs3e39LQ6AGMxV3up6CqJE86MqZkyDqxeAtQmAAwRjw28KmIL6 ET0ah6NBs5z9jC9iEOoovJGdUqsyjLBXm7l/6qRNn6fWZILLzxrSQVeCsyzqqPRNEeyOHCVqybWH qVKezfFwQeUY5rerQ4XlbIByMkui0ArPabHXF5V+jSSJP3mHSOnLr4tgYj1s13pAExpTBaHPN/cf kKT+VxmAqJWyf4EKeIWsz5etEuqbIh0CB0FlIBlx4gaSEDxlbqLsXi0ryjfezSGEqzBz1gMSKE5D Sl2Z9sL1WYUwWwD3pwnd2Q+AMdiJpREQWErWTbmCSRmw1ocP0H3sRA9vdPvslrN6YuqjBs3iQCRQ nF3JO3v02S5jONRukK2qCeQBJGmsTwVtjm8NIChMXqNbMYIfXg5VmBRKk568kcUhWL0bdiIsc+kB 3V+AQBIjDSEpQ9zvgzaiN005NtN8J7ihAXNsn8Ri+BNq/ysABnmvnurZ1KK/HQ7+XgnB0b3Jn3QK GQY8o7PZ8MoHUmsdReIzRPZqNimIxnmtp7gXLsY0HUGckZMVw8zlGhY9DWsc/NNZUre4Zy7BY4W0 atVYFAnix3Xf+dI091Yo/dvbM2/kiWVH2KYJVzyQoVQ/P8hHMdF2bVNsemI7/9l1eTcYo/83VVlX XLJERTsllYjUYRNdYLWUxtXk1pDg/exRvFuQzy8VXcbzTalH6KQGhqN+z7rI9PkQ/MBCgQTTuPDN G61jAL2IUryx0skzPeWHpl5yWjrMxdcCALOj6LhOWYcFO+fOUmfNjAgyg0znASwzFftY3ip4uuIj O4lc6I0tp4G/IbP1wF2WKKLYEBvx2iGQ0sGJ+P7Yy3HS5SJa5GIHCsbsMcQ298btkJPEX2D4CM8I oNgFFgeAaVT5lWP4Y51bTqh3l1ZKHKdB1uMPVjsXR202cPO3kqwn5IRlp2XbS3/Ny0+mrnPUBUxm jxWaTL9DkN5T4DnQdZBiQcMiHj9/X/bcVdF4uTYsaH9B5H2/PVTToEdahUsJKsXE6SoW6qlC+pZv 6cH1vhBhu7CJxsO9yMyyQTI09bOgofJb1fkhEuea8+mR1K8UArV2+OwqqspDuXEn2hBLOVDgoTVj zgwXIozQv/kab+ZzSuTEL87qv4/8V/GLcjj4Ruz2Atub4oFFFOD5QiD7VbszJgcicMQprypm/+2w PKY83HnibpTJ/CosOFhzKTMLZZBdOM2A6SBjcGYaReUmwiGe/qIzOaU6LPmhJshsIsa7P70O1Cd+ onYp+uBhkR6FgWIpOgpHkHyw+tDH4du1vGfdh7857Rib4xe4cxLKTHDDNEKb4yJCUxI6U97YjIor 5/tJJumBF0lH5GY4bcOnA2pRwB3L8zMSVJesKLh8zviS5J30c8HdkPt1pthi9iCFGpe+zk2w3nru xp1nEsKBWdf9dwtuv4kZGE3i97ZlF851MKAAHVVB485SZYIPYb1I2j6hR+7XR6EXQjN9+UQhsqMw 1xDA5gV0uS/HSg/2QM16U5sQKEfHKWwvOSKqc0A2vBBIot3n5c4c/FKvaCidLBWp4BZMXUz0xiBt 0jVvM03x7ei3Yu7nxvYqgE+KIhPljDPl4OYeGXrgDjr/bwnJ8ltXzhyqepRqXxXFdULm5LY5PfPq m20tPwK1Te8xpxj305gkmlF0T4jM90V+BNrPw7Zljb6rICVx9Kq+cc6ZM4YTmUkFI5Q3zI9mJddb YsRw3k/P4RA1ui3tqrWgdDHDRvoxZ8Luf058vDLgctH401uRUsEgmREwGHa5G8087xjuQbbW6L8K s3mgwvKiekxRKSOLzF1++N5nMgOoqH4oOiiAsvmjr6SIyl5uFgj9uwFBIFsQfqnKOlpFZbuKuMHV 2V5dsmrcAVMtqoltCIRyVu+I0gHwEYnE6em3PDmMK11bbslPg1a1SPTaDXFjrYaTmst76PZ8dZFb mVkW20eNv6bqH0j3YkYGceuJTQ7AmYgS++LtAgtpWH16uzrmp6GN7wtJaGhVkGA/oTa7eXlOtxrs sScyIglORAl/yrufqTdjp/3wCNnOKx/urc/rD1Gd7VzkHb4tTVI9a/PLH2Axgwd7Pz2AZPw4emB3 /jj+KehZ4xt/tnoityazWoGzGEIZlyOGOLTrY6/BpZ1feMeC53hDtvapSV1Un+eqZizgh5vjpRLV sVdJPWTKF22tJ7IPRa1YfG5c4aZLVfbBGIsaVDf0VBoqnfs5udhuk8SAZQI0FqkOdvQiHjmYfMGE tVDkdOJkg6i/i5yURqv44sLzRLoruqDXL0Wi6d9B12dLZEupDAWTnyPHUilPGmx5FZ3i5i/JE+dI rClXNc60fi9Cl01k2ufwgesfVF+rSxflhlOvtNxbts76LHZgKKusNOeON+z0AXiw2LY0FuY+noXY LGjDN1s1gGIccqIg7t3+YF+FB4Fz9IAucIyQoLORnpnCo0MGRXPB/Q9EoLFku0UgM7DQajSyMo3Q WclGDnowJRfnrEoijQ0U9lyARuNFmG6k7lBwwiAERW31LK0h0/ofZFeQOOX2ejv3B/d+o8VL4hQ5 3Xuqh32K3YKhgaoRNqK5/qsQlGfD6jljkab+7qJR55aVTwDCdydaHEi+a0j+nlYEYmoUd+vIX42m LpIK/mSGdPW9beNTsa4dMNE+fP/vXa2PJIHkrr7AAqYo25pkXV8qRv51Y7/wwoQ3LUB0IXy6C6r8 OqjrJTQAU3ITyGC4OtPaHCUK6zEDXHiDZmsa5nHZWrp25iGkFrY3Vl/FZjtEoCuCfGyThl8ema7C yN9765qlKryBsak31bgIiaSl7l/o6qP+vWWkvBTJlZ4JJhpADsFu6CUOswCc50bNl0nGEuKqg1w0 aDqr1HvZdarXelIt3miwB7s7NacG1BeMGkx/+ikDf6FfPZLlIb98TDCVzqOWzk6zV3EpERZV9z3s ccpZcbdvrp4dd+TrjyguKYcEa1NLSBEX0Whpd91uXbt3g8pDrXjbQR6hSNUPgKChPeKF3Buo8QMC iVrbz9jlCv68/qlvpEqBFm9FELN9gIyMCHCC1S75EqwjDQe15cIwVvVrm02V03ex1wa98dKCdtjy Mn4ng1cR+BCspx+RC5VXVidWqz72cjAYtPTFG7s6Gz0wiJgSNLkCsCvTVhENgGQc+DjfFcJ5XyDP 5oSV8oZtXyhgLAU0ygLV9uDyXzztHXHWvKf3g88Auil+YzDYevVb8mBQYViiJHAVdBtBc/aiCMzp 6PwEYf7+c6gnJwaduGrf8pfxWq7ApOtLvyU6cxB4dQVd/EU0LPOV+bByyVHrBGVThxfM/tnWTovz s714M86GWhJA+kK4E256MF13hQc5hXIKBbp/l/22y51v1+2lWQVjB/fuxOGgYtlhebhncXKVdDXC PFiIdABsmQPKPUCXSblzPXs9etlGFImAMFzB835LR+NVdS2wyaljzBr+BCCtr2pl7YKqZfDnrsTm 2gAthHhsFn9JLAY/9SEi8z6UdmYoBHDTE3HU6IyOjPDcOFtTNTxIZdAgz0Nc5FgPCE9XQvEbV1KZ FI2hFW0F/z1DEujYDDbsQWBgWrqG91iKsoHOXeOw3Ak1T+U8Gs2oThW1OOS5qar8oCrwxahGxATU fQWy2S9wW9gj8Acp59cS4o5KCObRljx50TA6Qcy3glS7bWL8NJau1cS1eQ+q9TuWqF41QnCkMHmB 20xsZrcLztg6Tw4GnBagGV7CAa6B9TD+CqMJCRqwNXN3kbrGuqCZuduA7vhw1nPyXhRTj6/AJP89 cpTnzJs+MmibTFfl7RWOsSTuSCW0VV85oa2gslh6JMFbMQDUfebvZ1Z1YqLzVtE2x3PfBC6cyidm 1SeqPB8NxDN8c9JbhPvE5tSDwO7+WqDOeANMGtW4QhR7H07IyhyqRLJXxRuyyeAn4sG4wjNfug9w fQkGPixAOcqUJT7AKnaHCtgWlrkHWXCC1Nczhb6CNPSIGZvXY5QmZtJZggqS7J7RStsfoBe+vjJa 4/nmypYeTYv7CXz63k2raX5PEKdq3pBVI7F9ZZO9yl0bDcYeLQZFHf6VTP6GAs2ZaByHK7QaouPP 2sOs+7GRB5Ky6Hyd4Wi58b+jAwWC8mVRaQ0KllhL/NMz7EHgpYM62S0CMpDdYmBqWE6DJpjWmpN+ Hcu/ZCFPuw/Wb6PhjyUy0UmyDoo8IN43dbwgr5pH4lAaahiVYTTaE2qKcDJj0j1iwzNdgsFnBJvu UEcGugYGaCI6FibaJfPGyZsOWrAHKzH/cLNx30orl4FM2h9zIHP10BRGXxY1V8AjEJesYWHwipRD R5xgKxYeCEf+SIrPuNJWzhMXmt9n3PmKyKw3KIqPO9ziCTEaOkOdnrrjXqWIArgwRrIFLqK3dVwE K5tXqyQFs2KB+oz5YpQ7fnDS3ZFNwAdLSLfhcSeq1hWiqijrMmFLZm4mQEf0SrGQNdEuvxcKGE6L Rb6DfDI+nm07n3yoxklZzOaaKIMVWiYYe6u2s/ghGUSHBxN+NVMGyBsPwesK2P9Bjq3BR2Wli+v0 NUyqt34+RLhdrl41c7QsDL9pntSXoqc8+n2Uq/mjGFMmvc1qGE4eFAvFMWcrxsQ/GQ1Xx/iB1UNY 1lXls3aEiGTcooWlcTEATah+xgbbCM4Le9xMeUS5b/6VdoqH5vFllPoPyTPuSNq08G6Iu2Tmb4wA hjbZY8dJ7NOmmMcTI/9GhSGMV+nDQzh2w8Aw8jJJGObvgTD64Ue4DN1F2W+g+c1OsFaDMcv4c5qV J5rvV7WETYlNRJAEcC8h0MXMBVPa2qKklzqLY5Lw5h/YYXJ7SqwgZOGCXFpgc4JSlo46KrPjQNga 6DWz5OSwvEE7aL7ykmcLXv/1oGzvX5Ey3KUD0YZtwIt44Gljr0oE+0PnwyiAssOUUxdhawI3SRCI Z0ayqjwDf/J7rRfVsebHK5lPegQHLd7FJMAHz3fBStLstKIQQQiZ0DyR+0o6BzouHtMlZ1Ye8njj XERPfvvks+lFhWR6rep1py1D2oK50kIzm35DmclrpUR7nv+YqqOtzgihxv1wdIglmqeJZjXMqs8A V384nk17zn3qBXse/oGQda9jO1KplemM5Q7zffbcL30APPXq3sRIiNuiaLD3VnsotvlXFw8QnmEb bvUc5kaSyrlmtwuPJQRgGR38dx7aCXA5wptJfSW5xEJD664f3Dmuj63Y+kMH9dgKDgR9uK1A20Ll 3dUyIekKAu25M3leu/iQXLwvWs5ZOTzJn4qG7EcCLq6r7KhXxU9locCbJwMi+xE8DcBcaNdMuQV6 w2aSIf1EzWJsdILRkee3qzK63yB6Dcg5fyEB/SXQyyvDip1LDWx3RfgrAOX7d8TMgGx3FlG17hfv w5A2sXFLL+RBvh4MoF0+ApzJDFBtj/hUFxnDepUczv9TBiVQ2XXp4ggnkdhxK9+K/JeXWfZt+ZLo mbl/yvczmYv2V24T7C0su1L719XLxhoGttjxN//ROwKUh0W7Bjx8Ymf7aRi43vnLqQLGg8ZO1AWZ tu3jt63lDg3eQTFXnOgh1Ol8FVU3H9PWNUwVXamf3NSrHtSs6ypZ3nDy5gL1t/Rt8sAplIfiL2rW a7aEXRR/F/MnIG8vZ7WwMFYDV7tl8OKnquFEwnp9dEJHuGuDi/k9DX1djRbqJ7b+8ye9pn75+Zep FlFIGvxX8Jesv8zZ8X78dFI5gSZ/0KpwQKbQpVEDifBgqs3L+9SSvb5SME7XZwsax+Vw5ju6Z5EP 0iXnNmpEtMtOU8vfEjz+BQuk4G00SpWKxf+6ICWJp2KWRvSrPcZG+PakTsSogRLo5TdfnC7KHinv f5sXTyaHXMy7OamAbi81da9e6rIvwt/D/6NS53jKmtULdWGuZ/xypAUHeZ61BL/Ps36Hf27Wtl2x uU7ipaZSZZwAC51rzIkWjP/NnekE4IpFhRILzpho3siiX8qJUcCjY/H+foAV3LnbrhEK6fkQCSvh qqyUCZRG0usK0Mjvt8LxbSB3lqOFEc3VK0cW8MM2TGroEtUtfHEiqaHOe9FG5KCA7CxvZGA3KGYD hAlgOR+vsSQE5TJDVjvao3f3U8j6NiCBWhQ0ANhCu0NGAgHn9zzdO0ynScn2EABypZdJ7WuY88KR M+YlcoHkSpyKkDHYpfWLyPm79cuz0NJ299ch4unOHNERlNisXALB4t6vKDF+92ind02/Os3JQs1h Jvm5xxWr/rkUB10jDCtrqDCajkr5da6tZhFUGikqIm8P4dgA+QVMvZpbZQaeaAAQ18yAo+cGn68o ODK/4m7dD8vGXswpMucRrnXJkE2JAFbI80L0cZt8WrRTuZ9Sdxo+o18QzMjsNgPcun88KBqVUum0 Rhy75I7R32kSnoAcxAmg3M+c54pTZiR+WdEK5sMVj/iQKdDPSErb9RZ0/BxgiCAUVhmd9g81itDg GfjUrKYtHFD4qDFxk7ufyz8/+2WhiHDBAfsbutLge5+HqfhwtO/qL+0P1nHEFDfh5Odesusp8RQH t/zNGfp0Fi2Wc6MI+LhC65qKZKB3mDhQ/WTxr1G5VwhT6gkt31jb/+kMSg7lS3khuCRIYSEeWhyn RzZrvBDF2EAm0/4jpKCKLD9l4Uaeb7vYS44zV40Jgw+SjKcWoZW3dEOeoFBVNo64uZmh0y+653SK +T8HNCa2PBU2v5SWmy3plzjmzIfJ3douwi+DuxnEqNuvdpQLwvz5ghdicD5v+upn7941Pusf+F7x CNmtr2bGPmEt2wO09TE5Fv4tMsoTveh4RktHn5yUYLZkKp9Ga6tfe/KSk9wAH8eXcYqSuNX27GF7 y0BABB+A1lvnBtO3JwxgXRHQHK6+M3SbLISNaMspkadhEiOwB1n5Uc7FX/D+YmJdJAsrfEm2gabT PvVjKONScwtg9PNkUhNU9bIjgsqIB+orldYR+a6jUq3OAchAotFCQazZHqhYSO//QEK68HaENQcQ 8ncmW8vNQRBlkRFuaK7lqUdXkO3E8b4Ku5smBpa23ee5j3T7HH+oH7K7VOxOLiVco89mWiMW5tax wvju69dghf/oo2aIDpvNYbJb91RgiLxnAcOVIE2olJv0wCBdbDxKGiky2Z8II/EkCoaHc71Rdq+k b503I23ZNwajMvueVlYoOwy3rHd16frMkRqxzgG++cTMd6AECoTDqrwzTQqbO2Q28sS99wVftMGR AJK9NuCRZKauqm1K9EATkVM3XMWcZ2pw+3OQ/d9tLlQ/CsFC9ZyjRxS+K+sZ1X/Ku1ox1qq+YaGC v+nVAZNcIJFCR0xOXWJlIQjGq+PrOoypS04bPWGjHiEM6VOT9NJ3TkBfgaQwKsdkhlPKuAkEJvZC LnY5IRCfEOQEra8J8Ov+Ao+CvxzDZ5Wqjl4O3V9T1BHrF+6ZtQnrQAcDHikDa299nk+vCiIzkeB1 +3XOqvSTTNXng1KTmdE9b8TJfxhb5f4OkrLoaIVQlz9KuJgc6S8Yc2SnLL7lwnRgPqprMpA/P4UQ Wewy80v/kcUa1tBEJodo/yDFEChwwNcxj82mLKhoMrgQjpuum2jb61jPLtFdocVQamPB8QdaLTP1 BrmwJB4ugjx5adTFwMYQf0/5Dg5jrG62XkKfnkhalS9qmRl6yU+daFXiGzXbJ9x4ctkpjq3UUUOv eqid7GA4Of/rHnO83cUiUAG89PnPyumqW0+kaKZxGBjUmjx1e9YYzMlfHIS6mvf0Zpjjx7+dKOsa Tt9jXCwxO/FkxbnV8DI3Cs8u0EIr9nF+bOcgto8Edr7ZkqDNNjX5/EzPIqyNAdzSELDuZt97nPwj pKyMTj1vHCasLitUUoO0X0KPflpAO0HHbJ9zdDqQQvD/JRPP28lD1uPOtVcLvPr2HOdwdt2iPDKj 0WcxgxsGHGYVlb8GylaEWA2YZKQkD9mXQ6IfM2YhSivgXNLdZZRDqAjQtVFR4NyfHFgVoKXJzD5w fNXYxoCvXMf+2O3+oA2/O5bOEVOC0mt+4I8WNOUe26GnfKWxBFKxo/fdSTGsVedhKWoWpJfX/RSE FbGB24u8CvLj8Y9Z73Bj/zW4Gi0YCIay74CqeIc5qQ1Xd/PEs+XOOfYBRhagGTISf4udxGUvxtxw YdLGeEYsdbLOxRG1WbcXgqb/ol4MqaYFo8SJ2SFyFt5GNfWaMNioxb1v1I2Rp186jiDwe0fgA6SN yl5pZwSMY/Zq1s8qjPSl2yOLT6PLekMtmieOHuGeoidTkgMjIkly1ttLOhekL1lTwiYKGO0WT1ei ee9xc65GYJO6HIJRqGMKhjmmN5FKDlFgXWhUuVpIpGRX05VtGCSz6c/KU3obT9j7FRv2HXPdh9By RhTKeG/dZWwqKmL2A3+kKjlJghhchkAw5pXjz9hDARbESd6uIr1g/uFcb8ISATbPfGHcvAnQmevQ DP8fhltCoRXwfmVFIwuxe6H1MZnbL/pL+gpUyhkYESlQi+YDTryYMVi95MbxuHDJprZlaYzPsTxD MGkdUN0KArfpObx0/OzUXpXyzmu9JHLEaXtbnfekiBnjD/DnwPHu5uLA4oDaX7s6lkh2BIf/xg0W tRkXmVSadEoqNwV3z+31rBaEAIXaOSIb69tK5j7BukPOImG7ejf5kMqzCp8YPIewgfl0+wJPMvst pV9HRzsvg3TdS11caaWIYxpbAkz/PuYhdX3CfhZPA69WZ84giU0CCY7Y5w0j5jiA67RzFI8lxGVs fvs7kldxIrwaXTUi3YCzoAGQbNZSeEux2t9704tU3o5dMLgnmWqs6YiWdREN2G1N1SyLlCFXpgzM QYklul3L4lOX2KahSlyrDWy9H8kx3GJkQy65HV7PBrXuo88r5k1FhWMurdG1UryS6iqDiOLSrcCn 5oc8aPzofgKpyjKxO5KNG6nLWzgGuRPrqllOadOFiUIn5l+D6dfiwkg/NreqpVLFSSKgnootJzET NP+vP172iimgNtmKZQe/KX5hnx5WkKa3lP2YdMg4NnCRfDNC6VDwMh4Yo3M/t7S6nBW0Pmg8PJLF vkBELNJAJfKgsVFuYCtlCce4I0AIRbjwwwHTvvpiJZloFeKe8xERAvVOZia2jBDSxnA5t+f1LItw t94hTo8kqJQeUHyymkMBC+KPFyWoB/tBszrk9pPn0VP2rMRhwS21lwXfSOa2lA7aeqrvqwEDJkKI Mqv+OvR3phSCD2EaKXKgS+HrG6C5HT5XtOuf1mOccuN9IWZrmDi/4qMj+4FexaEMOOfv7H9lHVo6 gfyXuSTwMUSlbv+pZahdJqQqxhvt9ohoFbycveVb0EL+skhHYzCWap6SJVjHgVumqMudC6Hf9B+m KQrfHdMMmM7k18lN5uyAsz/TDUig7dpFJFBLVUkygwSSHi05QZSgp5HbT9On8Ri4KvJS1sz+sDZ9 IOdIlCiGAe7/s9vxo9z3Esw/ODDnEAmThfI2hksIsuYPIPRpH7onfC1ssA0k6flo9+0/FcjuSC5R ZK24e7Q2nHF+W5orVkgibYi0Cz5n4Cr9ayJpoSQEwPy03iQpiY7fsu12s1P+A0yEqu+VkvIdoc0m ja5aoM9bm/eTgAdrfDd9V69B21YD5/bAGr0rpOXn5YBQbzM8SYtSTNJM6X6wgBjIsgHOBFHllcKO 01qtFe9uLHPAGvw+3oMR5/WTMKPh/POTtJzzGL/3cE3Zga9vI954y2SivCiq6mhHfK6w6/7bkht6 vOkSdnIhLjDAuhzu/wHwG0p5Wl/esMIronppz9WYRQQRCxQ5GZcgm01n1lzN+jinubYnrbDyNLhe IWz0JXoChzLPrCDbEHJxGvXt3zITgIJtQZ/O62GIAF2PW8du67TRIn8x2ChESkkXZa6evUc8svIn 6FP5dR0eoVlhs2inG9EdzkSgQk8JV/rumvcfYnFtdQIm82+OpfQWDWgzGB2T3yWMhZZnPf7Ovh7R 8bJ4UxGo/0CKzBZ+0NJLWIpx0Z1t1AiOCfpEDIb5wTWFe0JNN0lXzQhJ4gu9M6YRjvRpsLREeWQ+ vtM4+772FHmpP9JYji+jcO4ZNJnHzdCR8j3fConefuApUOszgPc2w9cGCZyg20aqu0HhTF8vvFYD W/MmBsrvn8ZRTL+soV5ArrSyh0vCoZSGUgnCc4SxFBIapR6XCBHEZhjnw+bnUXQJx5sB5jUtp3Cf OpidceV71jJazLZIZQOZq0b9Mh1JvWmNRhVOLGh31etXGk7UeWCT7tN6mD0xXnhgC1xEYWYVlIfA v3h7PqLHU+9jXpsWDpYSp8A1BKzxxfpYJtvgKmv+3nTILMQEZfch5G0DTlYo68qhbipLrB33DTtm UVhZ1NFneKDQylzIWiTv/v1hPxjKc0OukWbQw9lFe25Gq7twZQje5eMe6j0ElxSImuZPYHxRjyEv EbjF/A25KHTqgCXKM3YSyH18vBp1KsXyqwcEVUlXUBBg7crsgt9FxceQYKVciUZfIlLUXfDB5zc5 lYQ0xr1vu81SfCo5QvQZyurInCF7XmfRyhDfqWapOjr+PG8/kFiXHWaXmfkjtfaNBdLUK313gmdt i7fuIDaID8ZjjuEzWNC6Dy8mrpTvGsn9GxFTyVQH7pDAOs86cDf69FnbgPWvCHvwNfNGOScuSz5c PcDaBjHgGkP8g5zlawupy6e32wFvtz4V2SJeUsSsoldrXm5fwQnCJNq2QWop8KhtY9m18xit03PJ 3pJB7oGHdaCnMQ5+ndX2Bp8n0YNJx6mR1SYIGiS44hC1MjFCXvIsnNncP8/D8jG18PaG7z+FtmLy 5+cC3MCp7SxpDevq8aqdBuoxL+o5qfVVKv3kWn6oRuIf15cubSxEA2SklJxnrWk6c+55YAhQJQF3 +qV29m0hjUd8VD9NigvtGn+55QavzT6rBWsyhH0VgVKdwOEWWHn1A4NS+PSeeMeYd61FN5Ad7P// wUwJHm55bB56i/0I/HfgzUDKfEoA0lAwwySGj/tOiaYnRqBUkihsXT/Q8l0Q3f118+cQHHcFPbCf Maty9jZwNDIQcq2CQ/bMP024xTgZfQFfPYVVqYCoS07lk7Wq0AWivh+nQRjq3Dr7X+1LS8UjR+BL hf918E9PC9yCeHAfuUbDLvLUJXUuXETZEfrXvp8fE+MxC37cua8ICd8pHMA/nZnBhqLpceJjxdBM Q7oEnE5cAf1X6EGV1N56bd7eGSGDxhYC+Lo8vMu8lwP9WNAQ+XIkQFt0BN4azSD+sOXVq01WlsjB tyTy2MyI8MdMMaaQygrXhB5KGz5cf3xbYuzcvGvXm6VVAhbW2KwRisXbclIwxa0uiYJitZB1a54N GtH+YAh3Dk88Tg8at4u4LRmuV5mnNyVtd5EIEkO4SGca0LlzQuCkiHLSXwvvAEhdRl5GZn3gXbTl uCJJwTl1KSkSP083xFTciKy938KAuWACBWV8AiGqlfUrehXcVSPPgOmiWJISgFJiTxY3hJVISaN1 kh4V+8ynrG91g8u9uG5/W/T5V2bRkTBpWJ0Ha3cr+oiGtDXigzdBdn8Bt/qilJJjaGj8bxHNae7+ PFF9mN66ubitfFiTFJwPVCf2FJG7lWWC0Fly1etpHhcU6nQJju6Us0xglL6mXE/Q0b/cTZad44Sj LPZrQgviHTvDpsJEYPtfTYQRLHpZ4hcsijOfhX3Cfaz14wScfY4Pw0a7662joqHBI811AhTkCpBq FzAKVD4aSFTfUIQ8Amx30iISV01Ixh50wg4GGH7+8y0LLp3UDDrsBZRoRh906KEGxD3TLI/2acN1 XWnfr8ZINupAxW+uSDNKZAdGxIzeSpQ9sbJACmdKVIS6NvyHkjo+DVXihHl+Da/+wNEUeRgjSl5R AHNniBLQtEHASZogARZCIOmzqlIRuot3Bx9Brd3jv8Z+3wCHuW+IeHDTwSDHIch3GYoFpzoWdKch OWvza/rSSb1+tb2QLpIZfUkejBPR6UIhCh50QzWoqFZM7nthry2Hp2ig7E//fpeDCsqhmUOEcRF5 TC+27EanwRFIbBCRH7goqhbg/JcKU9wzmqjSheGKICiOGxUsZrRtR+DyGpH4+fEXOAYKZrrDDXiz kLEWjphW0sU7tWSXiFaUtcAYEHxlA95mye0LwJvdIMio+z+oTw3ZBJ7WakjOh3K6ITH4fV4SgaD1 pBaHl/jdtE7ssrqzbkz6eVVNNNIZVnfI0/xltANTa4FQWe6xZD0c/gjvj8sDPgVOnRESefEut/3e 5Yz56n1m5QCbnsQlHtTDZxL11v71sMgklmr/OdlqnW4WfXG3qLcj0wP7lBHpqQJoRrNTrFTMM7DX OujzvGxTePCSXHInGRHHWnj98mNUa3SdxAIE+36zZfm5IJjcWj2XI/QAzOvfA25uD8TjJILMUnl8 2wZj2+yjKuT4XRsVsDudSnJGqqxOCMR48JFMS20GLtqybOSdc2hkmRCtZpxUrDXwRQSsYhZe8QDe 4EoWpAzb/1crvaHGLmrZZW7+BFnPAysY3PysQUkdXEhyRb3r2UKwl/iZ8+igYlVM7EHxXnEegH2q /ip4BLRuBiDuhTrBnCP9oop+wGwynbHwMTp6gFEuuk173mn3Eei3OkaizEfP2Dxq9W3wrLozmGZj 9sWjqVIci6TIVTFFr8938C4QghD6ELYfcZDacdPxfAGMRAICdfasGmhJKNHZSqa/sjkkXLdIGB4Y pA/qZmdmWNKHnkxoaBwQ9sv1jQVAubVGGsyd3ykNZv+fiDtC9Yqh8y8nRxassiOKJQeFe2o3GSQZ eNMbzWhXeewhJgndKE41JAk+pv++J323fMxpEhmcRiAoBkm+SL42aBjXW04cITuWkzpzpQzj+Bba NwBSvPZnydQrsW1Widbqu0s2e/tkFuRdazNrV8KdC2CCVBHBbSzIWmKWf52thQMjaNfZ4o1Z3aGX V2QLSolEVPxL8Lo6lvSTxTZco1+3084uMaBle7YbON0xflJx1oXT7/ymo503pQmwLuq2a+2VzFDo hUXTrvqIEeYqU0mb6BAzT7rojiCWQGKvDYjHwMmyVwcouAwSMLEA1dSmb6a2cQMRESvPSOMXWXet 5tJhSGoVVQzX83EEhDe6IBT3/Fp46Q/TekYbmdccBUdCIAsVqA0f48ZMPPNHjXUjdYgaXipr2iyS vNNzWWQK4fzR6LhXoLkuj0fk+FCnC23UhMo8++wD7Ir02/YV9Bkpdjm1ddShbXL9uGsPi1B3Ttd0 3b54482IC2Crzehhcd/BU0c7DRWZ4HUkeIs+9c5G/R1/SRgVwv9eq2+x8dFV9379YYtdHImhQ5bt ybF+lrrrxJXo6RhJTyBCF9QQbix2vjBG2+dyLpIj5UW2mAMW5y48oGOspiG0vmWKwrdtBcf/zDT5 FIHfAVsQl7E8i16q6FkBhnYu+Veiq6WhCeW4HyZHGKlUJYt3zdVUqz5RpgLGU95S88JOiMSCoKe7 pDlbwUSbMHRi0BV6kovdBuPN9WIcwGCHzxgTkpbAUoFUl65WvUvvmkuIAhedG3I0oWFPxb/ZvpAf jCBTka2VVfD98rsl4Errg9hIftfqBZjYXxOCJAxJSnvOSUhm2okZI3utX2Axrou5LjQ4/nTT5umx m+X4gOHD1Eap5lqOecOASu8+CiLOlvN2uQ41pgjYoT3mkXv1+lgVWGmlD81A2uEdDspnquGtR85q xa23zv5uKwLN2ltxu2OqI0//Kf0LWZAxojD8gpzUJ2B7OIF2JM5fCAkKvZvS9wnFaCcrEE2YjUY5 h7JU/ATFXOfDe9vTESfGySCjnGNyQ9Gw+G6kjCJuhRUdiJZzmYwSnsdUcG/lP9myWuQDF10PcYz8 SuoJ1RxW2GoCEfLkf75Dkl6m1iH3k3u7ob7XcRadgGXhUFIzwqV9gHMFDghyPaRdYe8pbrkAAiRu 11mY0XnuP6/RJ7v5DUgSLA5RfIIKs0GuFWUwNz1PwFJKY4oAeip827Ufy7uPgJDjol4t3aUhAbvH QjkX74Q6iDLN9nQn3LzjTdQ6/5grR54xnTZIEf7LA2lIS5de2QX7M/v+glIEodTxEYVQvoikRHZP ZXk9iMCX1GH47MVYUEUoSqcRsXqyt/ApPkoJLEFkcXuPn/kYeBxw4djc7oVKUXhVdofe34hktB1W m4KOpJ1VKN7PM1NzwI8JmmUwX6DkcpcV+mlo6x4vr8ym7gHvK2rWsdijt0NJEqsGAODXLGCnTx7z 3JzL2lMjPEcRswJV5Ofp5IJszGNtg3mYDoKusJ6myVo0QE9zKpYZYPBADJzMCnt4xg3FilywCR5D Ih9QiF43XhfE8Y6xDD5SXVTMj3yjFDiOzSNtYQxJXYCiXdjs8/xj7At0f9ifJRaBhFgRjk0x6WUl rhIZ9lUmpY8BYMKJX5dYHhCFw7nDOgULPIkqCZWlgySp21M0ps3vNuDWDLUrQi7EvKZU+QsGjqKe FfZD3CZfV6w9pkPHiERVeP68Us6PNbLL7HC8boTSTCUGgNShM/Cq8KjxLRxKGRvXrX51JswkjFCW LNYPP9Z8b2is5NhlKAUWdHOe+x4XhDkzP5toIPZcDOTHrq0ZZ4WIab1zVD1OXwLZ11nbfA/j4I9j JPDGsQOVa8LhWJgFhqse3o3JXmQ5IT5jR/aPGkG+sRiNeXBeZmi2Jm3QYpSw98uAnQYIZzH1hEq3 KT1cvQogVprvebo+8YV33/ARwUoK9/7wiYaL8A69of+jycqUqZI1dBQQnoBuwfUHWWJnzfJwBKyG 6P5mqI0/FXWCzb4DmuN/tDbNx3Ral4TAEWFcJsZQaSkBr6qCU7FkbYEjn3YLqeLjpwtBZaZO2A3k ZTJTQd2F5bCnZsOydREEw2ar5XOQwX+RqljqVHbjPo6tVy5VGASj6YE3zOc9wPdMYhkoy6SCwHxA 6cIRS8bcTgomlE014Lmv/WA6+OM/cc5kF2ocZtdSDeOBKAJ3xhqKTpSUvRc2RWH0nNMqr+gg22Fw pHcs/fLMuI9jHzrftEBlOfwhG5FSU1ooo0gxeDTddY8s3k5dEOhqy6Fk2IgrG3hohU20Pmbqj33E E2EXjiHNw8O5WaieCYc7bJ/Y3VqnfBJYE6D61wah5UFL2crvEwwiuK9m4KuYwVoCx6t6sXE6epTn 1UG7u209FLhtN5U8+6pQhKZt0wWv2MtBWiJ2t1wR5Ib6WwHGHW3Gok08IfFXriV/esBT4YrbZXDg vRlWJPuEfJczAIera09w7XqgDwJsgB4t+HLehEqM3gwy8SS3xlIbWbr+GWMz4ClOrw6VIlzohooc 7vxW97wVYenGmo8EyaFFsyi1RCl9i+PNSRf7ZaxiyiS8muFjF9iMgG+EYijzxE4uHcUqUQzZmxRe Oh+TfUfkkuGYyLKZZgNNHZvaU/1Ovb96D+9o7FKPYaCCQQZpgq0b3GDybD3aUHg/gKXHQ4xFzYMb peqVDJfaA8IlpCx8UhgkxWFYgGXZy+LRCkGi/kxc5jpmBh1wYjS5X8hEPa7PgFvb4MREkCxmmTi0 Xj1r2w4+WoTyM2/FDWztaSsTs+LIh6IQsytt8EAZ4g0JF35PVTTuw1EzyA/ojjJbKsiXpYVWTLdL deqPP6YiVDcHC6CAyfWK8HFMJvWCgWbvHphujdQtIyBFff9k7sEqNMB0fO1jmQTZREt5yJtoJMhD LyKMNNcvSGbd+v1SNaycLklZsUSkUNPXp2Nu6a60yvEWoqXqzE7YCJgeRKm4fyY+rtrMfZENWVV+ fzjK345yl1hgV8To2fppJZSA6Kw0ERHn+jss015+CX7JI8y68fNUSBnELllbTxwopURW28ZYYsHk hnHnpOa+y1+3yTTtibx2Lg0D40zB8GvGmEtqFdUyddA6O9z2tL7HuIyEvvYCFNecEnu83hXqcvci FpTow3JgY8/SPjDvYih8Dc9IV6MzELTSHh65rhhvDsQNHgXaHjxQEALBx2dslZjZHbpVpQTelDTH 8bvkqv2w9xppXrlA97r9ygAhs1zz/0jFwo1hKUEG59ap/qXupatD/NjlrGoCkS1UjdZcdN2HTT7K rssQq2Qa3GQXTFq+3Ul940Ww9x54Khyf0BO/AVXyw91PP4UPlJUaGPcbsuMEF+jPq3zW3uFnl5DZ sapHxkCEM4NqqyvbQIicuy75/0+A7hnuL+ifvByfnYOlkLap0rNMSLtLlB+2ikDSX9tI6skiFrQp fAtQTPBDHLRK9g5tzAOtag4dixUlYKaJhJXPz09FlphoJ0sP6gyMUWZQUbR4ZHgZKSCpYScA6Cxq Gpg3hi8vFCgVKuChMU61IeCXv14A2jqXs4rqOA1QOe9h/QbwpGocSVMjzCQEGM+2w3Xmu20pYzEB 18vBPQ2ne7/TzuuT63MLd4fco5aRUjtXuL8yCdaob6ObUd/Fe1IPecvzWw38l8COIn2oby5yX6G4 8MaNnPzTx+/GWvKH8LMljclxJL0qeAtk21eOLiXmss7oBts70lbdxWK5+Ge0OhPKNyPmBQS+lxx4 BrHSRRkmubrocoyFh/bdCvJEWZaWqz1vt16ImVle+t2PEMq9xbs5fS/s9ipCHCdr3TPOMnI1N+1G rAkrp80T+eCJp9pU9zpw6VyxIZqQhlBEy1kuMR7aNU6Btqx6jhVfOnx4/n9AGP006Zr7UHnIH4FJ w50/FGZkwE6W9MWZKpcLz26m0tbHvTu77dDlSZB4/UvirlnXiCes09PjDDk0fhGnvVWZs/9M/uPu c2XsBt5ceu6GEXe31R+2z98k1+4WMt4nyrxkkaOtxww7G2gC2Tw3V8Bg72Jh99F9lVgWSxT6lIJV soUNqABXy//jM6s+sd1WuT/AdzpmJbdecuIxFODFH6WqS0LM0g2D9g441XHCGlX3NgOtqdtKpY+h OeksHm/qrIDe33oLjhbHEhMEr9KGEv2eTwNLnpMi5Qnw+cnpXj3EzjMyuCJuR0s0RhrFesIi71p/ 322zo6KuP7X5i38nETc0NE3xgpk5W0/9Y71rGHvmXllXeuxQHj0uFj+sQiTVJFUw2EldzwvoVpN2 Xf1iktTCzsAVZaSNTJhJ7F9HrbL3gVh8ROGf5kB1MV58f7LHVLcsnMxZ17AQmkKDMiatNvhDAWNa zBvele9lZp7NXYGAFVhqC+R2rtAlVNLx87tDkg1vDE3xdsrNYa0E4lp76ipwaafkErLvdxdgo65i slKY0nArlsW+4rcQv/A589ZdZmHT6udbGAo1AKzH26Qc8g6QJ4UPI3GR6Wjc954qUN0NdDYZZCqz g4UyGag+KHBEbBdvQ2/iJ9i2KxkTFs6U+59xxCMdyJpmbc54vJEgbCqcc8Q+kahF+ilS3UKojqXg y/vYvxudYYAS+V/VgfiDnOCvwgGNAsjEbxg8ni07ZrtjAXHNOavS3VI8+Z7ZGZC+mcXWjtYMuXkZ P3i1Rf4kQgEadSC2nefSPmYZEaOGNcge/oo7sC8KcrGTwKDC5a1hCSvYpXuGhi3u+ucxiJ7ZN+PF a7wgGY2/jB7fb/VSirRfl1jfMOarnxjFz/eDUfaZpfDIfszVmc+tsffKdglCbtFKOOviD2MyXeR6 vgpBSIcSUrim0L6xwqnjmKzwG/gazBiLGeScSuuK3VOzAtA5rMGyapxunxweBaWMOygbYf3LGMSl 3ob9MxuiNA3+zRnkabFjsxWU0o0y4msT+vOfYqIuPLnYojWgReXpplVzuLdw6DOvajurg0gtGjuX 3/iJtszrIxHq21i1CKxOI43ZfqZfGoeGWNSM981GDF/YsfLYysy37/3c82jKGrjR2e3itkDEiElG JkUBuCiXTiB7SVGfUH0ajcUP0dh2GgEhQ1rjkYIOxS9jy3n86D5xflR+xSVBO2R69yOtaDWLdxBR UMhQLppBEgc4PYFm6AYf4yvfUJcfzxlScuvtpmMN4Q8iogwtstAr5M2zIyZ1uAxCYpZhtK4e5ChT fCjlqQp+z6tQyklvxnrZim/Nuh7KFPiVUsw7XKKLmXv8tLcaP5kJhp8QspXr/QfpBsMNSaWPGa5Z rwkWhspwYaLN31cIFUTtzerMc3w8s5g8sfU72HBWZ59c+2kycVF5aPRot+zc/aTcXON3bnoE1TCk feyH3y6mNG+AsvUpK6lpNWdwI9iM/e0IynsQj2BKRLzinhe4aG1Bij16U6J6MG3hGxKyErGci3ID RprOMXZ5n0MpEcOdzzNTqdVoAAlACXkBWD8azww71jYuVsxhKipwIlKvVb5p4Rn9WCDd+Vt2ufA6 5v92gZq9iCxLKLePu5yqaazmoz0S4vij0S6mZ38MdMNfAxHrNTrLjpXFebC+oxYTr0BA7gZQ2aVi 4uPKaLjFDOpRzah7isK5kE1M6dPCgyISJKK0Ek2YPaOTOWPVINOOhWPMB/sWNWr/BpR26Eul0qpz bU2Qzqfl0tyNdcB3PB/1H4xhy+vrOUnnmRmEJjXAu20NBsKYnWnbSgTKlZVmF1vqrqpcim8qdPuw nYUDEBOlRf2JR4bVYlKu64bJhrDI0oF1JBEwCvTHwqpn75HkMbPOfYegnginCYar2xLBhLrnL51z YEBCMN7ah+xJW4dJwlKXXy+VJRmV2tb5goV7Wwc3yJAlpgI+CH+cydYUABMDsiQ1AeuMEAeR379z E00d6XnOEnMdqBRcNkLGvauBoczpiNhBDgH6m9rwPtNfMlG8Gx3j7LjXe4GG0slVwCZ+XHgXTjvK zrgjAlQdKMs7z+by3vqKpS11i49X+Tbrn2ZjYKTC1mr2uWfcIJhKinxGnbRY6Y1WIaKGKGABge97 ji1oFQQ13LkC6Qi2W+Vrfm8vhhjGcNBq+PeEg8Zb62ZwmqUPmXwZkvpTDfdaTGVXdm5N66xDNuhX MpVSzIMJvq+TLgQmbFm7xCfSSFRjT0Mxr7KzCL6vqTK18rUhcyiD0GF91/Nzf71r8xCFDwF5Qr3K vHw3Sa8ap+Q7W5MsUhzLTpWEzK2meaQCXYjRHB6F2TzNH23gee0cTJMFJe8ppUwqYz8giz01ySEk Lni/+Moz/sJdL93PMPYu+O0vAmZ76Vghod9YgWfcYxx4f+dnY9BRlzW7q3HB3G4IQGkZL2YAAW7o f4j6pzHCcTXCYYh/82Rs6qnLLqM/4HCbZBAGIMhsF3N4W7oIspg9PauJwXrK3ZuTmu36kXLtYxLv XtWlUbZ4RiDyiSFijCVc9ulptM6ZRYNAAn5FtmVNQWiGFaJDznBZiv1QtTdOyuxkSWvZ2xygO/gm Az6R09jB+8gRoX1Qov0KcjMRJkZeKwWVaqrBniGsL85BjQhYjkqjnPpk1qcmuhna8Zrc9vWNWa7f rVlgbNKcrB8Pfyz25v03q6xwqFeqbbwCeQc20f+RlrMA89D2icG+kIwIgnJIVx9hBM+363wo8d27 DMDE8Aqe5BVsrqjIkwYixtUvN1YYkN0rmJDRLgy0YtcD6eZr7euZPdvNaiv4e/ihIu+/ntkxh8fz sYNPFfErKnYQBCgre2RhgM1tBmp2fvkMcNivddNDd/CXOX7BOnkhkFGZ+yzpSNv76vHonibaW803 mi6n5M82csz+eI0JV7JvHvnbF/Y32ZNatOCmscwB1JPZTjhnWu6RFRphTY46sEKVnyBHrmgILBMq eW81SA0f4GmYuSAodNHO716Z1etbMAGzslI3n/90b4vUwJYqnGOwsoaqh9YMH0qc9Z0dlKBOneqF jO6gRURAgwsQt6S6S0NULRsRHIGn7BzjQZoo2GYxfZ8YXPbCPAVsvS3+qeN6dCsWF9Rv0aGpurgC /kEB1N8s5uH0cAo/5P08oQwdKoaxWSXWkvZf7G7yvhkStttoyWuuS3tZg4ofLQgGYeW4zbttd95i ONSKTlH79h+H755JRca/W3PudSBYGc9UnuBsRlZ8MQQvtpE4Hgg64/Howhm3x6m8G3oYeP9zY315 vwVN6+x2YeWvjocNdZ4sz3EqLp6iIUusLsQ/zylhw4hSNdqrHsbveSJnbCmZEFsyZQmcxQZabrdk 1tLtqApETMmKS69XWGUt28N4VmzQ5cKObAlz+YlbM/WggxqH4NE/6KLLeIkjVb6M4l4nMRCrWYFP rfTA7IQk0RiLvU7xK7A45QC4fOW+LdmFUVbslGlIBJ2xzW2w+QJ8hIrW40yYIe+6D07smu63z49y EuWraWpAaHeslCl7CUwF9yscckUxIgIxxOZ2GyZpNdNsk/7920XOj1MUwGcIVUU44Q4tkle920R9 JaNI4hR5lGz/cMj6H9tAVOV7cameiEsqtITxm0SNZPjSHp5cJTrgwT4Kpw0xxryryPOMs4xPxSl2 9hvkbL4GqeI1vAkX0hVg7tdh5mvzPkOOv+TVB/F/GSbgaxFEc+RfWwMP0XXld2LOq7oEwblKEwvm ktbDd+L08R/jgPT7bBc1qYEFWxg+4g4igFtxH16q0w8C3+yTt2P7t78Imj9eAxUoNRX+VNMtu+SR gFwpBv0imZz4bfwD23K4retl/yS1k0fPvLqZtmEv/PMmAdjccVDY0Ku9WBiJvIPlUC3wLLN785DW aJsV709NAH+7OTN5A0Z9Famcjn4niHCDg5vy82Y1I+/B61NZtXsljPUOuDUVsiRCUBh16cDmSfkD HLtyXBJgYdcCZaT1UkMa+kMYy+aIek0XCzvRfCyM0GPLWOvCr4dzk+qLl0uu9cnk87kVRbl2xx5L mBaiEN39g6ymQOebQskKV3M3kU4y640xJq1sVnY+lrr/0okXtfbAGCF+fE+jQWtmrmqtEmBJ4mZ0 +jEqOciG9GcwvCvIr6q/vCcRN+/FapQgm/qjt0Y1PLq3dQHggspmIaxaaYadQiBUnpQfbYvFey87 1rue97JObk19Vig7x8zLeXvNOGPyquUDfTHDiJIRkMIlO9Z3XWhbbcEcE70DqMT4c/f6FSIbX6Z1 vrhyVjUnwjfqIaP4FwfzJmuJx9AgVbDVrOzMnxKRqsJ/lilSQHAFKAafEqZCub0gvvpWrlmpUFZ6 hG0T3lkM5wua96RT50p5hEu/IFHXrYQNfbTbpkE149jzpPdf4YOEW1vE9FY6IsZgiA2Vd68AZsRD 3XNPYHndSqblGthOiMg9WkaksCMcdnSBHWgW8BpElB99hrKgyFa+5Q6KZwzEolUfZJFzYg+dkhqT jM7XjvhznsvRo+49O5CT/m9iCMxKgafPB88fwCiI8GhvoiGar+vtXf6Gs49EO3d04z3Nfg3MVgEG gj2emo9lM04Z3ghGYecKGRacPSPmI7j1KaOb6+ROWwuBczmR71Dy46KSbQgCcfDr6i6bjyH7+Fla YViHynd0ayL+ZB0VXMWA3ZpgfbWhyZkaDkh4tf95fDEECN/LIHD2YrrFqToheMgq8lqeizg2qAwz lxT52ZIOHmRlxMgF4tS6+Gta69uT1voTI9VeP1NnLkLHiaI5ZfcmVcPH8DXTw85vSl5lsabrgYux SllBHIGBvQS4CX98yv6rdP4kBO2h52CQ9SICKoe4w691wE7OHYvsJv+Xj1y5rk7+d6n6cvR9MJF4 ZF9wDQQ8H/+fLPKt8wrN6g9bChaeLkgjFbb4x1RH+h//Hg2aDL4erISOA3J1fAr4tTZaedc5lZ+U zRCwiW1qthn2T29PC5pJcZyOc6MhMNDdFHzwf55UgtulmSTH9yOhwocMtMRWROHXdAKVKPVM8c6R Zwuc9N1UABvEZNL/+AxxFwGQ0teGn7emppOZDUuFEF2kNas1pACRhk4S1Iq9OC4HgSsNLpUFd3wK kpX0gjx25ycn2RPXdOp7Ud5qBjTtHI+uvm+ne1GCQkMhaYW8etIbVzZtzLj+WzB9YiU5yLWYoViY ROlC6FR2ZiVij1I5r7JEwSpFnt6vgZOdniCIwkhk3dm6hKDMSY1KC6PD9eTVhZVqTuhPacemMbDO IYKEM5d8tDon5bbcw1ktSMouYuwJfPgzlFuD/03ln6VrPkbJ7xkrXCIpXXbTItGk668myH1qwGya +je+pfxpURTn0LpdOlHlLJ1KCQckI0XSFCyDovPH53kAzQEEtHq8gmWZYAdhg+N61ridAKTDqJgB 34PhwMtpjFpG5ZOmFWSyT414f5u1llOMmv11/p1Jyco7ypbB8teJtSq3hevBRod4f/uRgik0C7Ad Ctd04RIs1OUHrWSSpvqKYwR6G/ZLJwBklPZykL2TmX51+AdCEj0RrUyt577LIVzFrOK9gNyIINaC zLZkmFRsC2FTGJuONyTMjT1e48s6PpVI/uaa7965ORgQtqCDTWRPak+uNfnTYfJDEoqJwuWiTwqR kx6SnYu/IG9XpiZdEz9IX95639vDJloiAE9ipWHF13mQGKMw0pKKo4DjSjnsX8XVSP7qdsXGlm7D +D4rNKeIMJe+QWOQE97+6cIMjXcvA1n5mOEBdVdq6SDgb4yPCh5qXB5+Fd3tbWO6ZJVT5QD9tDXf JJ71/JMamT4ug57XKkB6LrpSQyF2zqE1H9whdeE0gVsJdhyHOB6qy/WtCauLiJ2Pro9DXS6hB0Ml tNto75J0Tttidzr4HQj46NR4BcPhb06TgSCrHHBJAJiAxKSS4E1G1Ei/4zMACmK4J+Tkc0Ob6+iI c0hN/AYcAa5Qd9+KX4w9nCOWj5tvSahdu5NBHwVOrfbHvK4VLYh3corp4DSOHhjjszIvMa6KoM2U m9Vg6WEA+8bE0NzXBoh4R67nw5Egvlr8NiRmOG8ZgbVJjSeaBPUa+OYcArr4iAuDkK0rPz/wyTXU Vgb8k1PqWUw6z8/L8d/k5EQodGv378cs1r5D8AEclC0QT8iTsZiUWgbyfTrLPmgmL+ocPjmo2QRH 8EqPrlqtbqFFk8c9N4NkSaaBGYExMMe4aGJ9+ZohkVw4EHsOvBRUtfIcxzlZVIIhr3qX3zB5J+qm d8oAOs3Yi5GKYpcDyjrD88Uu+OYqiXMfatzaAmyyiOVM2YZeekx8MYv20heCsQxku2TXaLiUzEQn wpv3GfkQFUhyXfPDvoVskdZ1kQynA6OA59BriHJcK94UjohvCS+0T+mSTNnjDbGWKlXhLBMzIT7c EzC/CQEdAdr+O7NwyWlQk6Xogh/BR0x8leMSSIAuNiEiJQ7Vw73yozSeT3xv+9lLoTS2ZK/FBa1l sMc/qYvCLoYBPViHNqX3wG6VsjK+Az9mAHeziqY6rW5Q4q5/ppfT4cn+hkqcedM6VX9flJy3ZBee Cut2rAQjL3ruSVsqX/s/R5fPdnDtDkKWVHJmqXN8IsHzAVOSTKi8odsAhWeOlEok0vUzTu+jEmsV 9RXfDBQHvPuCpmWt/1DOe+F25iLoyA7ywqpgHGp/EtkQzWlPwT/weqGKAsswY0VCja1PF5N80wnW 7FMnK1TaPovl4xDIhHJd9vJn2QazsInAcwxv8k2bbSE3x/8BrHgFan2US/belO/zRaDYdvt0Cd7D Ev0tyNekp4JdPsN5Q0R7AT9NKsS1pIhMpLe6LMNHT7yC6MXMyttn7ZMhsG7Cjn5AAZ7mponKDHQ3 Igl+BF+Azx/Usd/TTfqq+R88I2OVimNPFS9P+7vgGtC9jdvoqD+jSrrNaClS6vQhnFuMUmyZRZM0 n61ZQrxpHyWBqsq3wLIeMYOgnnubAh0Y63C9EdM+pRyHywATUPWbvUZLg4SdOVYyTp1ZxPbAC7u9 JL5Zi3hIdoU95GuA898aAy/waSB0OIL276F7hSe+KUEYkrqBMM8L3O+gQkO71jxAjq76z2OVcBiX tsdxFB7j7LywOZBbukfCKxi358sUTBHpFldPrBC9VpIkUp6wxF+gguA3ORHgiVy8j011UkMKjcET xx35bU1lJcZWEnCEBFS81JQ42Tfy1huFA0hAO7Hc2UuK7el+TKRat3zAIkSjzmS2HSBa2BczyvE6 /288Km1akGhNbivh/RmFS5QivxOvYsWIVVfhVSEcTAPiZBBJjIr2uWvzN9ZIotOqsA0ixtv750Dt ptd+98RjuhJS63XkVZztG3DeaO4SEkf7IPbq4Kdt77M3/20kCdF2EBgmCuoPasy3feGv5asKbOQa LFWDqQxS+cKZ5+hZ9Vq9EeWPiifYQ4vYak2DlNb80vU/JeIXACcErQE1y3Fy+MiaLGFj3eSpma/N kMiO7h94cMyoxO6hWWrfb4WEQvXppuuFzmvZX4f9TOXpv9hMVGdL4TRo3MFFUhI0DDFIEAnm1tLr MJRye4KqukHnCH6CNXn/PivZg4VTepjX4iLUqMgC2rehAoVOMr8806QgvYcUoR+7eBjutJQB1cZM XZR4YuLgKOv/G4DT8K0iY0qJkB1HKe8XSmU8peJnNCCJISJ8EA71Rf6KYWdTvnVzNiwpzZA697qj ZGvScV6qBB7VXalYoUX+6S/0tVjKSlbhGrJQaxSNbl+RuY5h8ovMKboPzjokXjPyZhmzU3SHFRPv Udj1zmWdZucSPDrDSQQuJ42UMec5gQo4ASSt/+mmmDCEPi3C0cbzWfpL80P+09LeBbZtziTqaSO7 IJmeOCvdOr+UUqPOaEnKg+MUHL3GRJqJVvFdQHZ4dRXWhdbyzsbJpJBwWlu1ny/n6d/17NjRC8Hm B3c7P3jB5EAUwJrknKljtOfnvo/Z5KyXnaTVPxsvf9MCFXEvSer5gVV0QcQnPShNbYuVuDhw4TF9 4Z3kH+MfICI3cHNrigrL+akOKfFvPgsTiO8eZ5lSAYYUdp15gB6uxRFvUCf7dIfioUXfgnCMR4zR X1SV2TmF9tBNueiiHEcf3asJbMBM5vK6b81FFn35KAwJD4iWeUh5xJLY8t3XYjvP7eZS7J/LxM0y S3NyQqwcp7Xil5m1ipRiJ/CHJRIR4Ei+75VPTquPFBWydOy2JTNLm+k5Efr2a4lLLToUCY6O0MX4 u38HfuhJkWpCQmiYwWjAVLc9qeMv62rER7vF2L/gqvZvrgbJTaTD1PzXwhQWVxlUmtdkMVZeORBd VNA70ekoSR4Azj3WwsjqrkhwJ0BvnpaL/wfFnrhybjm9QicJ2Pza+MYuGrGbO3dYmmOJ5+Xeew1T 0IvXhtkpQRw7b1abddT9J+VRd0lT46MjWcoSrplxruS63ZI5qdR3Wo3e7CJipj7PaHyyLH48PDYe LhuRTg5z7TJG1OYMDOCK5fN49dAs/HvZKeYmshcsUHBqxoU7o3wblF084xKqGZ+3IhNA4bIc7qww RfF7VwSjsrzDn4Knc67JSWrkh2APmmRgzWZkgN7K9fXOcvR3IystuZMrdEZnRMfMeBtNurHKkMmX hoVCTTmbDCzh6j8wHZVH7wg/Mi8Zd5uaRyGtZyUwrXq9/Tt9pBFB6lUI/wz4qiDigPRNaqMCnvEM t/8eowa4CWH4zjoMJfXtllpHhoYtFIJYZ459kQn6ro2AktnaCr3edpVWGWo/gQ5CiJf8Mc1RYikA bzqbxgyrATurcASRO3c/CxWZq4/+bISMraX4VpT22CxatsrvajOE0xfQhfsjRVhiKL4SVD525Yp4 oeVOFGFUM2oI/xRrYTF/wuDXJag5zv2uxhMwGfP5yW/JUW+JTDTT4g5n5nBRkIYlMuEPvg0jrn3q I7HwatCyvyhASi1jhsJFKwWPS/xRKarprUIqv/YVu7l68StxmZ9T4vt0Oya7eAvHCLgbw95lG4my O6zYRP7ixdrAZepXEU26oZAWwNADrv6SQ8nyWOBcU/Gq905nmyXVfJ54JEt9IsQEOR/SB1mf0Fz3 3m707U9qs2eBG2Vq0OA4jlCCCluSqLej+MgLGP1P2HDUheAvrn6Lm/jE2YZoWuVA89+hqc1XII8h /abXjjojUr1ZVJZvd4iW9CGrICO4KHa9CwAP9dhYoylEwBWPfjA6/sfGAQuEA19JJZHLNrgJEtFl 7IoWRCkbgcpoY6Ed5rlUZ1t6NxFIr7V9xnRw7PDQXTz+cYEMET5KKWKjQBxLkO45+jDC1P6z/xXh BP7OTCcaJ42JG8OF6uCJ7Mm4HI4KiNK0jRSC0B84qYFTx1K7vHcFhfAh+hm8mkbnqdo3erOAeIQW VGtNNMrsT3vwpS8crqq7cV4eQTxkHQY68sjF7XnO3HbEC/gf4CU/KrgLR5lODFhmk3fsvHL1RwhD kjWlUbb5Wi9VCG/BMeomap4fhTW1SPeWwEy6nfRop+J8MqKPuZaPLYzL7v0ufJ/ULaLpipW2tVli m0ACixa9QV/jBL67tmMTKsZ22Bd+JTy8u7929vrSJeASkPhGWAIfpsmVDM0lvlu22MIP60RDL6ES QUQlSPhY3HJnp5o9RxiHzR+tsQrjIlm+G7N6jZtBCzBTERhn0dqNORFNzx18ShGBhcd8qeFeCM1l OLLncFicacW6y4cIBSc7L1u0hfq+yS1DdvwYKknmCEWYHjl1AOua8xcbUVw/5erNXkWFdjLsSnd8 BIWOtOnauOYeuiQgc5qrOlyHqVefKQs1wkXKBA1DWkYBqUXx3ZT1mGUcVFavYR8bBIA7h/uYWPGc 3FAseITp7wzNsvesuIY/Vu0LkAqnrG83lmMN9XZ7G/W8rvzFCi8oj8t7Bp8sDed1QJSEaO7Q5ou8 kxmHqN2tPQ+ZpmCt8Lj3Zc9qc/xS0WKkk1GiJDe88MniDMxxpn0ehO/g3z5f6Gw3liJ/4aQP3WXI +tpvt4Rvu3hrMX6m8Kc3YDHQBRZkYtB8XFw/5YKceafIcat/WK5K+DnnQStuw6BuNFZ+yNzPkB2d sSAPHp0mfglnFMQdKtiDeSKCNo132WuX3dcmlQ0wRsoba65nY+JhDiPGOpI47ePzjBsUxv3OckSh 2XQh0DKXDKQ4bw0+BTIO+UVAu+UWHgpPK/7ZK9wF/ze8eUNFJ+D9Ijz7eKxfdrygi/pxmENgMCyG Z1SexXRRNLnQdGya9pNB5opxCWsmn1TnRPFqK9uBMA63IY38hyOxteAV5FHnuDFUriygje/Gs7x6 iA+5Hi8KcY+FygEPrtOB5QyWP+BYy4dp/yD6MRc0kjKmIYeF+YB2KNxKXh9yevqGQp9LLiYqDGMo 9HY96tZgikV+hg6UjA8kQIA+J7paKyIzm1mTHDUl65ApmP08pA+OIv8rR+7wV5xGLmTR6cjp6mQO 0aDnR9dHaIXOEOBRCWL1ymZPTRmpAq/q3jEtWHua/zTx51sXopiSuIXEnnNoNnhbyjY0VMTpZEsi Ot/2DUrdZwe6s4132KvS+JBzTgwCbLByjxmf2lUkDNZdBx6hKCL7ykq2PAJpjEabk2ODRfbVrp8i yYotKShnUAVQFqogVEvPNC6a/VwU1OQ3a7bnnbEIEMHcxjVLKrmKkY82JiA8VTVPNVVNFh8CplB9 /MvJjfk0ZARfrgnavNKkCyTk/qnsM+7UJQb7Vp68IUZhEUIroi95ulMdf0RFZ1BAGj0jph+5XLpr lwr7fzAEhlYnVAlUM59N4Zotg0au4Rposajg1G41JmqgVkfVVtV54VoCdhf/Nkb1baqEs5S+QkoR Zyq28mvbT0PxxMjp6YeaoqsszNhs1JNfKfUHBQP9l+c8hAtNT86eZSHDbkKNlaIXrAD3kwK1MNj6 G8vsuJWRNTf70oMEuJkExzXRp6slQflUvEidd6VDNi2x4TGikSMa1sCfJjmA3roBrtwFvf9wtcb3 lBI2SEHf8I7iuYrh38h/nbsu0l8MyUatYO/uYJ9/zHaecYOQeRkSx9kJiKuPKfF0lEkkUDrmP0H3 IZV9FPfmK0eGdRia1dqPiZJs/1lHfbHGhLiBu6XmiwYspdjhLyM1kqb4fiKaMuOln3yCo77yPdq3 AuN/thdLR9Ib+ZxNDlImE2yicTBVPUPl1dVCwZFN+qapY2JzIW7iqi4cqC0j35ykw6twoPp7bAwO autDkz3R4gn1vj26SN0YP2PRdvW/3D7pxiBEVX4r4tcU+QJNtmM76HdLjQnB8VZogxfVZuCAurU7 mLb4nsR7l9N0LEYOBTpmgCasePlB3sc53IGeVOUtVzW1GX5WY0pXp+ynm083GoG0bZ8UUYBkPb66 i9ctJMdKw7OYlHzSQTyuD2YYM5yWC104Ar9x4c0NbkwnfSuPkeTLu3iycc+UXr+rMzMowAEtUCcC lHgmmVVOxrLDVJ79W9j9CU7d+YvUGy/JN3qvZn8/DvfBq8Jp1OmzwXOXb+MT+HS0aa5qHEsVbWkO haFKsN3uvnfTkLj/IEJSgBVLnf/eWxTX9DEJL0GuK3iRhtkbpHUp5ocUNbjiWlYYqLk3yxeFpc0F UVfyeP3a7XdzTMVjDQ2UyDkdkq/Fj0nZYDVLmN7qXp+5ByHuYjl5MRU+LQl3MgBOvJnrirONPfL/ mWfAOr12rOJBIyK6O9edry23FbLRv0HczaGF97qwarwRiTg7trcwUMlasuZKbPoUuLy/P7rsdh+S Yz2SgSJiLtx46/kPfCQD4ML1B8XKuj5Y8j8l/yVqG9RgVWrSqu7+WGFO29cjyratyGVoYSMWPuBS O/sKPH0S8mVKRrpo3gGa1yh7O9WTbkxm53ed+/w+846wOToorq+iOxmx4H+Yn0PcgbzD3BfQg30q OZxnjnYaO6W3kd1lVmkI/2tEb2fHBkiWVKW+sxtzQhj5uxkdXWT86lKzKlcw2Qa3PtDWCqFKu3Hv TTWc30eIJrgSIKuCISlpXYZsYKqH50V6jPNrxBO6IJK1LByBOW9D2yjRNptul+1s+1ZKF9MoK4IZ 8jnlebTh9tvMBK6e1lbDKIbepx55y+1rycoWs5KazS5CyCHnaFSL/avelE0t8ltNbiNVBueALmBF LLKcPG0HhcO0bZbFnFgX4s6qYS8W8mmt4p6u2Eomcwb49pN5xhDXRCuZbBd81c5GcZaq/lYiVbUe y2dIHlFZMIYKtX09fs5n02rogC718WueM6X9umGp3WE7kWWKxTcmKYzObGV1qv05A8inffd7Q6dc yxHzFdf9+qGV89Ln34cvmmL2FqXlY0D3wkShxCSU20zjx7fbtfO9QkWICCbUAUa+g7rOmZGi0f/+ sO+ygLnqUb1cHnc1R9oHsCp22L4gBKsxZulDfw0sSlG/JE9HIojJHG/xxSdH+Ir6vgJ7bsMJWwZ1 9Y0bGsCXxocVjT6MyuW3urSTdZ4Me94nnlcJ5f4KXyLpzqdTCVUdoxFQuUj7zQLjBmLbaWsKxEk1 FK+5u61yKxPrsoi4UX5ACL03pPpg49wk6DjiGpiKiLdQQHdOxMXDAtV3bUX/Y/1sb0egRrMXnf3f xxgQu6cqMm39blbqrwGPTSHjfsDmB5tqQkeCM37i/MXLQqNxLPdwGG5sSG0UKprmUUsMDpT+MxGU niWzM0+TQksuWdvFsjcORMVsXJjkF9FZqdhleXeuG08AWbf3ZY6r9zLnFyOQPjrM6wERNFV1XcbD JfEE7xqHBfamCoZFAteuLhPPndp1ZAE1vv6FjqFhIDoA+c6p3rGvXNyyjIB1zsOSQxp9dPnthNdo d573A9exZLFUMA3qQfQM6DuNkCCGkFN00qx3KIoxfxEqRKjCZa9aChqxbuD6vM41KfD4Wu0K9FQi 6vYIjtf1zwaEDOg+/xVULABrcMAEnlaLaC833TTy4VLPjRmMf28UBJ7n8UqNQNW6ZiwHWf7fBcEK DNB8Yde4rKsYk3y680cAHfvUvmrXI/TpMYwP1dej0bGofWNKL1yqQ1aDi5Re61jF5s/lH6Z5j1kk E9IWDIhTW6KWFUZZ16gMXJJdJ2OR7ebVgPhTHf9QK1geJYE/d47VGJDbUvZ+Z8baPBNIwSDwF6jD vVMpb/HuvtV9sNi0mVQeu6Js2mGBA7yPj+cSnUhJ6Kd5hEZKrThY0vlk4u8zm9qRvUu7fppLpCG8 tr6mS9yUGG0kY/AuaI36b9suZ2EkgKHTVQZISuRDJ42N4pkB/xNLwuz1G9C2ny/NtNqEgy+GhUFW YSxIZh3/tGTYJGyT4+z1stGKZjPXBQapwpH9ta6QQ9CQsk3R6NjgBgPPzsOLM9BoAC2+vdY220HN q/TLkXd3fCB4jnDqvae9uu552tDBTadkGoKKXFreu4rtRIlH1OLzF6aTvxgDsszeYzVRI3EWcUkM ob5npqwvZChiGjkV1BNSWtrV8u7tnZp8UjP5D1A9ia6W0Dqyn5XgcWgX/X4vMdYQCixKiuxkAyIe v8t+n2a5V2wcil91IZD6C5sDGidA1WM6NAZTICiR1APHp1zD4maZa83L96RrtTta6zYIF6Af0s3t f+E+gpo5Bc8hT0Lbyai+aG7XG3bawYcc3SI/QUMYlznh/hxaQaD35osYW0XFLopN2ibtIHJhfy+m 9VcsLD0MpJgJWpM4WmNK//5Lq79noZS7cPnQ7mAhcEa2zC6buWUiZCznMBFn8Sal1ez24uiJJDDG C08ZvlCtLITZN/y/7jnmZvdTtPqD7HIsAewdXDNIUMea3+QcPs8x/uJIqzU/zUDb0dXy1sCMFR0m Ni9r9JZTfeQULk2FbkNzGzmIWXj8/pK5xMhZ0xHYoc+JHDOLYS6vGMDwjxNc1sV1IqwTpcEXNjMD foo6r7BjGYurA0+jNXW1NiJ2hd1Lx0RRbKBOGZyJbezst/XdvQNlUMV+atk4h72ScrriX0QxRWM3 1L3eE072pgfscfo5mX0gUusAoY8bV/GTOiZzILg35Iul72yoP0Lhab4UHc1Te1AIbqw3zFFn/qVf 7q3wvDzSUKKxxH4B15Y3h8Sh7e1G9EB7jHrsd5wSVsZ9LotqL9psk72ZqKavbZeLVyiUMHv8j0My 25yQUmQ837BH/w/31VfrAc6v7aqkLM8rw+b3VAR1cTgRhv35o2XnN7ICJ8mhZtEl5fmJFXjZv+mz hoST91WCFTBtJqQTxrW/A75gmynzj8qYeWvqj+OrcrYZaiRQ8Wu7Hl/FNTLIGdOCQxcHU0PZTF20 cWEYFWNgOKRGDPmQKw0pLLy1f8Uzo3M5Atc4KEDNeIVRAL11amCzTvyVGPXkfm+C672+JNmsonTp kXVhUiOVFvWJKaPxoOMtydBo+lqy531UnRbEgNL416guqK02Apg7wVc/nER3Gv1hp34vflLYdSdh ID6DeGLvxZIQ9hk26MbdPBwFGzCLtXr+YNd9+Vyrp3PLIDoU63MNyjncjg7tcXoz5mVpcebnG5hv qoAVp7LE8CijZZS4/IVUSHw3euXrvTLZeV6gsxllKjtZkBxx5S24mAJDopwsp5fSiiAD819LUOkO IVqWdzF3g6WqfQc4UReYPgbyPLkpoX8N9cDsFLTf9CJjNB8QXKIZsE1LZm6dPmgLL7lrzU6HCMYS pfGRsQYrfI6XIMahlPy98K7jOmR+UWeS4nQQTSCGp9cf1r0Q4UtArOk6FPOOwphjhvxzwRMsKyUZ LJ9SVGQod7IrHtsTTjmr4wvUa/m43goGvhM/czEM+We1e+uAeZYAXIChMehdZpARhKEOPQeE6iOE sDm0RGoQc2+duG8+kaImfk2E6UxNnhTMrjscv9XGveJSETzNPQz39gqUZKIksg6ZkjssOR6jo+6N w5k5tM/l1akAwej1Smg8zk28ef+lGp79VwSbUw2Olz/L2iSrN73oaKQCFPgRTj9qQWrsmvOU6ZOy D08Menfp1NDGRK2qd7EycBXTQJUPhR4qKYERJqfLBH+DV5QzJeULPzVNDkvFnoLLOKX8XxYKpEvt /oYhDJfzzezDWGE9vu2TtpknS57JR5sGRoSvSxrcwf0BeOMlr3sljGE+POnQwldXb12K8rXbNghP z7+SJJFW5p7Bt02ifBOcWOOaxUaTCfJnkgUxH9edOfoSm5/NVDMqrXXg+D6UUT1qna+vtaHGh2ut alz+Gu/NL3BTi5Ormu8Lss2G2M5yZ0IiH0wVUsxuItUBuVeH92bwWpWVX4P+h2IT88wGH8syWwmi 6wTXfGid/saMTH/st3WK4CPTr7HPIM5YMqIeu7y1h2whN2rG7ms5Hp8Kmr4xnjKBC6Lc+P7NS+Sw eMavHirz4br+GD97rVRrjLYnb1cgd97uHn81Q/aA17kIvvRiiv5u+Qgwa+VKrRmr5/59lV94aJFG LPhjcM0PbKPhJTqIyuDFD7JDCijzqXTMJQVEBsCA1qZlznTIvN60YbZoKCcEiaQcY/cHbw5bj6h9 cqsHQokcpMgUSfS8d6Cpe7o6RNBtVhnTUON4nkVdOQrcUOhjgWlOvBe0PTbFnv1Gr3Y9BJ1oNnax ZBulx//Y6u7KWuHidMptiTMAZL+p3rBxyUeaepLjRNwXcoboHv6j8Pui5AhSNRvxMJ9OXoSmf5zq r6/roA+mUlqUChOAeScOMSkdKO2UhjbkHT7fJCmeYxiKs5TkjTMSrLVdvg9OKpeOkFoEtk/GUgnl ey4A21PNrt1nmjzwo4gOqJfdPwbpnSJBc8HZV1RMFH3xasTy8utB2TX0A8CN3zwhFZZ8n5BHAiEB cP3bKQu/MShoz30xB73aLRJX7TUnZ3Iyv1ypGdxpCcQ0pG5kmvbn1iM7zMP7MS/tE4LcAm+p7wyd 4q5mSeQSHBRpifIFh5Og5ojAXzG/XBOAATiCGP5leC2p5DgREgeIxVZ0FsovM42ucWUkrGcAp1FI 3PPMmC8gEVmIFJxKVBhK0gRLo7N18C9rfZIaK6SAcDxwCkMHgxkdN7tFLgE0tsN5Oee+bAgyHSh+ Ru+4GKN6ickzgbaOuttGb4Je/QKRfBQYjrnG15FXixzKI8nO60HJBTWRwJ4w7GK6v5h4I/aU87k+ /B8I7yzaFan0kzWUMgAYPdZ5yt4yXViDX+D3CyFDslD1/Jtb3SL95kdxmLkJvyTtLDyJPLM0RGRA mspvUKjKYW7E2e85tYEan8dVLX9YMEeB7nYdHLRfbMoO+HDs/wI31tHsn/ib1uy0yo6R8w2oYOyw j+UHgMW76UtETjKcACQ2F4RsWW/uLpWty/dRkOOJzJodmpa+C71H/u5NRgUFYPKw2opNbYWHebRm GWhcLCCam5E0hlDXIZr4oHJIMdMMRthnmKYRELYghbU41g5Q0dy04RfXeZwL2vj1iQLhdLWgKCIT suR9chon/NiJWnUy+jG3iTcFOmSt29lRDdNJHozPNs4n/cbc6xBIYlv3ZEkiWipvr3KuCdBmwhqI MMhvWO29O1STvMgm8ihkzXqyuDqSZipfJmuvGuGwdavxVG2/GaNVRpCynbPRtEdZYSOwOhKGp5qj kXXMu0/2YrLuEDJjWYuSpsyh9ynmCupqyhWkFFTsQ7Ko1WLWmtmyXJpTYbwdH1878UnHGkEfWGVE EluDzGBMRSnz519L7s7Va9Xknpq4rsf9yCcifdZ59+cFzDmtHDtT+TYE7Ay2NywZa1CDbVmHGqOx uprRO3Yq0bx1+HHPnaUBOrknVt5baVhHtKs5I9FV9/mJxpxMk0bdofYFeHaTNwtnEW9p08dv5N2D Wd1JR3H1MjD8L3qbSXBXLEDWpQSs3Il+QqnIiutaPGXvrYkux5dlyqXanewO5iJgVG9Yq693Y5B/ 4l080QrW5vyqBMWbO42U8rU1jW5iFQa2W69lctMCT87EaaTHMDJnz3trURnDNtTfjaLOk4NrLGxU a6CiwtEOmd8THvA2yVgKX+F/1UoNGLc/yMmks+DU672qqbARN3jZmCoIFfCN2UpbrWUXQlNcXqTN 14RjOyK38+pBafGIYHxPMxv86l054ReLERn/3AU/Yh8XnJZTbmZCNLnmHQrIaiCHuJeBt/xWnMco hwaKlIp3kJgtdPF5NG0xZn5Ua92kQ5W3e+ETiY4pCr7sxlJLXep1bmn6TbZQM7lrv/8E8NkmOFr5 uNnJKi/4stHhhz0veHSPPh8WvK0tCKyXbEerMZIZ4GTfj4ULAcvPUioNU/WCYLB8cV+jaLJAfwGA 45xAkRD0U7b+FafEMDGlQt0XA8uM3l0ejfsnG0TVQ7H+adOZBGkDHevV02nrdhlVjurJTKA8bKBL XOXSt8hBAFYuzE368Sfk86gsc4utUz6nRu1nQUn/4DNU8QezkcZQ64h2dOAfK60/EbtdUpsZ9Y2V ZV5L/0rQhUJXaFdaNMPxMsF5QIQcDApDjlBQe6p75FTjaV9/dTFUDR4wHyY8UfibfDXYSlXow+CC cr63tVj3oMb0bzlEfHLxqzPiYZo9iIPZex6FYFJYHJqddQ830ny0opo1enVdTXYyDq8wB2papp02 jTr4A6u6CmMAqMOMblJ+F82Ximz/E6lyy6AtlgNv8zTfk/JDa1trFR28i+VjEIxeqqm46TSDuskG NFvdatfDN4F/4r/EpazMe+V8hLtSInOyzLSMhJJb2WxxVQVGjPUMhn+yvtrZvAAN/LuuAHPHMReT xho3TjaVmnaTOXZRI96DXQZuJi+QsD4FBbzIcNTW73ob8Ma9KrC/RURMBua49jOHrCYRGrEKlUhN 3OnLeRKPEyhOWNXu0+vpAi28kdMdlxCAZN3Oh5RyC8x9SXi7y5ZuyiGkkPQq1hbpCEOxvZli3W83 1rWfskQD62aPVsm+llt77MI2FFimrH6tb5Q+Sr7dpcU0A5CTof3LhDYIr8IYZuTTAWC9QoA8sir8 FpdLZxdGkOQ7IKmPPQzgATDFcO8eftCli2n5bTIFcfJ0mUqjQNcaqJTOPuU4L/RJdCn2ECsRvI0S /VSxg3TTYAEAv4wIcxoPXcKQ5UlG9iL62CS1tyBoqe0yczuKFBthu544SmA3jFthBN1pM2vWrdk3 Z9MNV3SR+yEjSIvlGk0vcknOSpudHKtQyut1GRajLY8iCRrCTKL5NtWaEScA3Dlo2u9Z0VTbjl3a ZB1ik7ABnin83A4/6Cu5JHWwRVsTsRAVBKT7IvL9Yp6w8sVXzDIV2AIl3ObksND+G1tt7EjjRo8X 2cPoIgI54yH6seiRUXMjiztCB9ko4mE3RZPBQhvKkHeP0nrYY0kwXO5L0CdxG9PIK8cAVfcozFEM m5D29UInQrjYcWsff7WUFmgp2+MIARdjhY8U5yeQ1qxkpU26YHRlCWypN+dRh5pLqpt33rBXdtwh RibJx5WGr5XZKUEQw0n27Vjy+OVky+SxuuMXyn0bKdEPo09I/tePaihRVIKgU9sg6xVxc3PNbXDZ qLKG7tr0qnUDLzfRy7uZMe3VRl7SFZdMglNZzSefKTEb8mXlFFJc307hSJoBqawh98wH9t9lCstI nFuf0oWsoQut1tPNt3jp56fSveP/g6N25RjnDy6H8HtTkXK3uGgA/gw/BVEQToNnNI8Gzvks9OY4 /oDaLD7kAWzMmZucqAZi+j7+B2a0I1RfGXQaEFar3F5rokwt6Vputg3c5b6oWEMBq+vagsyq/LaL qh8Jo0BDHhUvobiIoqZOR/lOAhGDaLBjBQEUevqjp5W0K1VzxwQLKji6Sj6Dsxd4isEP1IKIUMgf MCMn38ghmJvWovC6hfyBKK/zmf4LkOt8DwWLbrPY92Bwt1H+P91MbVL2neT8zJt+tQsfccXT32+A +lKwaOdYVYniCFWmlcbEGW6cFOVnTw2+nKgue7RapLlkV9YKTTmwDnIL9bu84ZT9lVb7B8GBEQWO bUsw5Upk2cz5f9HwfR2dQkXaOjB1L1KbEfhgm9niSD9rArdO3fRjnL1MJVz1qKbZldacsEgETxr1 /FGtxl4U9utT25zqglX252a7dErB8/hZmkRIVa16f9vUYEmlH7yX8pOyAciRTlFbKm4mOSV5YKbF 3dDtXlClpSyRNtL1PBKQO5looLDu/NiZK+HaZt9SkS8Obe75mOy8zcekOhz1RZA0b77g/s8ShBks ZTsJpPeE8e0OgBCk3GnHGswSDLOpL17wZ0aCPAPcvRHHMW/ObwsUS/t3sCTv8HVSTjVS+ADdw9xo eW6PeKul0JdXa/RuBj04w3I7hQJJvyXbWxZOqG/pDLS0iOvzsXTIE8M6yJuaTOuIrguMZvnHny6b Fq2fGInqt1s4E7RgOlzeZVMUw+nWcPFvARtGA1q83SeB1t7jKWXyA7rxdTGTh6YHHqWOLB+NGNLx ey4B+mZQC1tsFHbgkU6AWwyg9sapRDF8zBJLNJBNZkcPlgvfSUyql0N50C295uNrVMQzzKulkIM9 wrehXPtJX0hZcn3iwFzIZwFwH6X29SWrxnoSJ0/sAh+xlOGRvq9AxCB+/j1NmsIJ9G86peKeSxgE o1quVrdXKGN5uDnQzgIzljIwdgu3/UKXq+DWXohj3BGrJ68jBaN0SZKGrvR1jGEkolLYdlLmYXR0 qs1kU4UCr4t0CUgDjFI7BRBj5rF/Jy4lfaQnLh9w7C/39XeGRlk3D+nDSLcbilcHTNVo/nC8w1IZ hw559fFCJ23JIDhALW15gNzFLmZ6XBPc0NKu5nP0SSqn+THn+fbMuK6f8Q4Vl2ZdmL2IJRdyO0xd KVetSrVvkU8EkOU6yQ7rLjuYwYDrrL06aNwVf6wS+uh/CyjqEFDiS9Ty1h78OiXqd9IwEmPFGlkC TNnsvL1EP9WmVXn5MzetAwYNpR8oIe7rZMrRz7Jfq5BAlymj7OWaU0tMbWM1ApFaaYSeLSHzoEUt GKQzViq1q44Fr2Bchgu8tb1tooPts13gH1CW0268bkH3HUIAeS0M/+0ydfZBqWMBEahxYcmvHgfx nCyQd1T7XhPurZFCe63crQ67C7UJ68oRx4/8hap4IHk3biMoeWi3DIDrcDBYndv90ga9wcrv7j5v /B8jX2tNGq/RouRNSD1smIgCTLKyu5AKtCVOjPZ4PfKMYbiuZbT/BvtPl5Pa8nt9/d7R6NR6aNZb 248FvGgCeIm1vEWn0DZhC9kYfiAl13rSRpvggmGxyIn2fkJcekCzpQFqT+RBBnVE9f/or7fYPLDO GkHavlXLzVIL/quCs/VzpBdEna+o2S3ZeYgbP2kahJNm6BQMJyPOhMmEKgdB55lRyWwutj0A8rWu 9Je8725FX7LKjMiZFKVJ1Zg5CqY/nAYrTNAKhW2mIEV/REb9Mbradw+xy+BF9fE/f4UslM2l2A5Y 5UpBh+nfqnN81qh5aLlTJjotLWmfFmKFLYeqXnVj0KMElIyZQH1v8HqKFVFo9NcspUAa9EfEEccx /p2Yeub2Yd4VvhDBe+/Txoj2AqA0Shr6FatA0la5loGY3Q0qsF+Rqw6rFk8boNxIiKcd05O88oIO YghA0VXpPr3fGz8XnRCqGFqtYY7wUptbZooVRd2mJOzSiHIt7JJsXtef+Vfc4wj5i7wEkGjBmYrF frZLqPxpipgMmCW1+UVwPSxMNOwsIOblz/Z6bSwdWzcMxN3gthn+0KBSjEYKArBabgE8y/gHPlMa NPe1nn6ySlkLinssRGqqMR2cTmaFlBjD6NTjjie2u4yfohbC9kpi+GO3JJeQneD78p+DTtGeNFvc 33cKyjLrJBb5HYY0864xACW/2caih5NVr8MAYr283pdp2mK347JzElEsQJYHrydBqpPsQV/OayG2 ZfIs25/sebkZHgehDq/AK3zQAH/P06CsFe85QHuITJ04/IbolVEmZRVi4aADltHf978ONf8VWEZQ a9KQlY/YwBye34od0EzwLQdK6S1gj00zIGeOF5sZYQxYlKBCooOkqDqRptWSVcKNYpHeoKk0oTzd ZZ5xSo3cmGQrHgmxj4uJq3CEffgYiqVFpXsJQaohn/lb1hzkS0GrLW9AUiZPW+94QdxophiaJUnI 1KCqaErvEDhOTFD/2NTUMQ4/moQy7yPagLUEHeWMQbu9dRHXy1lH1fm0xK1/J+kry+qgJbIfsgOQ E58A05B9GaPsWyjLDHgXKUlIyx5zf6vPM9sb3JjYi+vxTB57wI+H9xLSufHU+2hNMCzEH1KzKGLY enYCFItJqm8G0LUOUrixfkzga6nemJFXqHGkVS2wZA82Dgu58xZxYm+6I3vw/w7dWyPiC46xE+M1 sL+Twj9FEYDdDcPpVKJ8iW1Q9duDpU4byL4A7kG8hv57MkaT+me+ndwO0QZ7HOMhknMHAUrXRgxu sMHCi05kA9TXU4lPsutU7YccZ3KiuKkfdeX9TsSf7BEc7Y5MmYAaKVfFhXErG0btbkIUPc312NbW 3wl/+apmM6R9fa81xPlvnCQLTYsiNu44aT9r590hZuyLpGjMqx7NP7taXnfIxkRX9A5i/hNUdWA1 lxv5VuZMUnosnCzZKa4ktrF+sVKCAm1t7oKpi1CmuiYt6U/IAB4YhMXuWFgqDGC/YxrVs+pK6pxl FMCDHLVFOjKZQPBdX69exPdwXQsMdUjXt0WoR3GeShQTZfgP4C+bzdTuYFNXzg4bFp2l7RNyvXmQ JhodOfaXy/L8dALD4eDmGToBQiSgGh/dqiN9s5Xtop7F+hkdEEinzcMHx017nXBPPbXCUNjuMnhd q/YUqYf3tB8qbXCDa2BZnv/jIRB50tZVOAmHsSIHCXYSqbI+w+/g+xR6av4uIJ6/buJl34jOeunJ cWtmW4c+ud+csH+lclkdzHvE1Ne0PbC/HoFhIlrbpRAAlKl1tExwS907cc/WfDSFgMPap+XKwHYh C0Rc++iUXriwHl08G+ZM/dK1u6YsOkNaLkFb59OC6DDkus68L0bAowiJ1+PBgXqA1MblTHuxRORe Wle6EIqAe0vZGXOTbMJjwYd/sA01IRc+VKMZ/QlHBgcyEZdt7OOE5g7rcwOgAwp3dDOyGqGmuabZ g2aZ3ljY1xkOefSJ8HNMgslF0Jh1Oi597aLHh8WhR0g95jHMl5I2A29Mb05gUYJCvgYpqHDNfrHw 9e/A+DvLFkz5s5jNtCFJQiJMWQIW1kbSFbFwjLEsUwNW9lWkJrjkwS2DZMkg0cpOvPuUutezC/WY EFkUgb2OoO8kIiKB4G9ze9wDilq3vvDdxnPZrB3EAGfp2gorc3dixuEp6XnNGvTW6pTB+XuLbaHn MFzCzRrLksvxKw0iT/VNpuwf3J5ZAR+6QhMWxwzKTIrFSQXsXMvVn63/6AgnzOZ+FlkuDIhVPEMT EMlnPtj09JwzmR1Q3tpSM/kNtRZaxV8f7oIk6F5rO03ODSGkyRstgQ7YztqHJS3LFsjvkiDZ0Olf eTSUdGLyitpTqBvUooJDuugPz4lBum4sLyQzjA3DQSSN8vxb0ystLa1AltLm8e/xcfl4LIXAcQmK xcfOGCAYLLqEsVAwtXiWra4LRejxh03YIuKtuR7NIz/tv2S1GlKzHEytDX6r+8F40acT3PtJ4Ikv n0xvhOwqku6RP0BQ/QIK93jyQnLh4c34HbgjH3KBYma0/ilpSNCRYVL6AzDB42OVv6/afY45pZY7 DldQaGXCmp2WQ3NH46yJ1RXBuWSkrOggmGYjE+qEqQ7fAT6+x3YEOn4kz8WO2/Z7ZyweJo2xhRNH Yw++1InZ/S1iEXu5Z4qC5z6GjVvyhBO+8xb+hvL70ZHex4x6B2tGj/QXGHZ384gw8OENL5VUuJHe MJ+pdq8yyI0/QzYplii+rH/TBQbCOYlgCZbMQd+3FsxO5vSzetf5NFhy3fl57jH770EZlU1T50TU K6W9D3CNHiqq1sCmJPOiTM8xQhWBMa9fh6+WwLHBYk0ikD1llheMTRyZ2jjXg1c5MXW3uNlRAtzS SqNsAobI+OfqY0EyCdXlkQHFidESANEP00Vg+XnJKJUjw3di11o88eAtOW0TaYXyQp95CFolYtU0 2G7vThwJzEwLzNJnVjTIM2ZEmsNOtnGQksDoj6CJv4XgnIcDH8pXdvTMrAME0Od0F1tLB+gef4g+ 3KO1sBic48pnrqjt8YYJERBvf/QiuqjRruNzCIBMc0r094CHOdG+TNVLRPQlcjWfFpuTKI2zZBEd fYrOOiWpzHMYPOAnxe/TPa4Jrpx8I79ma9S1coAziDY8PvAVVeaGeDuGDBQkfC0mYnHzHSSHIQzF 9NENIyT4CMh+CZUruS8QmL2DdBmhNyPvHVKVUC48cCRC5qgHubqHlWas7jinZZjEPPtQSFnSOeiA 28moshtz9FOcZSJ4jCD7jc6StEb5/uS82Ex9zQjQvcf6ZiKzdUsnzpxeqLadW9cBmy17gXvsoW1f 8kk6FXX3pyb83HhuDUxxmdaZKleXThxCoGZo/X4pbzK/TElJs51krZ65eJ33m2LgLWYBP1yT/4TW CqdhpcD+HEZb+CqAjZYXdqnt/VtDCSo6CrLFg6CM+SvHh3Z898rPHvch6sB7ec2kUnTZWsEO+JQy wELxmxLc/NUNg7gXFGwFFmymhKxgYSHx6pKUlijlcyMi0kZcxybFyV+OMP6+njUIP2I01ICL4ohr G997tDbg43Mh1INvK9i3IHNImHw+m1Z689hCEpRQtnTXClI3OuZNY/l9EPHorB9Ps2QuqoVUogY6 4xxsiArAw+rBUrrjY/WZH+2JHGtdqKfdIsojmwYhVLGzAySSagZ22vzQ3gesS3VsCje65ofQu936 g9Qqh10ZtYOSidiOmtVwBoHODPAqt9J5msb/idKhFVZAHt6epV7POjnsuHsiYROsWbWgN52RNdmj 9WZza2/BiIyWpCE7KsyJ5rM4KoTKotAk6Acda3lrJnytMhrgQQcfOoq1j73BX7A88r2dFqz9tLvj b3PJGfipxht8jczwNpx21EsOYljW4D6mOZjWVXDB6OpPZ4M5R6w7W5RNOmPx8rR2M1dkl+ssmo1M jOmzRTybsttMQ+ESw9ONz7SMyonvRCEPPdUolDiSZLWtDbYFLk3jZriD/ECoJoOAnivZ9o9MOlNz XkolkERYE7p0cr+vtPOtL34dD28U82DCskp15yWiC02odrvs8h1WXovnef/u8Zw8QUXtN7DzDEvZ nwtpyWLDBACuoDIlTM9iyD3jWqqoEniIuQWUe+qYllD0tdxZ3aQeibdinPROSh1h+qGUaOF7aBen KXVzh8Z/1pmL77KZzriTSLOQPbWzlXSAB8lELNquYog0eb+v0H54vSRJg+70RykKatTPHPyCiqps OwZaNKEy9/G2vsTPrC3aznTIPm81l5DYA8LKl8hiQem3cSMoOZwPDdLnL2e/VPeNHRpKoe6imfh0 TdfeopkoLWkGrLqEuXFoyp2PEuZC9h6npQQ5I+qIlu8EIxjQbf6eLuCZ1IfKYkXCVG80Y40KP6hu ruvr2FaMJaO8ZAmSFXdpjb12mtw8g6ibyS5QY6Fz6sgUfwpJ1t/5EaoTNzUiK71FZpO6DNXyv3tf 0ctQ2pYxzH/HPAP2KhOP9kmo7/MtTBhghv+A3rTmFRFT1otrlWm7a6BfnB4+kE3Lm2JLL8YaYFxT AIq9GL74wmihgyRMVFfVMUSQkaMyJ1hXWd3FBpkSbCiH5Vw1Eet0CqL+C+N37h5LELH/Sw0/o+9i CbCvB5tnUU9HQlt3skpH2LusOHNFFx0mP1jp8lGZPI6uSj0AlCR3FE9lemQMeS9CQ5X86ZZN9RQ8 rFovTHHcxz9g3B2YZabelt95/iQpx58RiX+h9h7Ya9IaJdsmItneKftBIJDExMEl8Gly5Sv+btfx TbaYbL5AzQ6565UiarsqQZmZHBQi1MCZE4Vszf/VXcUU2W7mGleR444ofIsyoHLffr6PnTxtL5Le W5uISU2Ng6jfkhZNFz8rDyKCUJRBobq474uTUj6E1f1Fl4EhWz9iNQiwlfAEE7c/93vzfUWuZbum Sj+6YivMeYwc6oLj3+8M2uAAR/yO4opKEpjZreCKPsG4jjM2Icu7JSYhK4aJliE4Ax9vnjKDZ2pW BO0brA6qVRARveNccd3ZGmxsLiYrpPpSYn4Wr2Z9ldmHqh2d1+csKXa13iIRxK9jf2L3QU2CxbJw s9vJBhbihu/Oqk/iM3UQzjbzB77J1TSJm4aVDQbg8oPYu67RlahD5hTtoV2QoKQGsRSlI8GyUSxd bdcrNhsdgJRU+A/Q7z5DVs6lwpXc07LYeUeePKNn/KOwpGtm37D2dga1mqIAsyjNt7BOtIBGwxW6 y+SSMQ/JbaAZO4VwWTwuR8vLPNH9XhH4AM31mP4zieFxJK1kNkVkSSzqbuDA4xpI6js0OlFQ52Ac 0F7ND1CrhyIkV00xCPgKU6cI76XbSah45lJVsm97kqvmL3EmL6VwKZOB05TEKm5WylfI1oZIWWQa vqUz9gjS8oc+/0jxV4r0WlGZtYECxFqjTEwj/XUF9Sd4fFFlc27K1bwkntq4gqLABpS9VL4BAvlu Inuy+jr1f1za39AsNlZHjr0m4N//gSXU3BCKYjTz3iP9TGhjXx44INkjupCh5DrA+0DCpyT+DvNC x5A9aIvgm9NMu359P7adDcq92yRxGS0tzURL76vekwwkB1q4QKl1vibVALW0vHLn0Vuo+BHlnWsy jmMVuw9nar2XG1X5lYYm4GTdZE7H3/PHIF4Gh1+Q+YTXpLvOyzbheVTwfXvre1Onz7xYRm8eCPdY 8uXMsyixmlCVLpWON4XmdiXZqLaF+TZOxFk9qKeJ/v2aWTUJLyE7A0brQC7RpDuIZaQ046MuL4vZ kV28ESzVzED4Yi6mld2cjoihwGeRAEhQZPwd9szx/Bu6hng2Hd55DHLv0Dm7H6cBy0N90WUlqO9T VzZyz6NON84202FGRF9kyAHY8Z1+wwyvCQCSw3J9FakRubPtE4ZDyyaWK3Vsf4yZ0oas1PczeU0u HdwtMsW4sx1XODvM1KnOfznFUlbjfJozz2DgMdzd1PF69Dpgrh2+Tmt3F/KVL1ygOcEYearDExXd SzK8GhtrsUSHQegSwUFIL0bekaN60IsTicr1Tnu1oO4evIQoVyXpzwBgTn5KHDoLb5ff+pQy9uwr Z8wITI1UN1o0oxtG8mSvjza6BdYyY8FYqP7yu3jhE/wFtFhtb7eMQtcJ399PxG0SvsKJoWbImcU2 8hZwtHEJLU/qIjAA+xg8eXdulVF3pnL60s+mr+uHvmyYHeWVspYxtH/vbbC5YmUF7BAylFsIakul 5lNmlbtwCYrDNXYQPjIKyCKwgWzfpn1RWnilu3P2B40JRb5+HcEUWM8EO2UbOzFAakY/fzGz6bWl 9UA/zjXT5UD79u1BwKdhJh0kDLaevxpLeAGZceBF7I45R6soKf7SUdnQvxBOA4DGhr0VsphGU+1U DNsmqKxjRFMl7zOBYM+ycRHXqnxjPG45Dh8Dk2HZy8kDoRyJ4ERHOk2zWGuLh67zVFha4zcNq7Oh LIlZamg4LGEcCJfkhPuxazgPBpOnHNSXtEWFUi1IE+YZfwURpSQBdYg9uJtBWAl4886LSVwuZsnB ryPW8iPC57X6y7c4r+zEvkFuqL60w1192RLbbucTF0weXBocQP2qnNR9pGmV5axVGiMrGiJs+FBM fOXgdEEiO6TofOcQv2ZyR2t/2yfV0iT2fhZyFmJO96Jy+9vkFc/+aygVSdmRx6TlHt9FYdJPlF/v jGo+qjAs8AguoRP0yThDmWC2xuFPQuHAzlmC6A4AVPID1u/21hNy8RlMCFK/Pi06h3ITZroqzDQ5 pWppbMp65kHT7XXT/MUkge9eF+PH+usu3b5tgvAHYiZtc1drC7FEBO2y0xhIredGiWo7DdmD7Ks9 VONbb3mVpQoMYeFqdk2YecD5ouLySQtrxIHi80czUum2kgaArXvDOFXpu2MAu6Fu+NnmfW83YVoi cIBrGYV1UMn4cu2+3mFO8UvCmfJ9xfi2Y5AsVw/Kf8g8kQpQ5vv55+MX/b9V8JlFfBQx7HnIb9B9 ch9t0uE6hYd0rocofS9I5ypWKpWoJ5NqQQixRkvQoEF1rypNll4bes28YoI/geYIuAgRIwWoZMaX y3CAkJP8mVfFH3LcKSumwHJEgXuQPem0qVBvGFoGpEFos2brGbGeDQqyBbzZIZnNJS+OKVNe2euG VUQAd+rniPCrPG63CUlvX68Xunf70DvCNBCBC5TI5EBuuq14E3x2dqXbObVRp01IJkG12tsL9Rci dLO2qccePlYXrynQK59jw3JxbzUdKKGXB4lUaHmlmgI9KHa/Xy1H47U77ov7TdQ9LwV6ivWmse2X i1Ah0JdnGwBjCl5qQ5eRoBHmMbKn17dav/+5VIg110ZYWVlABsRj7coUQdfowq/m+Z5P70UZtFUn wTzFPegarwTGzX/0q9M5AtgXZQHNkuNXzZrsiPYGkSTzVf6N2EgTsavDz5CtUx9RBJkc341ga6yv gv9TuW4SK5Bd7Bl2uN+c9FVJR4ZORFt80uxUHBp3oRLXSipGAdCCLsjBWhkzRb84jlFaCeGDCb/X DGxmwNKOYcTLwbhA2a5PErgDLRda3JncVoYBJx1sVGpgM4lmIcs1YSPEj/2eC6t1nxxRRmDekH6Q 3xGZcpE/O5wiI4JgdZOiHGop6DnLMtVEIRrjSyVuRDeKxLw8VmhZj13iXJ+OjtoJCyfPdzDA9IPJ qZlBCC/qp3FNVGcC0kux2Dfm+lX6I2rQyBxHLW2IofctUJT/HSAm9D/CJyLPRfr75EN7M1SvmQIy 7uok3IvQu7gSXPQyVLd2+QvM+PmoOglY8TQnhnGFhiYVdoQG3/Lok1FfCTmc2ksbFb9TOndORX1n LCTlaJFgAFSU0wCZpGWNh1iisx6ThKyojGz9NsyNxJHU6LwcspB+M0lnQDvCbEtXBEl5S5TtDW2J My/D3s10ROb93W/8rcgcVe6Ir1UhWHt7pdZ7PYjl/94l9jErJGZoJ/VgkWc8Uzol7XYLIpFAB0Pk doZ2YULg9fB5Qw2I+TVCn2uqEyAql0gZj4IRRsgz13JY6+PM0GoPaL1d32d3gqkchpRHvAxKHllp Brsn5UvbTVhLXw3Dk1QgQP0PeccS9RgtuwAQ2XnJ7HXWM8BvCvFObEMtIzp52/IAzBC9471nH0d+ bMjfSHwkETPwHE8/O1uN0x8HQySPb2piKv68ndbMujCbFeCB419lHRva38NAI2SxrUxL1WFTmQ5/ rN++kvClhIKASsvk4Rjd/45WWT6GCUPYoQPT/x51QanpDrsJsirIS8imOWAv/d2kog8WoKYHscRR +kveM7rNbpJi6SmceRxR72x4HOME2gJblRnnSZSZx1hANC8l0qdy/ptnmc7+Lit8miSnOidTe/h7 /UjPNy7R4LdlTZZ/hFjPuWzsjbYFoVrPtsgOKa8DBWpN1Hm+6VvjS3Nx13Y/RGnsPJ9xd6CUwwee i0qtUx+6x6VxQ/ZsORjhXND1lX4351ikOOMZmA5aGxCF/kF9S5723ksSufrxzlVcYgHqi7qMy/e1 vL/sq/gHhO7g62OAWyaLzIUWL+khUVBvOdrRqDzHw/PTK0m4E+P5eziScL9d53zb3qAOumCpuwc8 HVRmJ41Rz0OGIv8TCTJHX69leOSsgSmjqD3qePhHgRzqll7QW9AgDnlZ1S2IL44uiDA2t1Qo0KfX A20vDW6qEwU+StH5OwsU5BHnMNrCDwzind8kTU7AsxYKESec0IqGCOKj0jT+BfkjieDcoaTv7btl k/nZab0GqNBR9pIYFlgF7ekOIBQ94RsyLpBDS4k04tLkDQlBbnkuRIaOCd1ZDNc1gFZfPw8FNp99 XRaPrFgFVzMDWSLU38VLrQzQYj0h6y3pJ6wkwgHsF8pQrw7DxRYUFdY2vxRMRVGDzdI/1iHJnGjB 62YtCOaltwgCNDoCavwI7hHBNFzKLkSTxoQsOTtiELiKW0zuyQrLeqR47+dIvii+9Bdiw0d7bhTe oUeBIjyxUjp6xva/WCeah1tpR7Eb5GmY67weKCaqxQy84M7lWbdQPTjPIa0l3X7GOa16kAmknf3t 4lWRKCKpm0Qv0kJxfC6OwZV1AHESov0Q24zpXfabgnMUvwsob+PWpFam0zbVmuUxoFRt3ZiNXINi LY2wU+0MBS9LHrHSBlPvjk+LwLqLvXuQ54YGghOvm5Z1kkuoYS8ufWK5qh3jX9zMttC1LvqwQIaw iwVYIq4L0sX5jpE1GenMY3xPMBaiinydtO1GWRFDnsgY/3eLNQlmgnnF8/s0sCk8Y8SozCPRHONk 55zfEkLM7lIcp5mm4Ou5SFsCqSJsa66CSENdo06Akg0uzLhdDGeUvVudsDU20ZbywDHPvni4JMc3 kgtglwU960PfyU/AgG11FufAb2gIAh6EX6H8ii3vaMX6EX81jeFB60gonMqzzntwDSIXEuI5rqmA SzQQNZJnH23FN1ZwE/m7Emm/i1OEwTDqqEj4yN4XFrb5X0xeHElJeFXQX0KvdaZAzNtYAAMYytOp 80Bv30QCeZQHytERxNPxsLXo4jHUe0LxIKFXtON1irkAyP2Le/nqEI6l3yFPlkLDBrh4ubelP0j/ A0SA4KIDL+/TTuMwWVV1qSnvc5H6yz+lxBHdqb1fS5C+SrQ7KtQhC6zX5qjZP3ttXI0N4D7kEiRa dJCAd8nuHpdPzq7q7BiWUTbkDcBw0W5yR9xGDfhA/hkHAf5FfWXC9LM09IvHUU8IIlFUImjIIxjb JiWRgrzQ3qWGslHU0woFfAFRZmNLPu1AjBBkOEUcPJ/YPcVqK822nOqZH5fMy78CDAA5KoD546bK XCCZwWej4STpt8ofMMJAYmA7Q/+XCmyhRxrJ4+TMpdrk21OrMNp8rjKW1fU2P7jK7PuFtPlsPxJV 5hELF5bodWHLxZm45W3JQIfml5eI6IXZGBrFaNfbcSlUYVcoT7QvrqufGeK7Hc5nt7NRIEK6WiVl q6ZG9Li8PrmAYRYf4+JMFkWTUoUYer/KEExI6Zxt4T2CskNNf056Wj3im9daNo1AZg+zCXqztVWQ /0+AqXK6HEMZa6esc6ZZuP0GWfDtX9q8JFL2wJrTHVHE14d2o9dKNJGOzLnmf0Zju7u3rwvU7cOb B2KnSnD2hJ0PXYpQiEEe6boLgSOcC70ZFgH4WE/nnb3hBDR0cxw/yAkHrAeMT9peY8RscdMaPiWp joD9BiM6r2jBMrhE4uyo8UXzMYXfm3qgIkWKhmgW2qV50lFNYsJBfbktcUBCG3JKhygpXQI05xQs oSoxUux4q56IzbsKYdfroU+76b6CMAKepiWsfFkbzmQXQ/LKYt3l4UR2Z3ix0saiBWpzNnljYqx1 fXUx7vmtF/eP+T2Ixk6NFtgUYKxtKSD/1WSAddTYlLzVilKNV5MEzb+QxQ/s+aJWb3EyPbszIOQa vSJTMzp9dVdfueFfOVU7sQoTdEgl1GYihGvHQUjxA+D3pTTGfHipsuH7s17VdgRVu1bbKiYv0w9J 1yNi9rQSyfagQUrZhu8JASVif6AzriTiSOlkDzQRoscyalKIO9CQmREiEoPkGOauztQ7dli/RlmR QiIx/wp3yNI1tXtlyuhzA/7mEsv7QEI/9cedc4zYaIOsfo8Hb3VTZSiB99BbBAa1Jg4CigcBDERk IYB//YYHERZcOxPUj9XhWct4pPiVB8S1HwxHdkaClXSdr+wv2EaKyZmxkWaiZJzs2MyH7rdZF+Fz 2JMlwnfH8KALvLWqHL+Why0seBU9o2bGYmz/xrxES2c2a9mU/8UnSflowJxa/Hxsjwq4ipzLtpAl 2gfSR2oIrkGj4OXxNvibX1TwrL4+4w0ySjkzCLi7/Si9y5nCRvAcf603IpcAkn5i2lLvWJUBjPBn f/brkY/UkhM9UiuB9fqq3KrwMnpFk3dvpUvB7rB/k+boUE0+QeThvWcfoq/7R0kLybd+WC/43nYB nosnqIcnLZtAt+ykYPsc2OhaiVhKvkkWiUv6LogJQHHcm/fla6fkFQJJQN+cPDtJ3/eYtFvJHPv1 XmyM8nSMfqAX9G0ZCO8ZMxMKvLUorIAuudPhroLriu8J7Xff1a3Fial6Ux7yvBbuSRt5dAQQ0K1e U+EEOQqFMuBwGJXbsbTwmATJJC9WgDhxP7jVLs2/0owW3PWJx9zGdnPMEpQZLKhKwtmAcAChCIcJ tw6Dkl9jsuCKznG2/idZRvS97joCLI3J37cmakDV2LaX24gWUIuv5Ou5xHjP8P9go5Pd3OXucYra FbPzxM89N9nCjQbh47WRQO3GVByzGvM7sMGMHP5iLKtuDQxAUlKIIvAfiw8/DDLNY8+UGWXyC8AG HcAeUFefk5G5qBwMmU/DhlzcogERJKdSJCLFaMcaZorRtk67bHg4bohzJ2wfe2G9O1lk2My1VCfP M1o4JRam9JALMTl9gyYSih9io0NXXnRWR4z+ZzgnGUKzEWa/QJdqwiz4cSrRmVYn7uDueINrpG9M p0SPRxqGRwYdFgsqu9m7criRSq+Giiwrp6hco/HqLE/QTuLPmAtOQ7nrGfS95Vn5PljYM9dInE6r DwCE8t7o3Oxesc3ho1rGqe+JEkTqfd13jn+vHiRk0fTSpS7jPiBe/ETWrsBp4zwGBXJ1bfbnaQY5 fIXvdvqHm/iHdxwDa22pKe5GTl7GKEWfqhhh3lEdA3HPl2Teiw6MsXSFso1b8Y34CAYJzbXc2VFZ 2H904QzEVPZfkYmGuG4Lp7V5BcrTZ6cl5zTizjnqWg0k3cc3q85cEXIOCw37qahO5TGCSjmHPV94 NW6n0gLH1NxqkeWrpuWL5kNRJt8jq2L6v8TBEBvuejYAD53Rv6jElnfu+3x+1+A86NH72HVTX84h SZdW9gFZaJVMzDPNV6s5yMgJoP7fYXz5b5S56mZEWCn13E8Vyeic94h0TEecO8hkAd6L7v5uOEVY RlxGhM8V5KA7bQxPB/FsM/HBrOQZ+f+SQ5eLf4HyK6hH5qQXHWb215ekBEWMehsoXzU4kdZUKqKf YtN8HuASzQOdlAvZ+MS42PnHPj5xWZ3vxAb4c4CzApJ554TMevefde27Xx6w4S7yvR7V7840QLZt nZdRXrPVsrY1SpOeQXaqA13p7eW45AmBcENWyBDdNBEHg6HIMoWKX33ku8tKnEpoRAZMDNTgV31B JYFIZrHFc/wvYF3hEHFEN+ZnTPRH5GJuwLKklJsUEDjG1EJndcQjoI0hMLh7Wv+Loyzd0s0qg6r3 IMY04sCBwyiNwSDN+CyxYwQ3oCJfBcUuo6LgR6iEb9DsbTVNk7H3ERUBng1O0iOSxSlmisS2GQGT 9kNvW8IweTH9Xt3krHmpB4ZgR50WgWICMctx95fuFO/+I8rbGsfaAVzfFQcsKnkqGzkmjyyzmEXt pqICvwJ3vdveSHjogbTNBK1fxhX3tGikPlF7ohMkhYUQ9oAixLu4X2asDzpfmRKm3RCYapP9SCpp j994xTHbQLXl4zHXgw56t70fxpc01HWOA4O3BqlBhmPc3Hr7dvfnhfVDvzw0X2F5g3ErL8QLHc+Y ONA9HDUXak5CMsMRH68glDXn4a/rlomXlutiSbdkLl5K0jpQ09fUfMa57DVQTt/B1ZaFEMjKJe26 uWvyCxOpoR9LDEqgDIzqLyRN6N20AIpJgW/b3eZMSimM7tcyVeV6xvbPOiINiKRCzQ8faVAw1N3s xyNxQW9p7b9NKOiB5/6zzcp2Hh1Oxg9HTgS2QWzOJxm36Kk6h3mJd61dKvAHzqRbCGR3gxLY1pgU wIwUbLiaYU/OuZiO2L/Ks3Q4d8HK422yGQmKXnHB3YOXqLIMXLfo/lmyrdL5Mds3jY4sb5uvj17u DIjq3mzhHOARMY7MCWVbgnogUyKfIUOGH9AzWxn6imrgECmjtTyA3rCZSlq92b67csQOlEgl+YuM OJluc57ecC2nlRnxqN6KMqXMh6qaW1Kx0mibGiGn4ekkgimGqhbgmKeVhnWkqsbuN1+GM0vfvElc MTE8FXMExbgiF7uyw15ocpsPYnGeuL0jPbWetXyvp9ONQQy1q3hFpKaFwWOecixC7NwAhr0wTesM sA8+d1UI9Ys1cFlGH2TbXinwLbKENCIXBMEo6OcLx8d9GQLALq3NhtFMug6mSb+t5N4JzDR+lv6I TQhd/GMJ435AhdrTITaFCWoSq3XOmUnK4kO15xqNPvTXbosWn49SkUnLiQ96P8cmgpmylnFlep64 76VQv5RNjxLK5Ajp+/047+jAbZHbOumSuCib30F+3jfK3AbXf8OoJ16A2qz5Zube+Wuy0S0jJICz AvwRBtday5WUJ8hxrs1QAV/LMMk5qCKpbNEXDVhRckbbYPQhca8uZvXH5mnQhKEKKzOOc4oe1B0P lurUfI6IasIC07HhiPCFn4fJ4tiv2G4J86lO487GOp9JE/SwYn5CJfQANHdgUo9jaMPC1omSKFkQ vaFfD2xdJYjPv/B6/rD9orYeMageZl/wrdvLocmsRhjkUfSCuneQv466RDYkqY3owabe1FAJCxyf Nk5upz+eGHrbjCn1/bOgu/cL06dFc2l2s6KoAtMLleUNJwmC4z1gTcKJgqAzaZREccYorUqgC9cR OgNrmFjbxH1i1+fSU9Me0+R9hcH1NLmKeic1I+oF0Jc1ZrSwNWraBrWUotYJwGVhp9OXp1/yZjDL doXF2McdXBzRqb+fO5fb0IdT5HwQTmQIHy/ayTbIyGq/AJoZ3DbxmpaRCpuKFEGEMfsQFr2YCmXZ igNw5Tjve0UpD3aVCYVAX+0LHoS9OlJlPBzGwoEt2UggKMOyFGZCMqQ+gp0l6gm2MJpQqqZUmO3K XFMfNeQSqksJTJR9iSpM0LHz1pM1bymps5Rhmzp01k/5v8gSXKs0F1FJjKjO3Floz68aoUrwnz3u CraG3zbcI+3MLYGXQrhVlyCiB6C5Bd91AuRv9NtPBGg6V8D0nU7G8cG2xiZPeflkPrXZRI59TeUB sLJT+CmnxyMjLCDy51xoRlJ3rGfJWlDmkKwZKJ7lwOsXyK/0wcBlC3ae0mDGHwOJ/P07h8b6gHcF 862MhEQi7wDdLv4KR4xCr5nB1V21E6jLNKDwrn84FKdWJoqdENudNs31KeJQ6g/hNUr5nJQcoduJ bLt8IYkcbHmDIDaR+o3O6hjb7HdTbJmhvBzbjtatpeoQwyVa1C0AKQraBdYfdISmPQOrbTtTKN/B CEacPS75EkVugvYttKJhlLjjWItshOWZDoFX3L+/1bgims8Km4Jjlyg89+4dezh/TDGTBbEMm+Sc 7wyWGC8h3Q1KuwXeUeiESza9ggnAj6aQWxsjg3UuvXplshootGFK4jaEG4L6LXSujJB5VrLsQMH1 OKwrapuuQpPLWMl/h1NK6IUtLapzKbd8ue3duOiwv+xap+g5hixyE5Q6B6HFHsi0EafivFFmtjkr GeE3cxcMI+Rs4yU4NTZZCUD8+7Gu+wLRBvOSB1sSeRnoaKaCdkXsH0e5r4G53XKCflT5CLRMp4Bf VTv+eOf5OpRQbxV3ojGbnOrcm4e3mWHC+BEjNHZ+WXyJNPafzJcZ8mkQQrpbCJnEp5aUuHQa5pTh mfBgNNNXN2BgUrCNSfue1nx7Zd+P8pjpgiK317MHEDEGJi4YRiZqV76TdoZXwlr5gtQQcxvTHs6i tGfrWBM/OO0TJWaLHlywz8weEobby/Mh307g2QsFyyqXZr7H8AXCrxGLrfoki62H9qrxLgc+Azic hWd3EMlohXnQbBWkG4r07RhLgQ55DKsw3dVDrHRtofQntKFdclV7ih1xzkh5x7RT2jIDKY2w5HC9 IebaKNFBoSNoyP5dPv4EOrHuCMXxsS1ThStrKjBfGDOPqsC4z3OKNbhnvV78R1uwghQh/+lfM9cI IndKx697imutr1sxj78OeqaB24BSJlIhjjzsDLcIMvyqqqcVxF8SFRJv5zG6Mp+S2i8R0LKvKWzf kRnshD+/qi2TXhuKxWGWqpVTjySqUKPcc/jdVyeA/58BBWLXQWiiI+zIr9ea8Gok5N2y9aXBwMpz hKk/oAUXs8UG2jPCjeIW/3ZH6E2cjFdUI3amYRcTgCjxfwBroXEFjeR5E+bFa15ELUWc13mAtmwc 9CoCaOjS49vSOjJeufEgR5CGFl9yNInZyaF6eLJ6S4vv6r3hi4VUYrLSQ58T76k34v3FdJ7DfYSw G5ntPl96ZoXEHGsB/UKdd30PXj8oe6vODmMia4ZXLsT6mN1NwNFEsr5aEdBgMLUXehocF7KQ5v+0 y39MFFYxJU5b/Au24QkM/bMEDwSLPoUBvx0BNkKuyj7LAx03Ep/kqs0Rjjpqfas+FAm9NOKBI4LI esu4ZWDXDQvQWFlHnhfzU7JejQDQ1A6ivblKRJhOuDrcgSOheA5F/V1xYaMcqagLvqw/ZU47shwA aa/IeDV6W9miYo/PwEHpw2X8MDgkY+wfEE9LD7n7a97tr4iZpk6c4slakEeeOIBZKDWmiWhczLhH lhAZNWmF0GSC87cglcK/lo2XIq3SBFPAAUR4i2GEeeKi/TnlNdjWwwVXp0PkgcMPuH5U4CdB/M2Q oOZaiiFQ0qphYHCF753rnK6fRTfCFueddjc+Uye0A7yAzIwFeJbW60Pkt5ByIOPwJPTfMsApX8DQ OsjYrNouOjqLrosrdu0Mfj/EnktQwjaQM5aibohOcL7pyfxfYT51GXzARmUFb+SdVd8Kza3bLNSn DXa6FxvAKSArT3vO1HNnW7IYicINZlDLwMO5O2gBJ61BrDhjfOmbHUJp3S1oH7CwerbDimzT/n8F QuZA29H8u4lc5qAM//yJP8CzCGTDfOsk7tH3z1Dfctv+5lyn7O7naMBvRK0FmiGvplBltyYzF6iG bJuxHyazD5lInHY9KP5uZ/66d3C2hhLZ0ooi5oNEZqgORcOrYR6i3KSgMGjP/t9XSdmiRdC5JVSE cAdJUmhBoIJ8VEoYbum48myoZwxZqbVCOtUsxl/8/4nzgS/QrG1DbKrQGSbfkicdT0G8kelmAgsE KqXjHRrMDur1yrIN4kezlKDO5ap08wPDvjsz2jlwSsQOxOIsPFVlWeRxq1VvEzAVL1kJHXojc2Hp VlFvwxFxdRSkEuKaDFN1o7ZSsFOxolQvrfeMOWuUfZXlHkqa3zGf0bocEgad4lvLauuNtVU7W15F TlLlF6GbHaZuZxFL8gJREGi+64dAebu41XYpFy2kdNGwd9VbkSo3bYa43ugF50t/Q06qHVweielR +iEbrOHkueAx4sChKZE15Rm9p0cV9zXTZefNZgFxDdGHd4IEcp6qATgF5N5SD6q8QDm+0vNVdom3 yg22KPnnVmDekIFXLWoXuKGhJs78FPRKrnV9/VemHI55+7KUMDmwufcmguJNz+e1awvjr89BOBpM 5elp3HgL/9YOgebrYhwon5HK7enu6AoUu/lPeXWkTGyuIu3qWXRaofbSPG1K4ySj+A+6UCp7kgMS LC164PGxZWOMvVCb1QWdCxay+2Xv8UFpf3wXcm2TlDjoZJixvXVephsFnQ1a660K4Xej0pCfhXtY HAt3NKf88Qe10sPYL5kMr4dcKUlYa4QMLP8CDhT2XL/HpWIc9LLVekl2wd4sXtvAmKPHc3rRPaT9 rUURy/SvB7/yjXVvBXXdFcaLTmUkO2YlhMOUjUZ5XBPFuOpb0RA/glxrnMLpGovkvcxv7iEgA9Qk iwXGGCuhqXLUuUHpGc4u42mEbFIgQOPri7hI1xs5h+P2II5CZdjuRNZ/sR8S4qpADHO+saJZBEOf NoTm89Z1pXZx3MctSZeAWlOG/5ZRMtVNymFkwZySZD2DXpxbQcbAaeGiXfq8LQW+yEdkl2h7xWYJ AFPJ+FafWU6uRutz8IXVbxyn4emXNxU+ppxi2R4qWVZwgYkgIxEGXI7koxuwWC0lb7C3179lkIdi 8nd17aMPPO2FCS2PBfkaxCa9si0QrFjyjOgpeEH3GDQr1SHJCcLI5yyN4z5q8AnlA7GGjOtbPiFS /e0+ZgEuRzee4VC6OZe8B/Ex6fMLpfzW5aiiKTR79oFhYtyZVac8unilphPfy9EZBMVznojhsSWx CRiJob2+hGaupRAE9KjZPe+yY172Wr+hirGLUGs4E3nsoP+AM4gDk4OH2tihfhsrNxoX4UpUsu4j ZNCt/f7hOW+Ivap/L/6zgQLHgJTDeSVn10funfB/1V7pvigpJKgdLXAF2/2XSZckxc7DeNW+X+5P 2SQexLhBTTbyRua53bn97LbmFFgJWiYj4vEStVgCxtYF88YMHB9QRniytRQo+l790Ss1gY4nHFL2 fyyHf5kOAwNtdJy1UvUYC9P8OWWJDN3o4NcWuuyyFChDOxlzLTyHzz6uYE9lgwIO8rETI4btOGpG siX5yhfEOeRF2bW21D3otx1XkSusnfyghxX7IShChEJXm1f/IHNk4cBWUqu+77d8AUx60nM7/7J4 UNY7DzcsbbanUDzpF9DefcidQgOB3LZ4IogED8frvAfV8zIE1PJkLQI2U98i2+4PZgvcQ3yAM67B 2ylkQqOBSoeOygi+GD8UlZThQwTARpr07zMA73AQWpX+qza9g07J75BcRfQ9qgah+4C5UJHVXEfC UhIIQWnqqTdFg80tlA3mqxkTDwFQi9tFzpLW8C9duAxDPRLpE2WtU8S+Am8ineDYxe1fvOaDvl1a 0xlQCmzeRal2pGes2tVwEHv0ySz+pECMtNhvulbe07azVb9Mh2I7z2XBGwpAe0ttotqMT8m23qUo xwHwM/vlMfFQknv93/q7rfJH0elfHm/4jrZcbtUWlpfXlubKswPmTU+xXg2X+k+Fs5gMlh3mkVCv Rak8Z/B0CdEiYX/25jwjIQQA/ckvdP22a4zyaTPDn+BrGFP+vo6BqZQksGNucwbl9HOY+RGkKuGa Ev//kVMEPnqbF4rm5GjwiQBoivsRt/C1EDRllqWu/tCnJicF2KK/r13txvunnlCyi3IGq8NlFFTs P8Qd9aTsyUoQMcCl2vijRDmj8WW8QK0ZJspKw2vsdaoHnvuJ+grVEF6AeetZzVmkllKMze0fG0GG 6mAsSLCFv+dgeJzb7TWKe4gClxqhmF93NM/xKtT9eNogpwi9qBqnPZRAuZj74xEZM+99YoKHctQL HGvEZoU9qR13nF/BzXE4Bwks3iAzK8qtCZ/Y0zxS1483OpY1TPm5TYR5C0k0OFf4jv/4eJAevZTU h6WazqkzBMt7l7zwdoR9aWj8UpfLrAe2bQeiZnwNQ6h47kAndS/3vEART/zqx+EL9clG4pB6UrbF dqvOuURF2dQxOMkw+ps5TUE4y3BwMYBM0G9gUfyT0TtDn1nR1LG8jMv9RRtSZDLKyVB3RwJ1vFgy Uq+HQhUkh81ee/8/RwnXXGi2437jRp9m1gmbjx2SpIOGUxysAvVcsi5lMp9tO4Q0rJmk4TAtUdPs /ShQ7Q1VYJHL7OBvNRKj8szu+tTHqQrISu7xdmjeut+5H1bDhPUXqmzEWcabTZJKfookWu/yeWnZ BaAz7YcZu4La9dKoMS3CwVil3CEZA8JHT/LNavFXerxYDDIcCSMOE/+NvH4rRAiSGuBJjt/o21WF n24bYprwsKl2GzS5ddV9dNwGIpFKKJwm3A3yZAljJn/xNFsK1gV/Vv7qv26S+QWkMwSXDxOL//PQ Qey9v/NLFPhSYOWWQc3MzcTCgHBg43L454u+12ArtV3QGydbSdCpMg/Kec0UqPKbsfLhGNL6jeq/ Du89IDvhddZ4x+xPgJfl2qe7HGbcFPjFeAqlX1YThPcSUxXQsYRiiB5nJy+bfS20FTnFLOgZbQfj Uwa57sLQHzqhbYLopKOpOngxhhSg5HgT1Y1lGHc7XjApMVwKJ9QvojMrW2jcWV99TbBfZtpFjG88 U4GgCDHTkDvXbA7DUynKIw/rZ6RwNNP1XOIJsZJJspM7uUOm6VeQ4mxC/WbdvHUfktWnV1PxWQVv D17DciX/4PK6LlzgEMcVFvVegRMlWtLb+4Y3E3C7Wdpq9t7NeyI5oTfOdETub4lQhCIRl14Awxg4 L5i3WiKQDzC+Dorm4zbBEKqBIoJf2HNoqPR5m3SbsV3gwnKPs1tkxD2WQyVMmTxX1rRRYH0C7M0A RnTG+O76Y+zkRZ6OXpPm+38dxTr7ip3vwnq+SqEOBgpFWn9rco+c97avB39HbIeCNbvyRK8CV1hZ Zo1f3GBNIu/zRhSrjTUoDCc46gnT11569v9h8onotaJGG4vtSWXfaWyx79+s2JDBpoBex1WLx7mX fq1g//HsLyJlleI3TlL5LQG7awU1EfFYS3qJxDoL/kNyLLpZvR3VfBpCSabOcQMIi1Q5J3OrgWrI wVF7l+UHtPiXaSHoETPHHoxeOoiHL+HFtKbHiAwMuphPJiFtwsvEp/ItVm+/FjvFvinTHicWFpCE khL0s+ki0Rj+FUtMfiV1OPMQvanouFOfAALdYPW5fLS7IrlfQxHRJSvv0mkxX1Re/40qiOLv5ssS 2s+RTb9rPC0maIjpkH+cI6vLzMQcPmypicKVD9t20Pb5aMHj49Qzw3HmiFcSJqybVTtoeGCbv/qB ix6zlu+WJwvn+5z8xaqFGx6jHNfdRGDQSznfDAHi9AwNiuGCkHgxQL8henMvL7mXcqwg47IWV+gG SFGRZ0I26kjtz99G1LLPoytlos8RYAbqjw1BNIOfUR8NSSlXQllYxSy4EK6+ZlOv7C7uxJYiFQ0P mK1UB0p4zUUYC6wFMsUaSSRLvwAKUnI5skfNzRHPRosa/p1skb/SnDnAJDqNiMDR/uoWZz4CHk5R 41cpGdB9NFruXWF4yNEC87mv2AVPjusdFxmvBFwywNdv7Ufxrj6KfV5QAIfLCYXFVJbWBDUhg2HP 4WWmwboEwhOv+Z3L5EEHaqcYjbgP/V4blRF821C27Ls18aHBCRSm85AtB0gPAZdhm9JTCJCK1yxR fMCPOVGI6YWnVicXRhl5BOw0Kxt7ebQH379IJGQQ5UkVTZgJlun9llei6F+dHGkV4ZykSJsb55c9 h1yf9KBAjSdq8LnnUbX9yrXlb2/StGjUaE6hTUiuZInuzu8espCI0F6PHlaau+ZHsehkFnSkLyPT sCt1ZlQsNWhVDlqSgsQmRjwu4yLZ5zFGg8PXS26NOfpZOlVoHxnyO26mwQ5dUCzsf77t2enw99gP ywtoh1oAUIqH6NBdT8C+DJ+1ELK1ywxMVmQdiU1yRyGHt7dIV+V4bqpDr1f76MI+4Lz6fyz1qxpy KEFM6HE74kCAiY6eyCasM5lba9RYw/IQGYCkZOdbO+2y9eRIZj2X/Pu4Hk/JCdsbBXG3ltlAKHqQ P3yiNbNBdulQbRbFNOjoewrZTWt1aKmS0oCMkNhQI6hCl4c4Qje7O3+WDBPzzgcaFbb8lStRQ1MR Hbt81gfq+bHxxQR3DnIhKkkH20LnpXJfUQ74BGH2aJbhAlRuVl26f7FNcM6GzYr+V15MDvhzMYzD JX5vdevX6aTycEEyGruDBKfQ6xFaizu7QzZwAnbZ2CyfFcO2jR4J3G9LMg2Jh2l6+xPlogvOLxJq IknTvIhgbuHge6RvPnnSFP8EuW7RFkp6T3445nD9KkcH/lR3TH9fTdqg3O7y9d9yFsB+zHvIDEqL AYbQqYzND524OKsm0zCZhDFOJEsp+N/2uyeOslqsw3tsgndrLIYA1wEgBYx7r1ad/0nWI6/HNd7i YoEUsIpRdcTugfwXdSl9FFvRWGSQSxk4RjSDU/bpe8Kt/OgKWZydYv/YdS6I8p/N5J1ycHdkgn5u RWHlolHuH82/Ydx+da/S118D4YfYemTNElTEVaRb2FeCnr2ykk/ROlUisxm+2CGkN3mxyv+4stVw 29vn+B2xHtk9WAQJzPed3riSE2Oj692Qj7LX4UgM/6K8B9NRDi72oLvSBGJCrm450L2H4ACcT87H Eez5l+BgMPvzVAd612wGdj6chcvuLiCKeuqiXX23/03jjRGFVTrnhZhyqT749IDzdnT+ZuxbvY0f eae3jwfTLWwbT5fHJzWfWgTbt3D3iZdEP5JLAykvcLS6yXwovQlEEhaBOj/rvg1UuGw6npbGd4+d UpmBpmILALBjtM3YSGBzfGL4SJI/w6STI76EDHMUYnd+zecQgNfB50z7nLMqQE9ue1VRVk4kNW+b J7lYsDvPVZ/4iB9y+Wx7rs+TfdWxX/U6lioV7O7EA4WdqKh/nEJJLksLIKxMZJCr5PfiwC2m4OhO O8dc9JCMLPNNypLQUKxv4zd2nBWnHuZg3WXrrYpEjNZqbVGEzbkSbz7Cb1TNrWbpzCFK2FOto7yW CyC3fDMRStddyPFOE94dbca4/3jPGaAwIIigBOyuLHdvWzKCuNS71wbmasxID7DQ6hk7Avu+pVwR L+MoMKIo670lLSK+nIN8qS1hmTXjmYjMr43FE9I5BJ+MUOJpwZkaW3J9rzsx4nwwNN3/ivaDPnQ1 onQ4Tg3+WTbrehq3777a56XIeeRMsj8p0szx8BRPJIDXgPFLcpExTqJsxXyNzHTMpTNYb2F89In2 OqSuHuY9AWXBmn3mV9LoJ0eSvrzGQqZAB2SqRUYFIEYpXpbIxbEIMLwnVfivWiZsu+Fqhn8Pw2I2 09SWCJiXGDp3JoWaKdKLyCeCzDav/hPUsdUCf6w5+tEupRIvrMIZ94mWiSYOtu7K+RbR35Leuoa+ 952VWYFavOQmtwLNYo+xsHMOaN+s6EQOeeq3kCCykZG1PH1aMsoxJMZf8ltaabMFVSSIHyhW4Uqq ghM8GUnm7LWjQ+lDXhvTHc/3cd6698nb2nWiySDmjTeC9MKXNCC5ANla6IhBB4WpnWnNn8RrotKJ C50zx2jo4eOAqkP6Z0Ente43iUlpzZ+j1W14GATm6bZ6DlztwzqEO/IlaK56SKJsA2QRepvI6ELr Z2enXjUapWwr2B5CZ+Ai9K2sg1+dyd0HiYNwo53KVAv7WUnVe0lrPtqiZhGwI7hGZeFwkWMv874k javk9IyKAKeCStuFIY6rKv0DR6NGxYjW0Y+bZmZHdQlk4nQGhLR/h1z18YLPew0YMIrCwGNUKkJ1 JNyZ6F3gSk8eB1lqE8pSo/60M96bABpbhJb8cAXHXpBfTi/fXOv50hwJYSUC+MmhVNqgMG/SmgJk lc3PAOSwLLIfv0E2jt5gVibDk0dl9eDNa0GQjW3J+rpnseYnAT+qqmoOzSCM8o5EtSP6eHe/YYKF q8WtGvcq2L/MVBWlozWv8EMkff7KHgaT64LQq9o02rO2oTD6FwdDzwdKuRa4Lg/STE7pOaUEsbZa eUldpskfJx3qffHTaMq7wZ58t783YYegzyFN3YKDiAFN/PrZ93Gp8ibz8LBVHjK5SCa2RWV5c9mi viFyqr/19GZBrgszc0bo58V0ZZDMhrBzdVROtwCf3yLNehDGqdTbtY+VBCaX53d2F5xDSrQz8oWT G2j3Pq2qmvD6XlaM3mYVVDma5aWXLtkT966epkVSv3Ed5WrBn2lPVsgC/PFWuo8OXCc0zDk6D97M Krs8DngygjtPoUtEeSpWw2ilceWU783IcBWHr+f8yhQE7zEyEM5YvtDL6sGtAxzugJwWP8nDfn/M Y2gAZddn6rHOScWG6aR/QWqwpNR+yE8+4UZCyDs01jcS2WbMdYcZFY4efq/54/5xceOrTe1tlRxS ybOM5Cub1CcDfiGwp7h+tujZ43N4Fn8REKMChdj0RaGSpTdZqlnds145WOaKUV0oMfM///7QYkHj xk/Kua4dLOlpiRBlHFMMy4Ub70RGj9NnC58N2nfWmUuRgPM6aWBeR0Gl5DjOhmYT/kUDUp2UbdIW 1NHj9ocFhsFFwkbGFZEc8bBcOAQ08IrHJG0XjVvQ2yezPrK5qXTeS1DV/fqhvedVRp0JgP4VLI4b D0/BxNSJpd+Yd8YRuXjGPpOBgpVyji//DbYhA/xl0BcqqlbGCC7LmPT7XHc8N3ZrhTVxRnFG69wX ZwtDYWZy9sCcUCkScbt7xvdrJMxrMbi9hAzJhUjqK95ur04RzuUz8YNIqL8QAZMd4qoOnt6AFz/P dFlYO37eYDI+lsaRZP2v57jaC2g+JHxppNV1D7gepxGoL7ziox+tiZ8ofejo4gaNEeh4AfD5tWzQ HEBMpKfRKWa343ehMVqMFvM1cOl2l75TLLXCYTqMLkGm24Mrz957Yo5LKeBHzRY9QV/nYwMCshuU nRTNhUY+hnRdGgFYzp4Ja3NwgdreTJqK4/UF7Tr6ZzVtQIQlGUiSOyf3Rnn3ARwo4QhUKmyQo/Az ezF1nIJE9dWC57ytzIAfxAqlZbcdnL2FWYkcNXEvUg2Q1J3xiOUd1tmtA9+HzAo2NmkmPEYFaJUf OnKIxjZSZotZKaG+skHNgwKt1hFEAED6rhn2LHOMzgsw+9/KDnEnM8d7lsReIO47iGhYvsuHsszT dIZSBOEXJuUBvDQj5MQQs2CVdg0hGgFYR2VupWZDA8Jp72yLwdHxfdj2rj1nrSueDWnYvevFklL/ qtbxnzKL1NouJ+SBfimdle5wpPFxdQcstSNOCuRTKTh1hXeoFR2Li0WSMllifs3gTFmO24BR6xeJ yVbbuBIV+Z80DI608OfcUAyDrR8dT3Z2cUe2HLl6kxdY3j4B3TxFwjxUrBKrzAW7P9F73vLZD/t7 f/t+3ekMRfS1WRWMkbv0mIW4X47MWofnbP6RZI/ymyKpnxjNFRfPTYU0sAEcMqAy20hrQYphMZos 9Ml6LT7GvyMaEvOH5PSs/6KzaR+vEdr3uuuX1fxBDN2s0cgpvJ9J3+VsFqeCYxUxi1bUkMOFh0HK U99CGN8VgOfuNzoxOO0cPydMh+rIHH6kM+HzOBrZYsCoYtiYIXwG2JJHE+UV/9m5yvHHASN4WhCF 3nn02rc2141Zhmsx5yeEsvVGyUquzNVIqP5BAWKn4m4SoLYTPyXi1iV++fibbzUFgLeruK0tifSJ IwAwIs9FpOaQS1NScTAtFdFCCG44AL8g5xfIg7x+efkrUvaTU9He4pUkiOfLv/V4jpanYdb5emQv cU+7OZ8pPR5YZC0pkqyVxoCeGIv14BmTc8hRXXhlyYFe/k2kWz9YbWqKHL/u5SAN0n7R7hOZYCoH YGFXFRXrMnRMLWelvezkt9+0tOKnfpt/CpoAPMfsNnqpYPkhL8bjAov2JpyzyzClHyLNNG84juO3 5sp1CckYn9F3uWH+L9lqBnuPnz47v8VWOZTW+ucdLvH3+21pcwaoZBmi3nt89y0Y76zVq4J0v3mB xFQEgNhDPdFDp9iWouftMVpTB8SV9jNuUTblA15nsy1DpM6zAaDoogQXvuz+rFDvl0JTzn7+HVQE qbFfrb13zZ+iTunbk8WV3jKTpXFXc6P0OXcNO3D1HtHrI4ygm15pHx6yRJkZkup59BT5glu17lvx 97rGL97CxCCk8M0o7FJj1K5gdxFjecn9jvrYnGlpCEWbyvjrTiW952/XcD51eIMgcL2Rjmu1FuWy V4ENQ89c2N5dZEx3RZDdQ17GdkXONVs1g/f2ceVlm2Ot/roKaGFee9ynS1yGmez94D556ZqFk/m7 VIJXLGrHEHJTReHWeovAhXhqPsIBiCA5ef2NDIqMA5XYSbhOZF9jCmdDW5cKPAX8D799HhmeEPia 14IMkipQQYx5mlgY22L/AU/mvEYo5SRnQy7k6g3BSaZrj8xXR7Ecf6v1KU2yRZXyv+nU2zn/PcLc LH3PGkZbNQVbHPhPsliHX6ik/ZVKEyhnBQZL05Gq20pTalQP/Eymqw4aXk9xM3f8FfmRb5HnaoZ5 2QdWiKNLFDqYUFhWSIF8QJeb1WMxZBUemB2KJH9Z30t22S66ciRA+EC875c/0hG3yy0fXxHM2ZIG ZfJtoasfikpdkO/YdUvoOEJsiAZnxgPKVHVNe6mDJAzWCJylFcvpcVebsbi4OM6A/86OAclXLquN 6qdsDlAt2wFegq4xvtcUreLu5PBCBv5Xy10Sd6cUlXbRkM+VTeZuCmp19XlppHqh21Er3VILWHOQ 3Se4l6Yzn7YZWKEb7WuDdr4ctFCX6CW5yQeSnIkDUtQg6zuRiotJzLkQm5WT9MmTPC3WBa4wy0tT t3tHLzDvj0ROF8k5auRb+5MKI+jSw0e/SlywqHv6CschQY8xFs2wMJkUqVJnuVb65K2cn9E94FtJ E935EC/kLMcuQVtyO5+IUUI31rBmKccWHsRR+j2kMBwc0WFt26/NOBVdM+5kK/rYqaxkQtyaFbCQ xt0Y0tWS7Bi7XRZBPzWs9I0vRWgWQ8dINloXlFrJR39Ax5tWlYclte8rz2O4TmOBzgIo8D5+x0Ph jJ8lMT2TasSaWOoxozlCDObLxtVJCqSGsFlzYscBr74ZaCf6cfxQCOEmEFsDpzOfIn2sqDV9cRjG T7reZR8+Yj6t6y2YGGUUP8n7saToQIuRBMGQTlzHTSlClrwWaQyVDqdGJMQTlwzffwSPS5fiYlGQ Cv7vOSVXxjSYP1oak9q4KRqRfCPavORcXh20H7q4v4DNEDc7XJ8eKerT1tVDS0wmFiR18hpq2hR6 T8YE28llwJazhpyP2ggo/5QwjhzqQ0qiGU9a7Qt+zm0k5bcOiP0yF0nEWscuMvm59Mn4eJSQYXe5 1OKQEifDYDWnu5GJew19za9VFonP2hz6mfbnC/7MFaIFQcTc2qCcTE4rmM7cuNUthnrlbUafpphy AP1GOFxlgjS1nVhET+RiWfIUx8Bk9Yp/B6gmGJ8yrhQB+QlKq96CT5L2+33J2J8sm2MULUPbSM74 dcQWAtHcltjKSb6SP95/7J9YD56FR+iMxSFXMR/cxjCSXy0VQiOi/oAmnLu5WPd6HcTa8/y+cAS0 dbPynNcxsB7gejfuwXm0KgwUkDGajch7ENTBka7/KhUq1GNBMrvnjPLDugExB5ji9r7TpFgvAFS2 H0MYMtTTThc3QpcqDcK1xcozcz9VTM64TUM7BcE7dveLNPYbOnsy+0G6UmG0SYFMaqwk5F0G6KNb SWgVE4g+4+SEICHCR4RvTTfNexeYpolImoGhxDwhih3QBmYXO9hS0CBrY8EZREefDKBHrCmZeR1p 1f97ifloI3EIUFGxtvuKpF/hkzxx+nNpMjrajMiZF8uBbHxTGUFe54UPC5LKfVNX1AAzxWkv2pfx POqFLPlkJGtekLowWB0M6YIIX9eK7fs9j6f7ZifnGJmyTzykHx90tJZzpzmp1qOQK8cWRZcRhw6I GEaWe6XT1CT5JF3HobHltipD9HpfLoaPMT254GrFusiPXfMLtb4kRbaeMFzW6IFBZhnTa1ANRV3o 5CsYpSCsnLkAw5SOZ+cVlo2eKvpPYDUfNGocpT4XLP1DiI8ty/06YcnQSIq9Ts0q3bmaubpkKLo5 KkIIb/eVCeIG5DOqvYYVZe4AAGNOKKji4/lTnTmc0/VI9tOCS/YwRpss5CLyvtGvMXVsdZW29+xH MtOEx8eQqKUK5pIaqBXcGQ26n/5MT9xkUmu+uzK/PSGBXQD2kCIvziGVUXivD46BVYXZ2V8FKpaO yMOltT1X4/VUUtuiym3MinRaDzv+jnBuMI1P46fBEye6AKwPSz9ho4y5VGlPZEbGBD/I6hh2uG4t lhxIIiCH80x2up8yop64N/mpTycxtqfm82jE5c+GLpSahTNcpKXt5ccVLbtcBgVkmyg7meN9fWpY mdN0Nd8RPQ0e6h/6+Y+xDRB7fk16sU79NIi86+YxdzNig2uuCRTahKwK8EhpiHw0QyMo4EBWb+vB Tse+pHP7gKYmrOPLY8R+CDrfEiJSyC8jwuYEp0g03I/juhSzStqDPmjgds/4htZrl38eCQLqv1dM Llb0RX0+495snylR4mNMS20H41ZoyHLl7UFhbaJwA1g5za3CIzvSHzkTVPwYyzLr3Oa800H/QWjZ KzshlsCww+wRxqbqlt+c9F9Kz12te5MU07cLYsi95mgY2Qwtx66Xw6vaZLMXbJOS6iSISG+2oZJ7 BUrhmocQKiWmAI8Gj6rz0ptuVWtwi3c3t9TH1E9o6IOTHA6RW1qRxxLP4OwHmAPjrDadkGR4Njyc QnCuNU8I1SseevpcqsZoo09edh4WT6fVA63f0/7WnwNa9pjIQ67OTQywzYnPmwgOkxOzJj7EJwCp XzZHqr0jsJHc8GM9Euw8jshFRDfyY2Fd79LHKEPpHiy51XdituHllDisvPlSxqYO8rZYhUUSxxox sWPAxn3FBuFmJ//ZnLrR5pZ9ujDrhXaf36IhduLXo5NKCASs0e0/yGbobVSqklALxisGb4/WtYZN fSyaUgWC+l/IROAtmAvW7WVMwzucvBSSgjsZUQEGx6957bzVQa6A4tDgbEzj1e7MJVf++N/Us6mb fY9IL02O6WYSB8H/MTGQa2hZSxrwmfS7XxaqERvevo1ySLVGnQgsvW6/vD92EVtZXtf2ODru58WN f9kK/Pm4Ulj8jibR7fr+QrAbYuBXvOHRfAVo4xPceahJrIBJMVo8C0QVvMiQymaBHFEpr2sS8lXx leGRDD8Zugs/nrKQJzINFfz9SA0T5oQB8orOj40iscLVa3n2FFu+murNv4N3Fd7MGtm0tY7XADMb TYpxWwDWG/o8oA5pm1gQcE9Gi+w6brccO2UKjEQt5GxSouZx11ThO8D9Yhk7Cf+c3v3r5cycJJdk 80KFPlXWuOFMX3/oleZp4XhQBg0bQJDRpeikkDdg3vJseb/cuRyp9dFJ/50D1rkBgQXIIiBDmj2s vyFj5AK5riuxBOkr2lBKOSZ9X2qxUYCIy6ZexrY0iemh7PZKqc5L6YLVSy5nys7d+cd103vOCDSi +W3F3crUZqPzdZ8qz23VsAxNL7xseveCTNhuPQC0cUuWbmgOpo7LkmEY77iBTZwPIWPIwFA636FR 8SRLyZ0UZKYR1+Y41BY7U+v9hFIgSxjugPC0Poe/m5oiwYqIo0npALJOtvG0vZexttRq0OrtPFNq dkCCTYkb9yJnQPbD25OMlfUR06lFmX1drgqr05n9cMGaWpxYfp0FU/v5FoCw0/eioOSXpyWeLSHZ mFTzupRGekURYMMIQD9WKRxQtlzw+ujw82mw1xjiXwiB1CqY9jaRXp4PWxnkgQkNiVHTeWgH+cSI 0Mp2wnpxBtTgTQyIIj/7MmkpYqpZXJmWEyeYhLprr9Td+iFUYLi3E6AgFhyC9UKEw8x1cjkebnPC KD8sm2ek+niL/fzljS5/bUrT/HeIMIDOdqdNGYR7uDlUWb+T7hXUexUYvvJDiP+tCYz+Us2LzT4b zy+4Z52hQlsD+2Luo11cRdDeOOvc5YgJcoOThMJH8EYZXWzToOPVF6ZsmBuCbwsPWJ5RrvnMM8fF uSY3rBIRMvQJf+9xOyYIGwwEVds3APZ1fC2IeDMvQgVY82eQtu0chD9J1kEGNScDffkhavNGPvXX dkmj5bNeImTRV/+0gDf7sq2BVTDkB3ZBQGVe9CpOaNqd1dumojT9IfaMkmasn29fYcCeGxYi/OQy b/AAjhWoVH2Q4DuaNeK1i71fVHqIICXz3Mh8hhIp4f1+DJtrc56rLDXVe5m8VgOY2oaMWSBOAyOt IHai+VBejmWHxln6DfZfb/+WbIoMDA8aPvX2MJZT1kMadzOtIbuIZhoyECfx86Xbnw4wjtCrbp0s 41NdFoLlEnIRD1VDZuNhOqqpfzTnBq0sRUyYqSOmFUfLNClDVks+aC5RCVpAJCtDz4bbxh8bV/dM 9RvwNQ5Pvenvw/CiRXLplMI3B7opeY++f/Zh7KokG26TXy+yLq5OjyGvYewPb9NeuhshqeJxAiu2 EH6kruRiFUmtVjYuHo0Zl9SXoY2RJzfi+YcVEDxIMHqagJgLUcpER7dU9fOhj5e7Tb0GY+3WPUvb IczN4z6b/gVv82pSrpEniVoEtLLO5gCsYO5569s+sA2I9VWYUjZU72IGHuq4CYF9DDeb3wfdR8zy sYZDsfEzpyEbLyrpAGap+3ufv09z7Qt50iufi7o41hmZaJ9MNgF2MGmUcDHkflabtZBxCfRCjrt1 IrrzdJ/aBuM8ptvJqVW+gvqscVcM17+ak4R7C7DUEDHzsv/IDB/OP8LOu7FCernBaM+/mUwFtchm SshX/GiYv/iH+FcvTAWjUBgxKY+n4LLJY5bfuLn/qhcXhYBrCmxNhpgRwHTV1AeKTWQUHF7COS7A tzfPBPhJ6a14SputmL9esIyLU+XCs/ukYF77foy6FCVakPbCy9+HzrumXgTnelRlItsAImEJZ1r6 1EavW6P6DK9FMJAbmPzmlnAPhPbjXCWbdRLFVeTPI6Fzr8FTAY8l5qTWIz1iTapJJFMvpUdbnTLx huKJVmxbHvvdtRPg+/R0x3Iigg6CEDMhJZXIrGu0NXvIrQGxBuiykaXKychLDedtbnjJ8uYVt7nw 9Jk9T63jhIxKj333msHoeXBB02MeouOIjHlgxc4PbnF1R9RbQYlgj5+EibSrxhPGJY7KGIB4qCP/ HuuUNbF0RmLsJG2Zw8qAJPCtL+zer3I7ipRRIG1tXi5rWg0BwKl5eQ5tryFn+TtXwUlDaZZ+w+CJ xzb7Ae8ulLV7m8jcHl9r6l57GQ/B3G9boqX1aWJLD6W6WETPtSfgPCXwq3qY0nvmsgdg549Oyvki hEb2YoDQhBonFQwyPCheATDPG7ksz5uUD40byA4o3I+EnG4r3p90RssicvixdQppNmbNGJ8NScR8 PMGEkT+n28CBLItFyRj5UssDEldQWGB/XiUqHhj0dLunQbP9UXHBjm3GgrQw3x4V3aRfL7/9aTHv CQ6rituVeovnkxC10S8p95m1yXZ8evvbnxteXOLEsVbN5KltkUNebWxD9AtrOwUXdV4zLgr5kl5O PGiEtEO7GjmGxakOUMniHjj57P+TT92KMsrpMVtlDPK2QliUaLJhzd/oaw+83IYPmeFkkXtyr8+N 4VPmVCcSfcCRAB77wQy3TxIIFzjzxEE9mRP2USmcW7smK2u5P3MhvzmCD7mvQiqOIVw22iziLh8F krm0axkofQywQvhVyI0HuT4mrzqUVD42Z/+wCM4Ay3j6Yvjmc3b+dU1RycMCqrgMEpAo+o31ZVY8 yIEpE88GYm7RVdJZ9h2LWoI9E/0StmKic9dTpAKFg3YtUWH0uQCQPH5MMW8DfbrjkigS6Qt9t7H4 LFCVZKvgxIHPa38JuCEEG0JCH0NPttXsiKMTHzTVm9KcJ4sKMlnZP4YbafgWJGaywu5TRczhX6v+ oVt0QnJqSa5LWEv0T5nJBhoC9FfIYnp2rDfMLIKPTJ533e34dm1y8JF7ToQXUlRIlt4D2jvecEQX Wro4PW23la0yPJPA/MSvDZxKerMKZe3M+qtUqwJfCxamn2Y8XnLhKUIYnFvt92hqQrlXNwA5BMSU Eo8FK56ygmihmCJ3hxGII7xIClaWMu1n67SlZg0B2NBkmUnWskv84pl7fM+gmE4L0wZVYVlGKCeG N5rv9BFFXfBaYqXOoLDrNGeKAiETguPgfzVayn8PqELE7qbA0J3ZzlrJA8t8OT8KQoc8zyQzy6c3 UdQAifRz419FMzLl3qFvZNDZ6dFCeBAkjcfPLItCw600iqXiBWhPYE732Y/7O0OJ6wbIX5pem5eR bO3trrBsLfZWp505lfMqdRp8JTk5pr/h+MHid9Q7wgJvuzKJTjllIksMzeCHhjyq4uBTwEdgoN9a mbT2lMdylOHOVRSl2RZmcBsKajfMhyhdrEwEfrIW8zaWSCQ9hsMd5j3Oe/rTxIlVoKTviesyVnIt JYJgZRWXUnvTa+nJ0AT5ZMDPf6G8DNxKM+LezTUWa2ZeEcMy5D0PEEK/6QXKgxZ5KwFc1owwrQBG XCNf0UT+mPArZ8SxVRN9L/B7GY/biNnZEZOeQsVj2FyjBrbLaGrWdeE2Q71/k2QZM8nlvTK8HGfU y+fd+fDJNZXDjwZPF/Gvh8BdQZnD5dgQEKGgOdwT881psYHQUCUfcOC/karKfOBV3+9DgdB7+DUF 1mGq9qn3lRFWmZVKD+17Ltv7PFBcJY4VETQXZIlFfean0G0kfZl33BSyPL1rPsp+5OAmUFXxqpiv SrvXrvI9HaDS/zuz1w7Y5MlQVuzwG1FAtURnT3pDDBtodmiqeE9BNv8EotceFTjir1KLATiXgizR 5xvbtV+nZCNc5KyudXxrpMj49Q2Xgsv/kuvzIN8dadgiZcteHrptOcvnxjG8/RMtJuER31jAccUg cqPdpuZA0OTINnA1tCz4VfJ7vx3S/UCAWeb37k8tkZEJFe5h8yiXYfFDF1IVSZXOvvg4pStVaQYE VE0BqVESjsA4X2NpuHPHcn1Bu1AlryimHJuHfKFJDXoXPO6/pmxpadmpY1IL8imd2HKqkGh+tjDU wSZObBH2667PZYH1F07GAWjcdi8lgAjr2D++aOquGZujExhkr7Ti81VKXUyeghmY67F/Z3Fl181e kovsyB4h33iZ5FbRM7IH3dTJ0+ZvEpujpKPb7QT4sJpx+t7oywcSwFC8Jvz7+PtRY7P+dN0XZDS3 qWIJIut3GP7Ht8qVeFIMjMpMctBOD8tsJcfHC9Dskcni260JUX7VVPQXDFX4Ix1uYvaMczu32BWe CW/aT02fSD9x8W4qOkxCN+Ex7dpsCc7naChk3Rv8+89p30XWOCUdmgwRj/DQLqxKhU1o/a9jRYVB 880tRmst46s3zlfDBUJPtYomc+4YXtbidb0ggjIlKdCj151mtepKMAigXsawepsVNB5SAr9sgKR+ zbFZc/kkX1D8znjZWgLDj4gEeOJ7M/2yic/oRjxphTw1/UL9tMxX0/p8rMdWGpQc9yZOaziFLnq3 dDtKMR4ejYxdNhPjqEMX2pOruyS3NtWqOmR9J5tQ7srA8DheXVeEPVvuhpK6j8PGLCJlPlo25Kdu gEM70DMplaFdNxXiwaz9qMwLkvYy34rqKACklFN6XOvr3vO5VTwpbi+36RW/htx0xQVJfPOQ805G KtaB5G/mlm+F51ha9soSMFt5FXchRuigKSgjYSe84vKqhAsK9f7bXyj4QlhTbBFBKjOuldXcm4NJ TIlr/RLq3HJyILbsJKmXo7wlcP2ANPFFnB6WkKZ05WvamGEkLsxx+F+7TR10bOcm5uc/T+EylTPb 3mtwUjnBs9YVFrJbNcQmCO4SIFhRiulQ4/1qGpyg9u+XxLVTKZ3UdBH7Wb2YI3/nJVT1M8WILhpY h0dTekMe/wd2OGYf7b8Hw3QH9rm6MV+0ilJBaiD7nBc4hQzDKNtlpYYJC2bDYmVXkJfksZu5zQdT POFdhDAyn6jDSuZHUmKqS3m2675NtHxDreQi5T62/2qXB2DEzfKTXKjk56FjoWJ0GD7NHusP9S2z VRR5ieqgik79zRBPWIb5Xdwta9XC/eFzPkl87mA4z06QX6qs9Vt2GnLwfR5EaD8HHfwOUfu2INMg kD6iYCX9TEN7cQeuP/9JCin7jxcyetbzJKPhZfIWoaSjs7Twv/iORz3ZKwpPvkHfOvaaELviPXK4 M4HyOAOKnSTpfDpv7+wohCKTugkQbadF7cJwzlH72qHyBhUnvj53HOONHafJJMuWqGeWCG6GK8xL McTqOK18/pEzlWRzaaQYGOurEGo4wJcF4T/Nx875y2wiop6mMhAXRjFn9K1KXBsTCZBlW0su64Bf IAb4AzO1fA1G8qNtG7eL/Ig1JsSTS4Mq6NdC/ApfuFbb/ekgEIbPrgN/8o6QBWYJAj7viK9rMIPM LAMDvX2qKAMLyM8AyrXPm+eqsOL162Lf4Oe1fhLTSiarTiHCUBHG3jj/rFqgfnA3r+SIYhUS39iD 9gQp5VVWAvOIGML6NXNa3zpov1dU8f1vq+x/jMZrVpnfuYX8pW3mzz3/oyl0k6exLEzbEUOpXFKf XQIcR2Lxfd5MElPwJqTiKdneoYpuTOC2b4twY//6kres/bo0m36xr88lcGAnVlpJcx8Pq7T1rWxE 5zXFZ15NowTWrULZWoCODM4Yp8r6NI5lagdvlLFvDLNV1fp3z8bMx0jIsIOTsWa+Bct0GehfktZQ Z5jtcNiQIWzW0i0TYyIBf83F0hq8h35hwzEkXDxEXEVfC4sAswz2x4CYS7e4G2w6Xjlc+sdIAhuj TwG/HBJmpZlOycsFy08oVvNlpdZYE+cupGbkw2r3mnFdevpQwXUA2K8KZIuRSNkeLMofMg4iyR0b 9OvU0w2t2J2gAoTfL1zN0Rvo9TS4xREP3tOrngJCyhjDAM4gKE9hdUbudDpixQtfyRut7L9YRHRF QGxQdN8LMjz3gtzFndiD23LP2Td+28KnxdsQOcdKzGcxfoG2YUVji0ceSclo9j6AKy257dMYr+Q7 M9zDGMcUHsYQMJyBe3frhE6pc+3/K8ct6MIQUP/s9LltlAuVATPUeoWwtRB9eX6f7v9ZVUd7nZ2B u1ehlfWMSIHZGedhBe30LpkXiaNNpYJOEHeysyvLh4/9dL4CZFuGd+I9+vRS/nUBkFaOcG2bv1Qg b5EMAiIeCAioNVjkeQPkkBRxCEdP10s5Hu8Ny927rfxEqQIfvsmqh2eW+HUl7kTbJPrQYuPIJ+0X DmvsusZ5oVLnmMKqjFK0caO3JXTtaRBT/enbiRUx9QNTWx3Z5sFvJJaYgmUWoVsBAU668heGM7Wa BbR08FkOzBjan7ZEzzAay0BoEDacdeZj1qzLSeUG1nIUY5tVXtVXu1u5cJpAjojXiLP2uTHBjYsy jf6HcONzFn8qoTqHxFGZyEOW/Gqgyyw+BYjoHrSpFQj30mO1h08rp4c1LcdCVKopPzbfK8VBylGJ AuGT0VUauIdiaECcLY1CV26YcnZ0+hh4gZLYhiKdErPuYCwErjaj69uL7QZDFgagxvnL1KdySZJB 835ObWBi4Wgx1vMSp0f9Hw0ojJ5mg/2PdpQ9ELKeS/v9eTWGgVKKuofFsS6L5pDbQyZgtnFbZXbr 6ZtQavIirmJPProfBBO1sCkCYOuqCWaonpCO/z8xQKOSgjiGiRw2g5QvjAotVCBMl6y3E2RWPZR2 fO0tFNL2CVVJ40MtjKlsNMmEpMsYj5wpuQdb6vIGt+wXSQOcOPIO0Qnel9wkcm5vAotcZ16NfCUG ayOiY1O6TVl6jraXjYvcMispCvkldDVLLbaiIXdq/P0O5XmbgqTA+lVf3s8S3OExFcGF2RMGxBSY OppvUzZeegsEkXelp8tipyKWvfCxmBWWpyNjL27rXJvsxmy13o+KeIbtupUxO2LiB+LzmCO58dKp 9kaT/3WVu/UUmKVXXAPG12AMt5r3nb143+lop6/dkbeJF/k1NjbMHQyhrnW8VrnnXTauaAqPlEeF I1bOZ3YljFK0zW8rSk7dFu4v356G3cdxHVDOV9zRVA+z2oVJTBsrZzTS5csXO4jucJMapy+i1Phf 6z6MLecguB566so08yBv6PoDvCo7TUYxgRcnOtYf6rbjQuStM+dLHf/29vViovLz2yJiHZODPzAm ziR/NHx0XzfZFpM7X4rIJsTfcJJmBCLSxja4OBAdcohWjqT3sFq/HNNkj+3UbpFNEUYCkdMATpHO +CHvv0t2/PjA5KHX4Gaq+iPl4hsojDEiQc/dKs75Cv3qsEhcnTBq24nv3BLgbNNzi3edWvcNFsj2 ESEDuT8GlxqyWqSpKYtMhPyV07QNpBGX8gka1v3nLEhnDHyBvOZ9+W7ykVz4ckZXs6ZOo4ZK38nC 9YbxLnNYDBeAJBmu9APqD2xtcYXHrnsrtn2/q57812QO9Mr8rQMdXNaT9f6g9P9JvGM1veHUQmr7 /CXxVyWUXLw84XHm6/fHWbMUseGP9iF1LJctq1phFjv25NCn9+/Zcv2qcS2FOh/5iZIOhcpLc71W x9EFWn+mYPwFNU+oLUxz2/U83PrwyqZwLOkkWjICtuToy4cv/e4tTCXoEO7pmP0gL+eQ6JBJ8At1 zIy5CvbaRMyiR+HypbXKroMDjNZY1aOWXfNW5aXAFX6rgVlCOmrdsXAOK9vkodviUvAses/lZ1ZW IM2erzuL4rxs/AKVyEi9DnyL3IB6MS/IjjkNcIi8T2ZZ/0FSpqQ3d74cSzjzj07L9SeeWWE5oWTE N82HZfvf68LNx7j6UEXuCBAjz5dXkeFpGU2lRed+DO1JuPfCxFhEdauQh4Eb9La9ryTEdI/7E1KR QBe5Ab4N/xkqPPIrX+NZ7EdRUvlAvYbwW6+ShZ/yCxdv/CSRQZBvKbobBdvtyRIsZ5CRnyrjfUuV gOGWxp1asw0nw2D/sk7jbmreMaVIGg2IhM+nFLm8GryspAiWnXawMVHCYN0vyYLa1XbFcB820hW7 TYP6c5AZtPK+tOKPdGaECNJuBgAa4DITIzeSb2Uj5VuO1u/fnzS8rsdz4yosRE9v7KB1gYl61dmV goh6RxR6BfcQVRy+SkpVHxCNn6RNgGNWrX6dKNgJC28jmyFv1oQLiVfiAJRH8gT/rV1f05Rrr0Hi PtozfGcoJ3dphIQItAhc7IW6SDmNidb6kpAjubqRwWcKx1zmdrRW6dNw6zDdvncaL1t0FHy17fk/ 9AdwqD5BRvFV8CwiWXjkclq3FmPxzZbHMvz1OOuVyWD65H2c0tL516KNJdtsaZWXe3s/H5zgtnQ3 8C11uubRPqDNTX08mh9pBLBtzmSSj9fJ8bqFl67nvXN8qkEZ+CgcC/Wx5kZDqSqsiXm7QXPWOCko THZYRnndVHD28zwAU8xvd/JZlBbQADJKkF6xm101zRZ8ukQo4Jqwo7k75fhSp6uRT1XWxp7Abdv8 aqwEVlddy9HAgLA+u6QIm2PZrNPNZtyT8LcdtALBlVaJtXr/5LifNKXdR7vz4QMsUe+jMXlK9BtT XQtYo2Sq2ISArkO1hhBxlut64zYOSZD/fN2o75KcWXcTGFjuYKiafD+jNh2uEZq2K8z4AaDI7neB yAJN6273VRZilMGW265hhbauC071JaI/FwFeqkIdkcbeZMXJ6PTlgsGYt5CMBjSQ6vrewW2yJVg5 FUUw5SF0zm5fmtLQiUYt95LzfvCmRRQWAxci+P/fHnm0RHYD7TbKtRue0pTZQFlwPLZlGiPrwhh3 vRVTYzh62hz9lbknlMF8D80Ni1fjALLUd8ljLfyY2HwKIIYZqEB6cU2zqnmgzmhpHTZqgoMvRiLk MMPx8mU47EzL47JZTQwmFdEglDy908RonnC2YImYkd1N+Vyf46Se+X0byZKzskFUDFiMBoWtfQw4 yHi4zhynekAMXYe/1KvcFmPfcr0AeCA2wozezoxhL+eyH0A4QEJsy7rQhDGsbEJ/9LCayGBC2e/s GNx9gpEB4jsJ4gKIfkEPRF13Q2BS4fVa5jP2NIv8oQKHr7Ivwk3zdnUBNOOI3SUQxslyDKNyHco8 eXivyc+fESIXGSbgRQBqOacU+iwaYDnIXCXAsqWNiO2ImPrUA7cHLVLEWi6fEphHF9LQaIQ+bJZt rzJaWEk0Tm6LTWrBOWCLCWNc5yJvgTM9kQM7fVNZkkLg6QcMvwX3f+w8vktcVjST5+x92VdED9pi TG4SeXOCA/Zg6+eQLiJij0uP/0pjRr/Aob9nSHtBSoHR2+A27wNpvO47C5UXiZr1+03FnsVBfHvr NdLlKJtvujuzFgVDWPXcCjyEWbHgqgrxZ/rSc+9h9Fwek+xBLvFGzCOcGY5ESn0PngMe1244ejGo nT8L0VTFp1Q4tjh1WCZUlZnkakl034Qs5kgAmv2OihzaII6Cv9eVwj0OpQ9QThEa8i3W4GKMs3Iq sITbvT+GW6RtIeoHaznOxAkgJK/OCP2Suq98WhLdqU2SKEGeYoOJsrnj3iIfZUd5oru2tPUYDm4G /W4RyA3DMv7uLTf++xY4mGKHG/R5oS6TDR/1EAwWCfG6YVNVCiqqXjv9XzutepP+1ql42sr1Hofs +lTT2cVuTPvqtCah5HV4pbMvtqcTueehvuf4jd4airRYkOd2RkDpPCKFHqy1rLqDBUhWhDaMvoXD 9H76HSymMCsfvrH/gUUNUg/NS23ON1MdwmdZywGsAfIZyc2/Wop9ScDzUuoSfS0jA3mjljrYETUg x3qP57BcLfFx2uJo7S/Ygs8XXIjk4bo7pYIXYsIjmLCy3FxD7TR12Rqokr+yWHZgQP2GrJw7ND4I xcZSce5zxwcFzeCKMZ896eZuNjyk08rn3bo8JDBS6pbqDAzzr5Bbh3iGERuZbBcZEIlG105fjdbY yKPFZNoTeDcX/+TDGUqYNY+E9lK/XwIvJmuXC7cuYSc6MZaFspWuR7YXp3YLYRDbXY5NRGv9vXdr AxZa7Yzg8gmHIaKWuFu0W84saFqjzQ6fC1eQDvs6s219vGDPYpH1CDD7oRikbTtx4mq6J8L6NIC2 YenCbAdWQa6E/qfW6FtGNUxm0rdxHe6b2rPM/q9AybRDnnfnLPruhp4PhBZoYe7SYbakaecCcCVv 4OP4HQDaeJJwhtGredIcpaC3E5Ac2cO0sV1oM7zegN7G5Vu0IjT6u6EMR1Yo8/+VoRBS62E3Mcua 7VRso+8R4mzYekvPp6txTObRmDgRqDbr2d338Yb9C/WSOh1zKiI1ut0a1AH75RPid79xVbtEGUoM lzjLM4gZoyjo9DIwQewsGDsxvjajnBO4gJYiGD6CGALVqcRqlVsofCBcB74WLTKMIJ0aAYgvmVsE R6oI/ippS5JLm75kwK+18lk2VFB1wg99FisDqNANnQ+vArjU3etWRIJeOnPNeXnfRWL6/e+veY/v SSsmWJOvg9Gvu/MAaZqBYddNNM7Yjs/HHXGfhzh+NsMdBvz90p9j/qZzIRk2zMbpUOgxatgErsv+ Xko1ZkPVWf0qLwMapVI7HCAECPgrorgn94iJrcUU62qhRxA1MhWJY1uP4xWaE4ezWwoHD15JawEP TCHB4HC6uoIgm07Qzckww9/02lC6khD0eQUWRZNOIMrWX92yeKDs3BLHOPIlZHh/CfCu7ZcjVXpB uI8X96bejF7/pJzuGA9OPBx7aRzrY409+ZOjq5LlL7c6X3e1coGp2n/XQTvfGs8bPC37dF++OIgw ZTU1SGENF+TIU/PFUT04/o8F12KSDOy1YbtvstXMXfLvtpqSBORoW8WLVPFJdlgLj8du5XESCNfx ewsdT0fuBwd88BzPF5T/rYXBcr9NBaQQHr2xaisdVDMJgVDkz2yyTj/CoGyeLFCRhQsWWEdbD0l+ Ii3wbXhZzKD1sVJ+od7n+UIjJjQQcfHMq54iVMDSN5GjZi74l88jrjNnXpUrRE/sVpheL5P7r/ts ZjeFPc3sGPBMoy4BYBqOpnhRNsnfk6fqFBDHex4b6lmj2ZATYDa+XJTtg0gzzmTgyskF7SNY847e wavcdSiO8Y7f4geJc5T6Qdf/LMZ+5xnueksVY53SJx1iRXGACTsEYwnp8F8L27LjiCV/NB0yWeHU wc7vYFnebysTGz2R3mQrH1XOyKGtuY4/6wehggLd89Wj3ZEa1LU0UNQE8An1E2gNM6rWM7G2kfDt Mip7JpwmI0oCvvS22ZgkR0fCru+unm67WI9bk80RBtwSfJRhYodiuQyDZNS7tBE8ikNuMXQwlgv5 ezi1imn7E7/YCOlW5NQ725Bz/rQqfhxNPal4xOPCdN4Qb1kB50x8PJa1lR9v9kU5QoXRyYgsLxmb ZlyJGj986+RizN5v7aOn0uFnL8SQwKoI5O6ygQ3b2xLfFaLSxoDfHVLHLpnMLSOTChhUUFKG3Rjt K8fqFfwU0+5Cpex348C0HBuUxWgSuNdWUOnuEZw+mHuFSqP2O5WKgG5zh0a6pizFL6HSfbFfii2r QxN8/EbqtSf9y6C/xxpYx55Qr2QtuO27nBb2q4GS55nJlmEaHiiY3QVb8FW7b8PGhW6P6DcJOzkB JPDxNq+2YXpEkN3MUoN+pOVkrtKJDH5AeRy7h13nerNxsmCfKNIEbuqdE2ROKRtn6yzT11SDFp1h 942+nbktnYkLMTBCPVd+UokwwSZ8OUJslwHrb4s07r2Kt15OeG1wG4utQzQx+uzs+g/2U9PZEY31 TyWGXQX0E53pk8QdlcAhP0GDSg7/NJLbDl844a9vwWsvJrcBO/IqDHo9aHVBfPgtgPfw78zMrKgi +WBdGxnRtNHCUF10F4jNYCRlRsDEqQeM57Yp+eo1FAdPjzb6G1CswaPVCJp2zTmywijRTUOmPLuf KO+ZKm2qKIUxaLiwaP4NbeFUmZoqcryrfFeXy+sDsNo7XFzmuS9Y8Mpgy1axr8vBW3AE0FeWRZhE KaxDKs/YYgmWDOMi1hA6IvOIUN2J51PfYWC+vj6wI3TnqE8t8H060b/B8brK2sWTaqL7lpzCkUNf ZjaYeIBxjJfsPbBSuSrlhZzF/l9bjuP7TkXr5FOP6yaJ/BR+GE/47nYhhEVdrpSm12lCuCffQZdU jyVqFJXq9iNqegbyLuSHJ3KUN7yfiYZikJfjnd+Z/zfGb6Dfskq+70Oc+EBQtrQT2EU+EqFkVuqO vXMTmdyEWgJ9LuCYmzx5rcfH6pTFI7yPSyuSBTuvmeALgPmvhcBBI6httVkxuD6T9OXimjE4JbcU eI1QIJmehwORfqj4/lUIQDGK80CIp+nYcw2NrpYdAeu9NGcWMGzRqnnTdX0pGkDtODKaUjhc8DDA P2ROLC9G2kAnF/d1BNKet/0A8dZDIH2WCm9lsh3lEww10e4dEUeWSMjcWxihmeyAq9K05c2vhQe8 OS5Q/bis7ZG8UAMZ2IRkv76nWybRISCY/vlMDYyjOG9fmikWzmzuoxCbHcGQqy20HrG7Invj4XA+ 84/FEFkdZRwG62sv6q57M0xxX9cEHARWf7it8BGjH/hzsvI00rfv+O+CAoyBaiOvcbX/YjQDrQvV SeOvkOEzweoHjbakn8DYzc/csDC4tWx2P3GdKPcfwNl9YrZvREdSKCL18Rvh4xZccQO4p2gDRu8T 4sTufY4aKlqm/7lL+FPfbIpk2WjeqnnL7oHlrZaLFxih0PG3FN4zDSheAfyv/624MLuIsqwcUVcn WnAcdxGe29sydq0StuUf/T7Nmr0V3cf2tvei7E5VisfX3RTovxK0+DP2keB+N6hraCPDby8V8/tF ndl/UY6vxyUGqP+ODHHPEd8RKo+uRz8DxJrfYcaxpmHfD2h4pKE2QmQWZyUqILdpodcUuyAF2KmH b389I2CJDRPR2kTT1XV7lD33KV8W+RqoIwOSXKnrdXZ0ZTdslasITUEqQVm0mjNVGyscPS0TL3eg axGIIRBYcCX2alftVjdWRvbWYEe4Bq1tUcR/3IPndXQGSAXCEaB8QsVPiK02OqQqWdANF1v+nRR+ qOy/I/eZg2G5LIe2re4l7AuD30p9Xa25QVqGvVQkFdeezhGz/Iey8RymUB2yY5DBVJK84KLEJ95E BaSE641QRLMEtlhbOEYGgv37ha6291+pq/mX+I4iKFZYHSveJ/kNAEvK6qnffmYE1upjX6e7v8tU TaHu21qeqm1+ZJahIKSiNyYhZFNp80Hc/W7VmjJ4sbrt/DM8HZdApT87BuxZ/RWezYzDg8AM0Etz RinuKh7GVkBbFcU3juxVQeaSBjCAbtC45Ufwy32bmgjN9LOyHJw81vkb8mh6aJcR3lxJu35zU/ZK CyXc6p01zSpeOursX2K4L2aATTY+uxmGN9P8s+7d5JztW86DPlTG96+kpdsDruTPuFIforY2mQOv 8PSKV8MDR3NCfQumP+/dpcfXgueD4wyVEzVuIhAKo1GUDNWfABggLQ3LKtUcd/WjWywq+P5IIWPG XXKKrJtVeaOlX/fwGg3WVo9ZzJVObhztSAf8zLcGni2j+HmqCogEDyRxhrS/rnmloqP0XCc7eNhp uN8Kfs6l28ULeCvkLJLLLC6V9FLZCPyUZUpoZtH4bVRinfO6koDaTLqIBBoxLCGr2sGFmTRv1dMw QgZb8YWWkjk3hA/H/+X+GvQadkiPbRbpZP07pf4MSf1ZUpDOwWlqfrviUgo6yLcaasCVNtgZIUYw EZtOvQvma7DyTfz8967gCoWI4FecejvfcQqNDhf9wZDeFH+r1CfXw7NeMHBCFoHYEMQpqAJd8b45 V9gjj+fuuUBc+STq5hQ0IeyFKF8XSSuo6D0clsN4RihJKQeHhDnnJedtuBZB3/I4zoq24y6TAhBs iENRL+CGTRvRFAc/gB8asWNAz1sKHooUkx6tV2k0Bo/B8c5wKROVvcag07peZtnyjDKhMoCZ/WM6 b8Jf8YOavgXLKlbBIIqwr5szPVnnAHAHCaXt9C1ROOh0RcbZppYvHP21XQUrw1KScL5+Ar8t/scK WiLRjfcGA8U2rHAh0m4dq1sUfSFgmPituIb4j1WtP/WO7QChCTUHfeKPb4Ag/f2I0isGapThZH1X l/gSBUCaa8XAYme5XFYXO60lEV1fQi3DzNSWhmUxJFubzXY4BYBnoq3dqFRUBsjjEE3qwNShexo/ /7excC9kxUvtTk4yv3t71LlK8a12sIm9U0BUF8/lo7kTWxpKJFBfsX5bSqy5t/UBdRSC8aPc01Mk SpyE4x7ZhAycrzLbwmHGiezPD0odjqgY/QtM/kzXgGq0ORnft3i5vEXo6N2MEhfwZ/F0h2vdO22v sH5JwArlajxC0Ae8OQ1B6SjOQTVZ7aT4OWQAE8K0mv0flwAiORWG+IKlMHKrmpHJy3YbpWj6tU3Y Aq06JIPuIl5JJ8cvjWVr2RMFw0hgsCrqWc7sYprDjuJ7gVbafDkI8WtncCkcBxeIxWxIv9MRufnf uENhFO0Kji6codl6cwhZ9RxQlJ/gV8N141OeaCVL6vauS+fDz3evWWbNw5YDdJVP/N4eepQ7vPDv Ed6zHNDe7wC6cl7cahkOZhjv4iCZp1LUn2zktLFItz6WSpUInBsUfLDttXxvJpDDGO0ZSjYl+hlt Vw+y4QMAw8Jv6VwYSC2Sxwubf1T/1eOlBOmhgPUsImFxqJjv2YEMPWjfDQ8Q1brnTfQ8UdOX6B5I C+APk63cySZ9Y8jTi18s5afezARLN7bqToR1pH6ie/0lyPYwrhcuI0V15bs4uC9UzA90/iPBCzKy 7D4E9eMu8bJ+TtemAyU9iUH6B38fUwXKWcgl6Nw68CK3gcSdsAzcl8fJu27gBzIEkDOx7WNBr4KZ lD/8lhcfJ4/k42rAac+8/BwnTuBgY1t7NjQvRz7j2WbyEZP3P7ve/WB186VlYgRZNSZfBAA5OaHm okm7tN3wvw2UGwOZSv27UIya+D0HMIFX8Wp5Xlq94sE4Omi9FI+D1ca9/xPVauE9HQEC7VVrMGOu +W4EuYyKi9IRVoywxQq9dUtelBgJ/O+NmcYlQMn8kbt7Sx8bnKvIS9Inq4U75RKoVYF8WLKNZZ85 fzIgxAPf8J/MaqkN8ebrc/kurPVqVs6Q4qqB1A+PpMKTFT+5mPWkBVOL/nsVa3TFp1fbW3lBkECv ZeA+YkiwBKA18l41Gis3x01uAPkvYqs7THjFYGmBVzC0HCEd8qtkbrEKTE0AthgAbU8jcyFXWwkK Sjkiu1CZpYwkkC8B5q8Gi0Ez6lYx7JILjcplHNx5fxAB30LvsQ9EW02S+YAz/V46OAEsFA3fznis 7w35RTLOn0zTw+kJAYR8VV/Qk6oTuP0yVJbuXyyb36U0en42J5avNDBS/rvXa40GEZnv96m0GgWM VXJcSFkNoWHfCPtD/PZ0O1/ad0yGIQCz7vVsgAi+OkqedFlz/op4Xb3jeKKA7TzVRxDomYfiNxCv I1QU+GBAX4ec+7DbOf/ZHX5PPwRJVTVHO+uJ4b/Ac5XKd7X5hvknZNH6SyD4NmixIkjkdcvwIc4P GO991kV+nADbRVM/q0ftSBuH/x+fRUew+sHT3j2YDtdZgn8enh1irAeJcze0ImxDAK79nZJ5aimB /eralwRfGcQi7QGgNrD1wJmmVxSxoeXE2T+cibSyoVQq2QZIAvl5pXSP8TyW+sgpL+8JmpyKn5bL bdbHQ5/KUw+xyo1n3jruLoIbGsSXxxm7EWnL3B7tLgFg7cm361++ugrw9lGJ6symOsrqMdUeLSXk Y+hHlUS8SQKPyO3LAAn+Ng0bSg5mpyIIbWngkj0Pn9GYPGpWF8+afUlHDRFUIMnCfkpur8mR2VC7 7j7SDXny9I5QWWh4yCwjG3CskgP7ckPwhVhP1oOm7drnFA9F7yoHij+XRkzMzO8iEawwvRHcncnN E4DZrNdC9qmY0OKIff4It1vf4FLgqUMrZbdZSOwNVMGSehgwEPFE03DZIqPiLmdm5dBd+PUquhdF 4kedYc/zAMI5c3/TA5J7PsQ3vhqSoBUDcXsnOV6OsRPp2NjqghHpGWWpVVaeJg4c9njtdE4A/HbX LhV9JeDUnZVcWXlKPSS8ahUNWwsF6yXcaeUwyf8FW0itjlMqaVAAyh4B11FJwS2gaLvavNPCDbFb 9UR1RJ2dXJgMUEqEXV0yVPtzVpT9DUME1nIai8RIYAQo5NunAwfC65mnauY6rsWnEeUFfnFsgkwo okhkseMr8qvSSJTgPnOKAiqtiLdh6zdRkPWfhP5vXzv7t6BMJBEY8NE3pyvlXnqcNOPmf5wByzii wawekT6TPCX2DEy2Yn977cnO1hts9gli5h2ZaNY9fp3IKALg6emh5OxpBB2CAhBKM+VmkI3UwVoS lqlSUBiwGaPP3+UMVs16ElYfsM4Ogct6SqnilOsSJJll8CSAP+5ZGELqzpSEUC0ZjyuItC3nBlPs O5YAI5Eo0RRQ1vhscu+gS9h89EFhqOMag+QeeFGCJoXeRqNhkiFszPkowg2gx4qU8GQxRm55hed+ 7/xuoPU63bDImhrrAjZ+nKufMT8KF1eIEXSCASksy4mHDBpdH6DkHy3wTz2r9//+nYliT1APxv4+ Fw1N8k9d9wGBH2AxSbz5iSr4dBVMDtHkXQxylHf+KKuCg8pnNS8BZDYn92xxr3doVPazP27mz6r/ lkP8Fv/PJBE8K6jfmVUOO3zGNh3ZKf2SCSbgxsDeXwinV610zpXXpThYjidQCvC2Q3LINt1VaMFz J2p6IjCl/QPs0MHDIkQv1Ucr5JFGMFpHGdM+qsj8ZV7BaUWz5zvj41QqQ1ZD5q4YVot+WfKfBRQq U76adFPNIoSFnNP9Fetu2yrjECRjtBF1ERn+kofrJDu12lOqHeVg7bEWOKpFTX2ouL4TGjVJHO43 qtWagmARHwImfBsxdOMnx+lDgsg8pXZMibOR3wT0rrs/rK9j0VfTvF3VfF0r+jT9BLrKZBwSwn6W S9WlQ0b4xH4eiRASsEtE6hYAjHn2H/VqWI4KluTsBXtaUlG/L4EcWoHpd9np5UNbTMLMVODZ8cFg w/YzMuTwriEvbWf3aUssTtHgO9rmK3KPl1dhRwetYhOausWJ6oXfBa9wo3jVpn4GaO2nBwGOYWZA ZoaWf48RWmH8L/ukPoTJhNj0aroOsdzth2/rkw1qyBqD/4RRmEsqm1ov/Q/cblDSYqtY7yx3equD c0S2Ap9uG0Giogf2MFTQ9AXu+fyKj3wUGRgx/gr3pCz5aZXwsT0POApCH67fjvgQh8EYV6IfGMNG 5yEuS+tCAQ7xaVSj/jdDKQTHAFmmn6nTMAxfLrJinUUctvZAZ5e5ZLolPofPOy6XxLXnnOOPOwZa SrXOr/gOO3or3EZc8AFbHk8Y+zqTAjh6oOk0Yw9B6R9epaS/qOyODQ/IxGeQXBYPCgvjR0lAf4vt 8AZpbPE/XuN2+Lj7GdWV8P3XphVp7437GdYV9M38ei8X2kWAfu+6J8Ltdw3qlwd5aoFJfV2IO2JQ z/LCJeyt9DIy07zuiUKqIN4UV6xkXRn4CowMnQLumlcAUeB5iLynOGXiqXZqsiNze1vqp3/FSah8 k2XxsCYW8Y/8TAUqGihypbXonCWdM93lk+r/rZgtEl1sLno6rno+Mw/eBV5sIrYBxCIzBNzNLZE8 txgqH7UEngsNMxcQ3+9BIRtR2q2QFfmkxCXXCzHOv4V4S3+aqaRpTrPKAMFNtbS7Ijh+dj/cH0Cv 0ZqeZPhOsRgvb34dVUZtZ/BTZyVKFyIia52CZGmpZ+0nMkFmdnribGhloviJpozgPXtW2hqbFtmm 94eAJN1asm759/he4ZEXDtcrY8SSPjDIKneTJV54rEhvj8cPZIuMtdmwvYFHkh95gC7dCPPhknMm 29LCp3Nvcfoce3LJJtVkyP/+2gT/YJpiwtHKQxbtHhvU7aWa+680ezWFQQFdagSIlgvy6zc27ori +jST8AEFgz2PueNt1aibtklp1HqXXAwFsQ5W8wiZo2kUgDwEQoTJUKTjO3wg95aahnRJlgW594F2 3bWAnE1ad9XJJuEtHnfwrg+sC6tTJi2kXNw1tYUFYuNmdb7ltmX4YTdLZopjR1fRxs0dEgYKwzTG OKNY5ee0mjr75O9dLqXT2ST0SKsgZF5ZilD/IkelkCPhkNOtQ5QyB9QmcsT9Cz72MmP4vIEqqX9g m5vDzrox7CN/whD0Vdx1tk7afHZEyuWCq2R65P0FD1Al13CKa5EfH/Zyx4JHetUhvQLaIMwqUPRu qCicDwOY1Z5z6DlYqOEkY/r18ukuzqRFjF0RQdZKtTMIRMA9UfP1leUipErK6Xycmijlx/VLHHCZ RwPcxERnXBzdc309gIP71PHx7WA4x7UOYdsFFILjipC1Yhw5MOihr9hE6RMaE7W1EcvdisXgDstn vfhtYTdQmfSF4wO2H/rfLKtgFUXSG0Sk/JFnXk2Y2Uvazxp3JFKEx2RwKS2D6fVFDhIzKx8y0GGF E4wMcaL9S1eeQuM/6YwVLOELktejkApw4Pg98oNNJrpKSwWKluZQioXZA4GkPRy/kJwwWdnaFNUk K8ht9tRcIa7U9/vRoATbxiL77mxKL/Kyaj1UIxalJTCKvMhde7EJqJQDQ+AjNrF6Wv+T3mgdyNrI OWS00s8XBvLrmIpUxoS426XBEU3ZPr/5nsgqC/cayd8BUVFwCkEDhBKwX91F8k8jDBF9MOMAS1My lO0R4Q8svvF8ur5FeDojjINPEStB9uL7w0+h07yg6h7aVTpIo3CNAmtHrWEpRRU/TKqRyGTNELtX PvyzSAADKkreObyWxM6Ky/UlfxLbK6hWb3pJHjTqZw4QX/D2O6tWanBQoT+gbD/4N+8onr5Tcy6S UGj4kbJ3ZmkhkTPXUYf95wsRCZeUO5Tpae9OLuTnxMGDQwVjZsCRrfJqi50BHV6XkOfiLnjPDhvQ lsHmsQxy97wSwnMWTaDfV7O1T5Qewy0zgY+QDdSxzCFFhquQwVE7sU/RjyObIsB7cG3l4pZ44By0 Zcspgi1+6acjGA3VOPaMOmvfi6ViQB1nhK6PxfHu11AzfitCz0SKBe1+GfrCQBRvtcuj8zJKpKl9 CtKGMJw+v5vtf3HM3PgERYQJE/lUSwzpIzwgIsaJ4sqt9JTItqbQTrPpu8ods7qN1zpewe8ajgut gICNOR7XTy9yy53Hau633bKm8haU57Rc8eYV+DIrQqoglyoeLrEk3PvMuKA/p8NKoXGX3GJlkOH2 yrDHsPHquP7tZ77hbOWZcKYHec/Qf+who1t1T8bkgDdOLr5UHMrF8fIuRKbFVB2DJn0i05qvZQDT 1LPAJhgMZSXJwN1Fh0OadLm8P78mqEgVmMprrq8H06uJLfn5FrTTuOqHlRwD7Sr0n0PHI79hYBIz P4p2Wk0xwz5rSIiTjwWb//Sv3goq/+l/obTzUkbjwXllmZYiqcNN/QBb9lPo+pIKzX99KpXYp9G2 awddn6cJMANvv/cRolDkQaLtlyK9Ym4jaDOzrDTiHdLtSumZkMJsy5hD/NlS4zvA99UYqasJgwRh HTQ2GnUQFFD1yxsMGaVeeWrUYugVgwmEXp8i9jiTttw008qbOxIxUjM79Y/fiFzwFvn7rwL0A3xB Wj4LW0TQPXLPYM1PjC8K51JS1JO4zv4js3es7o/7zjWL+8hnx+dA1eusctLxzuurSkjsA8V/d4ff zdNu7ZENYWowPQgl717maoGPj0leNbJxGwDEoWG394+3IT/o7hM0s4tPzU4/Jzp4TN+wguypfZ2+ G2fpn4MqpJDznvSDrwILgA97YciQzrRqHNon5hsBoyJYnmJp4uDu/IgABry9okiDsnpLmWD7CGB6 quHrBY/1Fb6f14kXpqf6Gm7Thstb/uCyD7G9veF2/UnfbmPDlRGKD4OyqO8zgU1H/aYGjugMeyVn ch4OAyxtBZ8ae+dj8oD7agybvUM4viuDuqMv9ADRv1d3XdzqUXvu2JLaCuIIfWQLb/ksxwi3Pakh qacIBmAN39CYhB6hEjfY/JE3Ml7lnjyk9SX6m8An6o+SQLbTTDhhny1KtvxX+FKDgYLfWuwka/it fuAqArWxB81WwfwZzIXatDH7rH30OVzP9t65Bg6eIhZcYU3dPvsrEjqaFfcxGJ8IYjlvVSRGgAlT gCk4iCzanW6ZycQUYr3TmrsgpNfGmITwdz+nUE8/MLzINBtkCX2BYqFwBDVGK95kKXkmdfn6Ki2b /RfTXiwWFu3JB8xI2PoFVGljN0vcuYV09Xd4yVUc51KgW25EBYM1IMTgm5gNTjZtydFc7l2w12PR SD+MzVbA+G1KwUOMRjYJpzZuqI3OPVam2zWuVBTMziXCkbd0txJYVTyUYcwZWKEPl/+f9Stz4/jh 8ZYl4ZFcpYO9fJk0TrWOHb7vAF5SPhqNHLIsptyhAGfqnAh7kls830fa5HrixoHBodUWQNc3fCWe tb9cO1ORYFa3jSx3gIrljibxoDRpiQ8IZGSCruGsGgyOFSBkKoeMiWkhypTDivLrOHWFxfWuj5oZ 22GAvKoICMwdXoHL0a13U2PwRT0qaY8q0LDXWhkLwsGKHPbiW2DSwjDsmCKvibLkDtJwmBE8jGnB CtHSGjFZDoofGWMrw8EtUfOzKtU4csu4tfn0Yp3y+kU4IIwSLpSp/qgFaxWdKrdeo1twDWdRuC9R 2mVcIvGg7k+McwNr4Zf1XxhQ1zkcEZpPlfjVD12oky7IH3xf8S90ULiYX9LBszKFWmuSuRS0hTGS IMUS08jyWqYEvlEDS7MTCqh1tG+7LK6cpD5jOrLwm/rJtRN3Ij/7XG90IWFcXHbmNM0sYESUPvw1 zUszSWkbhTrjPXYZ2gfcXfWcFoIErTeED7mzfUzxLzsbsvd72q5UvbDTdTEekR2G+HTWtWaPv+nX UUyJIwtkhFGrI/Kh1VvwAvaBBVocEYQgnYLXPs40f2p2FMAUPA8rbxt8DI0GPF9DxBFbq9qWAUWh xdjcq1ciWtDRGqB5LZNh+Txy5SvDHi2faQVzCerKQMEROxZZnKxRKuL7EN8CFrFoeNKH94iCFpLh 2j3GrozFKbwHofN1LRAKmhwWeVA9NlDLOfG6Iq+oyhphYATGTBA2IQorTWvPPPvPwZwACnkZJDJ7 GqE+G1WzO90VjQfuSBolRD6dxXK0jq0A6KDnjBAdLJB3fqdkA+n79yyMK5CTl1NR96N/T3uWELXk 9fiMZ3quxHuLLMFRJlJCSt8z8yapU++etPHF9WzHho5z4VewbJ7IMBE4G4Pgopl3Zb0GlmcFV7yU DQUoEMIgoCAMMCIvlm86vkxMo6QAtiJPbnwrgn7MHsul2lTmh2QbE+5+X6/g2HewI+RU+9HlCRwy 7263rI22pxAZkKIFaAKlfbu6+9kNcP65/46xAWkcuUWxw8AojYyZeGJAYgxhElHdoyxMxiKVJGcP M/zcOy7ejqJZUXR5e9Lun0dFthrX1GteS2OPpGnGYrermenM6HQV9k2HFXqPJnF7ruGmh39EG64C FWvagWbkbHbKsXZs/aayJ1+1RiOoBbZaueLiCZba6oTKA/9sJsd5ym79/Mdl6OZAMsxnGspo7YtH 3A6V1rQXhvibRVmVbFwZDh8XYtbUWz+Jy6zN1jx1VjlM6iSFaECIHVTcN4cDjcS7gclmT6dZnZyR q4rWPxvOdu4tHEQWQb/t8D5wC1n6Y/MhR7Xqwz4bcs4R9w3porgoS4DsWi64IcCtuRJQWLARhq62 XL90G1Rdq73ebt6497l1Z7FbzVmNZ05/1gPXNWN9jtvIQt/LWgOHdOjfXUjN88T0jEcq/86i+yst Dc0KFQX+OKHT6eSZw7iAQ1xdj0cMUmFz2d5eqvG0YpyrYPY0YLzOs1UySDvTR1frh4aXCnexFFQV S0f5SSMFM3Ml/ZsqU5XoVAVrNuCxjO3ondySGjXHFlrmO8f3o2SDWl9cO198EHRjR5F/ZB6jYlRI oIUNWvZSyvB0VYDik3tI8d4D+5F/6AxVStMN2p1gvBm1PhW8Jg3xgBHCp8G50kAKyPCudRrvEfZk S73wGhI7kiVtw/z7fUIRnyN1dJgJViC6qgjRzWmfWZLzkUxB/PmAy55CnMWFInYpA781r59Kl2Ma pooJP7EQjbF2fUD10yZQRbkfYCNrSlED9UKYti0iOLv0j5/RFz3iNYBoy1dPU9A1W9xZuGYjOT5d Gwpf/hSKW94dEFFFpccGp5D50O0csnDfl7ZtkWpy5ONUBLvawHHFNYKvDvEUmGaIZjHTRq6TA9DM Qtrugg4heoPG/esV8s7fTcIEAxhRax9G8QEf4x/e3STB3k49QeOYcOLMb4P5OQoqtxwgvzMhMkAB RXspSUH6/OD34d8T75YqNLywjWy0MYDUwWdjCVCshFpb499CHPj2PGmmzNzh2MdloC/TuUlEj4Pz smgbi8OnTDV8bv8NpWhyvYOqkpEMeKNboK8PZIhoMbkLMGrYlbaZ2xzkA3Rqju2KPz5THjazhP2w EIStJw5kJ+OYUXWVxNUTn5WvilyLn26IfZv1GXclgwKe15zSeEFB1lcKMFPYvas8YTzMH4ywORSp f4bJQv/d6Sk43EeY3D0O+JlrL0zlbmQE6yIllspTKnliTF4bm/QoBTA6KdBkmcbAHg74az+9oBHM NTDs8uXHmK7ZoY7s8Oag4+5MAL4k5nQAQCparbI98T6SGvp8u1EXTyHG6hK4E0+P5Dq1oWwdzbE+ UU/pDKD8ainHJyR6H2uV+/Nh/PCpT6Iau/dwh8h/WylWut6AZCQgObA9btrOmPgYLDQQ/+Ngew94 ZD/wxSUpqsNSDnUNMVwxRCWR7kj4ocadrEQ6tk1+jk9xLFTH4YLXVMOg8s6w9goFyvSNZnCkKORi 6uN5du9oBUyBtWKD7VZOR2JbH+kjwpk9YRod5cuw32QaVNbCPGpdIaBlaZAJOv28ZKjZb0jWZUDL KWBTXhhTOmkKQrQ/EO17HLL2kGdKBDvMH2S43I1VjRJ+4begCX7DY6hlQ/YGfCcTPPQI+eCr3nyS 9cJYcUF/qaxaTyDRRyyW/PD4KZk82nIcjx48fPUUsyQw/A6bDoR0DnIiOQCyAJivMZFguakZEZq/ oM+KmPTTkHFbltbeuYTW+i+1wPnZOSa0yqPGXY9konXrUx4XrWkWR1UnEA1KmAItHCvQC2T/edpR RwY9WVDR/Go8j0wwp3OCW+rIfCgvX80wqx3jR9Nk53KdONzlTgIz7vKjTHeClNBZCcT5NrDApH7V oynl5j9v7hNCyLSnHE/9QPBhJwMfuXRvyjchFQMHyJlPk1y1SaL7TCIRij+0a3wwOo20Gth5k0oR oA8CEJ+ksKD6EclNhrU6r+xrdRZh2iaryzQYb37h3yx+8KYiZkTVU6VfLF4VbDm84OOOCGwyiH+K KKYMeaSXL6ydCmseTVWucMX4WMou5yb0/f3m2nxpc1UJjW+8dSizpPuEDtm8+APbSDKTksa2RXZK E0TihLLV+HIc2miBmQGw4i6lX9xgyWvSymKFSlcLPh3hvHF9BiPcHbAWx1fKs5VSGQpA1sBHyzmB ZsA9BhEZCGsLsks4u0ArLROxMESyhM1S9o2qjOei4eJTk9TdGWm9wTXu9h2yrVJCl+VIYTWcWJlz hWC98CEogntG3L9e8JeI9OyLS8OEPk0TNVtg+usjJk5MeKMtMmd9+OYBmhKNtu3tThq8IB6jxt0V out1nL8cbq+3FglW1MlOGmy3S56Nytg0DNDC/cUFs5B1x5NnOWiQ3M+wgYmZVVJxbBSKg4Bzjjsz jDNrzZpl1/oKFWe29gMsOE+3eLpZgRA25ZwXEthXjRD8LkJrpL57dsGxJSB6y/7EWaVVsHXKPfxa Il//91tMau1/73AdYN4CnLWv3yvi2lMHB7clNyrmZ/6ORV3+Pk+Ts6YFDAinoQNccDV1C71lLEBf jp9VrtcfKSNxYcWOl7T5KRMQGtsbxFLt2h6xpPUGa3FoLX29LAHK86vDmZr3jOBlDaRiZpJinEfN MHC8EEIMHP+NR0H6k7OuqCLSAtUXCWsDAOuY1IgYphJfe1OBryWJvdc65XqqAbFX8m5V7Up/Myov XaFUKtxem443+MnIwNV5Xcr4yYOwMzVdqPuabKzLJby6BTyYJDt9dB/nCBSpGuwBp/QJPBs7bihb W58QUOYWoD+QZU1FCEQ5kzSW35vAK5/O2BLqjQd7/ThU+4vTHscteQSLmeiJ9mwZ8DF/6CfoodSo KE3nC8JQ9QYDj8EE5Cq6vZe5MKDspi97w+EszBx5Tffw+e77cIg6oIkGxIyP7qQGKOTs6HBHrQdL 3NNAdgBQ6vnQC+yfXUo/9unUcykiZck9NbWV8OVJRCC0IrOgNffMKIsAjq1FgiGNqNJEFU8GdBSi PLMR3G0Kx46M60IMhL9wETXD0IeTsnHd9L6bmswevdgdPYwuGK6Icoyx+xCbCWvEq3R6HxOc6aCN 97x70aeekRXozq+kLm8xfsc9nGzEIKiXWV+cosFqs0Zy64qlLvtZgbELHyFW91Lu8L/WIf5pkY59 LZYO2xI6YO/R7uxnGNEMoa6ZKLei7G7DEvlzAngBdlzm5r3cGjzT3vxOzLU2ktO0POQh4SNGn5LE egdV4c3oGCtecMTmANfDh5RrjF6jpt2Zgy0skNWVoDzoYk/p/a3pWokUkPZ8uFZW6mZLGRQya3VJ IiRCilnPEQ7a5NrlB4SsFNgeRU0S8ji6W9x8ISXMR8aSnS1pV8egilHJhPT5dXaBPnLEDu9v8Ylt D53bOJlC6sY1T/DwH4Ofo6DdRt8vBOhvvZLKpUoouSARyIxKFmx7N43QVmNBSM8yQcn+yGqX1vHW CslCCt0pU/waw9GIATC7jL65kfZ9FhJa/o7yzsLgtMHh7jpkx/onTQ84vN8RHgpyDT/LMZPBpJ5Y nab3wiQOL0/SeD7gHKYryWnjFJom02BG8SWsEdctDGxB5H83N8nc+6OXRuMBhcCUaB1n42NTc9GG jF2h3BcYfmGJ68cD+mX+RLh1S2t28/8ldocWHRY/2ljHDTU2M8hfZ+m3HN9MuKE0Puj/DuEl68Fm XSUGkiSUZV75E/Tvx/fb8Wz6/2Vtifc3MP8gaLDHiDO0VTp38AhaPdWFvATQM5XawQOzUeUQrvDx ZZDv0wrPGaqMXcSrkAzp2xeNdQLTEjagIdWxPdTK6qMV0MGMjTMATWtGWYonj7PfsJS5YOXHLEB2 S5nyQgqX4O+8Uc7hDA3nWcc7q7qiv+vuLAX3KtSlatJ00fTJYdsqFpd17vlUquii/PcpiZPENNXb /D16Nyh+2SluT1sXOUP9qP2eXdHENO3pICP871C0wI5Bd0yBDa+4f+j2tnzYzW2YmtD5CioVWKuf cWlRg2KBj4YeJ9UrX6jGDd+87icvjgdgxORjdjmR6u/d9A47Nb7ZVDV5+vAUeEGZN8jdkNC26WDa Rx93lVoPMfN5JDzHp4UBiGfa1uGuCkcLLcyWlkob/B7RNJzyEmByNXRACXmiqsLDoSKJ3ZWoPFvC AhaK7wp9S8dDuyepeYCCDmh5hoRmCW5TWiP7A/wYB7kADUjV/es9mrig/eI64VYSRGkjfTCBXbrb idvM3x5tfCKXXKC9jylZWw+Tsd7UFh0TEJi7f6yyBl6HVgPtHE0gOgPImq2UmMR7RoIEvdgKlmM/ 9XO217mpYTFQgDNDQqWhfbS40E0/voakJO7CIdpF8RmG8/tsWE2g7PjKIq1Qiy89WMnuQZoiBkSP 7Jub3hv3CRkDcP+NK4ggT2EC1rus16UCCESlisJjnR4EBiRWNznt/eghLhsaCITg9foHz84dnNtE HmCIj2fNsJv5hvr0nQ3yrPJLMGUZ6BE0TrqdZNuiJv3UHhylxG5coHTNtMnD8IhXy7I2BrYRp74D IWSnUMQWv99eZ/oE7YYkyJ0bmPyxTTOB6rLrCm2cT81y5edcHfOOJ2Vyyz1cQ7KvH19LWIHJUw/P bhIvvI2Da0S4ZjnRKOtnxxtXAwP/ImG3UFWpCZ78Cam/JpD6dBIeZyin1ZFNh3H/SAGl9XoCF7v/ d5aMHgKSKHe04qvpn3fGNHOyekNL2rLtgeZx0ekKuxjJqeYdxCMiGWS50zozj6zKc9++pRSdib/a P2rpsoJiVbhu0e8f3qlKiVX1dEoNzxsDGnGKO7yUeYMt1XBwhkw0fUtG49K9pALj7rWyv//4Myuz k0cHHkseiaQdfkxbBqyLswHq1856r8kzScfVRiC92uVVVcGNQ6pmUHsClsizFBe08I3AgPe/p93I PDWDCcudCkDvHYywW7KZLGHAJCsA0iWehVRarukPr4/4MOfFG4MDTZWGcUnpM3PeXY1oggQcmyLB O7DZVHJCzqWTyBUcxSyCkPL7+bmEODG39hkUp80zbWJ4zoIzt0CdkCJnvRRfwh74EyUyLXsfQKTD ln/sjLp0kp1TszDigyhPMqAO9/UixPCh6wOQotR41/u2EAEHysAVxjOLK/Mi0071/HZd5WB0VfdX cCu0ii+gT3fCffwkqO4DT7qSFud0B4LC7G+kn8tTS17pBoPbyhE+VYP9iARCtSuDpD6SliWG+xBM 90EGL/fUNbPUOMvrn/dhQhrwx/S7L0wZktMt2ZDDsYoG0w0qo0ktjHVaNHxiE0APPKsyipkYuwsB Do4gedVzXyYlxgg1JjqQZHLNdRE3SjTDEm/YSc/Ohtfq1TIzhix6HdTXiS8q80uNU00fVb7DWFET 9GuxchpfccsBsizfkhEpfPrTI9w9jchwx8m8lzaqCYGhcT3txWo8p+G+mJ7aWfBf37G2UG6tvPit O83AtCwh+EMf5zGoeyb/54xaRtOvcnu9wrSAsxEd8mFbWM9bWmM+2Imiq2ltqiadiwW1yGER8VGE 0cdIj8ACYtEfEBwv8FGzjStSj62ag5LNd0Jzc8q7l26mymFjZdZCbIVBxsjYUnUMI8yCLn4QhHM3 h/fWz5SvdgHVEydOzx2DCWzjBhpl125mxZo2xRHFvJiPKkIve6RY7QXtnHd4UDpWK1VXLDhrcozi t1wI9tYWsv80k/WEHAACBFZ8UGa2Utlun4Yc8/NDpio0nPmGYSHMT4JULdVihOzpKoMKkOe8eWJM Gj7Y3HEXreTV3Kg2Vg+A7hGjrNkyiLPZOpYwUdA+KW6JCcw/WD/izxA2XuLZ6LOlpBLSQPoQRXQb WoTnFp7iLpkUhp1DQaaOdJ0o9TgN4S3PewEmESON7omLs6zgPqHSiBtQN/arJ0l7bGEeAc16lDuf 9c60y5S0GFvCb3sN+HL5pn0GUZkCy4lihmCoVXXmZ+oErtmy1Gi458bVZo/vDM3A+Lr5mvgRjO0+ Oz9hppdie+DPfpuuexFStBJZdij6I935qU0QMA9gFq3vol2aRHvpr8Q/7wUV88DOxDjdJmkf3Q6r CFu1El6XAPiQvCSs1MJ0CgqNZXrN1CwTIhaCV+JwsUrS9KViu8lyDC//X1QB/g7Taz9GpLBK0gLj LfEzeSb/ARAMSL0hJmRrWOjCfMdA0SeoY/4XZTOY3oHGQdAsFyioKxvDmTzEjnrdiX4jm8l9+4TP 0yDzk+8+hOC+yt5TLByjUooyM58n6AIODezcq9z9dz+vZ6/Uafw41waEiCvGfoBul7eIu1eG0utF way5iceGqwxgKsfrn0Wj/q54qfvzBVmGk9d70dMpTe7DDh4So4n8F1wDvnzN31tm+/s+NZvUDiYZ XMyPm0qwRButTeuREsWjRPb9ZtDoYizzqKyYMr++ymGMbWo2+ciJZMTmtDj4ZR6t1dKhjYYu6UJK sofYU+XzHwpK0/TEMvt0y463pk0OKlD+86Hnxi9ORKlIryBZ+IrZyHVu5S2rZi6fPLgYwYv4rljg hvQdD08avbA94nF7SPZDSMDVZnzBcvAW64NC/ago7zMgSw3lNC0dlMt7wVfd/JQSs4/pT+wR8+dd t3FV/WxmXL378NyUkzQI4qoJDKu2J6Ye+UjhdgVZO6DSChgDad35uxni7bdkcC2pdeB0/G6Ccg2f m+GraBtJrJfZcxVnar9Y+tqYM9ABbpH7RP+vKYQdgQZ5+Fi7X7+1vjb0VHWvvXjARDjF7SEJBMKU sqBXKxzRGgC1XtgbyeFf8Ur4qyiDWlDrfkRyjlgEGZ4i34MF2nxojQ21LWO5gCm6utbEI1gCmh9m EMppedIUUU4i9uInoUE1FfgrQX2eC3zTwcqWdHx4ZktiLtE3Az6N6tLGND8EBeiQnGKW44rFGIAm CsV8XiEUZkzoqPspmX9MDSS3gj19182/qL5VTIxylmGHhIFjqlKayxh/TefNBsSJcmkrsuqeC+hH xelbFyafdNeiaP58lgjHtKOdVAV+74Y2xY7m2wRM13PLU46D2DwiHkdVkDoP1TiEmlfZhU8ebCT2 FGgQqtp8k8Bb/dGw6tjeu3XjEeNrJLDVjRltuShzFsVVZmWnPXGGhuE6cOO36z9jZmK9CyLlxRex /ElfhnUfcMXHnjZ/qh7uqiuzZKVX9Q4QibdPw5aP0durdZV4FhxOb3HSDfcV4QqL6Io65RYyK8fl Lay24hUYNcIgoMysmlUaz6b7S1XEp0DeIbK0JxqCBOS6+l9Zp+K9iYdTHMZKaLABJoGMQFlXrS+k gPF02PaGw6wkNWpTTjq9fGLj1/4dCnSrXlcdg8/NiSIyjoQtiAxJf25WrmwKCSbM9ZLl2QR2XKOk 7swuce316DC+7mXxXzLdx9uRPvd0yBMMaUikq3+064I3ylE/EBYhRGh2gDZaNr6fZdwAgXcCxxbX g1DLq3OSrGByfZFbEhzacI7aHO7i2jLehYRv68Y+wHyB847BN+d8x/LBm38A1EeTB8rnx4WMsrK0 ZczsPdtgc5qU2pxxD93aiTuo48xi0lp3ylTb45XBmyQbf067xX2fwPm3eLANgxUnDwwmWSjgTzg8 h14lhwZY2VjFo607CMsUr3GK4BaiW8iObGFSPhlkHr7vHTZ8ANrYEkTOWpYD/rHPEL/3RhVU796n SXuZjIldpqPxTNdgU/T3OCRwsJFuhR1mIn5UaeHUdcnfbULEkqL357ro1szwePustj47oAWjjxgW rfOZYeQDEXTxotrG/SDYm7YaYhKokTHROG1rOGmXY++BsyLkB3PH4ibnWI5CEVzAKfEP6qgwy/eJ Fts2ztQ3iBORa77wczEE/Mkj+nEc5tfl+b+7lAv2s5vHBG+WRC+Wi/Hm5SzJ2gXx09rzVd3RS8Kw ilSYxhdTcpP9ijcXNlrtgU05phFZXcPSV86c4QxBDJTIQQGPZTAucVGzWrfD5cQBCWOnoHpsRAMX UXLmGKVZ9CEmGlElOUFQ9l2NEdJJLHD6yd28xBuQveFQJHCT9V/5k8sg3uZmZNnKxrp7HjFwkyjO YhM5s1FUfufPICHjWR8ceo2mJLg9dWVM5ZGsdv3XyA4dJ+wmTZSwnbDPaYrpOgpYlPRybZqYgTki 6pUjXaj7lvH6Pn2sL6gi93zCQPzIhSSXxEOXv1Rvpk1VRUYHZcU5M7vKDEiC9g4irDNmn2qtodFQ gZLWQKFUbVUED6YdZt90su3F/cOhvj/k9AUbN6P5Sk4vX80cSfDGlfn7yHb+685yggCUkPNa7yD1 xCYIH+rpz8A61wv55aoZj3m6mUIdUxFag4zAEqqflIoTMfKvwErvHCogxqxkCMqqQNGvkq4g+4UB JceBPJ5//caO0IGiohPXQpC+Z3Suqq9pyPQjT7N5pxroaUFmQ9eZnQukUhZ/rS7YWKngkf+ygxyh 4xzJcarG7aKK/a8ZyWCWIbM0R4pNajomahrVNBP1uSa1yDsnUdmDYECN7SfxUGL/t3foaU8KI2O6 TVyRdi/xZLPAz8hsS41pgwrfM0vUhko233OiNl9oL94RBwkDWM4uAJammaVEmYW44QRN7OGdpYIt 8ytaf/snITFiE6nCeDye0LThpcb+tNlA/t4z2yw7WFvdgRHgzNnwrAT5uwe5Re/OQsJxobvMpPd1 efwRk09hJxcz3QiQ0RzlZegSHzZMHg5REx+34jyPQkiDQTXCbnfXVehii3iEfKtRnIxG2TD0x9PJ 1Df16dH90TE95AmX1wvgF5WXleo4foq7GajNYnh3JahzD5jbdG/mCMdLHxaaewGUsa2ns1kwIsIG o1F3Ih8WrD4XUsK5rKuu8fdbCpPqsvLo7WezuYLX03QgYQUr0P1lXbvnJFDbAb211F+bW0lWn+ZQ aa715c21KOzz/ssgCKjuzq/lwAIk1ixu2CBa8nCkWgVJBjDa22z/66fVCR0wx9v0kfmfbeChEYwM j91YjDxo+ATM3NiFOrnHNQWJf6pZss2Liq5TsSJDvR8AtEk3xWqcTyr7xxoop+FvL2F5fB7mR9VH o5ZZVIXfvyPwVj5FNP9Kb0ZWEny3w/MbKhn2tvG0uTbgHUebVr1rZJVh201hT+5cHXL7R/0EKR2v tdRmTj81hLu6oFWJf1s5IQICxuz/7NZU+7HSxPwbjjn8EIKkLxF1hlitpXHQaaFD8Izcc7h7yavb P/Ql88jwpaBrT4bOq9wgetpnfjjMwiHg0JEnY2qT4hjxMp1ZfR9fWndJn5r+J6UTfi0mSnqITt5G jbXBjZXO2Fked+tUS3e+V4xoKmN8Ool7TP2JU272QtSux2y1fH0uNgCHBGJCvy7kpUSLW8OUvM5H Eow6V3bqZ5DgZUL+cnH1BJXSNH++9M97MSegysvySOyVbrkKgOfAJq65B2VMDMW6BoKw0aaAet7n eg3+E/iost5bHugLCJW76gXuXDk7ZLa5vybNoD2KK9lBBA/Et2UbtlbICkNXgl6Pto5Vubtb3uH3 wFeX/a+3YkEvCDJJVyv1+FnvPiVLVT9ONrVCg5XXsEQQiOoHAQ9p75nKr/Vn9CZdWpRtiZEjLZ2m qOZT+gnrCBTmU0/bK+50AMaWhd5p+qPgWKijW6fq7D0huEwv8BTt242HPUc+xPw2NnZKXPeeofAG 84uvJ/CVgd+YWdpS0Y+hWAILNJk+2BeIZUm+LhZOw3Cl0gW+SFvKL65Tf4WfvUsA17nL8nfCrWPg zNdwkSuhftkMVoUZvg98WeKHcq12Tav0AhfGdXmRmgriAhzBfUlDviuXh9IRA6CJwpBgB8iDdsJw iGQKao2gwSTNDMejHCSXjqAcNNFkGalcB2WemA4ZKaEIH4Sf1gCHi+H6x1CpBONcwIwRhYQL6Lkn bydqPNkYJZ3VmyN56sjTXi0ucUtD4FB/G0OHWpExDXL+AGuWRv8HbFcMbPs8bZnvAb5MI+wjB6Nb gmD/JYlJlKHtKT+0C679xo2QcViVrv8LBGJj3D7zUm/csZtup12qls/t03ILWpnVUnVewl1aR7sI gW5PlBw//NWW3D0zKHRCO/bk2Pdf0CmDOKvsdvvSoeO1HxISOzZTl4rTMcIFaPtj0T1NOYf9/hTW agIfBW8/hSM/9jHeXhh8Rnrh6RDPK0n+2WICougltaxYOZUZr7O9OkPfk+ls1quobOpk4alZK/Rv QRydSoqrlfrMDxqdrcq8UH7yCoLvcJBVEJZnma3h/PmV6QaaJzHfkDXkh7pE6+h5e/sVEPe8ZxEB iWdNNQUq1FvjR6FdcSLJNR6fSvAZB5Km40YQTAutpCfvh2TJCtVQShsERCdMTU6dHexYREX+GklR cHOGN9oJMPBk/LOF0vokIYdaI23nOGmcecpSnlKi8VmRD6CJB7IgUQXq179/PxZPQ5WlCHS69GpN IcsmjhAzNv7HuQ1Qi4E2GEsHv5Xtqaim35h7xLpVE8Xol/mopBEtBBrDRyQap1HXlfpVUpk2Mdmz y/8fir0Z8K+x29ueLnoUJD2ty386D10/vYymLIkD7NSVEuXOUQdTWrhGEa+JTjIvIYQXY/vjrR2Y aJHPCZXJ1YKHQABrDI7gJ0JfjWQ2jh3b453TkOaGcrKsDfCr0JJT6RKgvPsp6hDhqktpNfNOo4zW aV27M1g2MWZx5zgEQ80z8GKOr55WbyuYgI+p5J0arFee6mVfDZFuxz29uU44Mmucn3N5ycv8ZI1Z GavbmrhXbYFG1r1xNNPFScLdqXHMSYZXW7gdqCC65ABpeWtKfXpeKCtvHlwDOQ0OOW9f6izL0gY+ mIGYyRX3VGay8KDQKY9/u1I8DR93VjcfKkw37eTVSSCfF6xZX2Fykdb6yF0TpzVvmqGmSrhCauwF bOCW2E2CG1Zk6/91g39VelO78OoiEjHKN6+UvA/cacO+t78cVWT+r5m+iTtEmAK1IaYrj8ugxsz2 3i3c8bb+m0f8u5KcQUTA6bnwDFGZEWHBAGtjI5XCSx9heMspGAofQmY/C1TpkiEPpAFu8X6RrHPY T9yjQSSAzGKYqzOcHLULoyrozhCInHCSbNXkVFyuKaAiEBkThJn+QjYzKTk4mbRUrDuAftL1ziHe Y3Owu0xmM7oonzX6CtXSuCF7JuRLa/Ji68SYodLiZKaWLhVhDtzwQL53ccsxiWDUaNXQ2sRYSzP/ AQ0iiZaW0bIHTSWwS8gpTtUYUhcePBbSpxkAxKwgnxzaENHuXgu0a+B4Ry0jRrca0l/KxEzhFA3S 8gPTVjPsgiM4u+JTQR67m21PmKHdIWNVtvoB6PlzGTVOvPbXXeH+lPWIi5eEJkZbKUornciU7Vf7 FX8mHtUUJidDCGFyLwXLIrWj9ijq5GakQc7ajS+NPf3CDVvTArDtyhiTizfvTltD4PbGiSaeOMIX dFbCy2fFs7HCRevF05OJ03FdFwoitIJKB1Vb0Wsj3Ir7ko62RxE4Hu18p5PfhJknj23hPbU6wOEF 2mmjwRjyfba2wasgD67DMUi4hM2YQBMvq79xaT0IeazjbxCW1tFNM8ifEMiSVHpnj+DqdIPE4p3d 1b5JB3JYJ5zFaCREUncSKocYf2xPasR7BNPvJ8OMqRtjGew2PH2/KW7NjIhQjrT/v9+7E+/FMEAA KraVi8SO3GUectCSx97pDU16+7ypIw5Ge9RiGgp5CxQN/GLECfGd6qii0QGv+svm8T0h6saSlUDn erOO+FsGV17httzmMom+wnVzSjFGONzALIXrBj4E6qYw6eQa5N2O63g6s4+PowRONamdFxidEgPs ViLOQtU9Qbhh+PRz5j9Bi0GVMFDzRJ3WZdTVzpwdy+4nqJfpZCNNW8BmbA8G9aMBuEMA1xEQqTFP Hy5owGiFxz7UgCFTbA+NHvc4WBtj7QsFm29kH+xSBLXCPGkMpglcafBAdQd7/PesWjtvjZYL1n68 lFrk68jhNisA7nc+m0FFeDhgc9cZm6n57TzLffHB7W9MmdxNHLfs/mwCOjzGwoCJKEGulxErI+f3 57OKhWh3k7K0AvnXr1hVr14nnK69u2zvU5V4DtC9aO6YTN+ySvP7d+F39KArvSnk7TutHBcqEGOc IM5T/HL5RhNPECnhcmfMiyyUTOUW3mtHaoHBLNt1pKjbDwiCeJXBMfoOVEHYYOUt3fBQd0eK+gHA 3BGcA1psFtvdFDMb0Gf1vzTWEA6KqycdGOJ6vJezQzX3RLY5npC0k2kJZgOxnE9ha67cu+fb6+yz zH80AqbC4Gx5slwVUqXKINrPqe8bYWYCyArGTGdFYEvLy010GwP/5YJxrfFVcjwliulD4u4+4ahl By/pG7qUhp4mrJr80zKGHq+iIGr8mVEnkIsw9RXBSf4pfjKM6kD4qNA5/6mGc/BMp+yrVOGJXpXX PHVLkqoZ4+zuct7RvYPIbjOnxVJyE0kZg6Davk5J9QtMHP12DgvddXvQ4g1xOH+k0ULyeVdS3sHB uJzJLZj5wkvOWnVmOMNEnc9mZHTpI8RRzJeJKfk0XaWso5ebbgH/zXkS1mUhBo1UayRFuZH1F9t6 cwHK6V9urwtR6t174mNXY/xO1ByrYnXkEKfgSZpggO4cQuiNbkcy5E1kg2xi2sXhzd0jJhA8TgQI 81Gh+1mtg27GID69nbdCi2baIPd1S7Q8Nbx72ZwtAWF0KVvBNbemI6LVYCKirznCzhmkR27JzVrW 7sM70dxhG4ysZgdffkK5kj1oJbqcKzrVvVofjxkoca52v49SX9YhKhnjnzFqHLLiAvAumAizOdB5 auSV3qFEj9s5bo5LXNrf/11LiP7ta3TMVAjTUphlStKoia0i78GaqvdfIQ5DOz1xAkigv7s7UI4u SI1+pOJhNkiWitv5ihcbzSc6DjPdV6y0Msn1krFottPLrbp+MepaUFVnVRekgupjJTajIyHDJV7Q pzaLUwFgi0f2/bD+9sBc/MM3nXNmN4ZC8r08nT9wEDLHnqShlXiOU7fU0Xz7/O3kxWGgkn9GKtj5 nMATdCFNq3PHCu0ZIuU1pQ5n6quME4R1XB217PWk/5DL/CwiCFCWtjnq3QB3sDFBbGYyH3YBjTiD wW+a9Xq1Vv8uO8qR3xJyxJbWvxCYC86yAZU7vqMMhJQol39FKqHbCNulRfcxt8dyXiPioWM/BGrb tmYaRviel4U1zy+BOr5XJ7mAQhC0EA+FdtjdoT5wH1YXpL9TWjZS/YBOcMd3iJiVN8Uzn6Mj41B2 +nYThHjtpJxd58fXX88zGw70l2O5dVwOt7hKPOue3Y1KdQkt/Bs2MMM+O6efd7T9dFgafvMLZY2D nlvlh0GDIR9tXhqcZt0XrTEssKKoisMUJzy1qfMJckS7KefCmkVL4KwEU19GunSON9Sm9o41Bwj+ ot3mbacFZmEUzLmh/0zHR93I/mVjHPxFbnIgfVzLCEtO/PtgAVVzTu5ECqmykIswH8wuJ6jOwMLa cESS7ezazkERkbMUWRpAWQjqrYjEDcEUq7aIoXT/GtZvyUU+uL7RMZgQT4HDNF9MX9ZboXYVZi2L WEBidU45GymRCzmqoehfJHkNzO0i7ZZkoG9v7v0CtEegVBhZO/3HKsfYKRYfb6hxZttj0AHGS8FR zoA+91uueL7zi1oySmeOjtXYO/WwyExIl1NotkZ395Cq6QEE3u5WBRLFJU61EsTKIsdQlvnnGjVL KmbuPJY++oueGwBvfG+BeImOqeTc510JhNbxSRmT1LnB8fChrcN2/8J/O3hGv8ky1/R6RvnkF2ti gWtaUoNj9SsxVVL6kAVbrluwh1HkdhITRZZMuoVpZZ/jyMTzsjo6R86vzwHi+04GGb/AipaWZseV omWoOHwWcdIMzJo4c5jFcIbTLBcC28V/DvCHWNmN4QwT29pBR/XAF/+vwleQiQ8tnVld9wDwhGc3 5zwRVtGEH0zIDWBrB1mwSEHcfhdtGhvYEwXI+pDVPkTPHiHNwGoFVcs19HR4zroHSMk42EtmOkNF ZcXJxQ+ln4dlNleLGhRvQMR2bJOTYtpmPM4P4wkprqoW4ojnGNDEotY0fJ9fuyXuxoybeP4oizE0 sFAk/YlORTMlKpdwLjNO5YRQI/wl73b2IabZCNDrJxUOH8AeDrceW3HuCcvDwc7+FIrtT6yOZLoV hh7Q9tA9LXxjEsoCZcO2hPHkVbG3wtP8KyXjnoZwbdfiSmW+V7dOr6C/DUgQH6HaCrF+4UvDELsl bUJxXDUrefMa+vy6GeugHNmE4uJsPv6GyLiPWTLAwu58j+1F/IuxlfIcClTQ6uxAVsVUJdMR9OeW 9CS2X5XKqLp7KdVTGQHesjCjVGih2wefOC3MXSFdVHTnbO4cxTKEbLBTR7QTKqQsL1ckBdjaKu5d VsBmqYW2/lvyJbX7dRvmg9txnzBfXAg+orZv6fdcSaQ25MYRlKPP1fws8Aog5dnrqnOv8L//ODEr QjRejWwI0c/Gm9t0wukAmKNWxHTkHNeis6h3gBDo65oA2/Tr/D5eQ059brEPAWXHC4HpbyS9LLjg PH/stHdzLG5odU8ZIVK7yogMU0ixOLq0wH2YLJRI8HP/9MH0KVbmquwaN9oIXNuqIrE/t7/RnUDA vtIbReOj6EVzbH0jsQ2qS3UHWWrNmLF+QyYN1DqG/ACrlyk6hrj+1f3P+rIIl3JoB4O35OcOaKVe m0esRs4smpmTF4EoT0EoJwLb5uNF98oEFYIm/frnD7jjuPFcvyxjdQZkpe55F52z6HBNVuhECKqS fzIfeLHjjZD6gP0P4aeqM4RZ6jJm44g9j9n8zIUeYQy+Y0nIo6j4EPULUcSIb5Zv62JMuuZ7JH8N Vg8Ccy2r3JumsGYTbGppE4+GJsw1bDYec0fvy+CzIcWRhYFjTCEcPGXEhu5DcwCBSddpQ6ToIIsf d3tnLctFI/SbD6r54/6uT74NXKRp0KtInzHJkusyZzGQpq0YPwssN53KxwgSpdsXrwgTKTCNtbEW wCe9UvjNqu5qI7IlD1N9DSDd3FTehC8ptRpA24xjuRBw2icifuteNAzMPpsrWpnblhCr9oSdN/+7 6ZYRtI+Os6oEIP5ilQKs9OmfgXJrl5JAUwEPR8+4JWeJINEypLj+iAZe3soc89dn/pEP+fl0WXsw 74DkQi1oAxYPB2NFG/dgpLsxZPUrd8amdH0/knOfxWKvAXOpTg7/TtzOODRDn6LD8UFNVmzTr6c0 GqZrA3+sI5CEKxR2hb128xNBNbLHrly2QUvf3Kp7PRFgqUtvANhqoHRUrhLFKrBxA1WcKg7UVuCv UBy0tnnK7BtvbLhZi+fbR2MkoI1PaW1VX+pwjoSUbDDQNWBqU2SKfdh/IEpa6mQl5Ts2DAFsJ/0b 49fkWL0/Gp4Gu0XRKdQWo/KAUYzsl238A192DjJw57L6IPctddH/NMJ+Q4CvcCSs1YKD4WNVnQN9 EPhIqPfaPSVs6nnKWWd2tUKfAC2JVnXkgphHGDeb7DQNmyndeDYTPpTe2Vv+3UlispPz4zWhnqnb rNToQwhFG8PPL5n2fGT4DSZJv6FUmp2QWooWVftUVzQNFqxSgP1IU+hfNRuxWa4yCa0jd5/Hja9g MZuGkZ7fqy98E3XcS9yK/Ci41qZ/SeccDGx6d5nPTzQwkG3ZlQ58hcRBPGdsdyS/tLfZW7AfCk1/ N1gmpjEht4Ie7BJhXhjTuhAoTU3KFGlpxVqK4B2UYqCX2+mrdoBW8Ts6bmBcwxQffqzj86DOgAc1 c2V3oaWe8jZEOGYra5JIFdmlKGLQCHW/BVJ07jT+O8irhybmSlQoQnwy6WDV1dy/HWAyXZ49mgEh lg/1uHhlKPUOp6/vO5SlqNRHlpyEsTLxdmP6VrlZVYlqJyfJGhynb3zs7a3more1p/pRlwnwv1Xv ut5xD8u3ztOtzt3WUX5SQHk3sCKdQv+OYdQTXidt4/hzubgisM65THX0IOiGE+xRMq9Mu3GP/KNV YXdfco051ctPjwaXMn66xtsqzldzUEJXlBACOLf2E3bHMh7jIEM8ZTZ053uYNJTIJpO9eg0akorq /4UozS2alEjUEQrHGO2JY3GiRkDH1rP5klcxNC2WK9nv2Ghx4zHMS0dbVMflUEAuh1s0mq0m7ohI OFykf245Od6rOJw5WsCqFp5fFDzTxCbrrHHO+Z8PY96wDMApvEiI/pyUEFEyC1hPi2pWXagwLj4/ 3bsNPzJ//dfsvanWblpQoa1lE2rmy8tjchcW8kxXj2iN0bixVn3fOul2KlBa1bErlIf8LJXbg092 2aODxvP7D9FDzj1M57Au0TNhCqSZ0qXvjfxPcxX4VyhgVUEfbvNtBEH2zbNe46muiAFLkc45MsoM /YIeY8CDXXJWoAyFpOHa5+xIAdlZZO/DXv49C7Ke/FfCSCFR8ChbZ/z2C85rQwBZA5eu6W79ULAr NtAQEY3BARXI5jaW/27/3rLqKv8DNYqImm8vnuhO1O2jeJdz/OokGybnNombkeGxtv8DBBztPlkS YwcqUlMowyRj/uwGBuB24BCYVG6a4jpjZu8u2WxxmVK+OONSvTS9KIzChuLwk8/BxoBctc025SKF 1klkGbUaoDWjPoA1D57VoSiv6IlJbgPUnRes26eCWaTQLMdxG9zmoPT6eiPdaRdG9M4HttNTjYZ+ +a77pf6uVEHYhYjSJZpSl10nHSZkS5ztscST79qN5Z+HGnDNgLhXjhebsqa1kUEcbeLi3LInAWPm Tj/MgpReW/IjFTJVKUGXvpxmb9sjDKKdKwXLO87w/dTj4hI2EOXGpQRhFnkLJlmiELT7MqAyB3Pj JKSulhIGjA+GWyItMa2payVfQ6GnCdRWNw6wEUq5qoPjrm52wd1pdElFlNg09sjqIKjbwzuU2lfC uZ6ZvXIkZ7KIKVeZDtNbziKV+Y2oRsKkalBDMD6/CpduNdYaTCLCKX1R6OiaJKg0kn3KCKaSefcg LVigQVouEdurAeCNiffmJAZZ1xfcO6PuxqHKQ2684M9NPrWc95ju6EeXn0xs1lVxglPKKMql3/wn KULFBq63iF49qC2jsjqgB5vgB+pP4iYA7VOM3P41ROATOvXLyyVSgydc6gTtZpfeuarRsgRnzf7k z5KevAXzXQDXmxDakX3EWxEBCJaNQzzPniiP/Ltsz11FCMrXlbFVFNsNRoMJLYXg5EBzMZmQxoCz NO5lsjl/6Zs04kh0tnXXVVHRXBV7RyKM/MQEDvLX6WJElGIbZM07FDTS8q5YiihsLcrtsxT417mY z91oDL2YZhTJGgKy/wDtUaaUbIoESegqM/yQoVU4QAyzhH40yX1gKDaq8N2dIGW8LQ2eefMiMxH8 di+BMe9LUAIX6w4EFipeB2vS1CvUhI+UsZvvQb/J7/eyTCnbiH1oHy3UChJh0zqPTs8ZHo9HIbmL Tjkk43Vw2apNFHTRGUb/oPm4sIlFvDYSqWfLqfhXxDchpJhKK28CrpidHrBXgNGcavaTOcxdgClx Aa6C3U3rPGtlsx7mYs0ZePdca+zGOaOjJIbSnOyldE5bVxOlG2yHdzcMw6Zmckz4w+WFA1poDdQ7 DULMObwHxcnWeAWpbZGGEL9apfI4hYWq4sjgx6+FyySpFpgTku8ko+6fT6oU/I7k87eGLgFqCyc6 4FeZHNmF+U+YFUUQ4qkdkAmkTE/gAFPJCDSUbE0SWawZiqplnL+KI8FeDDQl86AmCpftTRppodgu lHuCwkLMri2PDUTPQgHXrWoi5r25J+gu0+QWXTXP+50ROwiRn0RrhaCyypifRq5tFji3yHBFcxdd BQ0Ueb4V38jfP6e+s369mQiqbEq08OWLCqwkTDvBWEAmoU17WBtYDXWZ3jYjDiQmylHr45X2X0wa DjU3B2NTQe5YUxJZ7mgeJkucSBlBFqN5iWG1Uv9PJtWTbLMZd6z70QMpqfII2syQF+tHKMCjuAlw VsJZtG8DRi8MEwkvOHPiEs80cenAD6RYkHEFz5SJqdEldW5GMR9c1khlOyi7yXYb/jgSgoKMINMg +wa3KECz7SQggOpqP05fFmvwJ28nSLgwaq8w87GnIH/gprm462GqXs1sLmekLTh3Hw1xvl+iOA2Q MGdpyoWQzehg+0+kM7iO0L37VZvcan2Ipc2IRo9Wzb4llf/zB2ApHw6GFYOZ1xkhBUYP3vH8wj6g aqCQ0rprYPAyVKHFH6NbxIEoWSHWE3oaAKLlElq85rQAtH8Jet1aJA+AiWz2wBlicAJptmjbjjWf w/VkOnd4wZMHbX0hFRapGsR+m7Irw2a31r9E0ZughHD4WArYLu5YsEPn4EPLstlS44spY8roStGi p3mNLxf8R/1MXML9Xt19ZbCHJWZhrjMupzP2n4NflnZnDAxBOiEUJxubd5Mo/s58L7SID0SKhSRh W6Til6h53paLW25PIVMC2ViMwI5WJc/FhWmBUTGQOVKaCGbiwf1CzcM/I8DEqyVB2feKWEPdLZH3 HIAoceUeSGmE9RfOzxpi85+WOLElw+HGsoQ5CVTfUpFwmPezhq/gh83FbWqDLTEKSZL6+qJUywR1 64lLLRf05hUUSZAqMUGdlun3A3Bi+EcUq1hNDt2uqhr5rVZggEw60zkn/edSwVhRKh7wN5WHFNSf gTjSpH+tWrm9/K1xiQ3HS2A0mJxjQjGX2KsCFVBxYQo631uvjbcYdedR5VjVCPWKOZZypsWrRwXD SxAeXXYFNW/nxSQpnkDKm6PN62C7xQehUQe7ai+PgUtIE7YOn//LdvXkr4pXAGF3N9asA7lmKku7 bN7exmkFXLgP9OCeHysweRq11wLrsx4qe4sF0ufdztVuCeuRnbvJN3cstCJx5aWFoLiNTFJpMueh NgT6UceYS6f+Nt8QcN17avGUbEL6R75LsQ9TpMO6Ic5JW7z13AM7WRJGNK+vEeuXPL+dYl9j8yxb 8jCHv/Oz68KGvsSaRSaynBAHz8nQ0gvpGSz4WqEqA+8zYcJO1QXS9gfDyHMZK6ggyU2jMdB01jWQ q64NY9FJEYccseTX5xydm1dq3q77zeV47XJiw5H/9QyBGFBrxi77i8zlL+gGlyYgSZ7lfZun7DGw efYtY4hfkWDB0DoKYjWp9vaOAqlE3VlpA5xqt2+GTWC5zuTCSIToRlkgG2yXat9/ZhRdTFxwi+qO jYCoOkQFrWO0kllwtsdUU226qJ0d5iAkVJhVsblPUrr8rMXOcfVXLS80obb8AHrkSRxYz0FovBrR 6v+t60nmEbHVzQmPosZo7Z06EBOC5lJ+gR1mVqFgBDFVl4K7pBDFsGNCHbe+1I8tU/ptrOSEUt5m hKM5YEb9xP98QgOYYLNTnl3rgvUvASKxGpaJ7iSPG9YwcLVpAU0pNtSpQ1Ak0x4Z6AY04B+z4kcs g3MTl0zyGrNE7amT6DC6FCsfRwOC1tJupwmuY+voboRxgDL2s7Kk8rzvi2eI8Y3o0iztYDfJGbxK CFes519OQhZjuyBqAGhFolG0EjHrkh/d//eVo1OtREbVaSx618eRMtAiUV2LsJmWfDxjUkkxstgH Dwh/piY/6lwzxb1cKAlAfF4YuDlasIM2Ce3da6PB47JA7wK/BKUb1k+StWxeLQxUHTJbSeu8iO2x cQrrWdpRKkIzR1f7Qmi+AppXETanuS+QMGjqUBrj3fKs0n69SRmIFw5pe6acLFMWu8udBPr+Ktki 7EvQzuM6CsBgmY9JZkSQuwMxbjfwUJlnfgVIzmFCR7j9gwytpReTHeRNZvS+tJs2cezkjkPOBFl2 7EmOj1fRVtouQjjHrFApZBLc7xlao+ZHvjunRQiPL6vb/L/0RCoD/DV3rN9QnsLK8Xx7M7X8WXtX Np8pvVOchzh/eP+fS0CJqj1L7wrtr+oinAyi9j++jirfnAjD3IphnWZqkzxuNJAkLLrdhXicRfiC fxJPzJbJvAFKLm8RLxsDgFk0biWrA0lKkyQFnBLyB5Rz9xy1Z9nh4/sbvx/2l2t5ghe+9hMn7JgZ gisca4uW6TuybEp9LpnPrlHJ5HgJHQZy32eJxs4JlajzCRUAnF9oYIvkbU73ukOILpzkTQt4r20M xmoNqWK8WWD37QrWaaqzZ9krog/q8zSMM32tq8rISqVyH/scv5g9GpKXuvCuDTJO8nZoZlYXDzxb Bjhs7M0vvqrZLLgjwQr30LIB4Cp/6pZ2Y6RUsvnRzcthu01T7oWjXIWxmvmqlqUTFEaXtnzSo5/o OwRfLi6w6c0WzGsrrFUiTA5XEcij5meYqQZK45cmIold4J1NAfe+SH3qHPzNfVN+dDA41PyzNG+k Lkjc180PVyCzuJz6fYbbmkZDXly04h7izB6E9CB/VwPbAy3ua4djh43vBoljcrroqsVyzfNVqp8Z OAX0F8dcKn86pl6VHIvfm+AZchMBRWGKqIQI4soVuxhe7EV/sLt6mIFDI2dpi2oVYheNnzLoiHSC gXMyKHcTZlVbSyQziNX+/NEsm22R01Pb/NKS7kvuKF371nFc24VT0AwxJLJCdeWiDXZl+D8psfcS 2XsaRMZHgVcbITNWbKkxkQYiXo1NSLR2zQbYr5Kl5E9Tx9z5Pea1InyASHGcBaIkgI/IA7uIEWhZ oOMs4zaD48w3evdK5yFNAnzlyZtPJ2AGPLxmmnDs1UPOYLMamP2R5GNGsyHrs/r7XF12ovdHtb8v Aw/rRR+FJWf8/BFCV4x4fHKe27fL1Zg20Ivza6/5JGAaTCvYBU9nPFBgbuLE6Gtk1KPZIKKTEgDZ FHuLoK+KP/mE3zUhRjQyyJwjsnNK0od4lUDjKBt2vnNCFej5jhD1PWv0EWF7cuHBacnK3TOmSeHi AK0S/BfDqmszHOjVvnsSZZywBfeM9Tqc6AjZbLTZGFhjmj080bM58kzQW6NE0PcQQICC/2uSQmoG TXeTL3AtMbCZcqyUoXEqGmKLHi2ADvQCY6rzm6J0na18S5SZbhbFCtJDuPRUNT2N8YPqiyMvvqVg cAej52OXt6ORMnbgjbiFhuohibGrXS/hldWHKA59f/puQZryX4j800kOvYrKEplrhXWGubFaXfw+ aFSVkeoOnNSa+cI6SsphkEMxD4o/M90Nxxvuy0+5XDfEkOOkASfPG9D1NNUgCdWXEm9xBOe5t2MN Nqe5CswLxkNPf//uzU6RjUpqNQGpZ13vivt418FgMiTJG4s6JYfFqVe6XxUYutGclqvLIBe/nda9 0MsY1NaOTYcLfKdtdlc+322RWfoOuXmJLWZokiX9EvL0t46D2aju7KrUUB8hjyT1jNhu0p8BSgXa TzDFwue1wmoFIzpmgW2sPkSgYI4EK/95QADit9eiRoI0iWmAqkuJvQ8uFmIG9SToQequJm7XlQVn NgGmEEZU5DSpNqSxTWsDSod1pltZjUMVAuNt832vzQpyMD0pNWcwbJRTeJLTL2mhkktjRxwv5A0Q 4jaIj4DlKOR2/TGizgoTO2Sbv/tHk0r2ux8JusxBAhKoSHdsySlPYK35E6jH5tnsqjFIa5oimcqb KgIpm6EUXYSxLGIBbMfZ0UYw/Z5dAne8BpOqk6WyLAkuqYOQHPYUS81LpHNBkHIBdfdD+lEEzLQK zmdTBb7qlCV6Rqr8m1XyzA4swRdiBxlhqwunyOhAZgh9GHVlo1yLoU18aA2Hfwpl8qPopNtlmq6P UYeiS8hQe2zSchW3hDN+O/GrKb/fDYm0gidR4kq+LXvQv5BmNu28fVZgE906reSkRnN9XhwkFIQF HAvtzsvqCD2JTwsl2SClGEZaPxkNEC6slIIe2mRrR2J2XM4QgP69zVtNhNMRemLkQdMxFi1BiuVU 5uVUOwKpEP/Echwj18iOx5wHBUODDCQmtp+F3C7+20n35/yibv/Uox9XE3AmUxWIf8rxTrMkNEyD ntnp1C20G93VIZRPYlnCmqU8aima1opo8WJCVFDkSaOh7VBCqQZRe7hMKMpHR8JeKG1EaokmK1ce aAJxWBxdqkZuJE5MCpHizrM9Zfq9iE6S3s1hXcIcl1c5KXAe4j2UCekU2VPyLmDXLrO8C3H/wrwn 55JQZPeeZKNxeSoEWB62Ub7LSbEDNmqrNqgGHdPfm4BO0CQiV44qzX7WYbst9LgxkL9Rq2obY+YN 0b7xiicYKHFsYY6sdovCUXmwarO2su3UrYXzXNDT2y2tNY8TxwIUlS911F0inVDenH2nUvGHAo7v i52soSW9qWy3pKueYnI3r3f1xBHMxqbJG4May2wDjDVjjOO3mfCJD9Lj5Z0aulAXKsBm3oWIh18Z H4bDXrOAttzm9MJVnmBYn0LwoJ/vKTP0VNp9SD8kQsMOa/9yIEOUG/DruJR2dc19eCQiVoKU3qaK IiLA4p1ePgbXvqlq2G1gR8mEMXdoAsR3M7UTcntomvDwyEswotpp3W0R9IzIZw3pCFJHqLbpnwjJ dF0T7mr2NjT8k/bOWymJdbs+/UjyhCMZXmyMsExA4LrMbcnb21fhSJxKEC9dLPrW7lmFRr5JaVuC ku0XVMTmOHJ2Dmoq+Uo+HiqJWzc1lqEokcL/zflNaA6qizXGOj0Aipl2mjheLlcMTi+b70TN8Qlu iZ2kX68qI30RqqQTWN43EgSg7xGEt0qV/phnsdLgl5KJop89Wm+aJ3aKstB5Jb4PNNZub2TpqnFd SoAk+ndI3TzztyGesgweTJiYAPjQBoV0CdJSNnIbfjmL7CGtn628FiVl3FQuKPwIlS0wdA6tkgyN Oh0e5IbGCSSjkSoCUDFh0MPmgFVTUk9itVzLM17cbEEqa3WqSlCRcKfVOv8ayYibytQMC9jHWhUG v4ep7DD9ktdmBFkb+aHKGkrowoxPGo8YFlcVNGiyF1tsH+ikS4mVGFHPrpndiCjIPYDH3CYIsNmP Rz76ggj3k0I0nbP80YIbwLunLfxHS66U++FK7z/d2BPs7VGF6LbbHo3SxcxQbEUtFxIn71Nqd9d1 4SRCJMqXDLnQ8E3sJtG76XxbkA5O20G3xznF89MJzZrgwt407D4K1jbk9AAAN2m9LGPgJX3Q/btz i0pme0T/iSmkDY5ZhTOj6SuOegzFtan8c55+9MxpUpYHso8o8gXgLZbAmvJHs9yO/BOUAMJsMmnX KA1U7LFzjiy1Pueg6E4BaCfWwzHRSrRMd3Ww2oLg1HN/+VHWOZS6lxKew8oxdBxyd2vjk1hYqnlo 4iD9zihyTJlmq7wkwHemhwHwOuAVAGo3SqDgegztdNlozV1oMAuqxBpid7SGTuW9SGQjDkUJY7fG wpbEThtbmNSSs1roG2AIKixk8Y4v2B0NKWhoJ0y5QPdy2W+ANCcmVsGWkTOicCVnGP6zseLJRK4e w8kYIEwjZTz/r4FdToirGWaYxegTjA6SqlBjnkAfBTyNzPymUTInS+Y2lboTRbyKH42H3UXPpwtK 1uYfI4nll7CwlQIVu1/nXHGRUwx8rXiNReV90FoI0/TiODwy5IpFsZDmNnYLv0lnTysGv1NmRlnM 3+2vvybCVBaemWlb0hMVQgZ+bF3wqzFFZrBIbK9GCCtp1kc6xVIJk+JjQWEdA1YBTzTPVOhXWiAI 6g945aFyukUvbrigzdJWv6JYycQY53UJPdKHKqCz51npap0YL2SKvlxOhsWjZRwom6WY4e9ICpVA qr9scU86DmicJzTPCTwcRDonXawlDAz5lNqeLSy/krMQ4K2dIRDBYVHaTLO2VqECbc/AYekP3FBv BmjC8gbZYcZueEXse3gutKje+6CjYSjppxxbJjwgGdjho6/W8SvYyqSLCE4HNTWoa9TY01D10hoJ BRvLoVR74B15Yf+fY3TBNpKy7l6cRTLLvuu1rYkQHh0EoxwuON5u/MfPQtnYPLXi+OR/xfjlvP2y ELPjavvXFavcb+2MI+4reqixFsTORw4T3kMooFC9afbyk2RURkuTm3s3KE6SvDS2U/y26Ai0lLMc HfLB7ftt81ewAg5rVrUuI+rjW0ZJ1qdlX4C9V3s7AahZD001nym4bjnvjqBb0hzrIzfXcDSfGa28 Z7C1Svxfsqetwkg1fQfEQBOV2KI2AmL9t/KwdUz0jo8O1io9wGHk0yc+Jg1xXvDlF8BYesnBmsbW H6S2pGVMZZ7ioUWvMui9T1VdS7LeE/bsX71n8obkxKOnHlDfIewCTUMpx23Ou62FXtm/AO6qJXfW OVtn0d7u8D28/ZuVLiA2ApuU4ShCjC2Qb6mKo02mPH1GHPEngHlhK4lYXqQY2rVZAb4e+yNcN6Aq brsNtpch87aIe5fZJRS4WSbcMLjO1goJGVBMg5u5BzEE4XxoXRiHciTpi48I7ZtQZi8CX5QA7eY/ ofzUlo2TMdwjhJjN4JwRA4reBs5VX4YmFrUXF/3IHTGe0/g+VEPH1by9uxfrowlUHA66Z8Y5cR3l TlWGmkx7Bqv3uuAFn3rV4Rzwp071XqH3N7J3H0+cz5Q9Dt/qpeEjbE2oYqTRG9vNYcxmu0sfDoCu 1qo2Znt4kZKm1vuKtV/XlDm/vq/s75nrG0JMp+ZL3mv+inEUMxyeW2J4CQETtTFtj29dA8vx7uL+ B0dXKMKSGmx7SwVXjI+JhLuK9tC2xvJjl3q2Kxvrj/mmsGDeWL11mMmKY0MYw/ecxeLRpvh5nVII b3VicwJOaN5VjMSdmbqQRSzEzX49uqcAsVjFL0O8H+zwCBnJ8TkjHD/p3idile7iQQozld/2oses +Ia0ZyzEajjfRue7dMTBtV+novG0hhqBd1Mug+GB8porwb/cjQihmO07K71zmituQaS994k+wmhn sMYB5xqCufSbxakJ8TlP0b9rrzLyVzYi4/CoFEbZdaoRzwECHc+NULwTLC1zGFbKAzxvFmDp2Cur Rm76auyYN3Ard58zTDawFx/zRylw/6Hx1xG685QFdfYiRgdPVlji/sYujtMjE7FkBBdcF85IXS2i Jdhas5KyVBY7+7hMOdap9dVCNLDwLFXkCWZMPQI1gjaPkied0VvfsYHp3wCC7A9dscr9OrhGf4zp Yz6b3cUeUYbVT2kuuzWk/D2ist5nye6FJrGxb/b1f8jK6eyetZdIHrkySQ1AK7cruGZOYFC/WQ4d 3K9GwAN4PrPJqf9+4h6kTfc+gj/w0o5ka0oMLipbrzKuCNK63lMnu/Esatst5AmvuAWfdf75buU1 WbFdBPu/7vrfWYTBlio9dk1ylaN+ec7L3uucg9tw/2xIWnrq5X+S1FIQbq9cLpVAewrN82uIljMu uY58pSMkf1WCxiQtYCc+KAKJ1DuHJprs/vXEHY0/8rcPVN5dzi8OBegPRKb62TD3KoiTIKCNbXui i1MeoExGJJKiF6vaup3GJ3U9EqOqkpDzisYMDy0kyLV+FMkRVJKNjf0sgaIqMS4PVtQ7IV7w0+Fn h9Xrk0dvhW1ziuYCBHvj6hWpAc2jcdJpw7xx0veUGhDUjYLFM1IPprfRsU3puyT8aNviMuKkLvnS q4084hcbXCqVweFzh6CdiG4ooX9GR+k9SlhAWq+wUu7xkn93wPyHsQnmg9dJ4+aivaaQzmvwwVpz OX9saHSsr6mhVk+T5DbrJ67msstGfLpmVZjUnPcuEaBmTfRGDELkI2CgMJdjqMVYH6tIZg3Vxc5+ P2QSHi9yfANvt4NPiWpKJyMDbCyQSNKCtM0cAMCrt+IuzEwBxXxEhFpUz03B4tJkHCB1hpbbQqsC QJsgksHhKPrt9HiX6VC8NKlnuL1dE4Q68ZdfIiRLs5pkS9HlL+wYBm8wiJ/SE2O2Pf1/ZzpQf+zX 3NGLegDUjEk1Uhsj0CJ6DEkVlQWtqeLrwkBcP7qLUWi/Iu58us33ny0YY7NadwvXNWtP2Dk/rdM8 7pamQPxZ147w+P2MzAtOFRcGemab0l2Y0sPRGXFoavB3c2/tBfttcx3v2I00Ybv6qfRNrPGhF1o/ 6084z0xbo5Qy9jutdOteQckqZfpnDxHuEUjBkF37TjHxMXzdCmzn2w237JbXnDB3Jbk2sKA1tBQ0 7dJzbxZ1Oqf8YkVfNAI9vZBbDLFcm/WyWb1roN4eM1i+Lym68cB33QieMJS/D5OSDXHetMilWsJx 5zLZg0Gz9GewJqAF0AvmU3tMQX+R4mqX1X1Ngf8VnJhI3Yp+X0k4VKyvITnm3jRF8nvwNnjbmFPI BFculQGm9nsPGdo5pDwyrWPT4e/zdKYn7k22DRAWFdImy3r9XtJ5JgutqaPQmqQQ4EGXND7DHgb6 DE7V5jUlAl8F5sxUdk9yMRJMVi9kJ4coQpEntMv6WfkjBoOK5vdswd+aeR/uJFz5pS9p1K5pC0kZ lk1wo1yEpHbb68vN/E24QFrVnENYo0dpJlDoLR7Xo8epwhGQtpWOCrqM8K1Shb39k8JLqDX2HtO3 x7k2/2U4Ylzk2sI3f5/9HerJpYkbBhHSRSxUq43k4cSuHv+7x/p4ef5qFGRal3NQ8dMqyH0mvfft LsC5D881dxrxoTWkcnzKU2ezWpteNmzd4OaJCgdKRuyyElcX8hDgKSvhJy9Q7PEgb8NgssrfvBlE y+Cx5+KVcGm24du/V6qHKB/le4tl6MifqKhC3CzBSWoRVFGz5VXWOgOcRHMNy6jTUDKTQGArXVx1 e4SARe6EarCJRafKJRrsKPuSCCr0tbIa3CVfsytNZ/OP9RSlMnO8cdwcnbHrMveHCnQWtwQtSv8v Ap0gF0IMmNBYj22R8Vgzp5/mCiD2/jYv9AbUkNnijOqHh4HvHSE52LPltRZvs8Ck04xQaYZ7NA9h wcW3fGb7AInWYI0zvwOhFwF38yf3/wYbucc1666OpBIQU9DNM5PEHQeJMadGM5eH3L8ruziIvqC6 hpKYuszrtvoaFGzMuaJIdr8XeVKyQREmurw4G5PkgHc/3l1tzdNmw3MFVqDS5iF9XHn8pAJxhgPr MBa6qnbnY15n4Xt3HaN7U/+eRPeWfT4pXwKpMpXHJfqiZXHfC+czxHIInVQaYc9+/bj23ENwn+z5 Ml28zL8uvF61taivEwh3z3n0FWBl6m8o9mBZVLjXHw5j5eC1SImkDMJ+hFc04iWXIIPDm5zzHOfi URriGOQ8VCz3JAdkQ2O1Shz9Q8+YsAI4poMlxEzcIXbeDq7y1DbLNJIVDUpd+g5FNrRIY/6rKTpi QeiPnL0BftcAi2+dGo9sOATkZVkx+x4QEEhUo/NCSXx6q7sVZbl77fy9cKevWSJXIkr9i43rv+/4 ds14nPSNpIrkyVktaiYmHfq21jMaZUB0aLLJlWremSoapyf7xVhaePbdghDSADwL0LtFDXOxklhu DOh8CXZ5l2+MrJEWu2/6jffYDo+jSpfILF3UONfQ0+DitMMXIkT0+z5whDkscWIYb8zeoavAibLm yEzafzYCQrdH/Dhjcqm7iIp2fCWNq55KBAsvbcaMOmEMXaae39xwz1goh3Vo0VFyAVFaCQh/sg4J 0caAObkOFsoYJ6sjd3+is995oLPMig5ANcZSavRin2duZcDYcMwf08xcHrPvVs7vQnmiohbt9+ea DlKAyEIt21GUNmd2/o0QgDLzCLLJIOKOYfQUSZxBG4CWZFG8U7IgpqO7J5Xf5QHgYYJW+2Z/v04O 6afzXbzIzwUAA7AO3CeoV3aMbH+ESGrCQ+IWvs4hfrZnXeNKgJvA4R+rOCtsxYUrbllGP3Rqnz53 3nwucOET4Rld1EBVWtRmEFVio/mCOQylHY2qeQ+kiCGmcnhDHQvk1rEecybAhmtME7ig02k9v8Or r2iK9rMt2yQX5yT332kQRWALhOuR9UbnEZf2qbseo8nIoEqAmjBEDhw0rb8022BHq0GBb7zQd8tz ro5iWCa5EbQ4Ilar2HG5rWi0K7gL4QIMHnIe76YUaVLQeGhpIHapPdIqgMdJG1/MDJIumwQ5p1t8 mSgwg/B2TPFk02LndsfbIh6TIt5rJkFQY50iiD45DlfxnBzkcZHhpTwnWvSD+YI/wiV1SkoYUbd/ aOJ2PdJtk+fwih4RCCsE8zKuQoxQo5Dp/0U/73nJMEZqCXnrSC2OcIof5jAX2vluUm6dVcgLazdA EI89c+b0jrXM7cBdMnE/9tttiGzSA7UU01ytY/m9y/KWd94mi1mPaH+kINIRUsy4f4GxaqT+DIa+ iBu9icbk59bfAsIML/IpZUhJUld/0YXreiJwCZy5sPNrAZlwDOxnElJ0QRzJMR0UMRVzw3YEPXr6 zFTprki/h7LuG186b9AuNaCyCo62MZQVZ5sRMTRMTUbJ9mBHSPf8nLVDQUgknlUOa52JZrYJb42Z Jd5TmrwYpO0SWtX/KeqvDhI9nLTApn/navyjH7h7MTR63EQiWptWYLFuKsP1jsZV9S9mS7dZo1IC WpCXrFzdPj7ggM7yrb0fssBknjNrJ3DxL3deDMFdw2UWOllyoMVFkmlH+aRVM2UrZpWwf+ultSpV fl11FRMOXsftSvowDI328ZSCTON39hWvtohAyqJAINliwN32BtfOQFcEO5qExjgZsK5w0ffRLh6r 50/Vv95VxtKj+xz9cJERllKk9tMLVIqo204CSdaQErbRl/p87eaKBfkuDRX1wiEOJNcLRVX2YY4Y X+6ULQRoDA6Zz7QrLn0tKlMvBAX0tQ+vD1vYatvIBT6uq01xw/eSBqX7gRCPR2CKLcmZbwEZ/csF Yd6I5gsXQhNsWJPAQj8oRsCWMVrQpKkRSxOgGzdcMDuSFhhVce11CoLsBxae9vsntTguqqGOUCYs Bn6D5+hlxlGrueMaPeZRg261YCpFmTwU6Fhlhr86IKBa4N/+Cr3smWskfXOrESsNrIs9Vw40CKzi cpjV8oro7K5uZZ0o3KNZpGes9RMS5PryE3goucMiFF37oIoENUgkCaBCPRsGnIhLr/kSXPOaE5wX XkanqBlQd8imuJ0H6rhr/JlffZ8yt7g2ytIEClhM5ckEdU30m+/jkF+c/YPQmaAd5fzNwazGI/24 jTbXUKYIo77204gjoJAVTM0svxESV6rhiru49hdUdhK6oI9AbLMuCiq6ysW3EoIPe2EariVbLF3s ofDJPkZSEfjR1Nh+r7imrN/c3y9cbpbF2VfL+nq1LIQmT7S+8ugp4hHqGa0nJWXt/HdPLL2WeVvj qFVuLVCYQ3nFVffcmYabP7GYp1dbJ+8hJz6HJfEufJnm8Qyl++Bxw9l+QFR+MbIT0FoBOJTksnT4 fFPcWIzq5UMAwM/hmCwOykOkNLmamqijDuOrcrmJNkqqVVu+kf2Vp4WfLu89i2Dv4qOQnwBrm33K 3Lx11jt8UhDw/4e2CeMWtEkBRe8kPtMz6KqIaUd/S8Mi9q1qq5x4Ogu3+boDLwX46mAyWoXKhCTQ 1EP1BSxbB8fcGCJY5c0CDPSA0iwD9daCncOZrrutrm08ABKSz0iH4aCz6UpCb038cgXdvf2mdMVe uoXRlmAvqXzPod0yYA4zopR7O06brFqw/MXC4NgFZqa3J17T9MIk/Xs4zMXP6DEAnWaTc9QGJ/rZ /oVZQpFC5/PQba8iAJghKgSj02TY1kTet8rxpUBsk39Dj9ep1F/Y/DRcj9DT8Ly0tjiA4SBLOFc7 Wp0pNHYyliSN33PAJmHrlHVc/etTxkWRlACW1E0Q/vuYsUSytXp0JQPxDTPO5N7IK9F1fzlZGFP0 HGPUdTyymkv1OhmW4gznw0gB4IQ3e+semZgiXnHsxGvUOek7jAuk066RTI4F0tzonwE44jcrM2bG A/BVZhUYk5PNSa8TWvM9o4xKg2fYtmf/GfRuVFh3icF8BUK80tlZNxj7g3xlh6NjAmQac4I9IYPj aiBuj+IctrYpwKCGa3eHuRywoe/dQ2FACliMuE6MuqQrVOudFqw9Pan7XNmWFejDuCSt6sSvaOpv 4uJsqO/HTWR5UN5gCQNKJxVeTssd5Xo+A8cjupwnbRc5OT1hMnz4GTU5Q/P9qe5dd2TVWQUCMyCw /5FVHhCZ0q25emPeXuJCk/4nQwHPSz/xJZ4rdj04x1vtmxZ5aSZdUeWoRI0t3xUPQT2OLYhUYJJq +o9smAaxTaOICqog6W/Hu0PhcrK+FvtNynH+bEK00jqN4kMC2tYw2/dZdekjZHMZSLDAMFI99fUC FPW8gVbA9eyD2N4CYPZIWpRQ8Qc6PwgAb3HW5U5lT26oJseWdVpdkSUPR6Gfv6nn6VL9yRWWU5iQ 2xlRokSyOW6ZeZcarpn6b+F0Asx4jyYo8DxtdwAP6eX4KoalyVQR2DD7BWDoWDjNogxTMR+hfk+K 2qFCrmqnVwpSKDx7hkioTLzWv+6fKMa9I8suh4fjRAHsywoe8ZAwxvjOz2K8gqsX0R4Htt74anZ9 GH7YsJOa3JxyC9GefawQHvrmUiASZ5qyI7kcHM8oSym9jqAvsIq23Kr3kK8acunRU1UtbxJQes2V cMZaJBw0lJGDwnSVgvKD6yq8+3/aRH3aUqCDxEh/M2Lntp05pimD104NQ2F8eGzl1Jn9FLxlV5xS 04FPtzUusGayk/jEaSrlEyjlY5X5LDII5WKePuS+bsGO1rIENvGYPxL1vytNvRoGxhb5yB5o88Il XqrcypKAFQvfIv3gzA6HaeK/W8hCD0ALm2tS/rp2W7wtkN7yqNPiowBTd6NzVkEnsIQDNa5C0+oM mOg7i7iS4TvVA8I2Do68NFSx9rUvBzGOTHaDwMa7tlO1p/RZD8hDNcR1E5tloUDSu54lNlC1Gy6z ukFNNlhTkogMrROiVQwHdMIvNPbFCmh5tKMMche+pE7fZdYMD1Ga5PtB4wNQWOlPmHdiW6EBtSID +UO6HPgCwMSKq1r6WZ+YkPmjfx+UIRkCp6yD6uPCPJ9fub+eHIeFfxCaDUuWaUV7KccF47JAiP7X mlXbPvLwj8U6PBiI6itLyJZnHrnou2DtN+xw4hdNrSTYohoUm/L/lCkqYsE89e5xbHlmn2w8ui5M dovamaQEKVCrnhKndIhdJz6EXQGDM3YairS06unYUG2gW7V3xgaLOLYnBXu82RzwDVHQPfeKfQKM KCjwk3HvArn9cWabLJluD5uJ9I/01yMp+H0O02bqOVSQMe0h2/Eb9NaraK6B1+cwyR6/6Huj7o2g 1YfryaLm+kEeSMoHlGEvWWl9SNuEs0jsS6GFxNr9TF8+21D+/VBqHD7nG0RWKrupdbsIb9oRwHbH SBhkVPjm1BL/SUtUl0ZukBNaxaTyyCLISlxAxE2QKZInHjZ72lyN3VxkbP6WNRzhIJ5NwhtkR8eP JMVwsqBZuZ3bWrOxHkyPQkgg8E18JdKGrhvZGxP2x3tI3P4XET12iJaZvU3JQdb7aL9ICJ9+D42m XFGYY/SmqQJEU92LaLtLqTgI3gE4eii6mtS2aEIzqkyllGpKeCpM3jxSjv6BIDKDFxBLLc7CHNnF xwIftKCHER6/k6Fx8O6+Y0kSHeLjOR0CMGibOpbRIgx+YPIBdMBF281OJ9blA8uGMY2OdaG/Lg/Q xbc2zFjh4l2UrIbGfyergy4Pbt+1xtaAU3nHDJ5X5HRuiUhkED3X5o8fKlJL/XhNIDDXT9DxawZF pW5tzfxQSSQbOgQtgGvWDgOCbv3JzgYKvYWHRYGeusjx62dtqv/BASoCE35xYjQt9v2BaZyNfmtD 9MYdoFgPj2H3vs0KY1XQBoNP+F1JvGehb2J1t67CPOUsVLdv8XualflQA1Cx3r4VDBjlPs2DCec3 2R/T9oOSu9P1FfF1T0ql0g+kY3l7BoUADTbOX57Zla4LFIoYktXjr1rHn03GMvjNXriTHcxAqOR9 W42AUW1Q6F0fIsWQFPpfVSzn2uFSKTbHhA0CBTGfvnpD5xDSJJruyc/UXANwmzXkCFznmrCfQ+/I K/n4aLRuyOow/VgApmo89Sjr6B77MYB7cETSuEQOle1D14qchMM+c0+2dQum0P29xWaJtKs+KYWu SRULYUlzZp7HvpjRJ3u22WEbwkMtLUNjk3BNA1QKHBqs8nRIlCzu0gxCqCXQ3vwrwBf/JiydnPRl k4Gd8ygWJ4lfE6KJEwRhWk31MhBEfQ+xcqybSVuNEtCTGIhchwAfVwdwajZtPFHHgns5f36Ftqai V5S5EexN0+LVzJJzoLEBW03MNhOfor7PEQ9wEPnUn9B1y3DobODUSALHyFkHZsDt55UKXi9gjMaK daxPCySHb7XlegbhBQlEdsThmKNTNqXg/i7HUsW5wk4uhcV8eM3GlsaglA74i9FafkcsaQVDhiPE knd6+5COs0fX5m5WScQEPK/CsSm3RmPT9fFh9u6zQiKTbiSp+EwE5joDrTVryTlAkYZ2dlb/YfRi ml/3w7uogALmdmD2the9mDc9snfZRcQLjsgGRxHMg7/uqDkyg9J7xrqyNn/ko4ZvRIn6fwg6lg5z fVLFJgq327iQ8pDJs90GERLCG7ODs+DTqhX7mLNeqIhkoMYusj1MnvA9WZVSMgnv8BtKqZPljzQX 30BTwy9E7cIlJSTon8Zy/4ecgMTC2n00w1pSgTgpSskgxVR3lQRhWeuib9RZggFRbvW5uf1QQgYW SoLox2k/e197WvYoiH97xoyAhvSRGh+qjjck9O2vD09YU6SSiWcNlpgyihP0AD7ZCJf0qAbpTVKO FartY04IWIlJibUZwNbHBxRfpJ4kXzWxaLhcuYMRyLQEqI9rmbP11ggAF3RijvD04Z81TReLCsGc fnMtCD/q7KebD85fnUVOGigimzxCDIDei8cTh0ZoxMGrRDLwsZiUDLcXUzdwcla9ZgQ60t8273T+ mbPayPSIHv734JRB6dcOCdlsoNoe3sG3jvqMpCCdevqa83l/TIJN2kyeQcqqkUI+bzCiQjIBef4d G9t6/VGWyPQ+BBxl6JL3+nWu7GDTA0E7KidPuA9j8qBeQcOq1Rvjc3eLXmwpXg6avCkE1VK1b4Iz 26TgAFHeL5hGKnlSvyVcoZlnzn2eJua8qj19mwQiGqzrfccx94GCqme8+YSyyF+LhhBiy9DnHQhA yJnfpRxgk/SDqW4ZXPdoLziCeqODlavmJxjW8/K+Lwq50h7g0vZGbG1nbi+OCk69InNdRW9o8vcW WniyxDyKAXKKh2wAglxw2Bw8jPqj9E+C7djMvQrGj2UNgiayaLhsdYpWHEQ2Vg88iMKYMtPznq6S tfxY3UqCtxnumBY0JPOGNPDrYd3w+3gO39WoVWDmHkschRCM9AEsB4qhRBLYuXlS2hpb6ZrxJ2oO P3hyTfQjG81xSAvco51gKTopNZABtslTa2GeE7T+37RNbuOC1aAV5es7IrpIieYfLxCHnozVRsCy jT63w68misoFxRjGZ74I5GiHg+05eESuaV5RG83BqFaPt99Re00ReIyadd0hIHVpVxUQ/xiXhuAk wxulnJQtJca5eie6X/JN1QbmyQXxH4sgrqeDS/b7eQkGca5FfPYxEFiWBD43GGojPph+W8pYswLU VAt+aA5Q3384dg3Ik54Wpn40gAoT+wflESJBlxvaXBbTGM43XA7ZIgv92+/faKzioMZ3vOXswKOW rkeqEkfHJSjeVyFaBt9MouxkUkYOWlnM2djYLwZvjUPgvWS64oII9mXz1p3hzRPj/4Tfwp530tli QccruchF9Uq5nXS2/kBLEvR6b+a66DyixeWKJESLnS+d6pnTnh7ozTp0IUXSKAnmiho/HcSGl0r9 uh5MWoxcmNCK02VepD4UQr3n27zrOjYS2Of4JZknrUU9OO8geMkZpcAGv09vc8KXJU1p9mwkjzYy 3KnJx2VMEt1JHkDj7d4c+Rhm2ItzvssdYWZ1GtL8y5aGe6N/GbXRjYDXivV+rFqnS8KzO0x5ecO8 eDVnyfCJDuMz0gZ5XboxwjiL0Qf3GLIYNaZTfiLAyRy1p3Xq/SiOAQtDrVhr5WBSVW97yWveue0E UWowXpUAkaq9P6xkvvB68NxDMqnLD/aa0K1vJ1FOZlVoz+97X9LBuUUkc0bgEIVV+mCgSiMyuge/ OHpA7gvgu9y2dAKOce2tpVjB2rNCr+zpalgfU+lGvmBzb/25l51RGRrF6XpGRUAllcWom7Iy5EJk iDurRxFxisGWM8EVLLyGW0JQSpRhKuLlhYaGRuhqV6uIQB63yPjuK0cPAazmYCQ/WgnvptkuuvAa de1+FCzHywqDV/++xVnepRYknm9AZrQ6zttNYhD+nudexXqky2MfoepNwXWF5rEZ1ye+h9Enpojg pD8TWnh6WGZlUroK03eUN5fLngbOFnRnSr1sgXmOLR/JdRfGXEuT2J1wNb9WkALJIlvBJrTV8udZ WNc7dVnZUekKpLnHW60Ravbs5ouzLmvVyytMnHsBN8/B+YJRoZElqIis6gQHtM0+yD3ETHR+L7+P QsDTbCKRb12uRjtkIPfGsJ/j8uxZ1kTperH0WdT29oiqdfjq1Fw6alEZ0VyRj45oUWoGgPeKg50m rnQulhXw74VhMTqELO8NW/kM+Tl8LKiJONeAVKEYePeeekqnZriBfZHcRyAipLLFw+fGvMphVJGW ot02a3EhP14NlB6TSZ0pwFsW2VC3jpXkF00PokdYExYA3cwiU2DDjiVc0bmHvepHpNEOcFSGZvgL r/8npmT91vCnH8ppleOGjSB7YGVVJqUewiUjWwaAPr3JPs8Iir72DUpgsIxxO57GSUXabc0Ic/nC y4v4ZH6xQemw5PD9sCkVxv9BR/L+HL/fOMEs+390O+3IdimaJMh9dHTzUzodKEK9uL+CFR8ZHb07 NjoGo1GSr35vgF5fMwEdKK73hw1zHsNIpBY8jHPFDxYEyjUMXbAT5ckqhQ40oyWMHkHPekf0i3cX TXSh2AV86FryR6xMiycms8RSn4lSieJ5dqUcKazJeIabMg1QFBDpyIBGxqgcHi/h+5oPpXh66VYX hMmsD3OatvWEGzC5a8IbrrHHc67i1qQYVc5/yTERXOfZPLQy1pFIIcmQZkGY3Lfqxdue1IS3JQ3S Pb4uLK2cAsZXMAzmsdbi0jjmgEaRSHsNCl8K43SxXTml9BiDzg/dDKiqiK3scVI05jESxmZEprwL HnIxwnA8o5nSE1M/Y34tUt9KbeauyknhNEqAWP4ocI1Jf2gj3u/vphq3ehR+XzrsSMmbYQuVeX9r jPxlQ1rcNZEAeAT0lI/2Th0CUKYco+ZZ9CMe6MZSD9PQChBK2kzEAgIlx6Mut6wiExaj2QZoUyr3 DsVFhj0jsUjOQDnkHEO1R/p2hC3V8PEWA7Sqr5LyZYUTpH8ysVD8t0RIL3Q/Ip1tBdpZGqcy2m/n zaXG1od43GIA9HBTHFPwoQ6bNzjH62Jt6wBR7Y9/GXVqjSAftLVNrqQRRNeN+NAdAiDvxvp+N819 s+O/Nu9uX/s61tvY3eeXzKgOvO7D2taUM+iVSNZjgcuE+05E0UKpKNlgkZ3VE1+tx5VW9i9Wy7zp BBwcfCWqBXxUfuwnyPvCelM2EJ0bqKcaI6pbYR5lF3Dan2JCbVY//3mIsThRFy+1GovlNJoR6nsp OQQ5wK2TIxhK2v1ofXRS8t1rdByigqiQ+QcGWGNt7uLfJuSJTRslxQrBOTprYedewcLuehBFpq9o mSFXn13vrgJvMtPvhW4P+qzObi37mZhWAMGBInLzc4pLx26+8Vc0duSp9naYoRbDpaviRW1VtqXn RT09Z/vFM+uEx6kGgVqEULPKjtjcenX7bUnV4iEgRf74JQKx1MfO+VLa22EC9TCO8y1UgsRr0q38 fdWZjsp47jMH1ACoEJXYNB9XWDbHCsqLYQ9b1jQFUeVwSGh0WBSkjaguAooCPbt6gpjyf+Vq9Qwq Yuaxb8xkbWuF/YrVeIuNFdgY2UrJFDkn+IXJOQ7HMShKS1iQokQF426YffMudSlIbVyeOxpzScx4 5qyzVDTt04SznCT4SLVxYoZDcOhypT7a/T8c/LBAhunIRp/qh2LQeQfnN2EhEjsFmj1afadJkXya tJI+EPxK00FvKE4d7xtGsIGdYZMaPutmKXBL1+ACMXBHXYasDtUu4e+R+siirWQmerFJrOgMRrRy 9RAi+NQ9PIZKmnGFnxBHpBVM2HEcLjiQ7FYwjExxj6YuaKIQ3n7T1V9zPz9eBM4IRa0Nc2s/9Up8 XsA5XKayzQRniOGNnLzbjsYCb2DZkjc5hw0jPlhGmUi2MjwchLgcngMX3+e4RAZkcFaw8VNNiZ4o iXEN+NWz1ZZHJomA2esT3Vy1hJ05R7vQFXe3+qvI1BNrUP/nRZe5haCeQcoN3Qtaa/yLA+A7/PHY I+mD3kh1i3W8k5E/1bwdN+SyRlBQOS8HAaqk5uCv3eJOU0NFEslNKGfRFI7zBDdnB4axiCy9X5kR IXc+MxQG1ytSJmxXWEZ3uqoYU+w5uiQzSDUgrOd3dbfCfxOM0o4cjhxYupYJarCU6kzCzv5KAaLo 7QoaD60s4KwlsOOK9Cdgt7W/EFjpYNPI8ggz3fVQVjxzI4Gr8P43dlEsoliRh7DqQsCOeYVHfep+ vJOI2I40Peb+8SQzfXNgvuH22rAcgVrzn44M2PhekyPwUB1+nZEDsTEm7FaFU60RXWnDSlzEbeK9 /aT3VjZ9cgPLrwuzFP3BzCoFWKoUA0Idup+ksvL3xIFD7vVvwzL3YMHrLzL/x/sAmpsWm9SKEMZd jO4FhuSzn/hTpsYyEJ+IyNBTv5247cRBTOhx0Q4Dsbcl1PxJRlix1YEUQv3UEjIr8JATiOmps6CQ 1RuxXKVMMxy4M0AJ/+XTMa5LuPzNLcXQl1ddabgfqCRi6JL4BQS0+HreRSEHh91hTatXQlw0ixog QrjtoO1AzQZP2t3fn/bibqlhI1wyKLVyzupi36O5N9UXazcHku+sPqkAIzlKd0UaKIPvySPk4IuT Od1PbhGKq46Esr2BxA7VMiHtnPyNr0lF1olKTwuSnOg4oPIEWyNbCTTasZB44gvGnfU8tv3WBNru 7DIhqRKuv8hyN/UQeynSLSSHuN3FHGYVKgQKQm8YADmUbCGZ7vQVRnRKJP+dzpwNp7JLqiSkmtx+ Zq6pnI4h20UQMF31dpl+akut1DkYsMweVf6kvI5XHkR7IHvUbMDpdJ3PW2f1iImokrStlQMJV4pB DckGPFUd9DQd27mW7Obp/6NnxgKupYz7koGvkratenF+UCeYqJwSPesomN2OK1IGWvoQYbGsqrP0 km7qgKPKcvZpppf4qQKwtPXF6EpiJknBfQFRfXevaJHk6KxV+izckJFCY6npskwjRiXGURaEQQJl RnhpVUyPW+FaWd5oeUD+umf8HEMzOJ+QaEloEAy5T819No6xF+0OgJ8JvofPfwgx08SNGiMRF5nL 3KHXJ6rVdf/4bK4Q6wujFfzd1FPL7oh09LX5FChmyDLpQMOZGYzFs5ce5j/kxxwv11RVXnlQagS4 6uYPnFS/Fx9pV+yurnqRPbWHlKnseidigp4jqaA8uJ5EY89sol8MK7udNvEfGvwxdQEXcqsm+Lgq 81g2LjilJU8At1ua5C53M0cVxjcsjIJ9zomh6EqyXrs5xyA10QAZSRxhBbPtbZYycxrV12VyV6Qq FsZg41vVAla9iNRs2pvOS3fgNxwyJFRH5UJqdk+UC1T6qt06So8njXpiMDUSAA9Ix0g5RfmR1pCG 2iPwApfsukJ2sCa6B+YwYzs0vxrQhw9AwSkmzk9FTz69NGZEdFF1I33VlmoiUFkXbWG8plvTV1lS EUoR0JkBjybjMYrfBKC/inEpbSmiSH4ORguedbChoPS+G5v5HzUOuXoUkOmIR3ZHRxiSVflaX5tu WNgF0VTMdEFu/D+8V1d7DtMStSQQExXSvs5McSTb1Cklp8yWClT1v/3d2pI+W0WBGrD0euiPfoCx WlunQkGIH5NbFlHEUkmpvD/QZvJ28amjOv0eq64cqX4dDky3kFVi7t8v0qjr50tT+CqnS/FyD3JN D6FfUEiaYFtooOM/BRAj461o7He8QBhiaK/VJuTSCx3dDWSDqjMMAx4YTNhdr64YUlT2R+ve15sq THE8pMgNXPKKR170ZYHmXxRmSShIolYt1ISYvu9gfPJ4gHISdSoqfdyixagx4zk0hpgfx0xjFr4o p1cp0Fknsj06ysDskarL2Fq4nVBptDSkS+XnTnHG66mlxJQ+uD+b946hR70HMRLX/cCp7zglsgEe KIgc5FxhS0yObzydtkxL7+qCU0z9DfEM0hd+pgvoLLfTksO2t0IA2k42CZm1AQj1mQMbAAI80deu XT/Z4eeT6Rw1V1zrz5Nb6OhAYMO/kvhNNw22kW9jTRlIcrSUxApC1LahW2QqpWeDyvsCMJBriDDn 3TEcZnHM6rkxk/N+qeNQXPTQhxti/8Olgm5xOuMzdtjkqMtbrgOlR4EY5sK0m8HuTYIgpNoBGN9J rNNCyuAplrl1AJHw4hUsCyK5gihYxxalbWPLaQLxpkA3hcmuJiM689EJX74tsqxreQFHRvmpzz71 HV9grJHSOUmv23CimwnWcLzpofeGLNxU4FvezDg7Bb7evbBMexMF4K26sjAVx8eFXq5wEl6GmLr7 hEv0Fjy9R60kLUq3y7HIgJNWffZsIL5T5mGXh9iWw6hQOnY0lUXc54cqW/tX/jcdfeEJ9yTv9OZG TxvTr3gftrTO/Hb1YLT0yEfi+DMfS4OIwVZGvzezFRMzk5kG3b4LYGDluFLqQrc6QQ9kc5q7UmBn G5eInZ7TxtjLF7PGbzH+7M84KfJrVM59c+8sa8Ut9Q7dhSApjqy35mDnMi/rNDlv/PSpbwEsPe11 42u2udtvoJw4B4oPIvabx5+L+LUS6yLTdYhe+XAFM6NEavTCn81MEfqSEMiaXs6pUatYi2vQ+yIB oV9hbPql+KtXCJcuUQiS6oHlJZmCOkVYaDk4j2Skf4buh2lyFWFzyVDyqmvPkD7D8KuPJsq2pxaW kxUcXIZjaVYoQLLrbnkfrsIhYgXaOmXiEOjDBw+UxAesKYl4I8qr+GJm1CR+H4y9a6s2PsETK1oq p+5bbG7FUGf/DZuaInwFwwW2p3K9hkjwVZ/yZXxQBMhtm3cmPOHr4kgGUu034HSbxIn9/U33sgzh 3RRTgRq4Gco2jwDsG6CpOAfXYuI3gc8qLgw86KnkJTGeJZyza3p95YMWpmOQ8BzsmMEoTXutVX9P JSvvGkltsCVi6X80xQCPppZBlh/NFvOkWH5CEitT/o80RALZUNgc4HdPUDcO021NcPS1C+TDmo1z /SIhwBFss3RGomMkzXHbhv9YN8QZs67YyalTwu0Vk0Aocj112YJsI8ebZ/yqi7GYAe7hq/978vuG AjckwRmNI+H3hRPUYOcpOY4RuYdIE0MFUW2f/yuyH3G5WSk95tZRWFNtFury6lVXIqQMwGkyY2dR 4XmVkFch0/0cscXHUK1ZUXApTCT5Lx3Kg7d/okw/s+GnslvuUdxJTd7Xv5+GFu7W3QrSXrz7DXMd YCneFb/wxvygpZVUcM1ORnZcwyMkC3RvlgdwfHUacDXIXBmQFGunGxQCj9nzqMuzRNtVSZE0V/ZS MVIxZGVEKAjKRUN3Ac/nQUV1UW2zotgA0rYHZcQ51kkRF0K1CWt0V5Q1ToY4CzuoqcZpwLSvNhxZ PtM16PljTsbs7ZlV96nzWBG5yAtn+iWY4Ko3dd8DXazhbBcqHyNmEGGw/BcorqvzICPjLPlnynP0 o6wNcFViorMSiv7DEbvXVzO1Pi7g7/PSh2ngxnffLVUR/4yQz7uGhbg6xRtS6kxPaxknme+KqO1V cEFpkUVyQz5RDGT4ISbwo+Mtt+hE5Vmd1NMinu6pS5SNRkG+zB3h/al5PgbWHyT8eY0RTt3/EGV1 kQd46haQNs/Jt8/kl8tmTzAC2v0KXTaFAfDI/sofldXNxLjwlBn0iBbIslpcvIzSvp0zx26CAOvL 1F6HOTBC24b2WquJIJj0U5+QVI8lXjAb2EwzB6dIFfYo5zF+lt2cKRN0YDq+pVxRmkYS+ff1OMoU QR+yahHoQe45AIW0ezjJ9gCZkhKOXIi7JUGPZh/iOJ9MK+NzMIjQVK+7Cxls1yuSEkTH0y//pCzU ESI5VO8+HYIUtJejXIAGYHuMoMC5UAMqWMfbIAVlNgv4MsY/snOEAKMK5/8lllT86ZZtSGybdZ+G lWGccVQVypRZya1OJQpo9rFm3IZjGwut9zMWJoHhIlNBP3cv1bK0/Rh96leKliFS7271NG9h4D+m BGYPi9CUtVzIgMeo205hDzChWRP/uxPJwLK+sV89uG/lgX5HL/qqpO7/qxibEGXMrS0NjNLcqPDR j7lCn6/GKnUGuboK+kw1zmVigmA55t7ijB6tppCCfVQoW8RKV+3tWyQIrAl0loZ1r2qBIbKoSfWe 5amKcnCp1GcIRst6wbf1yc4eWf/f7QMoVNYVLr0SVnSVC2cmcX0D5th5bLH31cFRV4LhoKX0aP+C 9lFdlfdr0B0zC6MmVXViaM5id6UNentUWkC4m3N8jhqBEQ7HVkDDimtPftH5uW8bH/lnSj9xDLzt 1C1Umt4O66jQ0b3b0hus5Iv/K0JmKYTWudozDG+fPIDSGc7ZJk+4NRLv5gOhep6cDR9tGYj5rORn fC0TuJSc40PTt4cl77RstzO9pEAsPG6rPHJUJPYbt0IdvvL14Df176IK2TI0TSL0vRh6Trw3ests KTZsaPVs56LWrDxYGlRx47zCkYQsVQKTH8d/FJP86PnyNJ+dlu0zuMeoOAryRv1gZauZaM21g8uE 2Cqvi7ZAKlpQHY7r2BW7YkD/V+lWmvCZlHXrCDJL5NeEep7yebp0huv7nQNwleRnc+lwKMUHS4QH G0tU5PHIWdMMNeL9PwoAvkJ2S12VDh8O1lMq2Iz4yMfVLon6qa7w4m4/IEXLjniNB3IxnP8E5LbQ vox8ahmhQ01CE2HljmaECDC/ImQqktHkGdP4SOjfFYysiyTir+BWBpAa5ECzr+uvTYH6KqUKtMOl 7ml6OjTzpPuhjWWHRHJJuIxQje5wjrc+YeModQupAP/76XZvIvioFJFPhREEL/fx4MkcXGWgNM2x A/mSNZFdlN1AwZhGcNXin0lNzTONV4FssJtaQ8+QBpSAc01FCCiEFtA9h+3IDmA4b8pcFth0Qyk5 GVBK6AqQ0QmSF4PRXYLGqjDJfyLHlw38KL7FwuEjybbrPbFEttounWXAuGogEyfuFAqspgsrx64r DnrLS1r8eG6IQbGaLzVdfiVQkvRKrakGE6jDe47uhUjRSyLAmTKYp4Sl2BvPj3bVsYPTbezBCt2m FaoQZBLeUlRfwt94vCRo3izTmecT0L7GZFAq8K0phUUgJqI2JvVHo9WkB16HXXZMwRJrRGho5+xo B9KIBn5O9WzCLzvDGlS2aCfWJOqkq0GLcAcz8fs2QoPOrQ1DB83ES9lCecl6fvzsf3edMBHZpR3X tKzVxxwAyTTc+hBNy7ODe+Z5uT+NLlhcbV2W80pSO+uztPf8ALgj74IETd4pIzHM9wBbJS70xq7U 1S+k2O0FfMA5DtQPm2gGo/7earXFBjLYrrax3j2/lW3y2iXmvnZhybhBr7TSxG4c6BSMh2zq1kpF hGCfQKjHuU61+dqubUTGke1YigaESKWMmD7CkE+ElKO7+kQt16Sb3IPyLTTzgO1ULYE46bIf4k45 w9+43RB8YR7UjDE/DDmy4XiYM7JyV1r37V92Ker+mQ2hMbfDXMLz23CUsh22gzuzFJl7W+rLImx6 GpJv/4kvGnSAnbNE9GmMub21GN5z+cex8jHGHkt3JbeLHXUUnuH1Z0vxhQMqb1MJRj3ggEDgDyqG maHpw1eCjqU0qFDGb6YLy7qA3/qte6oOw8yHXyVzEf5vgHXBNV2dr+YiZq7AErucnmlnjQCpbnaB sNe5aFMsyV46aqGl13mIOrIgCWVkc5g37hOfSw+kmD52FlJAuUvr0JHoOOZux851aOXyBeRa6jAM iwDOBNThCkOf5pqQV6XIxYjDy0Zibn4HToWlZ5pYe1XVnWhC+o0lYyH4fbWDmR3+UZyImUzGzM4F LLUF++1QSbd0R1Pv9jri7p6ahLsZXI5Po5jyz9VAiGndy5GDqDxHle0IR8T8l2OwTz9lzPLVlDPn asmV61C+d9LRbv4A8RwWAYlkitdxL3tlR9BpxhvytP+9rOk0kCw9mtEU9JVCwy0zdDunT6J0q7qN pewTUTF8OsmZJe/X5VVFd3qJUKSAy+TublXL7Remvmx1MjdRBCIxAekjkX5WhboD/T3hkt67e/V+ xqeygwJQYxWv6L+gf5cVxNpqsDrwxT6a/WAcZR3xglF7hSuEuQgjxcE/S/vCD8jAHeHob3ShuYDZ WK/KIhibpFhslnfVxapMw157QhlizRycFRHlwaj6jQGh/qUo7gLxcuAmYIlngeY4VrhnfQWTAqFP xKNOK99Ct8s8wWDmWgx+XY+d6L6XjtPYveffdTDbRpcoaKOhOPRa4It8bSH8jrj7+GziMXKC35vg GPhebTFMnPFwbr6kxqeHl187qCSTM9rg+lP5neuqbhvAISBq7FnbWvZJP/x94HEtres7quRHU57l Mnmu2QPKt/X7ahe93u8Ra12aQfXrpB+2YWZNTt6FbaafRiN2f7eERu1wKGFA9S6FShJKYT0zsRvl ufXE7tzglzmQklWH0KzSdXfIvIMS24/xepbGgwvvaN0lKYZwEndMIXu62Ubgcuw2v8RRzsnhWMp1 f6qvNKoDo2bQxXNKpmJmaJ05sibsjZ67/VCB9/RLZTcHHK8Bd4cXc6i9+RPFq7Qiw8HdD0cctdma oXY/nq2MCYhq8vfMW4RErz3o4iw12RRykrFmZPc/lrANSWr8veKEJ4CYNcWeMXtFUiCoLoPtiIig Rs8+63ML7FZ1K9quT2wq9BAGOAnIJbkYvPg9QD71RGrmisbvEa5yy+pSLkRyNgL6iWfkgPDk4NjF z7Xyls3O2n6u5dkOTY+N/v6C8SjlltlswbluUM/Ylb6GY/tRjBhFPQ3XupnVa7cCC23K0Ercov3m fMZPVwaQr4x+WKIWouEmAyrx70eD0B4AczPk67PPH69qQO79q+KMh1h4sPJ7RrpqJTb4eMFKjofH Xrv68wMuMacCl3Tfh9CvsVBfyeoS7tpiQ6Cl8PB8i8D1L0CBIYVIj/JphWuNEa3e+ZIAbAqjH869 aXc6sVcNEHGM6hX1u+YqtTXnGVuHwssmF9FkfUMn3DRdEBc/Twdy9lnX1YBc0m8mI0dDGcDiS+XG 8QJ1qfwEoBocQdWQjcTkdrXFsGCqzafKH9c6dRVUgJ6NN42LERt5VKF93McM5sghWqUAoyqp5pK5 C6A8lBvtnNMhyWBzjyOH/JAxLMCYrZHIvPo3/D3dIXWJK080oRVUAI69XXreIX1wR8Tia9eBXkiy V+AuXp5ZiLx6bDbj1qswkpQz47khYTv7SM+0ZBVvqqPCLQaLe/aw8Fp9HK3gmCeyByTZyP8MyeIW fRxpy7ZWT95d87c1a+Z9d95qPAE2eEph2yvn9vkOyCzabHBN580cAE5QQrwH3D3/YSfHfd53UOlr e9lI+z/wu95JgonBYycPZVZUY7bsBiGhpViiUCoxMK+IV9BDH2Equfm0xXT5jgMOdPdPHGdAO7vC cr1UciI4OyCoDtCCOIak35D2WEEgfa7xoxcGi+g7wSBcKgAJio4HRtdNIouFHfJ08EndmjmfcjJx N2Kiu1eU6UnWl0bwvtSCO1x/h9HGqqn8h5jW+wiw8XaZNJv4620bIN3h9Q2sH9wfzjKu4dO+SdXC Ci6l4B6T67Q2EkU8ofEssiA60tQwrsBFuC42LO9N0NQBE+skIwsSQ5Kn1Bqg4QdS2PeQ2O6vrQwl qJ/PNZivImYUFa7byG6BGeJKleaHVFLoF1mi+3IIgU/4IkFPRkg2lM5dgmxGa98q5k2uTMaBzP8o NF5WNWY7gC1JOPe5MKTMeQe21yoOSS6Bh5vvrI2UqA5GN5lGukq8fhNX3gKWRoplqvre20V8IPq7 55ugMokmjIs3EJf0sdkf9qt7vV5WHQORMM3QxWMwcblY2+k37w8dob1VHjAtWoriuRxhTBBBbAqc K5dgOEXzNZlTB+SnmPUry/b3CAcL0LuDbslRP8WRCXobEAuISwmRO2mJhQnGoifN8TEFhU8TKgJa hmH55iXkgUcCxm1eR+fStqGyup1dosLARDrnU3CViOH7GfVR/davUW9U3Dk13W6gSSVTG/caxrS2 PQZs0y+85Sh9IuZF4oybbynoLlYV51o85hnZOMUZxkVD/RgfLU3A2H0HZel/E8OeB7bKdigpLOy2 tDtiq1MkAjgnhH0/S8cqovF+6ZblBnodwAXaz74PyvvcMsYhxHMpfXT/BgQkPrdjmZ7yo/yTAqvy CpGgvJ7hg7SsLwFK28yevW5fEV4f3h5ulXEkJsKkHV6yPDPtwopAHiydNR6m7kPM3lJMeT+4nwg0 sFIh8KBJ/rjauW5JqD2grawBiZzbGJOM6dWBW2l3Oq0Y6NCbZ1ieMy/6bKfvOypzDrWp0YSdDXuz c+KJp1ue1szmuey8asck+nsmx2tWVt9U2RW4+s14gyG2iqNiTOhqCvRAMZ3LDR3Kil7K0wOnkhVi vqgDlYWDa4xWrf0BJ+/GN/uktrB9YDTCcnMrqCAuwWMBkjxYWSAcewBfX4oV+dWEq5IzuHIeX1kv rccqAQcMy8XFTEf/HJb/bDKid7RvK9DbaxwWf3ECtduVpC1VmnteKbF9T+kzH4jAdRfrZShyqhCA sHRuSbqdGTLG4vT64vC82SNVceWsQDwXypkKy8zNhFYfRPt5oYvlg1oBcBxo/eDMDn8eKffiaS+2 zn275DYaLuvJ/DAO61JpzGj/VshOqb9vb9utAHmLWGEV+tC30Mb3n4f3Iydht/ZgkZlpKW03VUdi hNh+U6m/TUKJCwElwHecdatHXOlMkKJmVI5vX3GrpT62qoqBRFz5zyBU9nWHTwZmRCmIEJ6g24Bo 9QrHtXFrERmP+bZjfJTPbLqmiVhYGwbw3fExIrlIW4gpamzB04CgzfJBcx8djTLr928KeyG+gTpY ULjfNhmOXE+nPnl4N/rOda1r9Kuob73/r6rFlkHXHufEyDv7+fhHWs4uLRpbylURcjsNpffU5BiO nFtETZi2f2K2wLQwdgW8n30wDoYbfJgD2gVQszRLr+Sd1C6KxTFoZmFl+XGfQB83JRh/qj2IKsxD 0irD2cSLUTAcPVFhQzBCTTYN3Rx0/J5QJZ+kgaJQjxQT64/IPCaJOC1GUUtav5oC2UXaPT/KGZ+2 CVTXxS9TCJzkIg3maVB8QwQFeYPQoRPpwb4bRWSRPgeDttOhPO7lQuftx4aKabxgUm/PeLW3T0h/ ln1DK21BjcJGbtM8GlP23Nz/gml0hBonjgbk9uKH+mMpRbegjoKB+vmrSVmnZmAs1gJfMGZIA/o0 nxDaxGd4ztJmoF4au6SnJtf0/VzZnHl6MiKXHwdu1hTT+hOE6dzQrYiNaKIAzretTervnVIzWOGR tQ9qbEwp7YjwqTqennhyg7495g4pIcfTU3ePzEtmv0uFb48kRzJwoizOoiFnnT3txHK8ShwZaNIr 4G/IPyXKnbeU6mLTLXh9aFOuaw2kPNrySM8zOTVsuDVmVGKVWqkdGC8PHFNWsbAbMHrjiHP67qrd xV/5RO88rF31he6c4WMe/41oaSUFsZ03DYM5olWvq9hoyDHSRyvFeJExJKOGEcL/1A8P5TsW0aef tblkUMlLQjUARgBVDrEaxU8Ia9dJKEPMDcCPL9BBo3Pmb9YsTIydJ4UmczmIisUU26opAFSHuDkd vrQz3BvajZfwGr5rfd1OWdA76VrtrLUm0N+bnIhdkVbXzvquMZ+1CoNT4Ve6EvPjtIdH6mh6LGkX K1snKoI0bfX9U6VAETER/gh/fD/kNXJmU5so3jNya0U4BRo8c16wd0UbCnRzJstmFu1AFIO6++fE INbiYIgU/lnThqbY4KqLQYaRdgjAJd/W/s1d1kFvkakQ/vJ+sWvXvFxsHtZVgutqib4bMtbCvyo8 fdohGNvmhb4coKKNXUP2cp4G289VVwWDJJlswfthxF+Ax9nKs4s0i+mcIKMAtuC8Rq3nCcADxo0f GKP1HTadNmIdhNJcgp1V77jm521PvjLyN/XkWR6T0xtIH3RphYKKyDeBVrDN12mjV9o2fJSomyIi Xv56Hc1RIOQH6a0CqGTcN2qyBCztjTHBEMK8O4Eys4iyyH4UgtOHhXdASDpLtNwb5TLewWIhr59q 9abeXBeCwVNrEpwwl3BX8oPpZR9+zeBFMQ9u/rZbkpcs4MMBYoZNDpxGWuOY0atpLXJZZvYF/TNt WZaHJK2slq/3ibRMXQvmWqOyW71x/vSbQIwfRVOLa2AVVCPQ5tJrEwiI5vCMe9ShHxJ1VmSZ7lf5 +Ot7awOFUTYfSPvnWUaoc8mwGIRiM4baAhTPQaNRFmVuUf8Tg6O1xhm2pCoCIpi3/lwlzM/pzjid n/ctP+H9eFUwfl8Tm5loNmY85mBfSlfEDJQn1hU/XZq79v4aRMXJZt/qVkLa32+Qrcrrp+GhjDlT Zcp/0y/wDatSHKPvKxIDnKKDzrzLyZT4limvPKQETPo4iyvBf8uVpOnPbX40G8ej5H2D8/h3viln qdws/VngbApJSmnd5yU525daCMdxqQ2hLrowUqCz7GtEcnzvzQqrrDb5KKANKHT+0QlfPaFQurcV leIXvfUyMbYdFZMFB3NNg20q0KRcBedBRcczumKPw9m6nQYwye74u5jZ78M+2Pcz79pP9jYby1ai +ewDGL4cdpg2X5TnrPC5B0M+ks3apaxiuwe5WXMfPFjX06/O7mqBkn17vPFKdPCOp5Hc18JuOL6A pBd0H3BTq7D5mHFZ7TtYahm16nqGoHpSNxsB1RZ3RH0DzqHLQkIR/RrPp6SmB1lSB8mqmde7pvPm 4/8eeiqcGTdjnvbIcQT2Xvo/eZhydrDIOQIAG1JEYOt+OY2N1OZwSRr3dGVXtzczvZ6YGtto+LXp Hk9ByW+eGuSUOJkznr3SrF9NGSHM77pCOeXHHvrF6cGB/tmBWra9FSu5dOIRh3mtwMD5EMVAMVw5 s2bM9chKoLa0DH5MsgFwGoEDA2y1OBhY5m0rSNn1wbVInzlq4PfWvftkoemqIKMBOLt8+8QaoKhC IkbZ54yVz3wdiUp+QL2RhHNMV5lp4WVlgigzzoF4QNb2qTwwelC87qpNrLhADYwkzlntPGMPUKR1 5EULU5WxwSJNe368lslJU2tk3sgldlA5hYi99amqqCMLWYOG2xwT8UfDbxXg07y6A2xEMSbB/6R5 oqfyEtLtvGWMGqXxU9kfZ9vckfg6INrVIybpibRGdKjH4TePAgKlp72kn2IBuI7kd9ZuG3qzYf0M w776lAWXQkPL74ew7KoHMbFbRGeAOrELw85+Dow0JPbpeYMsWrxzRDSIqjV1yCmA7kUQhOhNLDJK JoXnSL6pTuwNBXr7z+3xQBw0J+zrIg3HOuqXsB8c7Val3QzGbs7aow4xxFsxXyMm4WBRgrBEK1AL JSbdBzMvYzBLE0f1ahwTrrMK55yX7W/Ih9nwZne19j2XAcsuhzmb9ew9jN/NbPdZxKwiwhwe7D80 rJ8mkyGYwHYgjfsL64Hwt7bEZSNT4WbrTyU2wDJ06TUeuGHgAyX/g0UzQYMYjrkTAT/DLEWVVHqk 0Mj6Sqcb7wnuontzhAfIbupom+COUVNxEaL640x4w94s+tdnzJix82S/EPOamRT/wsmZS5xSk9qv XsXbk5KbPF4fbFwSKC72Dw+TrQgPmHkZdNDfPpkXJ1KsFXjfZ0XYd1C2rsoKNCtiLkB/bmZLXsQ6 KEJPPedyGdiT7O7k2Tnv19QG0PoAkxWG54tArkfIwZ8KllJppUfbsyEea9Fa3n++8kXhuBDFwMBI EQA9o8pMj1AlSYAbXuEigseKi2N354ZXog3Gg0D7A0RmdeUIIobBFJEggsoXYfuFN+vniMSurOMG kk1RXKZRYySNxgAxWRM7b0/90vST3lzQT8QUU0kZoTPGSl9SoGp+UK1RWXZp2TH8TNtn6L6IrSfd oPJVkPCfUikkOik7FeM3P/blGSaYaxnLS6ktdz6EvzONGzBFT2rqwkfiLXGd8Pur+DSr91kurqJ4 1c+WdzkPHa97qEGeaADqcxcGi+bY4cwv1JRMqwEB7rbrS47tuWyOvXK6YgwOF98XD75ElPl18Wrg d7Nm5ItoeWvPrndLaOMjbKIPBLp46gsais39EDKy3sjTIy48gAmQAblGQebMiNfYWiw6DbOtadrP goI5IOhMCrTpJeUYdX5j9r//H9Qwsxd97ZY5D/6UsLQK1RjEQ36KDZ1azHcNzUNr3o3Op5WRlF9C gowKsYjVJQT6orGN8vGk2W7aiQABb3ErJxJvRKVTknhNWXBBVq+hc+A85xjoEKNkrkaJglCIHfge sDaNfpsHCR8fcUFSVRMcOWnFJYTU8a6pKjv+ekPU/LSLa+zXGlgrA25pgdG++LOM607NUD8u81P6 K6Tc4OxsE93sHJL0WXj0n9qT2dZ3EbPrA66jFXXrQlLJGcunrmPnKmW5WEVRfNz6U/nf00VI9XyO gjtai2ByTi0OKgoUEP6vthjfG0cp0nB0pzw8zOSJ3zqs+G9hAJEvoHJ/qdEEX4HOeZ029FJcaQaa Y+iiuX5j6wPbR4bZ5dd4Egy8UvZJVh1u/z4Wqqj4nYSBNbRXOaBiT8eWuDPFdgmEXSM1Rkr+AuIE MCX1MDzaEhcGGgzTFGVNK3z51LTVw8xT4Douvgavsw4kmeGLIAJm7+CUlktZXQwVWg/2Yug97KBV 4GejBxE9otKBFej1Z318AwTaIDYgS6vO/UM52plTIdkaj/ZRbNxEY55LMzkJTBkkmPDyVw9PPezx A9b3awvK1qimHVIvVyUWS5xItnNHlpK/hKLivoaaQBj23Q4G/2/WKBBldpthaPWyqLg8f+GvZyqM vJIzNOKYIkxr3yDwm+Dt8aFaR88SAM4SmrpQ2TCyQXVQqMGOB82myDwUd7tI9NNohljag0veJ3MQ gN9zJ1C4hzFnJqGQlCnZP5teF6U9ApCIlzTFf9Ay6oqwfFsw6rbzjERM8p1Wwial2V4LyNNia7lh g5J+wQqkKdzC316L369cPHHRsyoHc+Zj4ATtSUF2p3SAnaqfhUfvjRKHI4VrxB34lZUuLeFJHY0i fnSSWU25cE+uAlq+GmEmZisRWM/dmTTWOWZGj2zSQVahpw+ZyPUpFERC5rBaBP+EcHcQguGw4c4Q P0Wzcrlr50ESTeIq6eU+3Jbql7AL5NK+hTJjE8HxAyvNG+an1KTI6q/Pn7hovnQuMGntndX58Nl7 Bl5EdehBg9z4wR0XAv/5oM5N93DLbgW4JsQ247CgsWH3tskCQAlvgUnjb/IQUo6l97XmZySu04Fm YusrVY6UTO9xXcrCLMIYNeX+LOb3ECGDPSVc9SD4DPn2rfuOjJ2mfXH5CeUbLZP6ZSmJWyMwQiR1 VNJ91qbGs9hgQaM3uewD6LSQzr7tgriJJ5Vja5sAwlNCt1cn4Kxw63iC9PJJn2p7LB1vpV+sd2ul Pj2U7NwdJsQaJKN5FeyxJYxv5AA7uOP17aCXjHUZ83Q9xQop7FoSwGvfKvsupQg9mzhk1dvDwo/g bmbpeUy8/XBv38UI8uI+XD2EtgXHoAqhJA+StSStQGVZDd1nP+ZUyFmg97ncomfcbJHNwKQfM3o9 4Otx7VWdWDN5uSAKtCT3UFY/0wnf6ActPnBtlGjL0K7G6HiaxA4L1hsXjo7shg4DAb4F2+o4fhuB Z+0FL0HgkAdhz3TDYLOuFNtLsgHobSMf6C8rpyAxvMlWiWeMAcfwqB5w+3pNs9FcYg18YafYEOYo jPGYKdqOeKdhCig4gPvasIhsFrNFmXhxOZqmGYTIbLTt+5K8btrrnQRCW7iO5b03NqQkBGFaEXTc rWedHA6Z0uUNYQXv31mZwpEHsYH40E/oYz4AnlneHOJOLwy6JeZQkWNPXRwHXNmMxvkSmgmMftHo zqdEwBOCHOSuun4yYsPOmX8WYjgvLJNzPeVkOwdTr4Z2XC8xU4sSSqF2VzAvhQkH/1lF96eS6hit mj7gjH81WkRqhCNPsKXOvDRteDi3yWd9J8T7z2aI/8KIPXyZqzQpIYEUtZLS/MhzdTxEfFO1lg55 xRhofb8eK/EFfGMdkGfBbIihGL0G5A7f29zdA3OxeOQD7E8+ynxCYbUqrFX6vsnBUCuVyYHm88wr NijZwgnnndg+xpYC7YZuWc0I0S+eyQXen5N5O0wASj9k3lPlBp0XTRrrj6+LFcxxWEtCEOup8c34 SB/ylOw/gxWkDZpj1V9RRtiMITj845DHSXWxelvUOyKQcy4LC/zNZrPYQndjcFugkAr3KrEFYapK f3/McOQcIbGpxSyLxm0Lc3qz6PtMbKZNQ41qWaYxkCdgiROPP7terkAOxUALrK8rxTO0k+7zVCBs 072djdQ5A8xfAe13c+7E/ItlHgdohyZdyR4wNRmC6KCWeNu0Qia6OQEsOdNS46ETCJbx9qsqMpJi WylVJY0NT7gUB08huYSGcEgSxVxX8E3XrOGR5wMwzMFgyiPKnFIatBw/6SnTcgZjUWWSorB6yknZ NWFfuoXd+RqvoO4QbF4J5pAwfR58A2la560fD3a+Q0UTcxTQwbZpywu89WeqtfuHA/DYWbiAxXq5 JS9E7RFqggtcXbzJFNcjHmLBupoaPE5CwysxVO5L4U+R8bNxC81b9FVl1iLBhtw+AtggBjU4W390 mOeiwHA052y20bIMFO9dmYcn/utkb8PhXwH4oYOiHxGh4Jzsl95vH9/2mY2xzWQQ5aL+Xci6saES Hd2tKftLH+orrIfXuklDgctXhp+D5eeHDTY6WXvjWhCqLeYM55NAAIreroM/MREJntEUH48sm5bI ravdyzTVcxAPmt4qkzJq3bZKhfWTC8egE7eqVdMQjz4ZY+ajD8WpYE7IasvltUgLDkv/4JD8i0U8 wX6UaoE1YmWR6w8pPUsXpkkflu0oVFbVk3RI/1Doinb9rqUHx2fpE9CgPub5Mbdzatiom+FkCj18 6vjczFvZqDPrqX6eIf+txaRhNP5jdqAIstu66m8NJiEzous1+5159G+fw0IM+OXvz6FNFyfSRj8B BioaoBX9wIHZCrD52FsQVUMz14s/B/G2fiU1a4d9nKiGkFBSBBbrXny7y6RjkRz4380IWPlFr2BT 0u/EPvY5zWuIl4n/BFxY19M60QzaQCoy3SjCGhIAF9QpTI7lNCrqcFtsYZ9LsSSkx7wvXaMkNBXW Z8CYUmcWbaPfMWi+S3ZHwI77/KAnZJSha64mvWkvvn88vRBwNDRJ59XtxsCcmNU0n5phk0HGfsjO 50ryKapoS59tEkqIuLLW9q/o3MawBXQtzu2/2lLhJafIA1jx5+2BYCAuEp0k+UJ9rfFSPYhGq+R0 efkK9mXhY+LrGCvbVaSNyme0hEKZRZ9aJiJfxNdOCZbl/xM4dxxpJr6Z+avErIx6Nxbo9iPbkQBC nIIUn1Mmtv9oJxOfXaihrbGriSIoqSDw9B5XAIc3GbyWWUFMigQdv4fh4i8o8EOqETPybeonBm0C jvQqaIgpxt1Ck4FbhS64yR1c5WUxJfPes6VpYAX74C2+WLbGpwlkwmGQ13dZJ9zUjVbzHd77O6Cx c0XzLPOgRWDBDywGiof9dnp/LXFXUCD1FqOw/6KHLZiOzTYXyCs2cmhJQrk0/KlD7jWUyJAUP/cn RpidoCeTeDWBK5cG3qyN2vWVH4hfA1auzbaMULXNYCfRqwYhqu5hrEahbJw38zpCHTsUuPO6SWgF rkzsNRuvawVO1NCYkJHJsmIpeL0qizcGfhoT8TlgF3tLgl5P2neypZ42kRmTCLxqaWefTrfwzvfB j4B64u3vKTDQHm7vr0QYKTysiKcMEFeUJHkMhManxJ/l6SldRFM7CVXcS+shG3iGAEhAUBFe5Gu+ 30M56SRmQx6jRtzN7puKmgzSohMVcPAJoSQVa7l41iKl0VxXLx5z1IGXS9gT658Nb2YFuy2eiG82 4UENZyJJ3uJWD5uARcoGfW3E0tzcWRPJ9YR9vfMLOU2mMLvqzNkDqAcmxvlxPheewKJWbzQrh5af Ai/h77q5Gt3FUL88AZESQykSLbDwyztEZP0t7GnsBlS2x2UdKDeQGs15alhqqq1VjAX525klK3a+ kTMbOaR33VchZFYjifyJxAcTqz8MmIA7hNs5NcbUkI8m6wZaumf7LGA3/BPWT6uuZ1gFWQD0furQ 45DXxEiGpwgAJ8IVkLEAsMs01QfcQLxD+k5UOui75OfBRmczneokRb9lHqSEEVr6nbYaw//pj+L0 3Bdxn1DifAKXyh2lg1nhHteb6dAw6rPJqN2557WBixqHdfIldaBBfhGug9NsBOn9bXS6RcztGwWz nJWcvtew2VReqPQP3h51fwk/Y97s8d6YSAlkKH7AW2hAA6kX1mYWregXb6MFHB0TbCz5S7+IHiwm q7FQnG7fIDfDXx0IlA8nr3IDFbvSJLNCWGMAT3Vs7Kg7GtKBx+n+P995OY2oiy3zrnmy/NFXFzr7 2ZIlZMkM+AYQfGTmXTxhLvJ+2zk/tEYEUf2eBNZXLnxLrdTvjyXw0heZjU5hxwZJepHwH1HwkQRk Mp9jfIp/ckQT7FfNa73jvQePPC9qkCYUhuEMhFVcLlbuHIDAmTEk9Y7a3FLCO+sk5FuPawgZELcz 09+5Cfph2INiWLO8oVEzj1eESt7H1Uz5OJJOkkAKDQdi1dluFVItD5EWlggRhfHaA0B7B/quEUo2 2xNHfhZRoq5YJtn4US+pXajCHgkpPS5s64D7ySerFIUfP0I6DN63mBYAdOxTrqiiDt6EraDb/5GN fyfaemTOXr5BxbmU1MG0XV9MWPbe2zWg+AFKnjqVo6I/cFXfDnJSF5BYyDCWjZi9yDq0Cit8+L1X Q03f/1iDh3uCdTMnhiAxg+g9NgFMNTSBiArGBI+2mG4sPlZpC8bAlRSyMVNa8kF74xj8scE/Tezp blZ49vHVRqrIdvnggmE/0KBSRv69Q5uXpv+1euk1yMoB4kQXg3fAvmXI3OjJmZbdFPz3PGPj1TUy GwoZCHBpydygpKyKzC7TDagZ4wJ3dSesy5xmvxCRFoWn0gHZIFjRewsnou4IbjZherEvGfSfS/so N9iCsshWyLDRA3nxUAwGGozxLl2do1K47YHPUc+xuPW8LoopqMcHtbKS9g6p1VbipInp8GEg2VEh 5V1W7ant58xs4rOgw1FuXOfJ6buig8iwHqjExIq1tRm2BxU0IEaDTpN8yc5Hd8H1/xhan2JfS2zn gbpx1QUOtY+1ceIs+A3IdBGbSCKudrYGWo/8s9RHNv6MEf7yA9WXZiKCl25TWFNv4MCqGHhm6Fw7 ryHehHSA2gspekx/gRXWv/MI7HyW2Q/va5M04V6VmPGwUADvD/gWrIHwhV3SbzVIL1nMX7P10Eba Az3XZPOc3IUPpDckZmohkAFZdMxnMI55q+ZBHNYrXsEJG7WaNHVj7sw2hQYWSAFCT+jWEhvXqOUr k3dMM6GevN0lCVejkqrTJupJme295y12c11lk65c7OHtlbRKLZ62buprV+4B/cs80IouGfIuiNES 0qsMg8nC/UJvFD1mvWAIVv+5d+t4Q+rpZEQSt9Fyk9xAKw/Y8n1hz8Bs7O3mBL/XBMpPtLswtXPY rJPP3C6UR/rj2a0vqIS0YQt0LccjXr/7DhYhKm461va4zja4KvYSQARCB6KMUyylYDEcj26k6nNb s7lbcl6VMb+Cyl36hdhrxoF/yLkz1efDj7W802of+0rri667UKq2lp9b+0RsLkA+4FaAibkF8YlW IEQMAWXrP2LB3KqTfq3kLAuLeNUWnoazrIuAkNHz+Dokly3pAgqIyUifBhQd/Fsc+PJzBHNCKM0t fK8QJJ7zJsKnQoY9hbNCS2XN9k64WkBO2Bx+0hKg/aHWdvtZln0nlxXX7KLnyKyFtWcwqpK5sDKU Y9H/5iV9C6Z3vxa3rGqBrOPhBCSdkqP/k+ySxxFZBrCLUYD9NoNK2Ml34BCY4dx8cGpJRtuDJvyt V+pi1JBg5Go118tYpBchjR/STb063MM/W0tEF7sViDFC8d11mc3ukSeEDWza9DiV1ukGbTNLmWni rx4szp6/N/V4pmnR24yeh/WoqQu3mWRUPiOcZQXMrIdSiPnqVq2VdUUOd3fhD8rBeMzxDTM6mXrq U6mMmmuPlKE3l7n72rgeeNACgxTlGuuvA1D0ETDtvQn4TPIiYhOAHwOwzJIIWnpgw0Zf6Go3qCic ShUmYR/vy+0Op+yMXw+Mtjmg3xfdrbMkp/Hiyt+oKe+akM13/LiI6bWDcJZ7I8Hnpbop2EMk0G6E Jf+O0Jr8DwsaU2CHLDfMh/DytSCQyQte97fZbJYL61zt/kdLiEL0QP01PSnlKzXpchU98pAYa+ZU OkmDUdsgvmIotIRcRtT8KybZ6TehMhyOKNpIagFiLr1UTZaGUBrr6EzF+6SPnOXM6YfC4JVwIMrZ zFp9t1xOad0aOU5AgZw7HD0mQicOXFRjJc6TkD/LKF5Ws17/48PWqKw/qm4VZ6jtJwJMBzcHZxv9 amQo7g6caRrnHiUrVc10kdz6CV3k+cdsYxpLtezoxCkuI/VfCz3YKCSYclPAmp/jdCUYiN37gg9V U4MhfI/Cy36hLHLYEwRlBcDTLnSXFuP5MyPwtYu15tMDs8ZmGj0+Anb6MBpatfCwIub70TDro8Vc oH6R41Nk8vv55YnBcV5P1lIGb7DVwUlDyagetDNGz8w/kCKu4nPnkEx+reE4ymEFnjTnyhlTCjNb gJpe9qpD/2nTGhJ6nIIEe2KBUYHfTuQp8Qb3OQ7xRxwUjhtWHz/M/qx9sqvVBobErEr7E3FspCaT za7ZfkLKlHtDMh8qo8/0OCSvshZG77LTlZmR3r1LkN73wVQmUHoZsH4CdvOO9FZjOdeRqEi6QosQ VRDFmVaWwQbGAEGEJeEhVZOYtbNoeIeYakMwoo+wG7sqFRvxKjTPIjXPziHfBZm4tjUfVE/ASEtZ G5LPKE3RIdTn4SebkWOGC2QZNQtZVzbtRtM27rUeeWWuoTNI4BmDpE2/0b7LR6t6ofr0E2tGQczG FFdYouW//vXAQJH3jN/jCrsXBIwQu3IwHyv/Wcso6g/TML5JtfMwOV8FVrm/PfSKKNfafa1n07rN QsYx9IKwRhkmy6TXH6ngVo3Lks+U5e65bDu0kkVzbJh8rAVyCp6i11mvkzrZkfg0z7aF9cAg4KJw kmtqo8YsobGKX621RomfSMbBVP2WTKRa5E8H0V1Xx1UbZnmwkow3gew+j36dKQrTFINC3EzDO9Yn UMZ1bbnpQBi+mFTODm4kA6cQIOIP9FbUAMqW1jRZ5OvX9rUM1KwI0xFU8zzeeoL7h4ZgecBl2wgm E3iXFYWfWz54xDpRf7TqKgHrR7EjiVJzNW99IJlZKt3mD1MFxSCGgxG9V6R2ymavL2wlHPre/nzh iv4PkFc4FCkRsU8NcGA9VMHAD/XkHTsBherI42FuKn85h0dYQ4/lt+AwQtb4PcY9rTd/I3VPHkHH geLg6Pcp7oKiHdjxz4yPXh0IcT2bOm3cim0IIqVzCUA+1t9Z/MAlRK1mqX0kpsVtKsjEWKy75sXn YEtr4JokUVOJbyYwbw0a8/t0aMZyUxFzawyJTaaCQLg/JB4Ux0lBd8bUTQ//cGzfrdIoCX/vOg/h LjC54S3ravKgPeVLHuEjy1xcQPCPA8pP8BI4dCxsidTesH8oVcarNpeMDFAALrZO/nSHlSfKJsJn J3PXKCgQqm3DJd3NxXcpWMOqfgoNl0GgV5s6QStf0vX8VQV1dnTFL7iclGA73NouLif/Xe/aeSGm kpiRbo/hXORJVkW5gaPWjGHwObHwYlqQ7dUUNxEpRx4/g2rs2DbgPHmH9tPS8ghEwdxJMpXFJr51 AUe6SxwKq3RMtPwyIL0iw9ki2YNWwcnlHaDTkFt2VLGRywdNpjtbZo09iKzbDmH/H5VUod3I0dXw 5+UcQo1He5J9QqSmZcVLGDvy3Lx7UK+AinTRIjSMga//BNOk9s+OCjEPZUTNM7ojYPWeiDW93ElU zkcIb3isVBMNp6JZzibLJrJKaCy9uBhmoHVXfEWHRJl9An7tF7yXEG67pGHVEOTG2nsZaz/gTkZx kMWGdVh5VD/QA8n+A/mKRf168gLJOPocr+2t3DZneMqoz/ggCUvlmeX/tsmMkxOEk7UDAgYNeWAs 0I6+fSAi8/lqSiYRyOWPD4jAZ47mJWo8zp5L7nSUJJ3PvfV972K95ZhNJbCaKq0CsvsX216U696P rMH9ayevY5KmNREqUGO6juFUAbBHVyUMSFy5Mr2pUOKQ4bGViGPiwOHpFSNMrnJQI2oFCfOHVW0o NWbfOB0ZWD6KBpuxj6Y+joWm+P/x2w+Qxv7tWkSxB2V1pbmL1ZQxsWB0LzL33UUIyOkOmuDMD1Kq IyVeg6byFBIpCOJ49GrAUYlY1z81PQ3BUR4MyubruqGAiCqf076amQzia3okVbnCpgrHvMyehhTM iAYiSdONn93DPjO4KU1M6m4Phjswfn4QuDdGMocWUafWZrzxVAK9NqZnN4ggHDH5JUtcSqGppW9g UO9lmre0DBWK8ncgfhzIKikwioEe0K+xHoqkCyfk4aanDydx3H5oxPIhsylYTxfIvN7ywGpqrZ3r yXpauR4slWjk1Z0yrQefndy2IzKcw9qR94fhsxIrNweRjUEz2pUUF/8OVkN3qUgkU4rHsd1ke3Pk 1oGroQBzG8AfY0vZ2hThT211HYWY5Ty5lOeULBMTiejoOzf9J6c3W/m9euwXHvi8MK5WPjetO87I CXPrCrdUGky8zmqOzwfextTDSLGyf8bk6CFThcTfno3bzgkwmy6b62W4ejXa1mEKMza0dS21FXgQ Iaw/mjW40fMF6Ko8zBedE2ZWV6ru3lnXho6i9UaP8Rkob7mT0CaEssnBEPS/I9xDDvhal9DhW5wy 0G7f+ixdf0p3hKePrmrzmGq9CT8XEa+2+hCyu+Jyp1pUc6Oddl70YwupvxGYzgX77+0rMso9caub GgPc9mZzIZgoWGd4NcxSfRvS0rxLMa4EirIMCilGrAuFWn2YDpRMwP8DdS72LFhANJaWRawas8B2 c4wx+O8WGPEmqWVugoHrHa7DR6U5q2vHv7eHTqUR/zRfCtqT4D5wPC0yqY7KWYIbpNwBHRkG1bPt TpZmVgAaMa2arP0wBk6b+OPmY4r7X0Hx3vrn99qFD5+qoM9XI9Gh3JnYpkWIiM6k4WteLAZhpszW 94U8P7z531L81VAK4l3I53S2T0d/8BHLFcYeWM75OWAlf3F9ZXzIicCQalqshkSCXF+uOeqsPR/G R2Xxx//KVcR10XpY6ccw71vds2nOrxK6nYFyKfAoKhYbW4i7ZTtegZ5RqJFGT5/HLOXuVetFqQbO pOeUQCF1PBlXGOexFH0bHhf+n35BcoR2RSwT20oU6T5KjQcJGfnEhHk4jVQZn/tsXqSMIKI+lCE7 GpCkPzBQ/BBL1r5JgKW2ZCWTVNriBfAWsdcGzO9iPhKTn5y6UXrNQlSMUx3X27qO4zfbsvNQ77QF CYiBe2R8TABfHJiW+MY3ez/MtHIjGkRjOMRDCDHM75633U4J51C+dJl5XZJxkppDNQXCosiD0t10 15JO7JdmXJtcmAlABY30IQ4KLvUHJ6PrOUrzEFgO1JWR1AhFQyObGGPcJzXJ0acL4yyv6W6ekkSg UFgz+npscBVy60nuvHehEP4zq+orihJJr1Xx89n7ilsDxx6faNjy+oB2GP7SLqiERHj7iDpDDU2B ec+2tbgT6vLEyAjcqD40KVDxKtgGH1bxH6X/MOoalNMON9jzRpRPKPcCF6IdD08/p1L1XiD+n1Yx 6R3oUQhFPsjtw1NuqOgu3UOFDjeCIDvQ/BL3ZZkbnwVQaPbGWdq8eLLAsv/x2ELQGgbwRHmAEGaA 6p3fT9eq9mBZ7wHeGlwy4Wsyww6CwOWSqgUNro6cg7wdWZtVcEhBtN7vmb8cyWWVJrsEtxJ2V1SW aXxTcez/k9YwGKr16s1QOq1rSkjuq2O7lYKCOKft0q8+4l+DsPWHjLAkIdVjjK2ASCW8UUD+vfor lNXkp7sOQXYzpKXvYNpcyGJyrUzaGP8GwDlap5WlbTg3uOfnV24d6DNTapKhSu4kcu8uupasNhcY FI2sTHm952hPLwS9KUzy3Hydu4cJmQeyQYChaQ9oByL+vSFEDnTPsl55h9WS6edgKCw0DOymkEfp oP0+NM7/1RT7Wm4ZJBqU4Gge/RmK6T8EfqsRSw9V/vw9Xktn38ackV8m1fNM/IDTcix4wVSw9AOb IYY/w1X1ACfK3iwYh2Hm1FzoRo3wsHMREQXIU9jHPsOow18iDm/ie1A0m2qmCfaqwqTfrngzP0Zp 8LBvp7FQ8EHzsvArqbLXYDpbsid9BiTZvj0Pre9rCLKdk1dQmIUk4vKQiHvfnLxQ/65HM4wrgIpl Os2MsOKad/g87Wl9hMXWJFoLbWmYxsNdPqOu/dIFWuBnkNTSYxrvPbx08IMVskqIULE8sxZzfSyA 6nWwB4j3f7EaJ/zkPwYkaVjOojrznMz0dJKqnFrIj5SEZ62S1BL/X6btWulExXR7aucnNniCqypZ UWxPMrvqsDIOiY+NKXeU9r4kfdmdN02XrS8uhk+IQT0n3MXQQQXXLLtjgyIk9StTDWMvjk7jU09t UeEv+44oriEVjt2YLgNw2/vi7hma81MDO6x5O50dPRB75foOd0npA5Loin6XMYb57uAgLewFn2kr VH4cX4cvvjsFh1liVvY1JoJ0n7EkAzkanUrAMvvmgwPZqCRh9ePXrgmJt6RsGLXBkoebotuk/iTD gWzyPV74JYXcuSCuIVAX/UdSjX91+KRPHB3m8qa8qXmFpp0BgizuzzsPM6SVVSozintDVcgFyFHV Qm2K8wEy3cXko+s/7OAJ++Qgp6+1yz81kkHOaPaU3wWZAlYTqUEFz7uJrd4IiRjt8oIQwCfAU3oD 5xXAcNgXp/Rss7nXqR8rAcZXb3zXLgJoRCWGVPrW1MIM1t+5Qi+7A4gaFBs7pGyBNxnp/qv6Y/3F d2bkUjcTXYor95HR786nvY3lOM926WUQ3ZavJlONby9UAu99s+t7LLzJH7b/XqjsnXO9Me83o3kB h3/wwU+19j4KcwSqnPgFDkR/lc/n+dsIAKhzx8YInKplak/8vCW77ZIjSvPFn7EkZerN7JiT6dfk 3JZEV7knkoBy8BUoL46ijotibArwHAommmx+1IJ+EgQEbtjrCnXrwhX1VDAoL6XYgL/nDAFiOKtf mgswpZWtFKgtjcZtsxjdHUfxE046EwVqqOcF3FK4namrZkUiJEqhRmpl5Rtght3CEuQlAh5wIlYE qsUqNNiAoSxTkOdqs3KmVfhSn7v8meafCuCI11dTJt3t9DNUBzfhIc80ZW5bRMWv8g//W/HuV4e8 INK2wBuaYzbDvkG/E1S7dWSy3ybCTQE3Yi6TQQzA6yv7irrODDhYZPdJp1gN6zlo2DhuLfb6ZhdG An4YDynz5TRsuKIo9Z9DeTXeDKvlycMTGI9LTKHjhfNLmbFZwhYQLf5vwhlhci2BFyi54d8MZVOs BH4tTyRURFJJRDxP1fNcNf4dMkQ2eK0oDWITz+iR07vBwiewioY1TlYjMK1oGDGjHPhBu5JxNFT5 1LXXzh3WkquPsbELOnSC0JDDACX+R9g9/phBTZCcYeLt4KvBftq8Z2meLpet17pXNNbTNnGU1xWz u2iI+Omu7VgHV3HiYCnA2LoyNXwNVyGaF0Trc+0kQVsqWnoGfu6cdVRwjt+OU41p6I5YfR3kYTMt W7EUrn2JsGfre8YFqD7iUqrLPvS+AGWts6UTsJok+wqSj3ATzsvt2812bPZBQMfvRCj9qRVO1CXp 3kdcgUTIKK3NVu7YviVJRMNk78TReAiVvggItuc+PqUMfkr0rLGxt9xos1J7/v3Q7tcE51j4A0ww +NFVdJu2PFzdibtBT+IcnYl8XMJjW/l+Euc9vfG7W0FjrwGKNx8lIVuQi4AubcMbp7b4Htmd8fkH enKwjjErsstuqP4ufwk0K6d1g1UAPwLPfBR0EQo0NsYkHBqw50rPHu9ukg9DjzpKY1WVuneqAHSx payHXRBIlamP3o+Pfp0M7Xdz96eXFZK193Poq7NdbUZkFKeRj+C3Sql5DeR6IhL7PDcrGMM8esmf dvzskdOnqx+sGrbi4twg+xjA7tbWr9pBd4Tmm3lWe7IjGb4Ys3lBLMIP+9CzkYvEoxy63KpSyND4 SERxIzuc1o+HVJYyuSq/4Evo2aaYtMY+CjllBO6nZtAWaPqIw6DqPXChKo4kk8Gw4E5/pfqdX473 v6P1TfPCiFYHr1WXvtwBuLVEuyOdut7aqXLbeprnWtr9TKxv7b0H6FkaujFP1BiitTlapDQxX7kZ SkS/wvE4eS0elEpCzc+jbayhrIjGvDtRlXqTGnuHZuVtdVs3z97P3wAyMlv3Ts5ruQqqHFtnf2Uz yeNJqar2sl5NDseugwk/QydUkgB35ucZjUDc2XtQxJNqFZP/B+Wuotgb1hWE3e6oHz0NEOw7p6CF YlSo/LwK4Ob756FlvYZHghAxslVRVq1aaXAo1wd0P33JvvV2Cul5EHAlkBqR6aX/prKWw3zJB3t8 RCygnPZUH0/tTL44dpvgMWxcyrfUMfrdT9M/k5mor4twbu3ddTjAOxCfS0ziP3tjIHsmUCZDXMhw W+ziWYjXNkoH916n2QnkqJHLMnmPztRCz4qreEPpsTZ06p4EhbWr8YO2c/miSZHu+u9c6WGX878j gosgRAnDe+nhn0ukAjwSpqsn7h4I1mbyHDBpIdcrtAZl8sDbyWHvSQ/qOa5U58gxOOaOIUYsotFV ktTLiH0ubhrht5z21xly0nVbuRJYWWPmJUHmplRXh9aZwccDNtmfOu5iEsZvGU4Il/9sxnsjpM1a nzmTQdvBed/xyumt6b4GGYo04kQIcXDqI/+ruPy/YoFQ4FuOLakVZiAPDcajXpM9uiUSOdCR9+bZ imlVxNquhcJuB8KNhHHaoKCNF9ycuhwen6PkIwUn17Z+N925W7cH5ry64uDPYdJ3k6vjou11QOD0 8v3GVcGYFGbxwMB98wSJ+INaIL8OosFix0FeLcKFXqj5xMwYh3LBDHvTnr4mLvOo099eljyzp9Z5 IDUPBKmvEZ5CyjDFAiaj2ZQeapYwqd6UO6TbgEXBsT6jjSho1ncn7wjxZPOdEm44QhDcoM6aSRaS lqfQwMhYILrdGl+MQLU8tQXsWfcYi6b4NwWM4Psh81b78I6qRM51lW6bMQb+P3kYdeCLa7wL4Rwo eAl/YtPfe+azvOEQ34xA8ij4LiKCwhx1AhZeQ5xxOlLJGc2zHB4+6OjqZz1RDpn0Oef+bSqJDuNi M6ESmYI1NSqwtjIPaVY0mSRhsOTNvTKjPiTmTlSgLhlPRpoKO33ReCxPTCvekBg+XSXuHMAbOYCa DlsR4+U7hHG9rZ/ARmrxBmok90QIKnZLbKb2zUMiUBcl46bjpGx+URC3FsSpTaziyRXqMuM4m9Ym l9Hk3H5XgiK43kV8m+pLssA7Htdt2SmHMXHeB+pjb0SfA6SJqY9L9+6XUv6qDNwuT6TKVLuam+Vm 6YYSDi6jhffjv4VbKYziuHxWF/+gboe125Tz1aSSmdJobPdujHeCTfxORjt/3wyyRAJm8oZ5NRE1 b0tRAV5AUBbdyk0AXtprfNxGJZifs84mCZjQ7vMfH+2nd/clKWaobqHYdumUiNEljxsIX7BtSIvX bmkX5/WAVelYANj7SH4BV4zlN5lj9LzV8nC6jdndf76O5b4T+RO3T56QsM2pcqACuJ7JetESRFdz 1pAoLorYm/NX1q3p/WmGq4E2E+dJyXexPpd7dP9pFmhe1XdAegooM/XzKeTeVnyZVqRlEBLsXPbP /QRi8/uqTEG2ilaorBlgC0be22emGBn4q9OTWmh5w+zwhdxO3zR8xYZ7QzvMOORh1MHIN8ekPz07 MQ9Uv9xUjKiHiLhSHAEH/cn+Aj9YJIh/mEa+oyis+g2h/waGXN6tbkYHyMeWKsgDhxIyqDZAqcWx binIBTNunreqto9Yc97lcZdBg4uuzikrekLFLCqKXZlzIa/fBW/AvxFXbrZBD7VUDt7zJ4grSxNt fIidgZJW4z8gihMS3ugz7jdskcbMrMCMwN4jHZtsdm/2TuBEdPO1+IRliCPH7flnDi55vGRsS4Az ZMQ6hNfIKNVSceqbsOiR5AU59C6//fZJOetyqkMLlLH+RQUJ+FIpuV+dp7er2I1kjExUmsc8W78B 64apQ4iMogbv9lDl+dnXpifC3h1czLfC8AOuxIqxpFQ5SxniN2k0LjDHBZxB+prNj9sOzfLLUfWb uf0ZIoI8JY1jOsntdcQF335PybiN2RKXFcK/9U1DnC251OKhslZQqHH+4c3U0dS5mZCVQJ0nb4Fj +whR+jn1uKH5PFKtQhYaCmyPtZI2gu2yc2cH9FPPym94R68oQ+hKyEfFuHQXUGkUPUDTdBur8GmM z6lry+JemNXMcRd6rclzK9ttldEtZZZhVFJsZApMQ9UPw4JUrREhsWrr0mw7eJRN7KQnnGyKdXFz uo6u9dAIa5GK2EaxolVFx3UjjyhlDAb+h9FMrZHels3in2rfNA0U5mppYYno7ApPtN2glfW6OXG4 avJxd+UKvUZGXUZBNb1Mo/GbUFnonwDMr/LMqporp7bX+RR6itQD33vozRRRHxCetpFgWM2VXr2d jrW76zDFR/mFL8Uo5Pxqp1dKbnfrk4ANxmpbp+qEVHftV79q2MMIENJsKPiymj5Z+n4ZFHK8sf1s wAAPsBnhBIy195+2GhLEQLuMdZZ0jn4TpZj+M5oYobRPYC/uBej9Sml6bTM17NOHZJaoq+qPDQvx Byhc7jO5cIaJghzhxUuHLAmlJBeMQo5JZViBguRVdpNpYx4Ms75xnAXmT7ISlRVSM6TxxEBusoe7 djRJ0SnlHQPM4cHxWVZB3pOWBbnhw4OAXa8UeP4nafsxJOa7GUuh4FMDWv5ilVfuAEzTu7In34kF NdEL6hCDFeLaYb2mewTqEDI+cLMgNoZbbA5RqNvDB2z5OR34gVo+r4AnCAss0vIzWdK8ZT4J3iXz +mBJyXH6oTj3ldVAiGHFCA8gV+YAHPQ34q7V9PllWZ7LRDT3Ovw/3tvR3NwJYt+Y7XfMv2OP4jOc 54PaNXc2osYi4e42tBV3HBJF3HdCRrmWqTL9O3sFiHPYFkr294u2Uf9CGGs1k3/heW1yWv0Stusl wcKJMfUxy2P/VSdGR1UPkjdO1gKkKUeY7QWerU/xSr+jltE7Mm5ZrQJ+WkMHyOr93L8gyN5cfp6j l+VTgxHDm5VpIiY+m38SuGGAHyQ2z12R73fJ2v/G1yWj1uBwJSxLf/2nHVn0KSEAb+LO+o6pdPa4 Fe8KpP/0UUTMR5sQ2y5OUO6iSC6nQHGC6RxwwaCUwsXANBTOQce9GGLyD9DZXyhdxuAev85w/klo d68nXnPHMPlEX0EVGfYgP2VDMCFzZYb2QqA6ibCNGsFIj2Y/JXMAw+sef+H/2rKmvMuYjnktvILt kHfvy/UoSnNp4x6gx4Qq+ju8EDyesg/ObjMHyuYBNOU5I+VXMprJ1pi/LGsPRTYAK4Z0/GUaIFO9 810u1qzMJFuNuuxj3AHCVWeg34eNTHbLCwYXWJ+SfnSYCIKdSnEdzHflJIlY7vk9p9l7bEHp1c0d GIfolOGgwn5UifGBhBMCiEJdbfEdOZlosZva4nyCe/9UYqagzEdY+QidSUm2sZ4HUH3PW0bR3/OP KHvFX27WrysueKaZq/tlVP1ecpxNexM2ZkzFvZ7HvvFXIDnJz5HQrExa/XFRHg6XCyIC12g54vWa Y/+nzRbxAzzDI1zgPZMwP/X/PHPG4TJgg2+eftoTzO3FnXbQnSwmWQhhovPXoR81nQzfi9+Y1yXl +CiT4TR5fDEGcnLF5lqPRJuXSr9k4TCnUPFlPpau8IELxwBm6YSZXDxt2GOZL9DD6ID/JFSvwPol fPXUS1gJ1Wi2OVIKQHVWwhIno8Gze2/b6ck7at0c+W2tBrb4m2Ke7tvB7rvilAaMKWH3sNbdGwXu QaGvSFRMKky8xYmC9X3QHXqiehhYei+fu0a+wsOR1Qjn+nOYCbne413f9zVATaWAe0hoFrFKV6Vb t6tsLem+fpi0iBWA9E0qno2438hAlFCqbV/gAdu6m6CxwAD/Jhasi65eye3fpJsb4g1igHgmRxYi GiX0pMMuQoJUx4wN8ST62mvJtQ1U0ZEs0BKo/dsDcHKXDmnHXn8Yj2RFaINKXV/66rGF0u2S4nvy Qj0g+2a1CdsTsjg7CT5Qfuo+2ZYX3Pjb4ZW222vFRQsMFBJK9dtcOjL0IZGSuY7cNiQcOYqCr+m1 DPtkCfhOwI1QVlV/0tGiWFajPADOrALb8GKJRftQjIFNxa7sQuh9ntysfLLYtkAZuASBZyryc5WT rNIA+8uXac+quR6P1vh8mZqEdEWqbOwZwsKFYAaUyfcYpfUPEJEpZXD5MfCAHvriFUbnWJU5TWZX TMvInena+aUPpRD0RI5xlmUzMt9fasgA9lt8jVSfPtklKlRulWIOdx5QrsHRt8Ep1xPVycP/ULtE wM1VFgMRQVXaFs0L+z9FqkhJFbAS/DtamMykCJQh1Zql/jRYW5a6Q/4saj1VxVOlZWS10HyrHtyT Owsy6FF+bbTy483pmajk28+xOZKMXBTWBDfg87VECcOr1kDuwFnFmfyKBHog7NIIg4oxKxU4p1MP T8gw5FXxbPPvAPn1VRj57rpJ6luEd4cjNWEwkIClYkG1WGs166rJ8TkZ1Z25OWXfUilzBxu6L7/s LqQS6thmKt62UfN/QCXjYHIwE+fN2rhq1faWaU3gncE0NOf/aReWPPQrCTqzjGHp1x7M+WMQLzd4 MLceJlortAQhLHZHzfxytNkqijN+eTD6Wh10bLLAlYIeNm7S3OgWwL6ZXmqjmh+3tuaOE27FqL/x V23/IUyAK6b/cm5e1F5FnbtfeZthBpNEUXOvxjLd+0igFwEu7agKNjbfPzGYsk1BhHu5Kqdj8vES hG4BPV1FaQIxerF9Hp3atcpZPlXbOY17FsZod7f8i/CceigOijrWi0KafJKtCXylDMWXJXWfedV2 lrRJSIsGwihpQmysiP3bh1pC6cNnjcWDCdkhOdOtAoR0+uyTFXzmhEfHlllzv3USRDF++6KTfBm+ Bkm7asGHtAfgLUNJI2OO/8DhdTlEaEqn0sY2pHcjqReV5IMqoBVZ8zuhQUBkkIbegbKmyLfUAF8W Z1v4UHGZ6PcDnS1+ENgsVSOD15s/2ly/VtSB2Ly/WRFZLEdFdHVh8eAtTvXdFjaHDfzVw6MVwJaF fDJt8PW0FhSNBBKrWZIgo8cmzQ1asF8wI8ZxdmZo8WjddoNPTwHRBYN6AShze+9okdI90JQm4vdl q5ED9HMLUse6HiDc0/e198Ckf73WrN7tccazxyX9GtScE4PfV4l2SEiBLq9fRRERZW//r9OacUAM i2eytKBnXpz59ls3UYnjiZbxYENUw51uKfSud50hWCgSrv1+cCCIJLAShxBEce3hFq2Gioe1i9+d QAZEM4ds9aDCgqwOWlOppCRunqGMQXrbxy9PGVR8Q8fBQGN4vFUn2zv4J8JiBJrKbxCVSLh79BQt T7U1V0OwcskonVYnGiDSn3V6wLBaStm/Szl8KkZxd9bsATLxg7NhEDru4Is4jZsdK6k31gq9qjpc Q3MIqCjJH0hLFbRc76CQvSBrUf0leXECuakTr/SlZJdp3vljyLEmzY04wF6K5czdpujCuxYRnPxa K5EOtd64qB3HYkrbRHR1puKpjtAvXfnC1fsdv9cTciv7Ko6V8ulnoH2mVX32Dh1IchSZ3tttAPr6 i9k/wqQBY6TZcNbzPr/q/cYzbhOAJb1FhsA3lBazbroAywbJTg5hIq/5TUwYr5986+AD7cke1qKw PTPLCwr2JGZXsJSFWkhqnIJ4ZHXwVAu3xtMIpukzKlZDLZT122jHjDr5mt8ngyDKV/C+wRiYKQte OXa8GTfPYoTPLVLSAPjGbyhJ/Z3grV1szVz7ySZnwaQkbQNJbzWVQedWb5eaUQYH6TMlFdLpQMgu 9YDgEQN+XmgYy1npM+Z0Fg0CKtvBKnk68L9r/Gx8HuC0mDadAXAcsqE59GhPK94uyctYgb+hWKCN 80tXi6YRq4boEJruX+/NPZ8xAfSE5+iaTejFZJ3g75x8JUGMWpVZrJ0/IUO2RD5AFysECmWq0PeY nE6caNULWdMgVt0vXbCWgr7V9xSpa8Ld0Wk8aebitA+lJmXuETdzP/jz14clAR+Pj6tfJtLlwTPr GynPOs9/Ny6yDvVGabvTPMH43VwAirRFsjKIqPZmrlnLDnA8Xh5BK2tCHzTGXyOtNQPa8DITy0Ci nk+LOcmNTltbFF6ZmeBLZaNOKVVDi5ATFkeOsB8JiaBz5pd1UvC5fz0OPkDjTQM9K9i6aJKm6I8L 5uw0xYBL0oj45FAQEy9HGxwdZ6CCPWfZo+RIUIf1G1wrH5vyzSVa3vEwBPJ6YTRw5IPCmtPYl89W b13gE9F0sYS5O0Pork3M8uAkFUy/lx+kPtRC/a69S4qJm9ybUlEhfRJsB1+sWnfqitB3iJ3TDlLF oPngnyUlTtcaxiCeusiCV+jPaViUUim+VVacu0dFPgAPPfr+V8sU4V6iUYOZ1Ayd2KDP0DmCDKet Oo8ZNrAwZZfwr5+w2BEUH4oqpbauK5wOreSbiLqYb/gmUI6c+zaf4KC52E22iWrJpPCt1kOPzAub EGQlAJcY1DnqMe5bwvLkvz5wtRkbCBxGhIs+1cIaxM795OoPAdj5DAmzhks90M/V8tyKUF9skRV6 Gc5IUAi9CymmJtKdi7dDJ13VlYyfa+77OSbWFbIKvjzwOx6DwlUsTpGe/PLTQc3EEFb3Ao0SeJ+8 zD0aVQdRfSKNQClETwIEPrNbnsJJ5rBHiSxC1tDujn8nXClD8GyOkKZ9gpIk6HbGfl4aO7S0b5Ne ayJqRaDy72lXecjFLhqTXTq7NeC+Q20wsXeHtNnNoEE4WnwCA/uGT3aJGzOiuSidc/IUt1H68Qxr z8N7ogfA/ZVxy6akLdsQlSFGno0ySBSBA31TC8pakCdRX7m3bI0Elt/COAe6mve5nKhWycJn0M4W vJsFbaxcB/MPjxRkWSxvqn73ahU1F8zfOzUZanV6btSvzj+IITf5QEsG2YlZhyQ6SS69BLGjOrla UlcFwvfcIAussO5dQaKSu+nMPHIMviTMEGZ02Xqg+AxRp8PumIF2lgoFdZgPifamgePEgvOiA82Y EfHPb/3YV1Z4gf56s+RJ/+bPbhwHQyoVqix9C9cCf96FGqCNalKTnfW3KbwPH9YF6IQfpektyrDc JBTacEExHr1MwCUCIBpenrQG9wUi6FXGh5+QIeBHLoyk3ZF5sTF54mKdxOXchOBSjV4ka7b2wlgF v/tnskgg+FK4qHFZVZGRaLARUbL4NgJIOhsup1MkPG8xxnokv4TT6NAdJKbjwCzW3TBNawcnp2gu V5hv0ECG1CUg9iJazVjtnmerDBXzYZNJOtl3twoaavMacminpbZq3NBpumI/arN2rJENpK/iAhcT n6MC8jiFbcol6tacAOabgn5J2XgnuDEWoOs5QLmkK+ihFv7JWccoojZD2lj23LRmJ41znPp/uLMB aRp3lx+Ru20crtHkvD8YjX21kM02LLWDQ+YJYS2abW+c6ylyKCWYb7LSZqlxNqTBRxI0odtu4vgM nWyBizQJ0chI5t/69nhbx5hOTbm4+OwN0LXro9cT67TZ2QFAiLZ/pC+ht3XU6NkKQVfsrtekDFs6 EiuJVzAGhw/LkGND67XaO3Qwz3DB0S60akjXHuVdeX8aNwgJY8jJ34MGpUFzcWfAY7ytW7tfCOBu LG2hx78WR1yS2vUP91OgFC1Nri6xL4/rvzW3iByl24LiN8aORZLV0YHdHA8yS+g3fA4p9gH32FN8 kgWijZHve9ZAJKd0HxFyO/wLJVjvpOp3jTEOabd59CAfE4+Zz0Pzq7sakJAHy92p8GG9VMcOusPT Nj7lV/bmWl8JNlncKPhCp4t7tdgMz2Tk3T878BDiLgudWMW9OpMpAzV4JziAIZfqP7izzHRdDttO OxXTp6Q8UxEMcNJqLG22VU68gRFWg9ykKazdLg0kzvhqtEUrIXyTSVk1yqlT4+rxeEfZQLeJSZXI 4Ge83ylA/ehvbTVTe/73acQFHiVOsdFCouMJ2s5Pgq3oS556w8YwUFx4HjakmM1AwBbgs8u9W8vk lXLpzV7pjFPvtPwDi7Gap0ZC6g5+T0/yAxPfFN/SmmYA8R1WgC/3NUsULMao+8WVbyGly0HObFoY YnTtvshZa3zaM0/s57k5rwyN2tVaqTae4ao7xFnAUvjv1CS1u2lv9H6oYj/vjrc4qA9UJKhiD5C+ ZMldsCRWVeuDP9BJFAfrOYCh4lnLDgMnBn6NFB3lCMTBTPl5eClKzfjFz2xZVNEZGofZYPGeJW9B lbSesB7pRxJE7MWqg45riYqgvjwc/QrkNSIo9F63yuqrEG5xm6WgdnsSQxMZfux0x33l+KWsgA/N ga4NeLWNzl8mmujvxyDtRSPkdzUOCQzXsqyf1zhiYz7L6PWHjVhrvVMdjS0JV9whL3S42F52BtDs 3CvX4L4DckYDBkMsI6yV0TgRUkG3vHZFrYxHVCZPhaNSIWwe0yr16w8xC8n8v1ksPoev3aSYhHQk bgFQ+bZEoN5v8KSBuXV0RkMzZ0zPwHs8HXNWEBy2rSGFJam5b8ZLV2761ug+vwJQ+ARcCtUKUv7X 3yUQxS/aevUA2kF+LQewqWwG89OqqwFUmLZOiw9cJd2ueQHtNBT7BKqryfguGrH2hiTmB1XE52HL Nyq6lvtdvz+vS9IztfhXmKorAQOlcctryv5Sk8csP5zEeeUXdO0ybe2KHnJeAKOAdOqnzzf/w63d /leCzIQ75LjoJ7DZImd+XpRxpmVE5qgtBlBTS+nqKvBTcTgZq2iHVm/mx9BLb/9oczQBbOAb/RID 52Uvw6aC+++Dbw+nJsIWGjhNuSbxvpAdY8MYSli0g3M9/Ageg0X0SFTJlwFIZLlqX6RAIv0b1DLX 9235FV06OarCMmHCk6zmR5ALR/b3ksdi4qiVyYo1ZaR3QZt57kEwWSJZ21Pxo+DuaA9XARylXBn1 wtUw0H7Ka+B3/GZDz7PRwQkn+rwRmEZ/zwwgR1VQnbE/wOwSKNQr3zGzglFj/24HgUCEOXhXRI8a LhHzbFbUwzDrndIU37d0US4bL/Oe3kOqHVtWUjrz5S4z37ZN6s8X9NmL1s7IZP100OEXd+oLgq+P AuZIzzMtryG30sjkoU/WTgF+bIGw8uRut/el7AgZSs7ZdbcocGEEPWEyQuskWYximsz6b0q0pqOG iPJeKm7g8pmtjxHBj7aOuiG8KG9ZGDTb9X8xTnwvQIrH/JOuBnXezbwAnTuxA9NsLUxt82DrNl7y ywOFCwEDwd50zRBJXoslHVOnwgHVgyKhsAKrkSP9fJnIEXhRiBlgZnSv2iwL1gtTJ9egYCRcMRLd Db92uN935X/oXi0poSkG3Q1d38Yhf1FSNLa2eArKIMVPTUaox74FOXJiISirwvIj8EwUzQAlEz3v ATkCWh7AYdRXpBgZ9nyh3ZjhmTsJU0mASbPZ52OH5hvjBmdKKWMcG/8rfFvceSJVt9ceqxmvqYo3 G657q88qf3VMkFAcEd/diwn6/ShaICyANJK9eSaPksrYFhMypMpHASwbp9AKClz5rcpBOzbPyLgm ctiqhAtrjMw7zJbipvitCt7Dpvzrwyp9MYksduo/WrU9tidRKoV+2Q129eTBqU1VE0T56sQrSDvl fJFvXJA09azeLse7IriMThll2rWrNOvM1e4mJ+5vRnaYtiUykUqmMn/900rkn759elJ0uWTYlphl 2WB240c3AfRc8+3JdUtIFPF+vVS4um+R4DcVFv1ufH1+lGd8A3kH6l9NwxP/PEpUtqpKbY6sicka e/7mtv4i1PWWfVBuhs8eTq4ORyEt0LF1sDUDYAzIB+jJ6hqMXN3qG67XnXOkNKS7UK3M38xefKQg XXmB31Cwl47jt1uIwQD7nag0q+p8eQO/O94cHyu55HFWvQAmEJzy2Vt8hxBcWF3Jrt/rLnQJnfZS BKdvZ/efP5keAE07y571scOrTw+ItxQ2tWxbEoYMf38lhLJEwlYihA2gCAmnqnosntQdjpuhDKOz qsJ+frkt/p/v4RmVRjuSzTfeRi6DycEyWFp+pBuF6lC/gF9US2B57lKN1lMlU95CWsrPVqkJixiP hrQa70PdkiBBinmGWl8bf5JndqbHaEPjTsJnLi7ZUy+Oega6lK5CNAvIcWmSfh/Laj/H6Z+UVjhy jJHzhWyaDbMDZzu1WD23S5r4ZGzQ0kt2W+EsnLPNF6A5nXygGuBzNBIhKyvPToxCHagi1l3jL0zZ oi65saGzlR5bIYWZV4kZMJ/IDnDbcNOvBB8ydkDPKWD5H3Lq+aDM2rQdY/zDHftzxWIk9RbEq+kM lAEDVhgfrqDIwsPx4G/R31Onswrg7wH6j78OH02difuy3BIBiLVmrq8TgnibZ+6cKz42aypW7U9Z MvFHuW5HdS1SNmjxueGtkR86nh38fLWLwuWymPBeqB3ER/s742U/uULgmKHwo/8vNpb4deyiwWd7 hnzABDJdyDTWS6ur/EPPxi1fYxBlWAz37XjHptOaDWihplSH4nTotT880TKpjs2zIsbMJrEp5ipy UFU3UUZLgLM5Cya4tVv3yE9FQX1lRMUcpcNr1HPCq+dF1142Ufk1dk/gwjNFRCBCuNkK4kP1zEL0 lZuo/Q1iQbtmtDnNneY3jyaQKkkLp1IYYmzeUYXI7UGDBYraT32h7jDVcCIJ6n38sESWAEEWrd+j N485e95PNn9+YVYdxQTg2XACNctRnOq28p+d36iqGEHaLReP7U8RiH1NmWQChOpB8sLDHANCQVRf 54ZHY7LQy4qtS0NRSAoAw2vUo4Cf1hV0W8oB/ZDqG/V1VIby37mk56uS2t3uHpo52DNzJpWVQrAN rq62N371+CfAo+pFTcyhwtODi3c6WdZqUTS1n4JHUEI6xyI9oWK2ptONOo85UVmv1TXl1tNvesFL RswbB45hZ+/3HUVnPzBURVy4d3rI1DRTJ7sfHLljU+BUiSRABptoKcLFGbAo4LSxecbLN+0Fdpcn x+A6mCfI0I92EFRHLpZjVh064drdJYLoOaxwR5lQFuRVdp7tRbC6Y1ucXXZmjQ7NRX9uXd2nuVji 8ucKn4EYqSkdb+BU+f0iXLK4lnMG4E5nvBih9+E+cJa+yz1SDPKL5MeRDJGzFeKUFq08LXpbc71l X57UNanAip+0gO3UmainZbaDL5M7/etxKcj94vMupMgTFW/gwBsZ1kRVNp1cS8/qywiy13KGM5Ez qGw6y2ZUDNBjfMMjRyfXjwYsxgSR3yrd525iWWPI0BR/ndtQmyFFP6lOM4k5OEi7vRSB0G+VdAY7 yqvsQywdowv9W6IQsAhGuYTb1PRKyFmZeOXhM0gq5VVeHV/oIfIWc7how3O6eosdgVERy3J98lis oGDU0PNOzTYHMD0wi9hJhY0uTAqACwMxGqaJJrVthXbtD/VUocZWwlsCHxPYvg5bt545WSi52jsc 1mvX+9uT94Ycj2cT6TQio6ooIlLZ+5BEjsorZL8xFCv2MK7sH3GfoE/ZSeBji0CBpHObFTYGvt6y C6ex2+SFGPcH6fiN7E9jfO08Ykb5gch3G9SKuyOGPON9nr+KSvoHUbKSC+PuWOuVFM3OAOaOj8sn KiHgOS4Gt+L4YaAkZH7Nn64tcQmAc7G9P4ikM0VH4wvUw8RztI6kuJfduYymobMwRhgpEoMlq68i ypbJBFMo0z8qhEjJFhDlBpl+QDN2yrczoGrfwj2LJIUtZsoAw2yaZXr4b9jU7CPoBgMO4Ea8Lnmo T3PyzQxL1/MIsHfyBvuyNH4kG1310Cc32C2j/H5gXpb8+jIXnDs4GjgSjwnF9WWqs955e8n9aT2W WXBfgGshELawj1eLpIHMROI5eTGrgJ+PEPVd/ndnp45gJrUyHCaxLfRxekZaux/qUxWdFLzTsCWo 78bApD6JE7Ipcl5uM8bh481ZqdqSD+ZOoR8AcGg2p4IQx3rTPRchTxAKsnu/IjSsGHl7c8rcZozl 2+1LV2PgEffy8O+9YiQoKJ1Tn/i+cGi0bStuNrCkVcbK5RLROkZWp/jthKA4tsTnXYLLJDE6r6Gq CjAvjhHpWI3+hWBt3Zg54j2kZGK0WQ3aoaDRWs4sI38gWO5sWTCCI9w/KX4kSK6Nq/ff0uY+jrvt rh5NCJWK5/9VrKlS03r/78mBpigZLPsaockAW5hwTpvriuTmaCSkUF7oG8YqdWYBsZviBId6zw9o 0C3GM7nUwma99WS6TPoLq+gdPozi1E25bpA/gJvp8f8tdh0oUULB9JKbzwzLj3vBj1Q2MFsWqdSq ZOqK+qXB2TXTP4+a91+nZdF62ibhI0WpqDSl5M5pjMzn1/Hur6GiAWAsZnDBEev2oNCV7rHtoLKS xxV2FTsDqHM7WPRi79uzuAlLkIfw/P07Key8pKmVXa/oRmxN3qEAP3BVdME5dYDdG+ht51whhlUO slZOne69u399SVYX2KFtAMq1wiXj5tskTVHgQKhp73DSydrG34eg4vP1ESXygjuH80g3MnFUKaKn G/riW/of+cthAjz0+GVRaHGc901eN6NC8ulON0Cd4yM/H4Co2YLWrJLoUXiXc6X7YSUbKupYqO8H MeXdtDxOgr5hPh4HEOKRUHSvgAU8Cx6HycktdA6dgDbrPf/ccmeZ3LtcHjIKDiKagYs6xoMIonqI WNkOwDH+CiJwtTay36GWXM87UfPr1Ixw4vwFgJbhgvJHOySe8KEsUMRJCP5oxfq5n3BpL5gF19yB wdLpsQl6lbX3Xir8s/3f+mErqIhPWtLn8K9Ztcs8qHx+ogO1Ee1sIKYxQxAvYDIlt1g00GR/8mxZ 0Z4y8OprAVqCXXSscFkGQ6eZKgV95MOJEpDBIl3p9q92fTbldgOYvCQpxKzB3KZyh/NigSEur3OM VxVJ3euUiHr5QliWHThppsM+vqplCf+XeWnDZEkfnh/aeQLnHJfQprxiPimDTwT2q+vEXZ9m7nXF tTXJeywQ/tmFbkXssSvA2kQv+J52WqHgXo/4w/Wo/AT7R+cGCN7WzKBjCFc15r4l1RIsKlhrOBJJ ih8KqXN++8dB1odtsEzJCQPYlQ5PhiaxWMbSSqted8aiohBXQaN+j3cTyGOuEaiuU60tc0Nyj016 Ka2rm7TImFoq9J//DMpwzEgm0jSlLEstyhsMEsAJvfRxrtDhv0XFnuSycbgJqX3Pqs83suBgtu23 THKaz2aAlqPTKPXp2/jPVuQTz0QqI6uueYN8q7b/iIFu8nsNbx8gxcvW7HN4AWViogmPyDrkuZa9 M1COMKQKzmZ3DOGvrCgb6vYoM/4V+kaZRr+GQz1QH0iaxQs1BmK6Jrew3W1yaV9/SD3uGPD19fow fSZ5nFnIttxpLkQgXiV7oxH2tYb4oNtDSh6nB/rq3MnLzkZPWVIe7fc9wymuOD6ccm4++W0Uc+8g YQ4H68j7HhkEj9vQy+GC+OKQxnJbjcMAfdd+7vSH+pqAXZdpSx5HCYX30oAxsHRlOVwUyHbS3Pw2 ckfKnDSvhe/8jQ+tpepkvErjASJf4mWE2wd9ZQxIVTR1uHeGrWN27GYhkdkf59LWHuW5RYtWnQx/ pMq9HgYqwcdVeeD10/kyhY5jKNfnr4hE4JTAcFD7W70NskbAWrYsGdHT2ju1cTCaJEReyqDzP7Z8 jmn2nkmJtos2T715ePu3qxaGigPZBT3FwWIn9+p5EkJ2AlTwMK+hd6rgC4g9cvqFElXl0+BLv/ra PJuTeZXQzgon3UjP8TjZPHoF7WOgmOw8fAy2mXYwf3Nlq5x7fxvfCqOOFyhiMkzziN18WkSb5/Eg OwciWR0jsp/i1VtAS10QqTGOetfBNl2pekcMRi1HvWVqOuvebO9Wr4vvzZN2E/I7sRVTitUqgGM7 Z0r/BTmWK/OwF7iLTaxVzCUtrRhUzWNlmWz74Vch3hqehexdme7ldz1WcJ2g4lJjNzyzLPz9Y5zw m6N/qYCSw55Kkj/i/jgDuFeKIxkPlUGeFr/M/DX/YA7QABsWiN6tfuZWy7kxFC4DI43eLXpAOMjG H6vFWEAKwXD6QGGqYvh9ZmIb/wqNgcxocnhA0Be7DXB9wxRsYJFj2S5Huo5bwH64nL6PQG6l8gW/ V7NvhIC1mbKUcWDXYMSWtyVA5oXo/8DC9/Pqz09zbrzn/ydVIv+lLNIr9MWZXttVcVTN+2e9fVyk S0tOnEtRhuA5iom0iamgEH1friI97cteFZgn5sQiJeVypp2AF6SX66oXwqhRwJE/TGXBot0EcJ7Q TUcyerVU8dpAy0fNkiWIQzX1aDyEsTEH2b3yiyr185JuYJ5738YkcS/OPfpjMGeJ8sB+vHfNGp+R Ofwy7bRwv0162JiAi2nJOqfy+WWTtqGfWpbVM/xFeJS0SeCbpiQsVqNJ9TkdmXb4W/iuwkMdpBQu IN5KaAJrWK8yrqrhoPR+Ob/ZyJOT6DXSbOQZrRqh9iG6iah3vJAKudxGGnK9pSMgjyoLERgVgeoM oWrnS8weF8ZAL9F34OeSFWyTGvTX1Xu8ZPNirth9c71tlxv6lX8FNPQ5dBBitjDVSja3PfqYB6GE D7mecpYSOhsQWbgcMdZ/zb7XXLMyirH2XTvOETdXZ/LXifbKJX8XTnyUxLy2HRaxbZQ1EYzXUpap Ox1vqg2KK6RPb7UhcpSn8dT4o3yi/08oTWsNC/uDOwiO7n2YERLD0rDsw5sAT+RB/7Hu4JmssbM9 C3EFcLZtCWWwYY/tGcJ8/j+CWk/Fs6QrqBjD7nJxfTUhPr39wqTjCTztdizX4R236ais0hEXbtV1 0LnlSK3SnAijjbUowc19zQ0nVD2p5DTfbyN/JNhTPaxHPAD88Kho+dhP7K1wQkYFE8wo1EhyEpt8 ZzCmaa0FR4tvs4dhs6wNpS4pTsXdiJ+PR1MKUywFm2ZmKP0d+DSz93gTM8EFvX9w0HHw0huf8Od4 A7mL9/3A/JDXnLWDVUfruhmlVwBhweBRnFIiCHOhZO1kaipeEImhOGYIU2fOUQzV5gKz+WBzj9JE pQeD1bvbAxtkRIOnwSq1j3Qd47ffxA3b24ZdTPFyH00vKkEYahl6F6g11T3XcTPxGjL4ClSdaZci eRo1QsPXddDs3hKywgL6+u+aclUx86TDsKRyignIkfiSg6CqCwxNtzRqogkCpmj7nZemESENbJTb BjIqwEcDXfBo799pEPCfIbtVf51k7c30lKSeJu9FUMD+pWYKf/dz4Rh/GOnZ8JmMiC08MNU4Cmff z4aAyw/ZJD7d6ynBVLrHMGHzFoYd0wExGgrHR7gC6QxMBOsx2FxV6W/tn+HIb6ZowJpFsWZPs+Y3 bv9hlAbh3NFlHR4hhxVzJ4lscjo/5aS+6pt8yzEuF0YlQLBCXy9MZ6kq7lPXghWfy4GY+j1Euu00 Zb6PywSBCmIijev4bBQp8Xe7+cFDm1SdQOudxYVefu3vahVOhGfN3bYZElYF3/5NtWxExhrNzqph DTqV+/sTkPR6VipxfiIY/PSMRDhonEjEuNDX0o3/u4IVTFGJAKL3BzP9DR4xfhqRXI4QpA92r0ox WbB3k/HeFaeUzMB3tdicHcRWRNeBVkTJHMhFO87IKvm5tH7s0MwhqRb8dZLSoBbFSSnu7eBKn9YW T60v7uz5DWn4E8KtujVXeHODuejEQiftNS0MROa+M/PxxADe+Zr7vBpCOaqH5TD9jVlb0OnaNZai 0wS7dHAIplOD2jksSYWSl91b6f6u9qHNRLWt/5iayiOogn8rZ93vI2PpZHhe+1Ce63PiDFz5mYjn d5HtmVTw10+r0FbGz7CtDB5pelKxDvHaXQQwhpH6/tdwTTLtxWnUc9AI6pE7JftFYYCddw8vi+hh TAPeigkUSiBHBV3Mq05+ERBZRJUhRYRukYCNJ3n5ftxUCTa24IlOlH5BwAmI2aR0HcjYIPaYkiv9 +3WXxH0fuyrsAVznsMG9I5CwZZIpiyEA3XDOD+Ti7m3bapqKqGXjVti4tAIb0NJKvyC5bshWQyiD LTkv2CDdjm9sP4tOZwMFWFs6vGwc+8IR1+j1ZhnvNgwrgyrX7OKgCELKIaU6rq99kTcMHsqFqmUS nGCHlxK9PslcZUCQ9VyLcqohi/lGtL8n0igmCOoxx075/tc5fr9e+/mSOltntUI4w9B8p9fd4XPQ EOE7OTuUckoTx96heAGwqHEYvkCjwikd5BUrFJYIAUQw9Ss5S2pepabr3vV7AzT0LNWgpqYUbCB2 oe3F8aSirgWl7swmlRbppNb4RRrC4yD0XOYvncIKMD+OLNoGqX8f6yYfTLOWl92uIRSBO2auzJom 3EXxUq6k9aThK2ihA3poEwG4/WSn0HaaJ9yeQMaNT8q+OKtxtaVk+GwHJxFYVS2di5tUWUqhYQ9P faiGwB48xPBm8rNGwoKHldvJflGqOYrp/YO9PbHutvz7valSDKg5XQiRCyvrGQwX+0RXsWnK3CKL LL1pOQZhGlK2bL88K/+XA3XATQ18aEreDWbZRC6NQcTDJsFkIn1kMYNV8PEwZz7vCJNsVwtob9DR lN5eYaoX4QqNZZhaIt5f4lIOhejLo6iX2R9wWliV/8nONsJJgpBrkOR5ONDWMGwpUf9iWlf0GWAr n9Q+wvUhfC3rYrYpzhW5VmNlIVTMRL8XDCMtiuEwhmJ1txdfjVKUPETo7FhBTJKop+IoTuwrWRVG Vy7mGVYYgxHAd7SjI5WTmIIyCTxH7dkkki81JuqSK9c1UO9Q9Hso7nQbWS20c5tO5CUW5pHIWXYI SozXGC4Bc1uXLOevmKwsMU8FkhWf61QgMUbn9WRcugyKSWFKwS49EdGYMUQEMs5j9smzg3Sn7ec+ laMJ5QSOAGwLJkSu9RWcjhPF5lqPCgJCtzG2CkkRDECakYSuFQxfMt6LsNaQGO40723pEKcuIPjE uf5cmQTa5riJrE8nKs6GYm2UMg38X3HVpTMyxR6MzuI+b3kPlgyXGpwk+XBuSBH46/57xOzGGA9k fkAbbS4b5JdRFZza9fgcN7YgWgNR+ebQCkhLijDPXvkmJYAvuNlJHse/tTSseS12NcRVvy5Hfr4S uOIG1pQxpKvVUk7Jy1VuEjD4n6hI2b0Bmb5h4ZtkqzWIzscp0gOjFHED+uDZAq32pO0AcYZRYda8 guMmfqJfIeJHe1KjHKXU8JA52QE8VvhCVzg1g2Zdv17S+f3KYP1v5eji1zg/G5mIJ1olxKl18haJ hG+7fYexy1KexzZ9S2QFU3/oiMRZcbPlNUVj4WegFS+3IeEF5HtQQ5ttxemeWsvUxkuooCiv8Kjf 5tDQO+LYa6uJLl3HZtKKa585LpxfZZr49UctWpJbZFdz0G9/fhas8YRPZ2KZd9dS/36MLJhTGN1V EMmqGwo7pQ/xv+tQGKvdwjEep23tUqm79XKxpIxmFh+wtR8cSe9Z+Uv3tHvJOzjR+1rwZ9cf0aRh BJIWXsWP82X5GVlvDzUG2TWygkeiCzdkkdoWxg/pnRmywreHfv4SRMRQ1nbku3DQGw3GQ5Hx7K8E Jjalnk4LgWEKah6xcYCkns0iM6LRQeWZNpglSu8rmM4F3kOhy9OjcR+LGpGjT8N8IMB8OLU1Ojy1 4ggUfq80VvhiHUPn1FxCrHD7+g+s6ZAkO13ccRFXxR0oXRiNOEECBABX4ap0+zYY6xFAWLMH8aK1 8YQV7WEB3CiQ2JUT3xBh/bbJd7eiephO5ZEmRxVVXb7o3wJw1VR2aXkzkglP2VSRHEc3rDBsNjRh gV1xBsD/6ySBY1tNz5ynu1ZzfWieQlANRUN+k6TYlYMLOwuJdXKlCCZ4peCXes+4CCA/qijLvjMb SRuGzsJg9M68jrSf/qvc1jCVsZ2EAB2u66bOiwDklOCoG5rEul5Urk25UPzHofwZFXT2xuLFe7M+ PHaZSLbwfPF9IXlGxdHVvahtIVjgY7imuKKzI8C59Ibnlk2DUtfYXnC4tECLwIHftsGJc/jBHX+s hdVt7u/IwgAosvhL/ZzJS84E1n1HAUPBkrFHOHLlLzVZsbgCOW4vHjMhZBuMOaV2VyPuFrKq7iGb fP1qX4rXlhZcO/R2cHfJpvveLlKGVxRwinfVhIB6mdZoFEUfLN5Z/Pjxn0I8GljJ4aqRSGzP3Eg5 GpsVRmE5Na+3dxMOhgpabsh6OiH708+7d5QaWyc9P/VYjCc03W/J0rILiSs3n6WesUQjvYJKuzLg /grymmGsnqq56l8rcT2dytXrq/MQWbwNrOvx+nfSJ9rHG/90dQcR6+hHHAiYHe5w0sjJ27MvNsms a4jYODuCRs2/i7SaGhoRDn0vfoj2Kw23R/YKSLA99frZuy9wCNHiks42RKRCCVskm90/Dv5HMY+h vdKEg1IuhBeSQKSevHQ6pzsBr87ZwEcNkzVN1cmnVYSJkDjwTUaFPe7qqXzYttE0efBN267mCH9Y 7n7FX9vLRopffXKi6W+b1CgHnWTIGzVQN3IUjGF8iYmjtBaubstGeeRMuqkoRKJt+DUtlXlO9AWP u5cHN2+xPBREM4mgt4JR+63C6tfwKZl+4NYhA4deaYEI3cndD8JQS7hYsaPx5xNJI/+IG5zT+qhk Z5XsC6V9UbK3y4D/S+fyBQAB09caxWnYkSBljxpCDt2uVIFRmDX9ZbslPCk9m6dq7rMrroFntFwV SOY2S9AP0ac2pEzz/j5R7ez6o8aFw0jYlmsK23pI7d9K5O5YOs4/uLvO4y4tMYdhuGb3YvUygXjA Ih2/0+2TyA/H2iAF+WS0i2aFEJLC1sRd/8iJiuQifmFchgekQyq1ZycL4EnHda37Cju/aHwNWXw6 XPqveEU3UjIk82Mvnm+5DjXxWoh6UOC/4154lJzaaCdk6HutM33L1+HCmX2EIFzNH0wjf4Itnjl2 U1bvgoSjuLrPBTwkeL3CQtTO/XA2x8IIGE50s8qTYHaand5cWqjvAB/RYBJPYFieyY2ZMPhpMo2H gHjPX3DcTNBd2yF73D0Cyl51+SCv5ZtFP6BazzbmNs6mysXWQRvdSNNyL7xNkoMHLxl3nV3AzF0I 2RcCa6AprPHbl2OMxFelSq8MBlbUxU5bQwTOYTlZTw6dxYbQi+VgrLM3SbwyRlOdvZbVTkCPLTEi iaOJ1SqyTPf62t+KgmV9MAG59en9iVTsFoNFP2DBUQ+afLecAYhQqRjRJxCoFHD+po8uX3EKHEcH jLyJSSYhuWWShcHL1PnNX4P82qe+d9F77EoWA30a1nQ1P7XRNrIRVlioHzegegVA56ivCgGRKhCr 5RgVM2mbkLT/T5YDRTOEScAAYPt5GYjPMuyPhGFJm10/OKAiBVHmyF/0xBO7VJlbm8bLHBpBEAda CH86kJg4rtm2z1Y6/5XQKM7j9zS5Jhp1AbR4JQfBLSO4btc5ItminPtC34aBp8YtvNVy6VlHmOEJ kV5lxe8SrYvmHAgkD3OT25UWYEjWHyqVGolbPEsGma/uUQCeNpcLMAcTm5HVE3DbTvgTbJmXPP2q +xy/H6Dyuk1ZtU2vxEPrVXzHyKSGh767UikTm+WRqJvUtUzx8VhO9AWYquNcnxL0gss88q461MXL +h+ZrT2ICe6o9yP5A3auvax5io/7ZBBytABa37A9HL/VrDsf1TGTr067nN2nalFtKx3Gq67o4xtJ R7l2Y6a9j4mKBAGYJeWqh7VPaBjF98V1AN+VaaSoNZjEmgambLawMlslgw5DoVDVr0fwgFbzsgAb eCJy8mzezyVrvXD/8YGWlnUHsQUdxe2kFjyU/NCptYPML1wXopmI3wiP6Jqp6EVwe+wElOCOke2z Eqf/RspWNGwB6LzNobnMKJQOwljDo0RnsqRWLE2yy/sd0fsS5826HCw9JbKZamFglI450L5+YTgD W9zng/VM0m0BMKMIVgmnJ/x0ml91oEFSskUc6xEZRP2FqoG75IVguZoF8Swba8H30XqAqcXKO6BH c8TfqqN/vmfhDnB6tBTbWM6VdtT1d7+CLPAiXU0xVNsrdztseTvkmGUBqgGb6EMbWMPZXK9mbm5G rxhXyzLDskvFQ5Z7eZbsVH2Pa23gRcW7And4fCA9xCy28YR0HP0068GReZdE41goiHCCPYKWBGdp 1laPAy0rH3AxBOqlEuUi8/wWnvKQFKQPcgRrVX07JEaDFH/rK3UN3LkDq7UHqyfBcwguV5kQZyHE FEO2XfdEymw+yR8CJ0dBiltnFyMjJwvFtbYEPUahbbBCWPUE39cN69xcB9/PzcV6LxpdSk13sE4W i5SMPYfLfsTiT67gaU9RuRfzEP5w4FEHvSAySDINsKngUSjqt6sS3WjYByLWDEaiPC/iP6AtEzG8 vokE5A+uWb3t4b/wAO67/GBCl2ZYTF07l3mBciPvlA6vP2iVjO61HWu8wi7MZvsrBiBX22SZ+hrs Rhp6B4HmUCydqFG8Ap4rEV1a0lsAEvkTWwuW9Je9BSfVuRgbrLFh8twGY8uHDuKGpkvMIuIhPyNR JHgTmSuoc8azv8sQjlv72hvR4rTbmo8VTwdwHKZmIXGcyxcfqw3PuuMeBHj4Sy0U4IE65ipMmjz8 ynDAKUx/syfoHGAphuQv/gj8k3yc9x6DXkpVR+h/EOx6RIMGUnbSx3plpHfiZZWbLyfmG20LHmDj jdIdlY7HkQGhr+vjwjxRd/vDL6kvjpxjaNGue7KTxUJTd7HfXyag2mqXKFj4xj0ePfAmr58ecyDy we5aTWpv38Xzyij/e6LFBp2ftY0qKrzU6G0fZ8yOcDPFh/fek3dscEJFbLwE/HkyEZH320/SV8W6 U4jStVqYOOMnKbI/v6Sx2oYqNLPl8u5qJoY1U8ZA83gAgPFXrUoyMbVbL0LgFAbyMg1bWLxdGFiM O3Ob/JGDonLb/rMQI1oo2/GFMjtun5muAXnSSYz4UowmCLy2hN8vzJLCuwR/HNRzok4FemPpZN/m F0IPWj7EOEnGrIB8Rmuu88zdhIj4f6BZCnoDv0/JQ701BNxGe6kd8aUz/MmFAboEGYVJqXK/5EBC I9yDRuYsICRjsG7I5an05SDNnUYnTtbJSnoGPgxmmFMJoG5h4+0T84ZmXCEq95omL/R9or6SWZ1r bc/Wp+dZNlxgUZ4JgE+CXhhT6w7WICXJYMDe5DQScyQUHPggw0YmTa9aLqTDz5L+o/sgqH10rsKf dicxIsFO8OxN8hC/xqeyb2tLWMLNnF4IwsDB7BoGMJ4J3pK4mh0wTTNDxWVBNdaYIvX5nN9claua MnXEiZJrPN1OlNdHz4kDE5VeBN+ayYf1mtlZx7emxSQFrM+S9I+Wvk3dHezYLPmZCoAF7nL99fIl QigbGpIrnpjXm4RzOL8hU/yeshJYPDVs0dXp8yyEMNkUWFTpJbvY9Lsk/l0ysAEe0EZ4qQ9hxCze kHH1BuvmMWnvDcbNbeSOt3lBmKWAjIOB9XVOmVEXokTROr8pWPj5NEe9iOfj2VWCyAwbCgj5Rm38 g8XZ/Q/QARCdIcoiyrso5RdakT1NKmqm+sAEhn9O22R2E7ItVwnX5FWWpZdcxiKlVSPfHRHmJcJv xd0r+yrBCsYTYbM4/9SYhJntW8+vEWjKSVtH12WFET7s2USA9eIr1Zed6r1gkvfhJKcaVv7mSFce zNm7SqNxrvQOgYJt+9rtjBF/VpEoun+savwoWl7B7Eeo9uo1HjLcTrRnKF0iVUPjKvoFYBGfPMK4 hop3Pl/aq8GDhe8Hg5dPASBFC6g9wXUgBocXHDQwZbevys87jTrDRtiq0TGDFRCiaA8lI+tQV2Yy /5bts4+npPIVpoLt/1S/v713qfmzavn5rOm9BwGrWVmVcFYJ9xj9hg1JHrt6c8U/XT0jW4lW9tFa N8WaND3GeY59kdBVpPeqTF1QqpUDvbalMNShY6vp0iPsF8QXvNUb731Im4qP804qQTZ/05jNXbXY 59GWolIT4NxW5nQM9h73uPGjfod4p267Fdbh8HuFG5aNqrzYyoW7XO+Y2z7+h5gAkd41kqnHKc0B PjraFFNxUQasZHn571LovtVGJDdZLMJOe/J3wwiJ6GtmLL9jX1Gu4vJYuaNz8fUlKtw2SZXUagPt sIMNKs1hjTOxpQXPgO6o0G8y0sJ3l/3Vnow26yxNp4pGQFkG/nPRDMthgBDnwg8m/LANEcBojaYj MjbhjBN3D4aUBkrQA/90/yZVw6p35yNGLygAoiKh/GSVKfGJwjMdzAExAbCkylFK4o14D/ixU/42 O32LfhJbfB8Tbyoie34dATcKWYNsL1gXUB7MhHWCrOMQQsVkPrQVy3ghAv6d//U/mEvwRVGCANGY pJl9neIyOcDYqa/FGGBjaRmUNHjrJNYv0haJ9fKnifPI7pTzc9Gi3p39l6gZWD3iR11M1revxiiU s7fKAXskss8K1Y8TbqI8pExQ9L2FHsx5tvUMykeQ66clCVod/YiiiAM3O9hJqR4dXwaEblwmyqqw iaVEEYjbe2B36Yg5HWBjkxBBV8WgAlhuZDwyp927TlchE08Mrx4gKecPaejOo12sZj//1Blj4mVF 0Don1qEWAenp4B3bx9HB8Wb8goJt8XmJRD7hv1eWcwZDo7Ps65NzmEWF2g6IuuWPljUmSTTPIZKo HQI4paQCu6ZxbmB6K5dfPXdTtRvbZAw5T6dteHXObIQADyUpOD1/ELMFaaIqTdVTrvfOVob6+m7U CI5wp4NX1uDI1wbWTf9bWtp98f+DWk1PTWm7kc8/Ro+Ii2fJyHMvZoUDjlvn67ExCG8F4YNgbdWQ viqtM3X1I+DapcdSDWW81u8dNEeROZTaloAvXYuJ+MwvwrKLBNK69QMx3pE48merpL34krOP+VC2 9Kr5kyUZ2y0yLv+qcLM24P3hUCrgkYedKBVZ8iQ6p6EJ5GCM0W5Rrm5sqBVzF5lZtlcqnXRPX0IG jUhrKC+JYG2KJJNldUQ1uhUkopR/z9rE34DhLr/nMP+32CAK6LT0XzCQNCAU0f2HkdleAqjEEK3I eGik9IYAsNbNiaJHAvdG8fhkJuzd1dXLtXDK6/bs6fuKSKTcIDLJtEhBQZxuSVz9MW+8hAGYQZQL zhMdA76z4fE0IPa6YOMe53ge706V0PHVbKxalcmmQ2C673kE4DkVy2VsskRhmeu68vLjZFUUItX9 xEVMi1poXb4mvxv4+VhHZB0O3MxHQyvhwMtLOgOmNrXc9eYDul9CwQbtTWloMJLsE2qg1G3g+BU7 9+BSkuxIe/U5y8Y+5+X5ctjwIM0lkZd5svB1gKXAxHkdzJyqkdTnHPOJ/YLgPVpIm1XIUiAJ06J2 Rm853DUxa3OJzTbyq5zrVsmogHaHtFpNil0S2ML8erkxMpbk7dKX+oPpHNdvPUGK9Z9GzhMLABhX ATGNpvBquMgKUYqZ6b2B8YkJirrTjO7b9algF9UmQbaevqPJMgqr+pGQ7jCZbLSuT0TtARObpMB4 FhHW96XjWNAeO76drekJxi9ZTlNBtliHc1WIGPVFZn+S2Qxcc/wq14wau8NPHBppYYea9I90Zku7 OnCyisoJZC9aV3CM7dfmvQPuKp1d9rjRN+zFCNXkvyM+IqAkq+m5HqB9JVwypIZ3e0PXNQNQ4Kv4 MCGtT6UKFrF8cfWGZItoq6TqzHaYl77WAsHc9SHA4QtQaVW7gLtY+DAAL9DhONCecbn49g7rdOLS mIuEEVftxN2xG57lP1BmaPf2nqVUrKuIsKOCMDBZuaRpV9G6pZ8Zt/J55SmTZuM7x6ItOWtZCv4Y 5vVycLwqe9RgqJgfh2DBpoKDjFNJI2r30yo7zOhbwB1Yk/AL+OylHDfMrpD5n4XQI0XPTMWiJyMy 4ai1pIk/mMvp+lU/d457V67B/1OBBkaDNirDsq5F4BV4a9HnnebPo8DkIzN/cqzfREwUerxAhfc2 D7gFuFZ6mzlRUH9eYnpwghddBJnpQzbA0jQcm3AWoHy8SDMpHcmouCNLVq7QFvhNYe73Cmsrtq+G Q7s37OCxJ5S5L0YWYerc9T0rb5q/h5krOpcxhdeDx4KqxqlKjjQoIGnpAInbj9DL5f1xiAmFm8pf N8qxIbLUpn01WRxUFI7P2Ff05ps4+kHfkuqNp24Whz88VpDMMqx3O1ToLqf40EKEdKFiihfhw8Le ZvM1oFFyQy7r6R4AScegYazh88r8a/BwOQWEYA2LSjgs6hXwotiWNaHR3Zz9+4QzwKk0o+fsH8c6 HcLGsMPsSsxeh1GbKbvotBlICT/Te/s93+vOqRcHqlF5Y17Yc9earl4927DXOQuPHKCr0mQklqDr kE86ltozjY45pG83sbKJzuO6An81hoam4jR3qkJ81CneGszeXK7qooEPC1L+uhTGP9vwVCtEHolZ dGHQgVu6kooMenzNgBDBFXK4D6g0s3UXtsuxTc/geNa7Klk1UBOGoKEGNq4W5O68D+vg7rAuJ5TQ J+4S7lrXoKwZJmAjM+SI/e5cMJvYsIjxyEgsb+BTeov16ZlrvxD39LXMRx4xdG8n+ADYnd8yxkPM Jp/+bAxoV4XWohQw2rAJiVSt/Qtnv9R+ey7Yd3uTqfSbMIh8Xrfm1/S0G6lrb23OJbXBW3s2RzBX GbZURt1OtQTJSmpXuDMp3NGVANrtkCygvvCVOjdvWD3IoPyJRNYRsjNuuv2k7eOE5B3zHrnQA8oL e/uQQDx6sQjMwEOC8ac7vz0eBCclvqSiEXrRqRNyivxmozcIA5hZuAkRZ1anMMWXp32zftilOtuN WD6wk0VQ+8P0Zpz1mExWEAhHQJTWBENzeApuLnrRjXWIQr5NDWHtj230Zq95mbnzBdbm75a/wyPA HCp3RI1E7KREDRzIVaINEFQZ/T3sijJ0+AQF1F8X4YzzjzwSFr4RJ1A73m1na6kvicAqyqU4x3dj B00cIwyycLZE5fDKiq25Ibk59+hUsnbFdZAihDZ413ndQ/sd+Jr2Ja7H380y7v1SCEAOCJ+XX5lV WWSAcMkp+v1EJ+c1eo9W5n7KWkLe0p07feqTwHniunSXiY0IcDXoEG52bs/5uRB3MDD1mg7tmloh A0SnqOvw9aq2h2iMfNiAdEn8hWmhbejlVDX+suH1JL8IktmKbQQDbMug3FiUIc7reAofss65o7Lz B29wVyd3RAvm3l6HRSiTUc51fxaeKwrB2T1ST0KfhJTXhPT9RV0M+ZzKh4c/CPusn6karzEA3AwZ S0kXj9XGL6o4Hln7PoDrcxfk+Bhme8nw8pjXvjiAIV0N//wAfKvoE2ZQzlF12L0gGvCPnTXh2k5I LyajMaasOWRXpOuUL2LCnZbNvpIl7ewMQqCfiAjM4fPi3ICu7TD/ncWaekPOH5l4Zlwb6tBfvcfb sVK2AEVBTIKtYg0BPq/EdPEzmVRH/rsg7zfA3+Raob+hsy3PvCnQjXUpskvgAepteBLRuvz2t+Ks 7tGtqWWyhFQ7ZH63KcX4Dv7HQg7zImS84CFQEIhlxNi9JodOctDs0XMMlGWEIjwATD14+vStF94Z 5a/tInhs6ngVp3MQmMz1uLtAwooOakfxyIA4+gPhOuC8RkIwXT8mazj7UKyDcQQAYG8evyBcFExF flELQo8QxKIar6ToihLxr86HV5SCDL5PGDS7X21mexLmQ47uFGF0xSYW/GubDdR7AHxpCTZc9f71 4Uly+PFSu52ddMGl4i4cCEEGPUSsWGNzKwGFjI3L+eCn6c4c2Th/LFbsjBFqRkNnkPDZyumHwnjB rciB+hXW4Q3Fq7uyQ1NmTDHfz5YeXyaqcI+IpokGl6Wuur41Ak5y27IH3xx4i5N2SvJ4Mqro4ypH 7wnXN1UaXobwNEvyoFBGG5heRsp9Vhm1aTrvPIkYbFQogR2EbSljcYt/TJyGQufr4R9liAuVxmlY 3pj5jD/9aVKe4A9XnQVUKHNii6zn0FNcwg8A6Dik4QZ+m7mIDoLJXiaKYBdSQTqNpfdf/gAEA6Ba TYJaYEFQel/taEAN6MoQ9++lxsynrxj/Ihk20cg4CtL4g1ypwahRwzA6RYQ6AbEmGuf8xHlspN2s LtrCRzkIlVrcgKuXIli3cUMb1IkbXTuwchsgBBiJI2TytVB48yIZCZm2GCglZ4TShspuy4HOFyRX WxK2Cb5ezxMiC6RUZhVLTSvKoqmlNSrP4u+emN5KIQFepovkayWnNFXSvTxjPMvahIvvASBHfGbh h8AulWhRHl77XLaepAcEyUZDLEMRCPDmHL6tFiQAuwn6iBMPH1bRAnq6xdzdOPMrI/ebTDP/9Gs5 vU8R52l00j7D8/jIW7wPLhvHXADm+/OmWFqW+rO5dNspF14fTtpGXQt/gsdTdZL8wSBdqnS3bE8l /WRHv1uwybU8Edobw1/h/THagpndZy60/m1g40ilHuYZP3mrGED+bAJMcAmDVJctOYaNSS+zcovE pg2EcoXq2pg7xfnWilkramjkusObbyXbLAChjD30bfEZlTspXPurT/YP9+5Pwqjxop8ciHTG+viO kuDvSgGdSFDzCIPdhbNo9GifqVtyllJxaXG74TUIE6aHpgkRu4DJHndGXjc4LqF5IHACuhJ4q1pB fhMVSBTOGgcY2VpQhEj3JA5jyrNFPmBKl+QhkzMNuWEuY+fBb87oSDo+/RKjQwysw+4KzXzq+DKd 0d9DsAeDRkxjKBZDw9ZEvgkMulDBcSDpZqhCUo556tm93alf6AwmA7kW2KwAPGs6N/7BNs2IqNZx YQ+6hD6Fws1Ue6EcMP/nH6+Qu50dAAvg+B1xSKP3gYTRsvjf8uV4USXp8uxLEwNLaf5WqfjT5+/6 7sLWHpRQbG2jJ+wvW3qk+BCBNsndroDh4/5Vu8fq/pL1b832Ae3HIBDpLwBPq4ADSMTQCaS3rHDA NuIL+IvN9a3ob6lNbOASx/RgrLfdh/g32fjenyhDUrMUZt1+h+PsKsKUpsZu0GWDfjxbmof4ts0D Apr9A6kYqMp9PevVaM5BU9PgneDmmBBAOMrCUzltWbcBgVth/jF6PR3IR26xHG//UkTe3ZuY1p2Z j8af5Gu7eyG0eZTfGo5CBttPTlyXBZCg6pwSJGLLPh5QeLOlEdXK0RsfOSBepyX+zG/lgr/PfAzZ 6qGFJo+r6o6PpsZc27cuK+fCzRKfbHyEzeuMazmWtMpZEQtT0OiPgEkLoYh4JKtViHUU8JAcV+v6 H/mxV/8tJOPLtLR69UG0p6mHNOuHcDpvaDcsQig/gd3Og/7QKJS5W+cwb06JuI4td1/FcRZzfxsb oGuMta173eijhjUi8eii1iQ1O8c91mZI52+GH18fp4UVpOlEPPZeLiycrOmo3F9NHXsx5tU10A5L h0DMn00Adq9Q3Xbr50wsRw90aw3v5970tvH4PbQ1Ax9uXxA4RyMXGLLjtqrktXhsrnhghQ9qfEbT 2gCZ+Ae7BwK09/zP57Mx+QcWW4kbq4YBr6s41zD43ydrCk5bI7vwAlP1yekJl68/5gSn8F7sL2kU 2lHl+eiZlKUtJOhjvQn75ZcctHCOa8YaBnVpTh5982DevUXhNf29+yoCk2eHkhiRbFm415u2YmpZ 9gcSV07q1roiEMCXOpvjTvLNAkKFwyjdXEJl1pHxcvsHOi+m7VWqHzGvSBxRcvY1jsxhJZOQCkDf AV6p45jwo3r2bYNSeiG91DtPc1NQKlx3bZKGjeJuXBsoLawPgppdl6mWIFk4b7RR7Sl2yPlzkqrF NZjoT2qKS2xsZ13sEy1gvgvGsq0C4oi4belN1O1EHeWtdQyd6cGSnC1+jGRPZGRnUSeyhszdBMXl WEqPImM2Vr0DUBVdUwZwmGZVv7KjX1AxUuycV/2u27irBtrOR0G0K5/J9hnkFA4RaID5PSyLVOhg kRSCdZvzUm9uwaZIOph3/QIM+k2pb4Ia2SEX8kcK91wJJtZbNHRhhPHh3r6EKbxlCE5K39xwzMJL ySDIuwfSfrUQB6Qk27FWbAGFESOk+60QH6xnmyFpMl3OjL/cNb6yZGah+kkwYPy+bKTpmYWePfGc P+SmjxE3FRDzjgYP0clA31keoOxt3x+pdPRWXAYozhXxNfM4aNULus4U122vNP7/iV7fNp9e9Q4T 6mwnJ6/wc/7zgpoRcuLXZgqmLt+w8n+MCHLEPOd1PXJil6J9XPW43XtH9N2zlZgmrYrW7rn5kMVG V6BT6y5Q8Fek2mYjFZZo0g23YzbgI1Jp0TFixZWGGIizK3YTvh2i87FYoZBdZx1jk+jzuZBEvPrg VU/8SwEUGjUoIx/8m3wcrsYFmYlqBc/UVXMqciJQnS4o/TwSrdi038ANRbQg97darKFjKG2MmvOe vvj2hesfnpDAyBBHAIVWsjEP1sKYoj33ZfmRbF6CzJv6Kc8Zoackp6OzhwbRLySDipenNO4hf3fF Ngwp/jDRGWjQx9ZaOyi80vtSjYyUbn9L/mT0Y0+BtkrxLG5lTpZZR1qrsmjuSO4Ptx1d9VPb+SyY JLdijCTjSvqXjwXdrHzia4Di8u8PrRb4zAUW0KGPo7dxwoUD8Qg4SefRV1jTZHxh5rHZooHibdqc /Ez4hsXXZrPupUdqgebUIZ6pPxwCSPkSYZQZGpd3E20vaKjsl8/jxVCeVb+5aiEpi6Ord7/JTXKO 8SdRrhM3m5dfyKkKugIQsJrkLAyqmOXp006cnqZrSwOM01TqgkuX7fexoqluKmje12svcvMjGZVY VbjZ328yfT0q6NDsRafwz0o17v0ipbB3eOuE6QvHyFMRK7S26hNVnSsVtSMfLsXnl4W0Us2AvmrK fASG8+0vkLWxT3OSPyQ7PzD0W9Mo0O7/qMvj6DimXM/Cw+wC1zBEahbw464UFkyZHkrE9eTjWOix d5oPwUGSpS/0p7RzqjDZ4E83VQkZvMq2PKHwTiUdgOXt01siqL3plGRH1fuJG7TxsRc+HAFVljlp f5BzgM7uKnaes8cumsJrlINHVfRDHrM6h6FX3qQHCsMxp+8pozoZtmQPr3q+qaE6yi/+W74taeFc 7Gbs1m3/X8WZxRM5aKohB/LpSIB1e5f7KxNmBYweW4PLge9c7B+sjrgBWVvrQHrTB7RvyesCZzOn WJ1S4pA22w7VzM2Rw2HpVCpxH0/5Ittqo9zOMbPAPsK0NU5veapvACVPJeR7N9tTSTfu7HclEoAt 2oASbcutp2uajx024EkLaVjgub0wCRLaFUzCf4Z2zrTkDorEi5uCl45UniWEiyASKw1kop7EVLlT A7RHGbkFPabfXm8jyDvi0mZJRDwf10ZD4R42tIeT0sy4HZz6XnlBmhORZ+/1Rz+bonWEY/RGHwnT FSMo+W8kTJwiY9rhBGmYHvL92puSHkGjRYX8eJy5XLvjBI7Q7CIMLZRR7QWnUMTLM73of+Uhc8eg 8YRmi0tUlIcbV8nx6tHbU9YdqGcaxPO+/JXHL2muoNwd2Q0Qahr7CEEoYjR4I2dH5qQrXY8T7bx9 XNqU8ZA0ykjSZl22NevOCxHST4YAqhAPH26vXhTS32LBDX2LDbesshgMpt5eEKTBeUJchORjeJAO q00chRO+sUCNZ1SWgiu8cdwx3k3HiYRkrhLBNqCJa/jKSSoyNRUz+2cTlmzKP5LbSFKcXW1bEFJn 8YIoULpZD0Jr0BCG0V8GV3UswZejxoy5jov13jYPxpw/z6inywHLW0jZ8FAvLc+hr0xftFVqHBrm FSazpe0yX2lxLG9Oj8DO6Gr3UVIO0b8fHc34fNoMGuLc/rI+n9ZoSjEqgBYwfzV6i8l+D9VHlZG7 My+bNnr4h6iE0vL118fPbTsZeYeBMcVI8jo0/XrisNPQYnXMB6lYduo6u8c1U+nR7wSsFWQy8grE EHSEtVFr+tmz8qwe3zYY1q5iMIKzQcQz5kyQwHJGP91BIk7LuodIBXb1S6lm/B6Wd77R33kdEwno 65h9yM+QV/JiXc4cDyVlZ68hl/9tp9hLPV/FccGutw6dS3NRQclWeTiZImuKs2Jmeo9AaBJtVJG7 QibrMIQDo4RC4VfU5K8heVb5K1OyzuajPcAZ3+AKXoqQDiL1MrlluS6z0USlPBD2Mn1ZD2ir6G2B vH+Qb8tnTsLnWE7Js256KNt2PtOFs+Phw/0idcot+V+S/jXTpS5xs+kVPmSb2j7sD1QmxWx1Gplp SFLhM7B7jEBf5gHlLMqwvj4XGM2hxc4lwfV+zShEfHJM3N7r9ohHI2j4nkHD/mrCzC3fBB0+m5Ih vl75asxqcjsUAwhC4b+yHA6LQCzIoazvnd0vCNQvwI51p5wWa20tBjLf5U92fpt3fg92f6dcb4TR bjjLHEvGB/eFghU9+OLKqGFOqxTSvm693eZnSn86gd6n4Xn/9ptm1I/hyjOgRXXhSJ8lkDUtJQK4 1bVI5h4XofsrO3Es3uCIRYxlf/YofU1My4e7C7/PAehwZtkgGuekTbNGA2lql40sILej5E2EmfgC TqVQ/zBYY/B1Wy/lQ4jGCojaXEwLxhL+2v+J5tYXytSmOAa9EN8X8jJhGecacDLUuc8vzsqDaHpW LrZQT8CkyT6RsHNLV6LE5uTJtPjqJdmknRvmqJHbEcHvtY4saYMXDno5SnFj0LS/qKQ68B5Dl8MV B0TSYP3/2JWS7eHGpFOFEWe2i0UNAYZen2WKgrLvIOZ+qDy/Sf74PnbUTBebyXLArgcfHmv9aaUP ZY7D8hbI7CxK9U7BuUTA2M7mLVwUMn9yiy1U+W25f9n9ZM0au5TpqV5pkkXU9ABkvtdA+6QZwS2b mTHpdxBN0HTJ0HDTycjWxS0qL/RaZgeBHo5u6iimpj3GGRUTp1uG+DoS8QtQk+GpYd2er/dvOXg6 SmQ9nHOx7NCb6yeFRzmOCpJLSbnXFsL8vuuEu6F/ryTl3xlvP2cObvkKJPKSnoidYLtvf7bsR+BV IlIWIF4fVj7xKYmeJOIyiSzFNJM4hUQzi0OLp/SSJQ+IlRViBVDD5n3FLp3Imxzpu6XROk/9BKn/ 0cgyXXjrnVnWUt/6zWlTuQ6+BIvoSy+mMJemXLpCD1fIJAoAViGAEQZ4BEi8ufkY+McXZN7SZhG7 wNUrQjBVlZfFLb4Cwhpihh8CTAHaAiF4Z0zWSf77VFwQ88/kfH1GfYy9ppzBBaUf3rLlBgRzq4QD Ph7UeXSSh/blm7n5vzVwehJgHCTfFm2w7QSFh0/8QRVP133WDUSucnMFo/LwVaEA6eYncKFBom8O 4Hut2u8GIKVpWc5Dr8PLOFnKP15IfXFKFjJQ3+SIZ2rPdwD52uVkAcsRj05RKhhho8bGLXoplTo2 vlQc33J0xxPDFd0T4qZgYe7dCfO1FhAVhQkOZkOdey8UMSLZL926uJLv0o50CUs83XZl3N71QWz2 uo3faFJy/eaBT8HiVp9AqB45vilXDjFciVx9R4/WZqa9xsaXmPS8Chxc2OkyaLlABgjL2oVjuN8g Opfe8cwmu7S+JyaOeNjpbxsyZFj6isXIf/Mzvf/+PV72VJg0ENgMpeC3SmjjApBs7lcTx+KESINk VUrFRVMsNltTXJDVRYcGRDM1Kke4KONCTNaeLkQShD14AHIhw+FxscEVaqNTNhwiXR7O1oTDA8Y1 bSFB+e1x3YctJuaaD/qSThY2lP5nm4LU1kB5XW1J03IkenIGOYXGdDfRmfXim9WYkDgYnwCgBka4 nB1xAqmuuekumh1OFVd5WsYJ4odDUmKmIb07C0r7/YOQb4lnAm6zA+xWJIgUU1kGexQae54DVxt0 SXUmiaL7bAtaLK9kI+Qp703o1wkyKFdKJMG7LsYhDEunEqmwOI6qhUKhJc6SeFc849errvQAf2Yx PD+oMyHiLUgNveutHVZSluiTp+cd4d8EaXRmFLTwHdfAphvvKm9+aPrYimGkeKnZiudlfuS4//ts MQAh0EMcBlRPW3x9KJjeCNwHlbt35tf90TeUu419S/qzN9Q0nAukuTZrwAFGfR5+xdRo6PBkA1wR R71sy9VUKBtFUjMDfVbmDgzF9Z8U+WVnYTNNhlJu1X49ohs9aVJpwkj+ZUsv1j/nzHI9QRIa3Rnr lcNSVat4wkIajlGxB26VZ+gzJcxsytkMqpBozgJ1TGLBtW0s40dYSCoMnW2ytLQ1QtHUMMqL0EY7 60RfB3EajHlnfPDqDcv2dfQQyb/KDei1VSq2xJksVkErvgq4Vxle/PjHnROAjnEoPeYCiP5Ksgwb 8s4Qf1n19zSTUJW5cJCYPcaPW6AvlBzE+rNuaxliBELgKXDSaZJ0XWgvGetzUoparyIXM3KfnUS0 5WbJTWQa248CnrJQ0AQb3Gby4X5N1TRh4rKfykjqhwvf/ns+lw9HKN4yKDvGk0yKlZp4o4zGXJz2 hO39QM72ei+fGB1R9S52DaHcVNp6ezZQMagw1SKvZeV6RuReAUkcj0irRUdYBBjC9SMrcf94Irdm mHnB0UcLkLj0ddOX2voSxEY4KNcdUkDisZeeVpaHJy+SafJ6byu9yloZ2V9oYErjPnsIZeAMcVTF i2vn7kgA6KUqfEIPXooExjcWWeZxw4QzCM4rRiybgE6YKOxLAWJ65+6YHOANB01oZGe3tSx/O7Bm 7w4EAUsn3cDUF8I4lrvUPLr/Q/LMgnWQtOEsYtKlL1fuqkLEkyPMyX29YwTeJTqRyLwBfnK/zg0J CPB9FELlPM28jA3S8AuxHCBr2md5gUA8wU6vL4501xO5Eae7QrPFefhdwuxWV+Gn/5PPq/RXICbX b0SMKWLNSbaQDnYxlmaBPZyRgQqwXHfa02uMXRaf6Jx6/BMHajruUwitT7k9vuiAOH7r1vhivgSM eZ5Wrh4HSgS5+/XQk5hBO12J4RjJhRf+Vetbn5Iea9DIQUOhGuTmOzSHY6PXHbhYn2eryPWy5BnS yzu4daIJ05KGf93cUT6B/2yZC88HgNGcYBa67CGymAADePFWPhFbB1q2tWxyY/mJEh+499yqLVh1 uykjVei8+2/odFRR3VKM+BBnAmi2mMxOz9LhlY1PoT+1sjFCe14CmQnzt5908iN8QdPANjxSO2Gr Gst7jcs1sI+/0FKoyUthOn8N+8HepKz4wGrImf1XV2Nkvt9rs5nfXuqaeFtuuH5LMpIGCL/isoip /MdcH4hcnuQXs8WgdXQfs1yU6E7obPIRLwJkbcswgQ5pNz1+VeB1JwVMx0MzS+4zOwYzmfA3hbpA NCf2e9AONwA1toBp98cAbgXnUWI06TPramsiSIC2lzWFjCixj+Mg7qg1k6wGL8uIouRcix8fo2JB gk3Bdf6HeI7NAD//oa1tBUXBpBrO0BsrXR1l+2JGQzs/gJnBeD8/ZT7MRofQ6ltcpghbGCV5P7gE AS4q4JWrqOs2mchMCYcAqIT3qpuTuMeWXRojYQnhqXoT42VYjWJ91WQypScFyuOdP8xtepd9uT3T O5V+80YHcSPzVPdUDVvbdXPCfxI6un9oTLai1Yzi658qw2ZB5Ngln6gaA2KVCWMkFV9GeSocRRfw iFWtQfhwNhu4YPEjgQ8x+xRslzMI6s0ozBZoLCYoc4jwmeZS69O98g3Uyl3eZC/G6IHQmbi+S7Jh Eu4qTeQtKCO+FfZRwh/8wh7iDorKn82J049FplwMnx8CW4qiWEYV9KOS3F8EmrAS7OxnPX1nMIJq sQIaKYAm8gDX8ld9Hga6c9bbhcCH/H1x+a2C60tCtjFAAbLkyW9BGiUuveDDaf/fmrKMXOYZ3EjK dRVIMaRSh3b1LhdgdzZ7vu6psQpqYnW8jBLl1+f0Kyej9rY4OJumVJjAuOZG9OE0L944txIlvt4I 6vp/fn26mbwHLpWwmyEPYYdKdebtvPAiKk6jqiexNYBu6o816uEUD5oZ7CRqEvygbsPigUxDIEKy X+ZMXO/mS9AO97NrYZsRKwvVwscv0WtsvNpAiI+tI6rL3K4AYlo0SWZSH0DmIxUzNadiFQNlA33J HDvN0YQIR/9wTHmFRhriVihnzQAGLpRl8WmAKIrhfIhL/1My3NIL4FeUry4LNMiKFkAbPgNrRbbi kuZWzgZkHBYUuEoeiFk6RZs9OptN7T3bW4W4ybU9U/b/ilEnMUi6utiaf9ZaNFy1nKPfbnOy05mm Cc0ckApZ/asD6H+vErElEIUNwpzHwojwou5YcETazARDJpDOqFB4D3vjPOYS+e1nGNjW/gqb4sEK RrzxZUDdvV+JqeudVsjKTOf4wPTz2pCR+1w8/shayGzixQmR+mwi/1N6Um0Yo1M85P7mqllV30Ej oGFOpBLltjfEv/L2YK5NYSAL3m4PFrFRA9RugkfKxhWXz67Buk5B5YpgjFqR4830qEiwfio2I5eX /14wtBUKTI9cR+tQLFWDDM0h6jJnU3FfBtqu39rGVAYHTEuciIkCWwQ8GyYJldNACUIJt84DxmU1 /i0dpS8CAtrgUSJooGJn+AUVBmSUNioBo6/wsRshBNY5d3a9MbITqhnTfpo0mjU0XcGfbBF4g1kH nWrgTceh97m7U2HlZ+J/BlQhXyrtS1cWErudEgu3BWzBXHRzIc9t22XXsuhdwcygAY3oQlqyaDSH iXpRm3v4TGPCt2hZ5LIKLTJVvYfy8CayqFGWakOKjPiMbRiGBa2CPmMtqpYJfGabsitBJbTikqaF NeJGt0aTtZa9JhYGCagIyhJMOcmv4eeQ1sm3HAb/V9MFeN2IQh2pb4hNudDZnenlQtiYre8fknFf mn/C8fEtUJkRFENV6hGsD43Kenm4UY8A7ub9UZAnUBVKQrV1Lbe4WKW9M2VvJGgxnSZNAua82Ie6 DJOnS74luUEcxVzr/622QRX7HFdTz5OpcQWvGEyWFHPy9DLqLIaLdiAHsSoGzqAkiB5SdgONvZZq mKIzxYGt4t1E7dJoOgHAma3rjraKoQoe4s8xkmBS23CfLE3aKTChsOXn4dF2ZXoUV1TmpobaLLfM lMnX9v8feURg4vTGR9WuwBZirEALATjVmhT7VKKgfrt2k+a2cs4xovl7oR5nYrzzBNr+I018+EMU do3nk6xtTr7n0kY/L20UOCVZ20wqMym8zvtSqf8IQTgR1JboVq0Y4Clbg3K972rBRlWEp9BzRPjR dRl8eoLzU2c/S+rIFD5v7+KGT5IoSmUHQLG5m/rdt4Eu9U94I+mS9tWtTCq5EtoAUOF2UF6bfT28 3wb6q9ab7Hk0Dkr3UZml9YaVsS1PvqB3v1G/qaDFvCIs0NdKvl4JG6dorcH57yBrZHLd8enwYD7D Z1jkAO6M6xUmP5AtbMWdijyRCyTacEtaEoQuKkI4f4x4YL/Zq1pyhkoe/q4t8q4IUVYoAcYae+fR 6xbLwSLNcRR0sfMCh6UUqZd0pL5NhfVIfKRxOT0RBnS06E1L+gc/Y4nrqr/xFJlOXBwCefEE2U/z x1DOrFPjbIHxRs3J1S9BDP6fWnK6qM/j7bgLvTd1PJLeMj/1DsUsmJKAfpFs9b/9qdTCEw8mWTlO IUyD4MfbgRrHe9wyAG7xCCmOmM4xOlr+Z9SIhxgcA8d0MqLrTrAx8O4n+vvwI7ICNlBZsDSSBMMA Nje23s5HJh4rZ0BUZp4L6oGUafhlaHAKB2tmBZLUuOavdssG8vp2TtwBDDBn3g83kCOMd/HvirpC kTEMgfkxzv/h1o/5KKhMNJ36MvZiC7X2i2PZVJC+vEpouFJ6uxTd+es9L2umxRhInBRbmyHIDIsy TvfMbqNgoEUdiQrCm1bPYkVZcHYnhmrkYcozZ95jQ1bEom9xTcMvlWVVBsNqKfYKjiwYciLKT/mc QZSHcShmgobwgk6C5pf3NikOlooYsrBh+UhgCX88rm4uiKJgPDvxOi5oBsEteBGhtjO1bIWs4TWV zY53OK6bxDzApg4y8MF0h3MnhJk+xGLCzaXYlAJYErLEpVdXLha+PwXpIOFaD82EqCHd+xJILCNX Jza+9CVJ77AXvUpKzkMv+LyQYp+up4vzmh+eEC+1S/TctiNC4Hn0qI4UyLesFZ8Ji5uS8shlSJWK oyLLl3uE1k7xhIIq8lx5qBISofUHQf96HZfZju7wBbID9jRmJazRx40QWgcZGKNyzssDoVWuSYH2 xsv3jivMGdwCznDtBuZWBXf6tuLhiAYaWVBdJsKTPvZUiORMXXxE0HntS0glEFEkV9mBc4WKFsSI klshjBxLvNEUB0Vi78Sr74FJ7VtymBj8F2U//f4FGtCAh+16jhfOtqE1FFk9B6F/htcP/0+DipCP iMSwOeDcUhsy0DUuKKJM/aWVjrLuHMMQbk221NDVMH1FVjmYc7z0+wvL2Sgz12CsDtc6n4+plWfI gE5Ol9QNBHIp9RSau+tsW4BNACa3ONGz9E2i9m+P3+E69tbVzqn/b8v3C+yvlQomiIE8ZKWNMrEz cklyt5JK31CJEhs0l8MWKkrRL4JYuHJtKP0OWKPWxjrq8saYijDuVq5YzlOrqSHXHtzPUOdQcaQO 6RMgf3sSLEuySLApbb++sNYbI804ldxae/kxrncvvuW1GJWSQgwRiLJpIUhdfk2Wgdgx/WVnhkP9 sJLrhuRQFtnIFTEOjW2QyCrCOD0CMJ/7FucEOVd5dal8NqApTap5kw7vocPHWCxiJK/iUycMm4VG XmxfNAiJ03ZYf6ESI5SOO0Ia9/W9Cy29sTKuLrRDZu/d1PP4bGHuSzOsepO7RQkcW3lO6j57Td9v 9j738yzpVzLbcZng2jPDEay0gBjsOCTiOWwq0tuY3iFjq/qV+qzn14EPr86VbVDYB04YfyXQFSi7 Lf0aO5W0dhwKxMg/j3LWms9C1nwPJRGEAAyI/CuEb0h+JTPN1cT8nu0LbB76fjjJcX7RvURguce1 3emQVB6UDQhAQljrrpHavRAcihq5culqkpPeTKu2qd1x/ZTTXCg0FQ2mn6XE/7KrDJ9Fr8CSW7iK 5ZR4rMK1fjmsglp1O48/vAMIMIEufwpe0MSDHi+PHFsuZ0porB70Iuyp9dwD7PY3mwcpM9tCnmkK uGs61uo0T7/lfkt2+3azzsHC/nksDAPbB+336d+U5dPCO9pwrnENFepWcCX2xrNa8u94H00Ge/+u VkNj+xwp+lABwXCTQ9HI0ZKgHqGvr7cVNDWKUWddrmT8y/eLZw+loOLUUBTE0LczgpxiVszRN/3d Ct5+8lA7DktU/30N+r08oFwTfsbkQbtM6//UtlGvLLuL6lVIdliPRu5Zo9PqYtM5jA4GMfmrJOtQ sh0sL84MHJI2urjzEeIyHWeeQfMCYJY03oJWTy21VirrOCBkTQHTy2MBLmqlnlXUK+5Td2e4OIJV DJCUAvrtSPHBbxaN3T7LtKDnNC9hAR3qEspGm24H9nJgJM5cMPbV9zEaI6jZapmJK9QS5PecQE2g K7ZJ8q8QmQtp9o7Xjl/NgRAbriI7IwbaMlygHzDcVkYkOljEtuStelJvllRSKesH6U+x+o+KSvtX gn1UtPw7vg2O8pfHTTNm2KFBUtAgbouOsk5KrAyEBfn1dEY8yokphRYsdGHsVQ47pYtzCoGcrJaa 40a1W1HlpSWH6p1yx845UW+aFuQ2ulSN/gdlKhtJVGvJmiVOHCygGoUT4KamrvG30BM4vEzuFiB9 iyaeC/NIfdLgP1RvdnjcEkwD0FvyrXA5G92GOB5Ku8/2rtm/kxgStaXPHJ03Di4muEcHDM3peWi1 5LILC9eV/zsskS6B3JElkCZiJ2c4oMLR1YrlM+CQgE/xGzK56Mu1Zf6L21dy6AogtKAXtzOsgaG3 4fl23ZEAZrVoEgfLertm5ebH4ni8828HJNZRBbjxK4t46vjj+7K2Iwfe4PYjPREr4fUYM8We2VK9 k6mwcR9wGOzMN0wTFT/cywrsUUZEoICz6M+6Kp0cIWKdReEMp00WTU/FnOkde0aWFfTMHg8J12MQ F6x+EY3cetdpUzVv5J9ya+yqZF2iu38XqvH/nCo03ikdizImzOpOe2XkfFx+yFc4hcHT+BeJCm/P 4KT7xBzzyeineKKirqspadNmqEdxusSL8KI2lcdU/WJsrRy84rzyMN0hi0Q9/mXUJAzyVjxgV/be WqaPA9PEdYEq0HYe9ktgQFxyHprIbXwcFd+vaDhW6njpL1jgUeWCwd1xRsBKA52U26A6AphlpLrN i8I9ASG4EX9fLoLAVKUIdgEqf9iOtosQPEGX1uen7Nvuf80cJ9gsLmnQv++dDNkcsGHYV1s1vB+x VJdA55b1CqHm9pGwNA/bh+ZORT6tdyjyheYkNLhbpnX+mUQonekQ2A1qlmdUOmTHoSAC/AmdM3ha qICvkMuCyD+YU1CXVcPUPmGBNQeh6S/tTFQ0g48+NNbIhwVbRi0nrUMVMBYLmf1qeSs41rhfhSiQ xiN/YyjWZUagmYhj82R6CUedgzBdCFhqZbXAfzm8GYxo0IwUOkKVkzFQy62DQa6GtTfA5oIZD2+I Ajiprep0PscHBkUAvEoWm1KqYwlj/r3acDV0inteu/ew8FIdiNMRqfe84TWrnS3E14u8CJOOPRPq 5JlSHm6TxGfmpVXhMmVBZt3bZVgiap08rDo8GDgLj++CdVX/3QrODywfFguYaPAx2+zeobgHGzkz gGPrkUOEWjX1aMgh8ks2TNbPdC54qMCdmHTdIl1MccBJvZPSd2Z8AtxDAC4IMu4r06DCQvUkWF8t YODHlfPgYShNvv25Y0O7CaftPL6Iy7Ofmg9opjv9GIhgrNfRCyuQWH4TwEKlEJ4IRAQALidtMYDH xg+u0Vz/9pmlFQttFUUGsbnIg4YH9c64JJTFmJfXhdcqxtkoNAgIu/Y3xSIjNd3gImC3AgWXrwtC bFe54qYcLedbWWRhXfwC4mPBZWT2zlvgAiDNXQI4fza9xXULgPn1NuVezdz89SeMpto4P1D8iiet oM0qZfQ8v27snv1EGlrkhIuv2vRRBCZn3wMoPtxDT3y1ZDiJbfzYaswioay1Lw71Nck0ef3aKQWp gS/3LjgXdWFbdytKhWnedudfkZzMu1Jz9Y+HP7Lk1D79M35Ukzx7kjfoU/EsHPffA9VU7hNCnVFb Wv8zqJjCLHxX809CtuxMD6YCX4ojvtQoDrLiXLLELtggE6LkeXGI9KarYMufH/1DY98EJRodHguQ OaQ+wJP+LyBPGGz6IeFOcJgl1xsDAJ96IXqGLKWhYILEmv52hRoNt8ZyAjyIs+9qQqo/5kzuBU9V xk1bXJ63us2chFG+uMYcvohww0TaInWT3CxGpwS2NoIG8J4rS3VqH3xU46vPzZ/YBUwrXAAx/8Ij wOGQymDeWRlO8SOhvmMC2UqzEy+VdbGTpnvEIpPrlYTPyH1FvzqIB6IkYLwWMFWpAPPge4jP/VSU Q52jWwT97ENWbf4MxO7zbigJeD8CTOApUxIjGJvGolm+PLbecpa2GwzNT6F1CNPZZt5aYVzg/P/v PEfx1gLO3HXEFMSKupzIMYaSXEZRgYiCElLToT6HLVxCtY8kLhH28TV2eRWkg3uL458w73k8udBO Dk5y+BA7bkg6G8ZcV7a08v3B/wUVqqwSVQiNtUNUpflAT3fvrjM82jkwD9q5EXNUNYe0n881iA5q sSG4jQ3dNC9yY5wYqQXxArZMoz/m5Lj1zyrELIN6yBc5kg9T1njdZvuP4zW+XJBJqwlM0gnwk/xl UV6nDpB1iHINTTIJcXTY8EVO997Zwz3e0bRSmGSGhyzlCHU6FE+ZuQRlRZA3tLe6nk24mPuwEHEN npRM2JQZDebUsB1DltTZQY6azdK3DSzcuv6jT8KPW+mTpOMUX/ZltPtghbJC/5aaIk2UdhIikfpe F3OGHRM8tIUjg3rcnrFVOp9oF4kfIoaZ+PFt7gIowhRzYemB2Kxi8ovS8+mDjJ6z51L0DkRIKVGM YkiZLQftrimVLtB49KeCOl2SIw/6CWNr3l/5unnwKmMtDsAlJoGFauniD96556dfpylw7atouQTt 6F/qV1FJGZJrTJ01kL24Cp+iMmtR2dlT+pyssdHe4OkjWh7x28lx9N4Pz6Erk9CqSrPRcpReGbP0 luMWzX65GkZfNwETve62DhIDU/OPELOvTasCbaGemrM0RZcrjJMVY8g6x0X1TCuPYN3KwB9gwsN7 a2ays02n/wdY16Zj/ZvJURA55Nwp+8xTglQbhIliLtZHc56CD2upHmyoUveBQ7E2JH18HDbDGXta lor9xAhcIWF+/XMIM6b+1lilvlZZdk2QN7LzxMFTrgYBlWkkjF1vV+Rfyks/v4OYuv4jOmeZJD3V NwIXPC1cwcQ3iN9xz1Q4kAFoKGD+5XMHeOxbqFeA89WN/ccNteQxgQbN4eAZJ8volxiistsbFFCV IKwUfD/SzubYk17f81zKfeJC/XyUscA4YnjyKVFK/2f972IZiE0IsvYrAyVEwPUlB/Uf3ktLkk2e zCmpKazoRLIGx3yOigAd2JaUssXxPxZdstVwhT1X56dSa38Nu9w2hSwmdtbaFrYsi5r+bumy4zH3 dyBCAQ931Z3vo4TApBWeqy1J8FZcYXP+bgTYkQjclYExV+J5kms0QpaibFW3hoNt4BzxJl+d1O9+ bek1yi9DrffSho4ZRq0yJAAx1QWiPdK43kwz6WlyFDv+fo9xvfMFePN6SzFPOprxPQ+Ce4Zr4H08 6VfEtlgJ21hh8IgxjBE/7UcFlcLh6IJgRLPCL541BUnn9qQ+UvAximwUYftDrMk+LWo8Erc4LiOt Ko2GpjPeF0zAqOZDBz1nJOPdzFtK+30jS3WLI30/PYwk5iwNY9ZBudOVkfeNQhZnLKzqedgc9ri2 fhvhdOv0vdCIDg1nU3CGmu4e9WKGcugfIYK2QIfZMNPMIH0m5qcPW9MQ4JnJbEywHTVt80hQeBgZ QW642gTDfOmBWvUig9YYlxzIr/a3mXswUa/UosucCtTp2jUIdqOLeM53B7RvRB07S1go12sPdx1u BhSC7JtqQPnyRPV+O8loE9V5q0f5c22pO3Qh4Wg6HyuU8BLWm/kQiaIJzLwPrFBwd9HeXF0T1eET y2lkQ8FHY7TbiWpg+Fw0b3OAPplfvMbSB/WtNqR8BWBWF8+LLScUoGYKuGbrZ6xEKb5P60Cc12y3 LbZFjLuFiG/tsiVmC5CUn0oNHKCzITOWRKnlIVDUULLeG5d0zVd2W5PLej0VLnl2IqPUFPvEq5g/ FbXgFgpwqRCgMOm1cBqfiyGNUMDZR+Mx2GYAchgRI82pDc+MkZ+B8WoQoI9vHnYSAqZEeoa0CST3 ImXjjyBhmRARv9i84U5s+lRYmInFRkqWpHiwHOYHXXzLic+6UxntON+eKGhdQdvfVZzVn/K49P5k TQ7NG3yP4CnlQdGw/GEIQMCZ2AN5QC7MwJbAn3aGk+7kc3kK3rNnN4umyRp2N7WvUfQOvbc+dtp+ RVE4ODP1yd+3+6veN0IJbi6ihWqYM6oI6QJ4MZnF+0VIoZyoPCr1anJHEke/v+gMrVSWP6iJORnW JgFgOOJBFsIID5l7PRYk+XiNIHC75m0HkongFGqa106S6EfQwSAniwC3Uc6g/OSLmh2ohCgesXhq q4WI4f4BFdzy3meYkwsjSjONl1IOUGCdNc6cqNYn1ydWFP8QH+cqsMd2WwGRYtE4RS6Ry19RyVAL PT7qmecqzoVG6Gz7hkjPDEJ0bEL7vAGoGP3aH/UGOB4UvyALE+dRbho1X4aTWKwgsY+WR9+1/cht P+sM8k+eoAnYAOleXm4GTdCeOC+ndDR6ippWF7oZW92ZkRljDWIVZEE77/DNdw785lpgt4eB4FuI /hmQjhrRNS7HpbkpLy9DKYUrVsF+NvYdsyU8bRRqh6iJT83U5ZqyzxoKqurBJjB7dRy8hHd0Zy/P JBS1nkXgPnY1dYS+hHfdktyI6VnQnvl88gif638NLv0EWrYS51uzCuVbeqjB571+tqwsmE8CSbjc WZBXwG0AG3m/vny4pYmD4zVIQySWOEs2TKD7uQsQPqhYJCbEpn+qovWlQYC17GNbTvd11qxstOw0 sU23yGZ0DMTEYtrpNtkTqgB7ReVRYb65eQJfI6b9riVc8HcoU7DkFvr3dtubIU91NZh0tZevDz3Z phka7txOu1ymYOmm3kvmQbgemVMtJeaw1jWbtQDGnD5CeaBtmBDwaWzfI7hqZTdG2XxPBpzuqAfv e3lFg6DTsVg1aXIj/+AR1U3rLfs0BU87lnQM63ztCs0kPIawO3a2+8yzVuB9ITmi1Wwz7Olb3aAM tYBIFp06Ien7c+TwjtmPR5dpi/eq0KWeaJcFHc85qBus3y/4+sTnl6chN0nik+IvUBqWe1aePcG6 cLZL01yBSTuAw7SgEHlaZbmaWrsACLOZu7gsw1gcaj02pyL9jGQfBLRpsea51dWzHOElJPyaTtoP 6Km5woY2CTg1pbnNwwrVW2KIQxxRW6QaHSALTrhD8OgWkfbfen5Rh+/s2n2ZT0AaIFbDXa2JFMs5 5PYB7nAPqF5mxWVyD90N/N3bWNAmoXixNMHLn5t/lQ49x4/SqiBfNqaITUhr4KqMujJMHzE8DJ+2 GHF7dD5m5vdd7PrRANLrHjaKDZn7BpfZfJFjkOSt8kOs+JpAHMw8ZvkVZQ0hLJLlHVHFTPi1+0VC duwEQluic8+wqeySiCtd5iMLjUCMkFegTNFAA+pdQ9StT+ZEmkNZC/6BQ5CQ0dK/QLWozSY7MSXJ WzP3avxaoC2aNo6FTWoCdeBXLXTtXqlg0q6ealqR9EkeAGjG9yzfh01mQlanGm1byzZTUtuwZuk/ MbiAuLmq7gz69PuDKqmIE2SeRD6OYW/0VihVcuy55Nsl1liaY+A7Xlkd4hFMCg+EasuRgcRmiZA/ tUYOZhMWUxd+rb+qTQ982onf1n2yVUrpMZDJn5x73eC1o+Ik+uBqSDfRBCFYE6Vx1SSah9zT/d0y HrY3FesArBF6pNkkUHlGMGZubVbQayg278Z8LvGpaxtzV9EKNTtW/sYuRTqw4oH9Ax+1X+I9Fu/t nB4/ngmkytvc+KitTcOseAhKLiKuZEBlOmZh9yhvWaa33fjCRjqpE+xJnoXIM9vzjJIgCRBZHb3k nOAZvQTuqUFT3vATNxzXh19e9aypca04cSszyeRtZehXzxtSp3O/eUO9Et95lcACzZbvVj+aYE/M aDQcxV9oopiRkWnxWrdzQg/9DIxNo9MTnS3s9OrFwbyUGlutHNV6mt1Xod1peQFHSZYuMZk1ZqOR LUXB6NnVROS/Zf6ZWLYWkfOgT3heIxYmPZqU0XJMVQNj5ciD9dOQu4x5NSFdneKrJq/jywp6hXSm /NgAilNCYs5+sjGB6F65xo2W9BYdWdU9Em6iyfxSkNbywGwFxxdSSox81wNRHshCu5K9/bMixsLe wGbhbWM5V6Cxwp73kUZSVab/eJUO5JWozfxPV8sUuBT6VLbbMlTI4a5IXcjQDSF0iCZITP7NBrhh ctZV35YVvyQ8eiOIVgEqbHjWTT7EyNCV9b/t9R9qSxg4739EOd42U+iMYvZjIxYH24b9F95FBMb9 c2foCsDRhJ5K1e111NpD2DoT4wOIi0Ae1ujrNjDH9h/Wm7ZhFAdXCn0d7nP6/c39fBpOgS99YOvz sZerh884IfbXOjTUwPPRV7w31O/2j1Fq+UWWcvD7ubPBccNv6myqhG36VoUKqaBvZR3d8nJlQLMW 1NjJc3j34nl4q0qMGlcrLV1fBEdLJRgDMxYe9Bxnr3VSd3Q5s92mon5GM8OQzucpeV9vvulVZ+DI Ybn5J4zuwyHP3cn4fadVU/ovAxmppON4olLr8fmtrE6YFsdoCKCt3yy9tQ6EuuRjwiSEGDGfgngW d82mHm89iix9QRksoV79eE3Vp7RLlAkRUrQx7KR26bOwblhrpv9AVZPyguh8DR0gyzP/nlutHJMS Hjq85UdXc3uVXZgKTiJlaZDbbqxm648U5BNNhQrUbQMUumKD4aNAub85t1u3FKxjen+Yapni/IAv MzqiJ0SVMcLZt0iqXMUq4yrJdKoG8nm3O+AWT6kNh+B/aamCjMlzJJnlg2V9TGR9D3Mk25GHbpzW GoUk+jAjlpKZf1Mpv9HnrGlXU069DhjXJHLZTR458QzJokl9plS8OdWMF7oqRT9w97k3qfXq/sxl kD1ORwY/Zrj/GmIs5VtuUWI8tifrWRMoKCRFGKS1KXVxIx+FXv4KUMIlI/Ulg+s13JUzBlpj6dEC a+AjZzzki/vXmsfPMjV2GVGqbAz7XVV9I0mL+wOAu7Hg91WvpPs1PtZbLX2syzVVMpkMRc+MKO3c su3kU2LAfrwDgQn3TXzETvb71DRZCFIZmNRQuuZJmXOubWUni9IzCPuPgGh8eWO2/xNc5Nt2YaIJ o5v0fzz4GMdEFLxy167X0yq6F/qgaUJbZ0uUCPfZQ/TgIplgjfDClFNOtn62V8WgcQ1l6MUhXYaf YY/I0A6nfqOCexulvEsKZMmZlZmWlzfobDe+VDGapJFm9qu7aWpoO7BZ8exJBDa+V+3hPa4b2Eh0 gGl9Xzo2w6Rr/LtPNz9FzxMKhOEQ2r6Jf3YS/2t6328tEKfTncdo5PFoRPftWTOf4VMR7UBRU62e IRtYDsRaCNMetymYmmTd71tDrwQj0SHOU3eLaYtxlD9X58YO84H3DNRWH0x16wUNHLbhWBMFyM7U vP4bMO+W5z4sQ/xPk2hzUV4OqISSU0XIllI859+7o5YuIlZkJArAxUMVSoqvulaWoy5BRvtb/Bm0 AYlfNqgPIW3Uj1CtePAoNCuaIe81lx2ItJ+eKcd4coCLN5+NQhturRjLSSdcNjp+K2g5caTmSUm9 Hz3t8epnVqQzX7schdTi+/L1XWkfIwWq/7hbgiSGaQOkxuTyYHG8fLnl0blR+FQh+u+nnpN5O+XL uHe0yF5xlAAzEbgPhdHNnNmQjsw/tS/nrIrcgndEjq8dYKmMQ+T/pa/V4VKdUFBQOjchyeqbtd83 0vkHA31YGnt/YznOs+NkQkJaoPkye/EbZNNTOeinCjA2fuV/LqkB3mscf4sOPEGIkxqO8uuGxPm3 OCcHlJ2pSYOBhQQKtUCanyNZDxD94UosvaAsP6kBkcgPtxV5x3basMKaowJi6D3aDIN9qMG8krUv YJPRceQfmg7A3DhhQGFn0eIjam382a9k502iV+Ok7fVcnfPczmkNMh206+ZgtRlhBFLs5Amt9vlJ bVprXUXoCQW2py27spThSgG2PpGlmeahP8BLZjPjoaT4gveY/ZrLChwB6ojCwYuzKsMZmE6scfRR DGXNCEOMrjCEQPZlIS7yMe7geceA9CaHo6tnoTBEOCYQnHasmQogAw/cbRgFZ7420IP3zyEUNhEc /Dhh3Vi1tGa3St9nE8wrwJnOwYfyYa3CTIQi/VSl7zT2dGoKNZ1YhDjSRD6wKMMJ+vqLBEdlbZNs qCTzUUMhjJxfkfU0e0sGXz02QAG8XljRygGChuYQGzzl2piQMkcbivHLOticua8R+9BmDhdR5YVS 1tng3aZygP95f8cLan1KLDGIdGHCK0Dx5UGxlvikodn2bdU/79iHS17nZsvefXGrygNJuEMb2sqT gWYDN74Us63iGuj9T6gVITqXIGDVrOLhdEt1kcp2cpOR3EGP/fWsH/jDKmBbwYJeO7oZzwS0bBQH qoJim7B0RbyOVH1Dd4NLZHiIc5fM/3AAUiw7enruLsSnD3pKYytapXQBnpwtVGnzwLx1sIo0jRuO qsB+O7ltlDNPo3i3Lsj+2r/IIopMkWSNZnu5UOD0pb6+XlUQzngaxGUsMK86tlyIeqfhST2eK9vC 29o87bo6oUJbvBpT/IgSo7o5QKbFPQBh3HfYYLs7WdCgHMkh6JTroWSdb2qTj+8iXxTEH8v2mEU0 q6X3K8m8q7/cli9Jrs1E6BvzyeslTjAk7OWu/PitRpxvxh1TufJNVwLiib8b9Oe5b9ZfH4lZg/SO awiNZ1NEpLUMvsqc29S7QbDZNLYHTeuGUcpvosjfi/YezRekUfuNlJKwRbHVm3btwewxDFC4NgZC Vgy5sFvQhDJYITir3UukhZyICUAtaw39H8DKa9E5hkEORkhJPELZB4e8J8crhEEt0I5WysGnjxjp PgGGpEqDK0rAzeiTB6ylYAmAwNE2ivaNDwtuzGznBZRleykcCnlho/umVRmqTZLG8OSqc2Hg2ENs Hp+bkpdJycWW8ysbnnryRd5pCniMLmK4wRnPh6xXOFNvqxFZfbe+cRkud+jIp6v0+4o+3vaZggcu DNmmQFABHJeEXh2bFnbO2EeqDQ3q4uFrKVsveev8qJzBl8blrWD9S9n24b5b0/Lgyu54cmY4WIx6 VcZ9RiKk33Y/uKTWPsCJWBTl5FUDSHSBCwqLg59wpFcwKuNt1/bglCX8AzdTGLM1iLtVpazVQzSm zzcCULlTdU5ZetEK2S2iplvVpzPK8wEGcrv11WrxL6cI2DBo4aHg/cWCV8oU0401gcqgK4Cpi+xu MLxdhWJ2O9E1xhVWVq2Hxo2FtewO0NBzTu8SB6ukATHLZnqhIU6F2SFrGmMhlyELov8SprH2K+6E au6tjv/SVMU/cM0tviNZaYJqvjZFfbbyQhXY57+4FWEQHLIBC/B/8J/RdqP7DvfhEmEqtBYJ9Yqr rA2jDHbkQ26lopsRLgs8luUf9Dj41f1w4jwoPqm01SzKeFkSpxNHN++fUbp9Eb/38OMFQzbxUmf1 892G15tJk9PmbfHM0g3TeMruWHHRwJkAbpSqUHIJu/cF9Nso7MbEfOTh8OYOkVpfD8rTGPbJYs1H +io+A5y5x8PauYxQNnZ7G/l592vv9A7AQ3iVXKGvdGHGXKR+OzyzaJh3U6IRato5K+LxNse1Hiz6 1d8nwCRzsuKYihJhAl83xBmTbPsl95GdZVKdlq97WFLtrUXOBUC/hkhWI73Wq7cITqPXVQarXAAg t3Z/1OW6KIwupZuuirZVOJSE15T1GPRiHHcfBSQxCNHVNcXL/UCXqktprTJAYH8edkPyoJqP8v5+ yxowrARRcAShJPOHxKDCoizBLocQR2uwQVrUzz0W5/b9CIVTu1uFaRXFFQ9qRzQMNC9Qd277niji l1zdIL+/2mXtx40GakmqdwFrjHys7HUmpXf5wZOPC3iphk3N29/TB+ha48fGKkKe2j3vxAb+MieT 31hqs22ZjopsN/+3NXBvLhe4enWnyPaAtPKA+2B/bMcRmwhNCBe0xjvqGE5BAjq3SPoFNKda2aJg jAorCnOoK3IB5qYYtAZ8KuyR32cDf9xbqsW2wUhvzz/BC2b+w8nfwHZ6wZIwiuhJKN9cA4zx9+It 7Vo/hDFSZPmxXkxOkxKOX6XOa3Pg/rqnPhYc6M4590dQ6g/H6D4edjl7Jzbn7cp/RMjGC7BiWLnf xP5TfNKnm/RavCAtFhzdciWWys2VotyrisPzgovebQM3/0Qfue4xb4dMYa2or2kSu/EikJ5Kbr+H 0DfiisSShg/jAWBnaF+fWpEF4eB9SBlPhxqoX1LAaGMkSxtl0ZUyZH4hTdIeb6xTvYEEwfCSg5gK W4mVpexSwZmtX7rtRfDvM+7ASxS3j9/cb4JE5uB4+0kZWHaMuNP1bCaeNIz1y2CvBbLzhEwWPjpN rYvp3NDxfSjDKObDvAdQ0K0VBLtFwNPXmt6QzV9hJfYyEMDP45v7xYh4DxPiOLViaUN5mOD4yc1t 44pyvW4YbzsWCsrTuNfUTkZUb0CvGkflI7AtPpEgGtdEsoGYrrPNI7sJx1nEEwGiLWL1rVUPXHg3 6gBa9CGwTHgM5IEMjM1ylpq1HRT1LlGQTgF7F5D/7Gyc8+xyyrfXuJ6p9DfM507Z/gDzq/+mIzu0 ZKNi9yusZkrDpFFMGNnhXC8+N26G4GzhkVbNRvJ40ATkmngHTzLPidIBAAmN52GS2rx8NXnorBvq ThQ9Ckmh/CYdwQSXLDIWQzzKB4YhcVWskEC2avviWfnTBFo1l8X60nSe6/GgSaeocmabGqEm/If7 vW1T5rXd/yKODLm2wD30TpigRNmnR32V/aWxXt8sWbGpeq/Xz7SB/FUbRT/arNRjYJiGcVk5wv5M bG2v06Dw7feTx8oIJg3ou+6syoXNZt9lwcrYo0aHkyztRXIRwgYL+Rx6sEIOvE66khWPn9mbAmHW 8CGCEY/89sE+LEQKrQE8bbL4wKkkULNQX4x2cBhT7TmBie7Ql3sOiixdfwRnBGXVmDAsRALgvBvh babpaiaaw6b+5mhm9vnsoBmNt0CBEy97dt4BsphYkqtkE/uUU585NYfyQOjey9PDKuPmjgJf9hiQ h2jjuFik7owo/Q8nmwKKahl2CzCL4qfn639AYY+6llcQTJlS4AqsBTVnjlsPlsBMxMJlyahUAI0/ VZZvpFB9+cg4AYGj7amvt9jEymgQigXmDyS7eLDAM5v8gD/X1zSJFrIe8UK2IiIw1eYft1ZYsL5K tBPjoCIP8EeIPKYwyolJ9EdFlweOlik1+BhdK94OYpHKSgbt3xNkKFl6ddkQWBte0M/YJ34ZkiOe xRykCuD6rhcmqwq+9hyumkQb4dwrNfQs0OkQZMPGp5wY+a9LF++EJJeQ+AslqAEA6JS1J5Cd0fIb QZNhtQagmC78p0b6yLu5Jjr46tzdrx752ZKT6X5iXOCjL01MXDIrC/kLe38f/KlbIMgTP8oeQhuX ViVGJtxe3Fez0XIM4I5EO1FjAnR6yYV9J1Bml51m5AcCB10syfUc4R12nsGxPfOqf0J8KR8Cqpbj PUekqXG6nAVfIADVB7AKvpbeGAYSURtn75G0XUZDHrfjDASgkUeENitJZjSNd/wnCyuVOLsY1iqD Bqb+F/S4oYAeI9Xla6rVpGPpsxpJ/ad7cN50SzUT+4lHzYQh0J8doaEWn+Me4R0uH9QDahe0rrB7 G0leHyFl3mju5NheW7vmYUD0Ye6blrdPFiKnlhAS6tEAd/hOLKcT+dMTWeR1tN6Bz2N4nxfVxF+p 3HSZ9wyeviWzyLtMTneYHC9h6r3cQKKjoZ5rq6oEoUx1a3yQJalrCQSLAK11l0AGrGcrsvhudPHc gdPOtZvw2YXBm7Mv6ef9alxlyDpmwR1amSiuNfPBz6WhUczHHpGOumjmzo0CBer++aWlmCGhqjMO 1FC+INlwIeT0AhKwOZb5xqUoo3t58gXV6z20uV4is40UhDF7CeImHxlLQSXGxxrJGcmj6XuQQKI8 +xcuHQFTRJx1+IGnRCemJ0IYjMmpW18ob4Utzx0U2YB7BmGiIO+aw2jltM89SW6V4qSSlvtS70+p s8zU3WmB81z1Zm/vbarf+ulG+1JQ38OAXmG2LbIe7TupLoDGc1kLJ7AB8LxzsmjBnKbRBF0oDARz SzOXasK59GxiylDx0+k8L6UA2BtBzuoN5maoDPILWGm3eMff3GJh3O6+jRdak9sN0Zb9T5M/UGxS mTgGScVSAbf32UGgnxJzydwTXcSjyyyaZLSaqRoqMTbRJsSRh1Vz2XhnIDL6JiGLtR+q8Xpd1JhW 9KGt/EQCAGAKaIav7coRP1EABGQE8qax+FPQW7lO3auVmdl7F0nEeE/QbbcR9q4W5TlxvP+ltBAV b2tCu6YGt+88Teeo0Xgz6vdnCxoh67sg7WHF1iU009aS1gB7fBaWYj/JoSwppdP2ZqItS8+MAC7h peNYha9/MeWdz0XLQB9muxhJ5ZPvaMKvCYKq2klE+Sfn1J380rG48cgYEP7gO8FlBFeS8iSh8TU1 iUIxvFHHAKJDDpodrf5vdFQWhaejnJ4e0l5ng1iYbcGWkUsfqrr3sThSfS+WBa4sxXNt22YhCRjX mW1bhL+w67HJCehIhH9R0nIqm6rlcKMFHzQ5qoHMJyViVdlOXn8H8HWmw0dfA9w2an23shMG7bmd 7cVYOh68XRb020huN/GZv4ILtrFaJJpVWNZWQTSxXm2iFxk9bXtEO2Q0OdiX5QzXas/TYxr1drU1 J87yKNCrokSVrDBvcYPj4p2HLJyyNIa/xdAERgau6FB5OEl1ctjmX3x2+j6TT5V8cFpwIhPIdx+W xG7TM0Cd6TDL5bvynMpdbhEkr0UmGopPHb8pm9rlWALoOxH1qI7dWpeFbfHyVertihNl8iGMvXXL 1xRGD/YqgDMhHAsz+a+y2LbZMj2X9LeD5Uf4BgYzSXhyiyHGVXBnnSE9nwl4n8QpO/9s7KSuFGhw KtR+doYZuVjn8nTefjwOfxMkeIpc1ku2ufwI4l48KtSa9Exaa0e98wRjul5iB+beoEJV+LQiaOzG G84T/5u3tYWD2/fEKMggRWibOtS2SdIkicxvTm0L+D4WpOC6zZcOUQyvzhj4CAWz8JaJ2FaFf8nJ TqWbmyBuEMx5jEnvAaxwpSdnIjTy6iCjGqCnFcHQ3zi2mHvSlF495GOsq61PYiUZnmeeo4VoPK2u +R87Sja1Hms5r0ZH6tnMoKfPLdzUkMwr4k29RqijzmUj5gzXEwsCUoL4GUIkmqsiLzU0CHaol01R wzP9IiUfg6dad5D6UoSZPLUXNu5Lhet+QUmUBovOv83ac05jzSX9XHR5KLmW9e2Nw5q3vNxfQHna Mx3qkpaYUEIA6kHEp9NIgxA1O8dLzgv0iKANeWpM6Iap97J5Mgj5r4QdY1SHg7tCMFC1tE70hUlg 3NA6Z1OEsP4BjSHMGijiTBCWHtYAPsJUcjDo7COOhex7Qxvl2nkwU52Ag2QQB3s5FZhxTtpTdc6+ aiz0xBwGsc5MxMfglzpBIeu1jGLfh88fKB8of566UcC+X2PzWjjeDVvj8MD2ypn9XRodb7vCIEc0 McV2/AsCDjkcI/tzr7205HIsa3+RAJjrcf/3cJUZcvh+wmzzr5UYfJJ9URGbxXW7g86QxDqWVMuq 3avsHQ4nz3clme8GcpNMMRP2fSbeIQOvXldgC8Gzpc84adXRLqjjnsFpY2y7I/LxFKYHk9VJrlHq t8TN2GQJtr6VCMuCenMG25wZef/lgkTasKa/lki9F99pawzDLRhfu+wQqaTYvUFzoj4Y/YvgC3rl oD/ofRszBnWbeLtAX428TJfGKkayOf/YpRfls4FXLhhNjTCNABlREKs11XfDniVYTqvDLyHStbwG Slhu6jA3fVg6JFDZut1maepaWreRWyIRdFNYxwt86QoPg7wFfPHsl22CtiKyLdLPB0ijOCcHcsmG a+M6c/LvbcbUYDwjs8lTc1D69r/EzeQKmaJe3SKL9QpMDdYYL0KaenzkzkENpMIMdLVbEADaJbxn x9biAl7UwyKg04p0Y0np07BOS2Lhqc7ayoUNiRDnuQFfBmsELUGmn790eOO+6mYhylxPgQgWqTUC F6SjtdQVrFbOobFbUToEhtZcsxNog+RQZeedAFgcDTAFf+cN5uBe58fEJ207JEQPD4HzqVFgS7MS /J0uzqKw6tJhnJJHuCcOkyBvC4lDAwQHHm6NHzv4EtDNIXEWl1WRK1WGdC6kTriDN9Uc1G03uAFE 2+cwhjpqR5nRca3LagIUpkNrs7f5nPuF4e4iOlMDcD6ulM/FZOhy/Dke++37cKdy6A+aabd6MbWN UVidzvP+eI7TLfjFH532WOHD2lS0N6qVPmXVvQQQsqwM24ZBk/npimKpAO5iToBWe38QiNgVv67z /lbq8RUFZ+f8pOdmWqNg+vgbynLosg0eiC+hPTcZ5zniZqyGRCy+iAQl8JiH/L6aNgr5a/t1SA1x uNStA1vZTzzLtWWSHOQYuZI0d+SvVlSebLyoky1GiyvPVF2RN84v7aOsecvs8sr8aQmcDpE0mOgi Xaq/LBa5FgvG2MWY+h1eWtKvvk4yUauK1cZ44ufTGSQ62C+3ke5iuGhbdpjDRBHHOa7lLkWoEvFr wVdfPzNqQ5oifsxjXDTNnwXP+6bKlZuYs/ErOiOKhjWUK36ecxX4tkGEaL1sVTGOmNPZYFrwJtEx 0f3lOh1owHACR0sw6l3zgofbj+rz87YCZ8s4f24JuPND7dltKyn7Z9aEQwg7lkldJa+YkLtUJtz6 vTVSSE82DWir+NwyUo3JE8wSy3WgFubuiFszT9znuIQeRvNJ8RLrN4O5hTJeLQUkRowgV3TvpSCW WRF9aWZ5oZFLtXtcwTR6eUhVY44AEZDZCnusijzywJzv68/vWChRVgW4/XgAfr28WlckO8BrOAS6 aDOIu55yDNaVEwKAEtF1pm6qGXrtwXjUJSY7iAWTGfn4OCIkY9GdstmYUwROwKfaNe6fg6GdX9Hu vJq8R1Fn78b8YRJh2pXMdQp07gdhj9fAotGPdxKJW3Jed0F9OG+2ERkz+z3LYRYqIkXd7mL/DdE8 VkwUkLcT19f4iqzaoYrHge/oVRbL/9XK2OQQluB/rYz7lYNdJIkzQXDajuYZqOVFMLfaFk2XHIZL r0lsjwoDa3YMtdOYC9pkVYtmNqfWIMaypTK9Q2iXux128TMLDodnjUdzl6HhoV4smUh6uiD8YuQh IkgjWhDcqm0GHjKClh66/FbqHj4ief5EAgFYy6Avi2xIid5MxEHziypmBksqjl+P+MN6DHtqaKtV Tf1HK8ApMM+S+p0Kixfcbwqxwi2CRhFHMS7+tA26EuiVBYol6+D/MV4QHVA7u3oAwP+So9ugDT92 Fh+Vk5kSIh4m1i0vszyZ8Xec7U1ccMDDb65KVl4jw2FT1cIVsP0ZDCjy7/QwW/uKPVMgSh3CcPqz GCZVmfpprm3xLaT2l2aiOOC8z+CFXx2KbH+1jj8LuoOL6NzHCXf4OgDY6niwATWM44ly0afKIHq1 FULK7hDygf3sQqwzwuftGmq+AzApKynr07rZlmnPzJmQTrcSR8skYXeCbDozMuOWJ+gLYdIGg8ts Q1vTWMJmzpKeuNf8vpI35awAqp0c8K9ZaWx8R1CgPcn0huGlwvZKU7pjPYakCCFfIBDEkwzsNb4x QziMjdDuzq7Md49X5z+Ne3C7O0b47y4FHi2YRwFFOENPcbas23HUdFZhAQNYz3ix8kRtrsrKJeC8 FmNfWzc7s3addjYKkBr1faAsoOY26qYUKyRDd2R+mc9yF7lojfMiXa6t3zKpGsbm96UzWW8avkkQ 6HYDql2W0Oy04tF5TyqGgDM4zpg1toL4xAXCJz4lmAIjTgHTZ0gwnR1/M6wj0kBPoyHyyCa5wOBb Uh1XOncsHV7U3F0Yr/BlSshOM3nYHVJgNaBYs8BagkUxtdcGujo4kZq5mqvyxBBRc+3boT3JxEK8 zd05GENYEX26c/1VoKYiKAQfcrGVoQvFRG8AieEvBEyEM4A13Vq017/zL/lMvohrVo0SqloD1ae6 EXE0mKzk64Hm4xzIKue4sQNJ2Ker3Et3RWscNrxNf5eVI1KuWqXadnRyDUSGBo6BrWjHgwdQQCsz 7kDa05PDAlGmER0ScihNRbY2U0DPKplyZLORkm6CQ0UtWCrLMikIYtsPVPTU/+XWl+kZhMXTEmbr lbA/LZe1ICLoFqMHPlh7BAZjB/1ki8Jj01PdVryZzeYH0O2VMQh7ZOWF2hxDwCLkmx4KFBa4DvM/ KAwftTMWehcSJZq00jxKA5g1A9MwzkpGhTOCSXc2J8ESE4vro6O+AhLdpoeFkU795uodDa1jZmCW /jsGnsm9nyfo68dKcijlv2v1hsJmpDvGuEGFnt+wBecXOlDX1w1vf9Gz+PzfKxI9zyPL5PMOEELh /rhfCXK36NPPWMym77Pzw7aSj6AegKFRwPUGOHbuzNSrzk0JTd+ncRkm92yhSaths9venZAAVs9f jHl/1e9hJtus1cTvCSudlPgux7EuzqxjQ8SSnklTqsYmt1DJOd3noCs9t5G946GhKniCN1n47LLy s1QGcT/IQ0+iEu+Twchuicrl6KyhtQAZlbnZyxrSeQR1MJqSLM/duYHNsgqHMSXp6ysC1AIgJkWM /9qpC8OuNrftZonbiO2H9+p8PL06itFQ2ad2Xquy0nTPaDW5A5SWe61/9X+0iww5uGLJe916ox/n GM8wG0gSZQ2/vqwnhta9JBdifW+EwS7sKQ0r3p8aEHxuGMUKz9mVx+HmI1/naJZR0eQxyuo7dHRL GcsAQwvR5GYJ14sOQKjrk5IaQMjDVLgLxUNSrlxOi/VzgCEOjSylX9JF9ao8fD3NrB+vZdhYpL+m MV3X+hmOQRSKTK5phuMrJmNsQDPImeStYhNyC4/DdDqKSXwkrxOXmVJbUySgvZRY005o1VlZsdRM fV5owZcPA8oeUOtnEZJNb++jElSXLUqRRMjvEbXrAUAlf/daWbsqWYGUSTIDO9JYRKl0FnfHZX0E v1K8MqYgUJW8Yn3eDri8jubLVdtRZXnxONGH6xzNpIosNcBdI+ilR3rkPtS8LSgA7mueY0AOgw5h IkIhqLhHLchhpiZqnLSbHr/+ni2E7PjCgM2E3lV4gP1R3WrWnHKvj1gAGvAAiefqC0MFB/93ZePJ SqRUVXeAHnLF17aDQroGfQP0LlopYeE54jCoelYGP1v09BcWfrRXqBKV9hKGM35wYwuURiAGh6Zl A9g0DHJLGfoniAEmumFbJc5gr5fmy7ZF9+S/vb+99uecmfHYCw2PxHYQ+4SP1F4OBsy83/PpywRN 1iSxUcbXqOLGVdWBUNf9C7dX3bW0Ib/R7V8ST+6rlHTvib8B8WFc6P6mfjz5EvJSIPNZp79izJa3 QCv31ymm88TS79wmSZDd0+zZ2y8J8hJSZXh1XFyFNvJIW1DaE6LlrKrufmig8OA/yL4EK49kBgQK ZzbUpiFs/Vb30yGgA+EEv9kk18XLjsXNwxppFLrqXFfP+1YJLDjxLcvjh6bjIN5Ti8qhhCYUyEES CUB6D21+11bx1mO9RWO5SCLfSUE9iW3eHnOfLkQIfeXxJaglKUIjLe2G1ooFvFxaQo3bVvZIukMl 3kxF/6ZLBimHyavyKFkxBGQigi+D2BRPVnTeQ9lF1L9dU9gSe4uDCHNE7jvBRZSj49f2gOc/xREx 8GUQlwhCg3QhZrc7OzK3d3FOFiWG0dF+LH7NiQOkW4l4plb3pDRLtr0MSswCstguERcVCRZIsCWl 2yzdSg8nlKnv1BYQLp3NEmn4fP4KESnjkzEQnHCSgv3whAYPtA/+igmC8914aehgpSh/VfQsrM5a 6qM+NYhjddJr4hiqj+0Re4WfdhuiUQsCsk1yyXr2dlQmPYR1pqodXFkp8VVWGctIetR62vrQHSDr hlIYRqOchVI7IBb2c1BJZPE9mwjXsNRQ6UxUVyZZ5DBBweWmKpeTs0XPmHNptbRwq88etAXjB/1S pFB0+kK+ZATr8KzAkAxMi+0SAB3UhHPRQJJTSNqtVnZ5MVGkBPQnkmyZyBLvwWQaTKpIFwqcLJGs 6CdR5g3WXECcFRc91rdczlQZWvvM1MD72j+I6gyD/zwrJ+RpeUO/pEQhLCAJ9lmsHTjPYEugV12Q Kx25h/pxovtAqxjtz0CH0/c7krhqSz+l3pUoUX4ga+OuW91xDOmtojViuKyEFq53f8tyVrvXw9M9 IayN7icS5Rt+UA5Sca0L0Z9RdMzw9EJNm0tJl5bxIknaJcTsqsxf7cl7ceaeFbjkcQsTxW7XQwVf PvkdqWzRgdt31bQCeZYiUEHIDb2JBOvXBsvWJSLQ/bx5UUcermdLXkOTEfFODxtzrIaGgoL4gyxC U3g1Hq/nJk3E3QG6ij15yp0/+1IzdFmGaChrHlZsN+aDYikQAOnGhw/J0Mx69/SzFJj44O6M6lVX ME2WHHhQKuZ1iuXqz8snGgMZlKwoKmBs3MAdA1pkHqQ9IOJjd3KgFf4YMkRUR5uWsJ9Zc3atlrQX 5x1oYRZ3GtvWnT7V6+hMaLSFDk2Qzrunc3CI52YoDTMCb6ccGKdDzNQlPZBkJ4iZF01C/FtnBp0r CbvMpBGpOqOEpj1TZW+aEwQ1kIjaY8OeU4qgvRGFwqHYRopOgQGiX0kTI346P+6CQqzgSGWgd87/ w4gU4HahPLD0p5qGAQKB/t8h9XwS4fZ49aSfVyGdJPD5MqB0wO2QdBBSFxb+6m0upyk9Q/rP9BSy D0/GEdLsEvlPoC/fkqewIw/LKh2hyn136GQX4eowv7q7usYUmFIhHZNaier552g9ykSSr8Mi7s0K XH7a40ye5UeCsevO7N3OdijtB/NseKwwG8yL1TzJfjeN37/1MI2m+wDn9+Zf1dmzv9TKzjOjbdEY 6UDcpbFBhNwKpcH+sgqIqxMQNlThEJbTLLPrDzdsDowGKv/lQn2rV0DMQDHllJ5m/j0XC24CFwTA ZViWQ3G4azIIQba+8whs5n+LySWMYsT1DKWOhb9j433BjeybUioR/KjILmQJrKj/hgUqyy++GXN9 o5j3xLcnWZzvlXrrjEYLTSTnitsDUQEFehld6sjlLkzBEmibQJwSCm3CXJNn4OicTx9vGb2lytNL STgG8+OFYWQRcvk9GzII1B1spVHD3WyPBN2CldOCAALcRKrGoF+cfFU4Cq89k7mfW9bnf7Wq08EE PTS42bY5l6YRjImm5YGxWDwllHOu7cyHUemNuNQAncDTaGTHriaTqSWfA4i8RYWFdLGQRRIrqvgL yWq/x0UJqpwsQ0gLSWQyRdLhtQs6DwcX8sQWEScXWNU5N54PNzefyNrefTCVVMyvkpSjkATkMZYG KA4EJ7eHMg2Ui+ZemZjXu6tvhOyY36vVWBh5w7pi/GoOcc5ByvDb7AIbkCvvOlAaDEOIEVN6FHgO 4UzfTQP5mkpU7bbGIObbIdyC4if5qKWzVLaesGQ1jLsnmZ3GU4ZIwxXYpx6HvjMcmTfOg+GopJ25 5+dMPr/3PvpAjAFRlmEmVm61w0Xr78BBS4bP3BgYqmjubbtN4ux0S+lNBbQmWOOSIskYOVbsuvkk 1Fn4P550B+TzVcpT0JOwTE1U1tbXVVewf85OhBuOjDCllGThCVbrv1DjiZBlKGFpmzHW90IPpRx7 g/8fF2m5kYJkqxgxH72AsBjg3FJQXlEnH5lHt8GLHifhz6Nwcjk4laHUYIwSKo1Ig7f0Bztkz+fx lgJdQCK/yon5NDbUdARXm7LH3jSgbbdISYLo2+aZV0CaJjGOG1+uIf/fjhAFtJmAScOKFQZAiWyr NR0Ya2Q5AM9aBZV41V/XJuI8LMiC2IVK2jGukmKSrwIFmJVkxaoS6VTO7rpzk+34EfIjqTCwxw9j VEN226nyGc+5jE9NL/dtvHWd21JbiPPJWVGOuDhGRwxG0OqCSWlWlfF7MBMJ7ai3ugyMYnF3hP6G b4+pYBD1tGYvLuxUujLZEj48bj1zeqXQNKR6uU+Ng/k4AX9HOqKCmkqf0dfqML5FkFygWW+jkTzs nl8C0K0mgZM5j1kKc8Q612Di8Lk4mVi4/tMVpJEYMMRCB51PJN7efjnEuFwUhbhtndfzFWtkVuMg IHWkc97aeg+CbXUqGfwy/BII9JIeL9umon93d8te7UKqWUaN6HOH9VxRIM9eWGyWjINPpBAguf8y bYcEL9YlA0oG1W0MTk2syC83RBTWAF/Knli16l0ERuNRbG8rta2IHblZOGiN+mBU0znoNflngA2B PP43mhFqGHYLFwvXWbMso8+FDcDLNn0iujr5wuX23wDo0+UrxgLmjSXaeUUs9cWElwiXWU3mtLG5 D6K4Z63rsosnPb+kjgmpQapNSMe4bJf1uh8+SyRPGg4jL4lDzClIEzg+yYht+2Yd9t55ENUivOAa kYJkd5mx9O7bIvCErou85yX9khhdtKcTMQiBubGWPBCS/hACelu2xM9xbaYSkkmp1MXD3UHqH/3I JZT8yvIn7uEHJpSCxqAtwZDkn4U2rAKkLkJOnasRFRsEAfpNUQIiKqLIEHhsolQGtuH/OLGB2AFZ 5XEDFMuCaSsKkuHJxi8Bsndt8xMMZUwdC6cPsk/qJ8yERbGhqkVAynZorZV0rp6utKH/k/fyhD0d cHKNeuipBT6tITzoKZjGYfFSo/ACq+6Iqp7KKhVI8Uv9ZTy6VTnEbgdBEpLbh1P1CWGjxKpOKmxe BgLh50TOobZHbFFFy6FCyhD8kYq4FgLvWNWkRqZ9LTQPPYx0xJdPuQFCQPJwCNikp3v8cqK5EcLl yS9MeCAQHeGML6ZdQrnfuGMpNA1Gn1qWVG6zPJnqXUJ4JGTgA2quSufreTAnmzNmkiOCTuXIvarp SWzxi9EsOsT37YJ1S4doVAcUTVGggcGAyjtC9ZsA6lTsnlsBzR2TJPJGP0H4w1p7kt3/F/BreeuN gpQwhOyjgjAUa/VHc3Qq6TKoPbDUni6+357iRt74E1/H3vieZNA9utweq1SpTfaVOsGVUq1P1YAA QCP+wYPznR8DxzU+ASi7g3QcFZF3kRBiFRiBTlSBuNXSFoHwJx0xLP4/BjTUGjNpcz7EOAY3p3vd Ks8h7cSEh1VqjzAkDtsUNjsgIW5znwJreHZQDmxC7b09kcVkn5B5+zv6gmB4JvLqziYsxgGu7MX3 yUxpZ6zKy+VTQDYaLkjsT+0mUCGA09z7lEi96q2pYp3i4w5y5jyZr32PF7HqfynH8OxbkhXaW1IJ jn6mTae20HuUmdLma7Xh8VFeWO44yMatG5TGzNQBqeLQRf3Ai3LeMlQir7YKamkxCnySUbxldGYF L6JH+YFWyTF+bDR2sTRB++jD8E1MtDSirKNuSN8tzpqmNRBN2f3MngMR5f1Bl0RKeZUcigyy3lgN lCvtj8UlwDL6cVRG05J5sytYxUFXsVw4nmv9cDrA+0Rf2nGRBmpMcHW1qs7pq3rT0Ye/085mcAW+ 0Z6pTsx/7E24WfAuH5mwtep9AY+RD9Jk43/sNEsP2J+/fvUXG/mS6tmQVSBCLZU8ATgOHGF8T/YQ 2xcAQ6UH808lJaWWZ4knfkJCaqq4kDLFBJTLDG3zCGeH+ax+uooF1pls/+JGAdqy3DNULHdEePUs 6BxBErfvhhW0psT+cDHVM7xP4pkSQ1+VNzHrw0zIQ5GYUnmZD0w8vAo8yD6zlGKzJxCuJ50Mj8CM QXyrAN32mqRYo+Wx+MI9BnZgISwfbpAeIFXblOb110zmiUfP8PMy4EHZ0wWeb5r22qfTb9zRA/UX I/eqAKCJH1xK2rrhQgUESC1Y/7Uon9EzWshmlaBFlyPPuikx4nre2WPbJQAfLmpWDLvZXEOzjGeG dv35rjWZjgvTz/pycVWa5Bhgt152pxBILUMIooADx8ar6R2NBP2IYgnSHGATwnuc4M6UO7s6NJUe EnfBWnpoUqN20SjjTBuaFWyk+lGHM/INNW1TgePJW9KGrmuCf49CNFqK1lQqBId3lTHkeImXv1Rp fOtsiUgATBQ629jGov+x9IX8KMDvc0TLW7VGxwtmLr3/Ve+GPJ8U9HosgOk2MB2oTjkdUjYFMSKE bNZoSdi6T1YDUx1Pnxzq0QMt6xP3c4oyrk1a1+s+pMPEJtYmZbr6mURhY+DmqJ8hOoT5/sPcqL9x M3UYbqv4MFqK6iOg0XUnxUEkgpexbK6E+tHDKV1/E9Z6Z4sGx2GSRM83gd/V8QzIvl1f9RGYNALa 8VHqMbatCRmHd0bZJlsVSw8nrpbD82zgkBLx69mxGPaNHs3uroeeIVePwRVDE6YquL5R4d/nVPjy G7loqPPUbQ9uFc5SF+ga8BFywz6vTZzjILoSVEW6OxEQIUyCmv1r+aOkMbxCI5hTTqXbKRhyaXQx E+PsQM7O76LtnoiRbe+mwQQJLmaZrKxG4myv34ak+1exGwzdebmku/Wq6eKI0BazrZTFKTfwOfm6 uA/szHY8Q5rARkxC2OakqNgeYsq/AGaNqs03AEgArn+zlyLmLSwCA6avy1dJI9lnPDU2CQaPt4mT EANToxQclttbYwPsD3haPGe5J4qus8FFVAU6eCWZl26s+rngVmJ363QqYr5u47nZh/TMVhZfbpGE C0i6/CWZmxuZJKmfujG38YWRLIbhUxKgoxhMdxX+J5NG/lJhYDFBEGIAWATmnUhZlOrWzjFPQTW7 Z8MF3oxq2rs0aPKXz2z6wa4yxRongjDuXhUqg92kk9Jb2aIl8QfaAvdzdlpycOWIAOg/iXT9RkJu 96BcPe8htdwTXoKQ14hmUWy/2DUPnPQv8u0HcOXM+J4FMbmt3VbiOLm+hyQKLbS0bbuioXXbD2W6 7XHQDAW6nHquwK5cj8zR52+AvGfJ6dgPuyXeh1NVh6eYI/w5DWeNZesP9+DQXlAi8D+MxFFIYzk4 bXsnYHCWlNDJ0VkEwzXD/WECXPBLbHGq4zN53jp2X5NJbCnuzop+gJHu3U2nCvLw8hFG+L1j3VUt pv0FISz07Rp+EZgBhQUD1stHk1B8n74A9RqUrhxeM5PF62rNbiXx41wShPWwNeVe9MM2QbKKSoBD 7XrvC/6bs+DbLgScp6JXvqr1anVJBBaiEbneXZ+t8SLYO126HH3ThXKmv7LGvkUoDgdUqIVOJyc2 kewzFqfY7j11FEX/hLRV/JlvcbJ1Ah73bDryok2aOoQ40TxH8t6q+yH96TEwX3gpNDb8dWmuuoQP BxSD0bm2GRo4s//x2LavxviWlPwwUQhPVT1FEpFxKagq0INpuMfZCkw92FotwRAdZG0vhTo4uzdY qHlRhTcPzWOgTuPQgG/obMHoBYZo2XiNrAvwiA0tOSDhpm0vzXBx2EVADfbZVSkT0UY5YG2E+Pck OfVEFHE+pLoxJL0ypL326TguS92Scaf6rjECC/qOBHEc9SQB3G1e+HQ3opBeEoiLrpdpOFwJhCIt 0HNxIcp6cbVUx21UvSGPLAuixdRAqK9r6F3kt24YjPo1JzXz6Z4E4PDMnWVAfZQtLX8w4eiRAdhF 7Cf7T3UrMsyu8mhSmQ0nb3EgdQyoyHksTRtArUdOou6bYB7qSwRyIsavlxdJ3R+/FqqB8RdnFuwl /5rnnNK/BK6dtp/5jWRqS0thdwVKwSSFWPGUJ1Fw+HE0h8EK7a/oLdw8bCtjmrtz1TYjRsBjuLmk i5cVlb6PNBywDI5bLsMz5vyV88pmh3lwt4Ms8BdH4MBd4rP8gc2QZQmeY+2d7GLr0EVZZwnWTR6q sYYGTyVrjQAug4moK8eGWSxNJAeAbSdpHHncE9mjO9dYhOwgVmn9xNnepyYmGil36Y1uxtRPvuXN m+njyW27WQvTrwR1YDAisJTsaCCfnVH1+cmeQiPr3dCoLfk0HG1bQnnaCVwoOqQbPoDK56o7U8Vf pAo1YKaBNp2jYFW8hM3YtphiFU4XKCz6jfyDvg098XbL2sTAsJMp+DRaumMFEuTwfm0rnCxddcQ5 sL0Ze+rF5HhugYKn3itvq0DdGumVkbZ4HHK9P4ApemGdRLzOHJyn4+2WeAymBI3azVIeUzU3QeUh rOX5b4efDB2Pfm7r6iabX+mDWN6UCxzxrzFIzZ2ZMkGD973RBByu3YOsa94cfNXWMC5sptC+i817 aBR7eF8d0sOt4gRPHfcz7crRwZ8BOyvEKaI0ZIdETpWdzc1rOJ1J/7i0BhtXIkwrB0aJexlWKbgs Xlb7eadUSMJmgJ9lZ4UPb3BL0vqFdYlG6QsSZgd+tXsszorUvs/OTkqDs4OZjvRGHdgDk2kM/4e2 G5pwt+GZuSfRzW2GIxxXyCK6EjQArUC50Fs1Axsx6wmuyjusCYWkfH5QxkMJAYpaBauMqVRXjSAR GMVVedx0RprO4qd28iC79GNso1Xn9K7vFw/VM+1dKMLW2XPyE0dfRyDvmPTwNJV/3G6uINr4U4n8 kUcDW3Pmw7JBAB3z+oBEKtyYXshpgIANaeXm/YqPOvGFRbTIb9MkvAOMxrewLA5wLvoEV370Wxeq +9nc2rzxkbT4YPhCpoZnKaNfsRccluq9GvKMvj+GwrwvfnLbf/HumyMs9Xr7MHIHoHJIiloXzAgo 5j8QHTSO5JCNwRqnOx+835rTPQZ8NluvhfkEvQY90poQvGCie5hwFRDnotRC25L2ZzSSNe6AHFYa 2vkoEIuthq6G9qazvxInqawQI8LTMXhUsIKCBV1OwoRe16hH1ziQ9ShWP+UyCy+HCrQzB9wnOrov ZKf/7xOE8YxzSYPopeXRenHvqAGVhuAHHSANNAgShOMZVR04t3pP+GMJN+LQ4/WvXb8dTBLI05k5 oUW6DqVSeH2WoqOsGt2J0lBwySk6VdDp+oMpj0sjtnKJBRCvnXQ8NHVee+usyj+fa25amXBPKurb AYfhva2AopiBJNb7vouXMdjf8XhJf2dlsPtEZCq6dV2LaThgSdrqlgiftfhYCM2DYgrq5bsMRy0d 2zHeYoySmciJtCNV61832NkiO91wPPbIF11A5tvwfxVyEOqw+hidOazEVuVkOI6A/eDhWloQ4ghf evVVehoMTLTyz0VmNJMdX2ZCzICdIoQfc27GXK4Po3xkFeWIzsRVVvVPuthvTcTCnpuGo/8qBTfN CGO+Wm+sQ5tGn9zboU/SR0OdROSVOtYLZWwiMNFzGprfyVeufmIflGS5dO9K7CbyCvi2qOD5Tkh3 txf+F9P81+zroimNXRmuJtno0NsRD1y8UV+o28thhe+FLRFMU4hQx/JCYX1olb0UqTegNJf2Q2iK sb9qApmmdLkYKwW/JhrLI2AZyq3s4Onz2J8eLJrihohOyvRzxWaIvFDDkSdsJJ+Em0v2LlrYKeUj SN3NmOEsi/Y2FnZfcLU+08lmK7z6WwEBk66ExsbCq9VORAr7oq8588UMHZ6BeqRf6L++zC9y2i9N fwFumPiQRhobKc8/S77JAopqSVXWDtiEpeE6j/DMKStTBBiManbR4bWjQZMyP7HRQyrjSrnqsGTO vmupf75NvUmO4R9fgW35v5vKi+JCi8F5YDTX76mrX42PBOXffbOcvu/oSJHgAO4eNrtLRqp01VDW NnjPSBjbslYy6nCDQ0PHRPtakGdBp0+NsbrEk7QU4NU1imb4ow0fe/jAvxv1zPIMI/wHpz2yi7/A UHgLgRdXEtuh8tmKLK02FX829Em0ljGE6zqveBplBibNrBqT/3mUw9QkhqAcGNp5aJTuZFAD1hWv h4DRqTv/k+e9QDjuAewhfw4omzLMY4uS9TYpmxWo1meMBsxh05YnSxcNOAQPSvqIbWuhesLLodqh LeZfY4W2JDHHAxqm2vwNwfscvKoYNoiugQAKpcGVWLuuUT4dZ6geopIllyRBOIlu4LkwbjZrqTHA YvFQAUXh00mnEb7Ta1R6QUdATCzRUXvTYxYVPb/3yvxMkjEkMvoxikRM4AZ5kYuJ3/+BylXCIYQs X9qgpTNOf98ObzbLeYaHBBUqs5t7TnR8fkLUYVxjAdgH3zZ1H5UuZW5xfyDA2Zf9bRSafZi5K5Uc /MsZ2f/ryeWTlM5DddLr7rjPvXRygDNMf5pb6r9ZonHLl7r4zUJ2zzM7kvwJ8bbloCQfaMMw08rm rX0z9zuwDGNCq6nmXc5RiLF7DPWw45XIT7MNuqrTDXBp2KXr8vCOXe82slgyPsTOwJBZX0x77w2V zunO5qLTbcv640gY6e9WXB2gRilI1pva68N+QP71ZAiWyyookTa9K04XGxb8SPNkKMwuttYcWxPo i2s3x5h5QFHUF/7yGJ1wD5iP5lCYh/3L8TLlxGVtYrxfsxWh6/KF1Se2MKV0InO9mVkuZqx14vAp H+qgOYMXNPimGNSDHPaEwT7bXkk90BoZsqB539nqKzCHvUNskRkm1DILAUYXbCKYC2m+cw+7a5zl VdGe1/Xl3tuYYCV0MBPCWHImG2e9WpH9m4xDaUhkH1J61fkKpveJZQmGxY/CvX9gX4BnAPgMjgtA Tu8e6QFHgx/qLZz9Haj0ZDKkZVmPd+aM7iLS+4Wl8f5x1bWkmMcj4gGf6eRU1DqOL+a2q086mtOL PjJ6zP1fWMg/XufznwQHBjOXuqp0dFBBbQXDNM0ds1Fhykug0hbSDmxDnzpmVlS+tTatnyMG8qkI 6QE4c/nwpDb5W5nHzkP2ToWVaTKdqU27ArCwGw4ZHVDWaDuIJYhMr36ubLb6zT+Jod4H/5mCikB/ SK/pbZuSkqKdhzMTwFKZEHQBj+nY4wK2wn1A5ixp8BjHRjHdAgIFFXHoQBHE86Iq1q7swwlCb7Me cEGBryc9tiBQTyF7UUHwx2vWIuhL4kKsSASI7iOdwfjdy2D1JesnKXr7otk5Hj6DIpHvAo6uhFQo n0MM/NxbwyEbrfgl4rdZFsF+MURrCvCS4KdLxKYdZsVjfd1sHlodp6GoNPkNUgaXleXDw/tDh4H/ 4PnIXIPTcic9NlPDDGsJIv8W1Y4X8pKZ/L93hpzYy9vbc5T6gCHjFIMiXbMmN3veUQr0bN6rjNfp QA2MkdTGwvk9r+ugsTFtEzRYGIbDD0AtTDUrojuKIQubPYKBvX54oZ0clrMUQDcXJvsOpZzbDLJ6 QxBtWA3L/7j6fCyPJ6hTp/v4eaMSHdUnfi334yVl9NVkaq0okjmAZrzXQz8KMU5YozF75syuobvr w/uEiGAM6GgTjHlxNmcGggrfdazrlmUET53Dzs7B+hA4Ykfw092JB1GlRvhxB/Gwc3tNAKGFMKxU ttr728LP68kIJi/qol+jTTFRMogA5zk18PssbsAzfxnfhBTBzCHS2UgABizjc7OJKE4mdaalPuG3 x8XCcRrKX/o13fOuFX7NkPXTmuY0vJgI0djFId3FDxjtJTnvxNcEaOL5FbSg576xm+Pru10f1S+O YzUDJNoVyCRGErx2XplVFomSWsw4hs2vf2nPCEtRG9VA2paBPgpPIuP+DaDmvqT1wlZJEI6E8BUP elYhSR0f+GeDoSdX/6lpyx0IBoZyx0SiYDJYAon6NMNZ+8AMueDTcwMsOzgmeFC2XOFNh6X656HD rT1J9ZMAxp3aWon+dmbZoxS2RasVwWK7CIa9zu28ES1aPGgvCoTtS/cnIdlDCU5O3aYP9XodTl0F vgLqW+FnWBBESzqwkm6aVzKrtEb3wc3puv9hKXaf08IpRDUL+R5ll3y/i2sVgv8wb3gvFOVGo4Hs 48vTULBPtkKQCnPN3FvZf6j6yS5gysdndwQ+VpzluXoKcn9BFXkyoiqfPXVNyFUsKwxkEflrDzTa 51dhQFJWolYqRjQH/jM5yGbc1t72ZeMPYVQu8N88CAfVJsUK3SiAPvpfNbP/y4QYdx3QmnoMDjxM 34fZS2e7+M5vCD6rjGCCDDIF8qySED3S+Og1wvedfWQ4Q4hBIr2+67RnQcr4t/0uHMS1qAP2A7nk IFZaDL1VtgAqydHYaMdAScrPNdC7/rMD6yNaV6kPza+Nfi/IKB/CtmJalURrxVvbwz0p67tCqMC7 GURQ2tQlcyx8l9b8Ci/EBRagTB53CobW4d8Ggl9DN83Yi1SHZc2QrBjUsNQ4MQABnfsAY2X1T1Cz uWH6B9lEGT2VoiHHj6yI+AqoCmq/3AGw2h3f3g8CJPUIOnUCcgeoQjib7xBL8j3Hhnzekg+5sONa gocwbrPgFYHHFcfFIEw7d5m5DDZopUJor/pXSltgssObiRq8WFWwYBomqtrFY7+OEKKajp7bsfI9 iYV44SR5veTAvsueoUe6z8NKxYnv1khyxCyihazgLJknfC3laJ9VkQduP7/FH+MzIvKCv7STl/6f dF86eGCqW3MYZOcOFxdx8RuzB3ogNFoayaXNpPafGgJEyulU8keZVnkqmNHDlCSeG7zMAma88QCL G895JfTEnB9ZcSCIol98wNDtEyRkw1eMejnUi9ZJdAIHjufAtPJa8lYHSq88jFVuy/Cr1Nm6nRhf vfNYTO1OisYvXcMqmcCk5EyE1Bjdt8NNTmwmhjxNbLhPcEysW17ZgGrOJIlIFfqe8C74i5zwWoW2 4DuyfW47gAx9ZP89FYXB5osZCVjEh/Xf5TDu2khYbT/+spIFXipAaQWOpTSuroySqNF/aGMwJcsi NpeLxnJoq9XRBdHuqZw4YrVEQcZLXT1+MPV/VzRZPdRkT0tGx6j9yiQ2CCpjtg20hHEAAUlhRuVc KRzJhPcgUktHSCq9N1Grulf0UrcbhjzACFeBnzWK5gS68YImkZqKZwjlx+qMMUhlvMzjEWBPK2O7 YaDo3dyBlX8wbx+GyJimbRE3fFgZPJkGwkQdXIjSjrodeCOqkZ0rVZeHaFC3siBekSGs88OWGxIC H/E4t5eVLm9+qh9f2aoYs+YJ6MX/wcsRvKJ88FJmBnlC8bOLVt8/qg4ONnE0UWVwd4CTT4cCtiSC psdlu9cblnglduGI4RYITnhbfWOr3HYcC+Jqwu8++bvtg7FpLnOwAhGv+B4KCTN9sNwqOB/iYmky iepB23vDNby9JqYNVZkBA5C3Xk2M3GTEGvlyjylaRfT2eH3CAQqFQgFG2bugWEIdlYeHAWk9e1PG UT5B2nLCrp27wxR7GscSF0KnpeUQZHupwh3OpSpBw95AlM3qmPhaczuQJ1gBaq9+bSH26yUuHHI6 8OuZ+6/ewZzOuGpbg3ZUMjjOKPW2Ex2T5FzP/kD7e49Dx75u/xaEKip35VnmUiy6gIZnVXZoxFJz 9pmPzz093Z+iaOzE6a5tRDJ1RZWPqc4L0SZ8OOji1uSxY7yg8AL8qUQeUEscZezCPtTgQ+0VnUMs EK4JxVA7gmTbfOBmNGF2nYTdsc/I2EkHZSYdNtwoG8OA+cLALk+3HEXLWbu3oDkRNB6xk9kTSFXi 7iIF4vt77VIoh7uShRs7b1EkTFFET/yAYXFslNVGr5o17r13xfvBhuhFVTce/p8n+qTs4yD1YjBw L98e2Jsc89bJumH+AJN0DEZkpNFucnJG7mW//fwc96nGVUmQJwHqompljM/shDAsaEchC9borA/b vIAxVwLuDzJspT6fF90I4p6JggHUtMLQmm1US6Rnl1uSdekXtFbNzPhFyjw0YhPBgQnYoJyPB3sG JQax2WP7Xekzw7S0Dz/Qti/ovULd7frNUtv8csYJJ19klHEbK9ag2nkBS55VSc18Z2EF5DQeo+hW FuzSHOFkUXEpDHrlGacaKL4pgUaLgATxAn9KL36kRoOTBcUjCYG1OH2uADMxDksZRk6uExpDU6mB ws/XGa7HGWMwl2ZS3Wk6jYCIKaWY+yN+JX5nCtnovnzPP5MfxwrM/Sk4XhQOslE36JWvsL7JVIB7 NK5r3Q67q4lp3m/sFPjRrYwWv5lJVPAw4kfz6TJ+paFElwEQrKtKQShoLorjWW/IGJPknQ8cgT2b pjh2R1ZjG8GFXE9WCd9PHFpT9oVjDtKrmPtXEjqnLnCHBYggPPsE0SvWeQW2IdSS1Vv52OPAzjX/ CpR5CCadX21o3NMHwvpHYC7ojoqinVjaWnO0YUisEqttDre2roMdkVUaNf+NJ4cVV5ogML++B2Mk e3ZDwbUkCPvwAelV7tYE8MMI7xEhZ2FnGuThfL8t3pPj4zedRBEVl9hR7wKr/ypuozSAaweTgMrI tUOPkRYZaJhw2f9OR3YkVrZx8A4fV2vFb87dqF3McGzDbf1id6b9vtrKuMPwp14+tjnxGcquKSKz iYqgf0ihOij/Q1tLvAxbMYcRyLG0AuM7VhIN69zwKpC9HlBtNqqOECxX9QeZarhfQEPhHWPGIQs+ 1BBBpN6+Bq48kI55jT/+GuP7046Tj0szE46bro+UKseGPBreauOBZmH5HTbrF8nDIOZOiT/X4kJR hndIpEODgcCvGLEBYjw7Gm+uSI/7JEDuSnymbSWrzOOy11z3v9ELnxqWRiE9mzfgOa/5P5bZEzvp 5BzTsGyfIeFWikwWJNNMpSUrcPa00rz3Y0AoMDK6DKxdThtetwgjJ+/D7EHmmcO7H+GQP9EDY0+D iaWuF4M6vxCGsv+dngvU/cVXmUrhheLD2Em9EidLWmvbQInlWnuv07SK9TpH0q77Qin9b6VosWlI 8JA9agV4cmbTypol9VKQWxZqyL65t4azf10wOnR7gJM0qb5JRhvq96GjMZMqMQTt8APctuA9hSpT aMd0FixAC5TGxTfPbiPM23KH2gR5/L7NFAtxGNHILfSF3n6cQEZnnOf6D/RrUzzASL9yVgLqzs6T Pow7OOCAC4hxPecZj/cOvXBzkOLeWapyf87/eiu2spzlMlBuLYyuxUg5hl0U867iWPvXsiUwMvrd JeWZiBDFf9WCSzmx4iDZSc8skEiY4EtuxTwf6qvt8N2dgklCTJxi3Y2577URNHT8KxHmNmmjcTEJ qPD4bM8cjtRaB7Err9ZL8RWIAI/x1ARiH0g/UoqNdtPk12aj5/iKea/S6scNng1hA1bsY8Wv8X3m /kKoLRTgjZoogzCApt/H0yiUAGoNNv2K9ZlvL33ykczMjNuYRXfpavyshfodJyxADd3UMmHPDAHr 7vXsqxQAW8v5o8+mNOrHnR4LL99Zbnog8OGO48aWbjbV4I4PWkKQ2dQFgkW4zcqVdmbo32yDl9FF dkUlha6FVEHDO6jdvilLgTk4qxP9Zl7LX8aiAojkgUUpP5IFhPat1cchk5D3yvMgPbp7RnVomAix Q1ZpQ8wx6IpDUhiAV9O2b8s34ebHs/3IgXvNUhsj9Edbvek1oVkzichMMWjXQyRp6qY22SDgmhWO Cg1LZ9K9Yycv9ZSF/k2nDABHsVN7DE91z3MbTXTHNXZzYqaU0nd81HMpH+WSpcwhQurpT1+7d3Df jOiGjjRjA60WT9tbdP3vHgJ2auSthjuVNBzgRuVKTz7F2sSo+djTRoPrz4qXFStZnCFvQGWfKX4d o9pk58bsrcfjZBI2tNsPC76kNE5gbSK1cEi9cj2OFHASrgXxxvr7E0TODkR4kO2ppqWI4w4jtcEa X6gm/7sRLaY0gcv/xhfpCK7YHCfzD5iIA0EhxT574W9qkN7GaT0jFqMmm43F6IbGQS4n4GKk9JYr 5tflLFJIB1NPvShcjtYN5fhbzKzGPmlduupiwM6JPFOEg7pvKqxCeB6OBlaeNRp3u67s8Vg7hwia Z7kxJPPsM34CiSLmwfan4/AEEV3pX655cR4B+Rc3MIt3okssUB1+vEAvtcU92FvB+NIer9nzlSKg NGoM9ct91Oqp/KX+9vyHBRIF4v1US6JVPKMcA+40yZKfjx4Ozrh4E6bmW0P/Y0o9voSaBqUmhvwv VxgAip8EwylJXIr/401dxWtr3e+S2+HSeZsp+XvSsBVzZugVR2gc/Nc9Aesy79YTTkMUiQlrY7HU U2IWeB60rQ8ZefxkQgdvfH9Nctt2pWxUxwX+MF3sy4KUrRLOw+weHDZwxAh96R7jNc304Wc/G8kT H+5/2WJER2JD+jPJkgAXHtlGGAiBv7xPEASN80E83TZjDdGTH9BKq/LBX4Nwg9o+CAINxT+TRI5k y61KDDMIh8Hr5r6VzRPyiqkZwa6GmRoDyxDb/u6Xy+HT5I3+G9Ub0oBQsLGv3Wa3Gjusp5I5dvo7 iycgMN+rsoT7JnjjZCkPxkEXV1fFEy0U6DzhXdjxUTPU92zPj7NnLa55k+Uum6vrDGc9/cAJ7+8Q OKHsoXfCzuGGmfyeJzpl+64S4kU5mewJA0QLNv2G1KFrs2wCL6QF+j1gjc+TmiE+WamfIhEuhiGM xDzF6p+HLmArIjtTHYi764mhkM7JB2yL0AuBVOlRWPtNKkfk9pvdturrFoWZyVvTbbOJCnSt45cv O47dmi5peAn8W6KlV5b5VOGMq1r3YCg1SkI+Of9U85vnSX0rTxRSgU/hRApA3Y5XCgEROt6nwo1p XQIRJMVm1sAUaRXaXFjkEWpukLKOvAI4Hce3EII63OB/f7/a1+e9ys22SbE4h7ieqgdTs1axhLMG UwNzhQ5wWO3G+UQzrxUYqRGw6eZf5yZEXXeIYdu7XyRFZBpjwTngg+iqENG/Mmua9kub2zmwCc7T /4IvQLTQT4lrBdeeA48V0JJVNrU54BYownFWBgZwoM7rRZ8PEz3WB7qMsCMBgi89XY+lIHV6wNd1 jISSR2XzRO/8kXKKk5xd70+LPoxbHWndTFWCDbYNMg0k6XpPtbvTuiJLNIUwhNTJRldLCf5gjnpo aUPTT9iqJkrlok5y8Rr2yME8n+Y4ast4HuNli3LuYZGxpYshfcbzDxG5MmFWwLOvNT3TW0Y/Wgph um1kVQIrY1+1r7xA9UmFmOCq4ELKPsg2VtGIrBnQqNiS50ZwSTqdzw0il95zxajkpmhjug55jK+A /ZQfUkcHpzSVmx4H6VkWoWYLYiPsD/6Y5ygbpMiHlTLRI0IFdbqEl7wxa16fKvElYH8HmGWTWhtX pYh6aJJxGzAL+8XjtZsi6Xw+no1VSLVBgRdtc45BtCfO7U8poyjk/QPmm33oX/oARtw2iXIcF5zX xdPYf1Jr4891ykEJRAlQbPexgVyZgo4VT9yqzsnI6++Kgizb9qGd5ukLRZHtW8r4swKnFqSyDYCZ u8x1ndvJjQQanybUvdmxkuB24/ntWW1RpOz9FFdDXJ5mbfAQt6RCncxzJ9or76LaJdN8HiR34CDs 9Kv+21KFwTKPT2H3f3buXZnyf0caGZOPRScQoNZz5+9hlxodnaAxNrFmIsnK2uynB1SOf1ZG4NAb pgSD4ddZwCbc4bUSgr4+x4co3fWJaGb8yDecZHPPbWo9D7hoKG1EymYKfXGIF0e09JLbZxFiVxwq dQF5jfqdfCcx3VHEJ/6XpjuEWjcDaHt3PB700pvimlVm54ARQhSLewf2n8obvZ+Jq7VQsKjntqud YlKbulAXeZNZjCCnQ2B4hGgByY/8mjv+IN9ZzoSnYQtvc4tt7LMoA6+X9c5F608+VK0zHoIwpJNG ostgk7SuyjpeyM4KF+Re2C9YZWMFn39NZHhngoBI/ZTTYHsiylMfug8n5zGjmd2N8wiNpWUvTdK9 NAIkEN53mFHMy8PZ6NrA41kLdiNEPyaE0JIP5VGm+wiODO/G/Dq2H37fv+v5vH2dxySSmCuw4R9S EwDdKDC9+EyWRYH/c014SM1+vb1bjQCpbu2J8QetoBHb4B1juK2x3iWvo0WEDs8TENcI/MUQ2yCb 0m0XIKYj4sfLYF4L8+mJKT2uThEo6Glew8pYUWxgJmoL1B85y1XV2b5/9PveaC9nu7cr2cxFEhu8 2X4qLZ0xgtk8p9/1Lbk6+tvHzwp3Ic7KzyXVleTmzfsAf0oFDXfYPNsYMXOqAXI0DRLY/M0a6SZv JqNFAHZXBfzSDr4qpYlIBUCCibKUbVqiy/j17jZNQfO9arLNnzQct7s2RPWPijE3r6Ljs/mL8WBp UDDIVb7Oq3YMUkPLNGf6eE8wn1kyD9owB6ROgGD7mqJE/Ui3byzzPf7Ny2tIZGr1AmQWVGyRk9dC OsnVT5DvXK02bQjs9VqI831sn3xpfRim24UZzxB1+bCdWrOZff38o+cutfDrJ3yEN+JYtLzy2+WS 5X/jSWWRWeObc6e+Li2Nbj2FgaKxEPxyElj+7Rjy5qKvpP0gVE5TrJQKnTbERrGf8XbtEebDP5W9 G8KYaXY+34BfIzNyR/OkDlBOg1NSXHGIlQTmZxViGW0DHQHRT2ComJGBkWTtkgWwibKA10pz+ocb nejVB18srETRzH+6l4zOXOB7NGkZpf5cEArCNcFCbSadEwQOpXE8xdC6k0S9JnwKasG3oZd9o/+a dpqrLPspZwrDwpxnHLl+5DX/VflgIOgVTFZ+Uoyx0Vo4pKBmweg992CT/65ee8bLHF9I2ogv946E 45avIpWomGnq3S3crJFQMe1Nk7ljtiSg3yhc1Ly3b5nod/7ZLpBoaAiHHU6O/X3z2+gBoNzbvu6S Vx7L7siiKueNa0i4zChh4Q6EZtawncI0DXsS2cp7IhGuIVlKqQlpIehLBJugtvT6bM9Qu716SHoG nyR2ft2n8ZbRY5eK8IsI4BfK5C1BP8BdWi3AewZL+Vv/IKydjJ2RZm/P9oEQiV9qw0mhVyrF+mSO JxpVoG4sv1MM3/zN/xPlDfwJ4570X6gg05a9cRYB/r31B6fBEvQUSjsGjmuyIlg90INcbjp4dBEL lI5ibh3NFLMCs067haiIXBQnukeW6qUhTrsuTFRdlKhWU6HiH/+gLQ5UVy+0lbgjK1OYbDR6opIY 6ktjiycSrIneG07zfemE0sL/SjqzN6UH1HKwSAxrjMKE2FNJA5T084DtKYLOe+zA8rMiWRRDjjnU BRY32gJuksh86srht0yembVlKJl8tDnb/y+i9m8sJbzPH7kzabYH2b1+v63eFp5EE+Hz3i/AjgUy dAIKbC0f8GrB0kGl/P2q8duALY6W4OwzOFeg6546EFF5Snv9cOqzJ3OcL9FFtFNcSQS4dlro1vpj 1uIeg2kQWw9UWUN0zthPvfPrj1ixR0Ad8GtRRscHC4cyfSDDO1HkGHzS50DFs3gUhqv+9RHPLJYQ DW/uk4gipuGMbUxtAAwbpLeukY6sbAp2GsyoG5LkWfuUztcfselIqkqyITxr1Yn9t0RTB25l7pxd 21ccumqHshjnp4yRKrzguaGC90j7bu3SsWpE8peNtqeJ753Xw9at//f+JQrQ1rKwt4D6vRELZw+B RDjkNmVLmK3PN9WuGJQCWqgAHtBRcQrUM+SRfMPh15ELFpb0t/B5nZwSl6dPgkY8ciZQZlIWxrrP qUQZhybt08Ypj9FPbjzkMBl5YWDhzalO/mOmaGklqOj+7p28aWEQednKLfr0dPSAanQMjAvPmWnP 4/j1Cz3rDYba/dQcba8fGe4J4NnvMKRE6Cp4ibCepYR74C4DW8mIUpdXVyWTpc/mpUfRP+IjLj+U fQInioAjaDa077VQQBu56SyjCFwzA2biORg+i8SoNXyh7W/pbnZwIKG+f0YVV85kD/6EUpqJl62E HjleXImXGlsX/FtsR59KaDHMx17v0ZCPqb7dy0L1yBSA/PZngqZEfGMP9Zi7L8rHw0lOa+583nRF JX6xseRFV7yQjFkMjgzhSmJVp9lhBbILbqMRHPFLZ3NdaoJOm0jsa19fYxJdedkQaOnU5sErSfub JfZp2p5kK+tRs0VYUPtpiH9sTrE35STtkb3mC4KnKxNBDsvlzUJLJzSujC+o6X8d9gjqoyFxLJYk lifNVOJmExvVG3vXWzByPrZtnW87859BAlaqGp4qkr1xnzAkGdOGp3iM91xUFDGnZIk308lmscyl HlngaJnUpeKoZX9d/1WEUGgvSNLWnB5oz0Z7YH3OL9xElu2U8vnG677xFgkqUTPkx6pJOlsxY7oY 4Qrig7zjBnS+cGVBz9q9XOYOAfeSPZ7E8M251tG0uleCIKnubOJky/nI5eUvKFJ5vASWAY4mDZ+5 pQZDoGR2km+3HQ3Vo8J43unfDxfR8b9wLWFpjJpjluahtgF7fzSvNj8ZkaDWTH+cEhks2gpmGINB 0eKXxS2FdZyOyjzYsN1lRCA1c/VBvOcmU57r21WFVTUZlSwBbh5TuPyoO08MEfhrNf1xJk4yY7Ul o3yQqMP5DlAFb0uQxPDxVIFV441xr28VBTimQlkeJVqcj12PLEb9bX2NrVbmk/rm2OJj1HWuVc47 /m1Yo+C+5BViHru0qdgthHeZZqHcE5WGbCPR9BgyR6Tq5z6vn5mLmt2Ycj9L6ZWTMmfySS9JLWe+ 5coj7DwNFRdKk+FOLfTVE5D+QdschEHeVlekM07kXpMgYTDsJmVS3LLyUsgnXk2PC2EGnKpyeWgF e+4FynkPP1xSXj55zQn9pRn2UNYpuUgrUtP6gO+CJVpRan50vLDza05EQe5MFJiuKSH8/8e6t3aK /oPwKbLp7jSE1zQt9lXgOc+tNhfxkZpA0pytcIDmtIFlRHJ0T8Cy7MIsIx82g0URTsZYZbaH441d VjRvHQlB1dcCTloh/y9ffZvMfW6IsE766Bcukjv7Kf5D4L5vtQcXfuxbqlbej6aOX2weQJGUqe19 HDn36y3dVBCCD2FMVjZ6O7gL/V2u106gG7V81AkXR7NKT070VSN/Gjw+ajBVY1K3L83hGXz7J9n1 uPuKnWIrwCpauU3GIQ2si7z28GwGojAhVnTdOB3h+GM4YFdtAc3JVI/4v53pjnPiHrFwXDTAyqdU uWo1EVV+jQNZOUZVBxcsP8lyuOxeWe3t/HROQ9TbwZf9Ar4gkk4M9JWeMR4B1wcrit2fnuonkeyi 0bu0UmnOucQMyzlpHI/qe9yRaz08/GBNyh81PkaggUyF9Ry/+IIUxKirHfyR1i6oOmELpbErmb/g L/2t1Tk3E2+U0Yi830qs2AoVcHGFW7ciAcAdNdlWnquRqK/mf3UlpmEluTxBZ9XXCCQr8zZ6G94K vzI2V2IlCsWM3BkK0HLTXarHeQxNven7oowu62Bq2LlfNdwrvi+YtlUIRvsM2fQkO6FrFcXsrDXM 2dpSGIKKSPo9zmeeRb1Gz9ZkQ7L1+b3mvrrtb7VLoCyAO3BxSYbEPGWdUTzhjtBqQB2Gh+8/OT6x rr9hcFZvcQq/ivWP6JLfwxMYKdc6W72baYbq3QhJElpmcVC9o9nSJ67h5aM0Et3KupCgQ/IQaIK9 G4a39S8XAnYM4lqO36gNocTzuGdYF83mpTzofR6xyEH5RZINJKTR+smC76zoxI9HE0OSRUA4Tlyz AtKRZOwIDjq1g5COOO19sFkLvXGm/Xt+8ylNXzMQmUVjdhouzggKuSvN2Ppq9Vm17JWp5Vci87o0 Ba+U356PxNFHn8MJLr4txxKyTFuY/N9ALOfVMQZI3kERkyIBJBbjrHW4cRTKE+hNeUMfbzFBPkz9 FlrsBstVekf0Odac6PdCR/+krJOEaMxpiRAeX5po5J7kdcgri+V7CPw8gbcVhCAe3+twY+ltkWvR oWqwgNPw165+dvomehBsBt7Ipw/klT4p0wWmJuL98rxpUqq9Rra/oOT2udwC8TQkB39tnzcIOQB6 t9bkRzhbUfz67kQk14Q0tZTpUyD02F0RzL2KzufcZhtHxI/KuaP1Un+XznbjOxnLEdOVy4GUO2IV 6P/HIuBaQyJk4swTN7NaKwNZmgt0eis8yByoe7K5L6WKtSf6geiCZijir+3WF/Ivqo52VYH4zBj9 nSJYs37bIQdZ1kFoyJ3Ib8GIguEJ4c/w5PH7nMPKYnVCILcnk2kepcB7GGm2Z4fzKRTY3iYEBc1o bhSIM3aBS1YBfgG+IOmT49zyLz1tKdF+d2+DregSFvEtO2fCvGi/owTpzDDt24C+DOfbEJONePVS euXjgfAcblklrZkLjaN78/JN5TJX9QBk2MozwLDwxKATZMGJhNH20hOkL/YRBNV4omRr9Ytd+KJx 51Mi6NWsrN7/eGrJT/g7wjqtkJUdungpuUkb2hRAlZHpfVj9+jgMT7bowgTYXvyHn38k/1n4MBRL uP1xUnifngDrYOrAqWt+taiM0E1f5vN5GJ1EqiSkWBbl0m3cs0pIVCDqTZi5O7+I/d1uHtrFPmK1 lNNfTbUCEQVHnIhZYrGxpOxTMDxR4sQjsVPyKg80VzI4DwaGBW2RLJmYE9jEzKxRpquu8UD2eAW3 CL28PlkG3fv67JL9qPQkmDCUdGA7Zx00jxUcHPteKgCTUrSMGthVBztf/o+YJgJB37LEecSS7IRZ ZNtlVtYuJV1PAYd3dH/xZ4Q9jrMb8u/8HP9hFMt7NE918S4sU3wtAlTjpimMIq7UR6qIcntpDSbE 1S7gqBBn2BYpTTkLTCYdMnOe19O6Al/y5oDLIn/mnOizTXKSd64X27b3Z1ubCAAHpefCPx0dVxah ql+DTDUG/gL5+jacIBz0pStaOojcdwoRiy4PGmAb55PTASJ630vWmkslgEr/bEr1fgp+dAtTLL+W 7n+c4Mhk4aICrSK/DrKDuE8Fs8+z9EgkUhHf0P5vUU5vEReBMGGiBTuCHVnrcLW4tm196/sWSbwf nGYf32HPW5R98Y/+Ah5tjycpEc0Qbum8/3zPObZ/iP7x/1UTgXbQdWEo2ypKqDzfK7XugAH6bimT oZmirdktELMhtGOAG+BORJX2oCl6z2icykgC/mk21bhzY0tP75DxoDE6qKFnJrFH5ad+SOp5G2i5 e6rMvkiqtBuqrLIwvwZEz7rdP9mVeNJR69y6X6BrR+TmG2Qo3EaCiJk+JB9Tx5PQGC7B4/MZP+Qw /9Cs9UgN5uB5YNAZWeCcaII+lcMrKJ9fNOn/NyIIMby4sbMsT2OuCH1dS58yoGyo2agdMRUvpXV8 Hn00heu4PYvjNGNzm0nDnBMVnmfbQgO/fnvIp7d25nWydgrnkqvkJhKxFd6OuXNsavim0CUSqFFe b3oW6+28/uymNz6KqtfSM6mM/bgDKPdb5J0GkO5EX9ahsonuYSD3vTISKtyXHl7R/SrPuqiZMiwf Y8lBZNk+uR8Bk00enNMuMKYGeiV1MLKc2GRqAS/YJpwQRchAN5WbAUV1pm4ji5iQDTqlzlhs1xbb Fjr/w7LCZ3qA2wakzFcla16L2W1HZPIGPZHPrCeWqIc0nAQUOW8Raxl0XS9dodzsScEn26BXl0jR YI84UcCeroWkg8//u+UaGnBCwosjvB7P9f+RJvn/wA4wUgWSgL/4Uz8GMzdH4cliyTHNNctkGDwf 8hVHxg5kYf+c/gvcEbmW9SUY+xV/8+sCan8Vade3VxhCpK68fHu4DaKHajxdwBnCKKxI4xxjkei6 OsTzFl/wKp+sKaY0nOc10jtYHaB99GHZd1RTte/ImZ4uE2BBJdSAi+MkFOqtyKCDqg0dg5SzFgMt VZBLfVntzICWMLUyZWl1kMUMGdkGmRoPJovHC/K6y7WP6G5jsW6ImJ25JGOOt/+nHH7mNPZG02iA znuVjXnM5xrPPHTbXMiPI+EOILgHQetEdn7AxVG2l9pEi9KBma0/u5zjrg7ktLX2FU27UJQQf3zW LfTyzgRhP3kzIy7ZIKm0w3fA6u6enBduqUCqm4ZNYYBaXg9zM0HkiCMcTErD37D9QniBPjAmi2aK Cwogt6m9MEPOXHfu0TO11gyAiXX719BdbHPx0XdSdds7N1Nd6j9fS7D3X9gTUd6M5Js5IWwQhGJg pqyz2x/Fs0KwSuFFxtDRAWxO78rWS81g/DtKcIbrTeI2oD9NRn77LAfuUKIBOULXion3mdpwKm3d 2lg9aH+W+pfNgaYGlRUeu6qYxQbzUGTXcVTb9YVMFwyP5VdxH+v1sHkeCA4mHfZ9NYR0fEi2eRqq qrNS/G5jZf2OiUE90KLBcoiXRjarr08RVHFLomupDxSXzTx4hDuTn/wi6AJ+IwKsF9JeAnwvTgwm vTauTueNXwYPZ2T+MuIqA7udYLZDP73QgylTLjcwQrEA7NXQoPOB7rpEQJRGOfPzQzgdGJ7ZKNUi WEeyCT98m8V3oaQ5SO/ERu3sfooaRMUBSD5GbGggTTpw11FxmyNalvXnvSxeQE4y2WuELX+oE476 UfAPH8I+NgTBWV29BZl3nmvzcJSzlE1H1rklCfbA+sgKrnlkU1o7+b9lqUIsRua2p/uIhHN2GnyE bjs68rOmbAEgXxqJdZc/AMvDRMUoQvfVrpRlPevSouraQN0jVWWMk5DnjkFbHP3FHF1Yxfr/L4U4 o21lXjkdgGw4GeR6PNZ+T7v7i0WtmYXuX5aIZZaVQ91H85+7RJfHvpBPYZJCDKxKpkqkHlj/UiE9 7Ks3+R/kBi1fDv3uU3Thl+TZNm8Dr2PE1l16nrNJ8NPZ1uO/79GrGk/coTHAGWmY+C9D9lovwVkA SyNk9EeOH8SJ/CO9t2+pw4spbIaPyKwC+3PEasKLzesO23BL4HK0afsOKiz6xsSPtHTzq66QD5/C uD/Iy7LJlYk0tJKvbb2+YkZAjZZRnmQ8kPHFxXgZm6XfsvBROmUH2fhrCnulrrJ/lSVK7YFGTpAE wVoj/TcAAUcEvSZNOfJd/HGYh73+o6MqJ+odK1GTbUBGtRexOxLGxs7JhoZasFx9G9tKlFQPRug1 FRpzHqAsKQRBvq8PzEmMFdv65BLb8sA/T6LM8CNlEQmWkkUqJmVD/AEbDd2bGbcHKJN73VkJ/hVk EeOCeDscN4NSZaV3sppNCVwe8CS3u1B8JfN1zb0GHvBcS7x66qQa3A4h8SqXajl5sVy5aTaY5LCE o8WkKF2kgYYuH16IJJSCAyQiHayFq+wFUCztRKpJ+SD6G0yWO86/U2Ju1EZovQtkBk4Q+IzGLtq7 OAcLFYuuPb13KLJb0pehb2hkJWrLwoUozEbuAg0gkEHhAR6KqsU0mxWjJWq5lgQYaNI2JlszMnFi 2RJly7Y/caXLruB6AqqgYnl0hSSxt7FGRFp+LdlNswpeZnr8A0wj4+VSdKrg7LwYhyxxYTbgxLRu ctrGhu7w+pitSULcUDB4uv0Zdl6bIpk4NpEh0sLGhv8izJ5WgWYSONqScAtIJ7htB8tmazqWOOJi P9uWyePuXqNc9iYrn8/cXliRA/pQLjoxuf9TmquiXy27KxGxJKUu+zvYWIEiAaGvMhFy4K/lu9aP Yrz/Po1AeHJLTVOjvglMFhYvuH4sS7mK7U4CiPlt2lrVplDiPYslHIACrSY0bpMlhpdpdZAPpxx3 J9OJNPtfHxQkJEy3hnxpPqDfMzc08QuvsmoCEuE42zf6wg1x6QBJeHipJ+MncW0KfzK8oBX0IFD9 wRCOcJgd0NDp25cEU9rAzI9V2CFpr1wUKGqFnr226heU3v0U7DURA7jizzfl26s7RaPHf3VcGsvr KnyZuM798nJdVa5sgZ//4+cwGjj9y4QgW8mEALaXGD7oOvtu26CQ+5lpI6RdImXCKKeCFC6xbiYX CmAf2hUgA4PrGibokID3Okd6AieZYDf2jWHnOl3HvAduDhXaczp6KIM/Mfz/sjxSmnwgyfqy5Jl0 fqC7np33+OsI6gLtS+5TBwnzYv24pNdX8QVRsFBFhJQ266oYt7+2vBYyqaxQw6cVXyUdEfclzuK2 uG8xTmfpMkU339hDOm3qZNYi0UVPHJFqd8HjSAxgGwRhKQqU2iZ0ai++HKMoTiRLfJCMVW4NLgRv gH2l2yBiw+yJ/7UJ6lRgDa/apHuMunIbAgQQOtVATQwXjt9s26LaMrHk8znrnAcoyX+Izp2Aa2qf h539Boa0gb+EL4Nc8XsBI6QvlDX+Qoyybyc0bxaudALnmJM2Sosa0fr0kQJssyKl6xhQho7AeRqX hjNrIspXeAhIhroJsG+Oq4mVF5/qIkxs+V95XV+kyH0PwjeUPjo9vBWe1awLV8684nd0EE567SCJ 9k70vXQeuHQjWZFQd/E/rzb5kigV2Pu8oFNhBgGlqoWLbRoPb5H4B7ypEOKqwKiG1ot3bJYNAUWp zlhrVvgSyHUhmE2ywRsWnoNGmvOpHjM6uxmbEEZ8PjoVump8up7ZN2CBw7UFTUCu6b6lAuBopNH1 mgSxIgVJDC4RIJDNRP0MRA+WlB9cbTugyNc7U0S5+vYjM+Q7JPgBLWTtrbxGe8Z4pvOErQD7wrnO /XySXrcdibWPSl0njZ4bpLfAX9aAJ0Beh7KSovk631XgUNWf7c5seaio6lZ8MO3Q5X5HupIAaGEM 4oxzAiBciPwj5VRX339XJ2dwMMrmjxft5K1wjCSxhV1cUFqQZAJiNccsN/IQjDYOkGWNEHfqu2/W w1AusqUbt5i/B83PFOmMyFKVWULdygMr0H4n4I0Caox8Zs8y/Yy1RNL+kcyVson9vV1oEUL0dm0W 8N2Vyf7Cemp5X0jpyU1K89Zy28VsNHNwu4BsmxSDsS/WYz7z7AS/zmn/pkv1ukFYQbUhwcsHqEno X2/AeLBX7uAoehCnzo4J2jvBrb+jV6rduhis0M3j/KS+KQ3so/J9CGXs9bbaCYvtlJX54H3vvkPU y/FPzWR5ncFqPJe8vMYvoUikVonutO4zsnGIRbijWgOGhT/N9mohguLh8/w8507n0pY4BAGUObuR 6G7oNpg3Mj1KKj26Om3E7f5hD0ic0cDFvl33TRNGVAYucBerwRRQUhH52H3TVRbcwYEgB95TchqN G+2M4laOhP4Nqxldb+FkfH9euVqFi1kxml+tOCN7BGVduHjijNcvZZ3WsD4NRABCId6Zxbi8fWWk XCBW0M8AmqcnNpSc1Fu5fGsmlss6IEv+v6PGpWS9d1VVrPlCEDfpzdMi2btoH5evji/mfLeMPEFg w0TH+dLG2IXe8CiPCLfms9GRr0mBpz+sx7DLwMfWOyMsQP5waQoIcl7Evl1xOHo+l5B7GlmdBeH1 PdZKH7LQoLBOaFtTvKi3pCA3P4S3mlE5gIym3vXcfZOBGokt18mXkeZWJ70+IXLwnyZ0+gT75Jzo VzxLIxb9eUdj8VjqdvV24NgNdCgdiQaSAfHh040goRNVynGYpYFdDZKuvzlycgS60Y6R+ttpBRj4 N6v+yHEd6vajIC//GOaT2S6TZkyiu9S3ZXrZda7Br4f67rYYBjDtBiA54ujQhn52qdx7BRLxMMxu KzhCT+mAWIXIhtem64b1vdTFcRGWFhp09ZJkhVvQmxB9ciQNl3W7SYbDQRo9ScBHrwO4l4R6kB/X F6io2P6IUbAOLJ5md7IyKqFp6TTP1MFUFU3qjXckuEDlu51HKWTQm1Ck45FpoaiPV9AUtcrUPrgJ EUsWo3TV7XmvbpqAQLfVvvvDwNnavfQSpQXRauCXEYdl80V+iV2qb982xCmQCFPI+jlye3M5l6CU nIm64BRzsivHOG1F/msE33/xZ8+qdMp/il2njo4WFRQHkRyPTPOPRDDqq+YrMSOLsATRJpdKWfD/ /nM4W6OJ5Z3BdYfjcmkI7ituCToA97JGq5Hp7c+ucZrjKdKsQHo2JSvbuEN6e8a35MSGarFBxIk4 TgcP0gJY/S02eLlSxJwWhw8nyUVwlU5JgfGorDkNKTkmY5X0PBGUwi+DBdiqvO1cHb5WjRCeYfeV 0wJSGyTxaZNNCLnDz+qTRVBk0VOP7XFAef1eurwNeANBOQcA1iJwX3lKxrAevqMHf6xJJ6h+UnDl fvjdEHRXSZMsDX1CZ0SUES2pKzIksmItXhauNickwWm51xwWp9iedNCOLS1FstYSCg3RCP3ks41i AcMHHRX2Mv4qOet4cLrmPU4ECk4VgjryXMUy6AcFQVlry1Yf8/rtSIP+8wB0/LIYVcxUInGmVyiI 5NOVvy8aJFBrG3T5VzJz53EZEt1JGkz7VnTrSqgEErmX7ab9WrnCoHU2gfVfUFKRR0CJ9OKeuGbe RjS/5lnh9N4JLao+ryMTI1gk3D+8QBvfQb5qahXJYGI4lq8Nls0geA2NU8d5dQWFpwYoMvZRyy5q 2Fhqu3YwS3aQrQk4pepPkvmi1dRchPPdtZc7syV3WHC89kJ0Yn9ER+/UBY2iiOHEP15NT1PJPRV6 RHvr2sKOMJRRkqBrjTUJGZbOTxIQocAnGakDZ/Bx/NdHWjOT8JhsZZ5GvbLQu5CsqGcwLZSLS1nS duipLxmx+9XjbCrhRjZkR1SRGhA5Pn8tEj59LrhbF2c3zoG1aaU5Wn67aLCWJ8ru/NdcaTVYYJDb bUFVXSqf8vynr7uOzPs82k/tLcoLGH1t6bJsb6sn1XmxkYLfUbnY8+BPMnEOIoOsBy7SFgBtyiTo V/VLfJYxNpOXNU1pZEemsjgYquPMJA5CS5tFI1NykK3K972reFMkZPpWRATlivbxawgAyBrfcQ6b 4vxuhDKcVcMC47G0/Jq7hJp1l+Uleh1LpxY7aeD0GrNxylkdlpczijBc41J3BvVbKXue/SAp48E2 rP8hZMwDZhIcaFfnp9My/U7YasIsOILDDQ2WERmwwGLIKmUsZYPyEUywdCOKECI0vTKnNxTliMse //z+Qt/d3BTwkN/hv3ChWtPPaWBG1cCynRjzTqhqN29LT5sbSh4z8cSFwO/oQ0KlREoVvGyo1jRi cP1TQ2vBVELf/9hW/Elt5K6cA8Yobq4wvyh5gImflUQQDn9QLVevL2E9hf/wu2KPTL4hV/AzWBNG E2vWIH103tFWDrxYwhEQQUKMnxR2NaZNrjobZIsz+46gz7dBUrfytOcS1RVTvjdifMkusI/mGI2H 9M7Mu8fTx7yJ/NHpOaiNulwvoa0BX2uEBsg4bxdHf2XBxqzmgOGVSH7Z8iuFwGVm/Jmu63C09Ooc Pb3l4ebfK0/QcrfADlMmaKO1z2oHAV60JCFved+i9IqMoqHoagjt4hr6WmwEt9COpmcKWtnrFPZt olHb3BNsSSqfpHWEwvgMP6YQRx4X/xJmYo5R/5Akc88FUBMAmYUf370TJR6IBE1s8uaFtnVDdVfk rvLzPZ3T51xVpi4FMLPz89Oan73NalmsJx3cdHRD37i3bPiVZrJAqHZ3uTO62pb7LTJjb4bYhCpj XllFISYqONSy8fHfUGYiay7cU+7sk/OeLsjNlZL6UNTe8JfYIsTmx33l3yagYIJdHG5fgjRpxuoB jAexnQjDw37hBlIFG8NTVCG+2G7UTUiLsko8CHOVq24jABu0TAO3PH5GEjm1BaR5rA1DuK1DjGfE RrBl63Cc6Q2nPWb4ruiOQ5pyWJdqNAHKF6zJYrkuRw8DQ4aiZ/FHzFyvv4Tm6Xn/d3K5a9XryzaB Vf0o+pWQ3/GCwa689rpndXRPsy6bAb+1D0DGxOUyL13V9n5TPZnV5yX0AqYCGGkLqIFapuoTXxY9 HxbUyW3iiDmKmeN8A3QI6x9xTEwWnSvT8i6ktdnNj8bKE8nMFG+QM4z3leWMz0wjMtFe28mshX+1 ugalHaEH0jiqO33b7SI3X6Uqri1bS0NJu9Zu8JXpTxP0YNGClk3LhreUBtQzchuckz5SrF6rO4go 08W+xTnxZb5vbDgnqkp4Yxbj9RVhuEYscqQ2UY0Bv8fUPX/H65JUYkUodhPQknBOnHxGaWyx2Bo8 AGGsdpK2TelDRUpYl2/R1X8FsDwhQe/6rQ7Nt3A4/vpWJTyOa/XCarZRnn/VS3xNmYVeYfxLfK7u bg3Y9/xRFtU65cPa+zuAy0vCwToZzqisp55TE4JaEYCeejtOt5svSzRf91g7U5sest0Wk9EV9LXT UeuSMMkZsr4w7QAY7Qt3TgxxPB6Wi20rW/3d+Fw1qQjEceVCpOsl5CnsfLc8FXon2eWaEEnptyEX oJUTIvF7jX14CtcSPXepk/6y31gEoBfqPnsscdI29uR3Ov26dTHYM0Bt/oP8t/qUuZOFOiI/1Vtx 3TotKRyqmOx/2IgqeVZPNTI86o52fXNbQQWkAVaYhKFZGONGDQHFPbBribLldWWbAbPcsLGGlczU CcwmTr+KPqmQFm5W7pT6V5/0kWDwC/0Jpad3V9W4eVESbntWWTsVLiqRyjbU5Y/ZaLsWv7q98IGV w1zTy4qdJ3nykU8oUidBIRjXxRzplyy/ky1ZadewEixtSo0C6E5Yfux91bbLXD28vcmPTxykeqRK rr4z1m1HQpersC/+SZzmULH4O7kR2+3QxC45k+xVP4Oly6/7hTKxhY86BBeMtZFXPwh3Yr2ICaaz YYAWG6FMfUn3JnvLJ+eFIyP/ZClvpxHI6WVNYRw4jWpAwMFhOXqiWl3MnkRXuJTHM0OgzxuXN0Ss gfe+ZmDHH5LLoQ28Djpbx98hwvowf9VeXhoDccVI66YyHYyEZ+5+h4+9ihxb1LJQPbY4NQp8iaQw ZwxARrXq8B3N/hJHeFDGhKuvoZoFBa1MMVvwnWXbtswfewm3Hyl1g7ziCBzm0NJw+V88Q/SozPPU 69KdpaE8FZI42tr2ByU8BL8M1XghPr5SycnhdG9masJ+So7mWba8Cgh1BQXcOsStRc2iUtAyIsnp yz7oD9nju1akVKvyuGmQbPU6TxHDKi88GwVGqDjKsik2E5cAhLnT/1MjEJneJPfkyziVi/bcnsBF fcFuG2Fb+ogl05E9P/pN9UReHZn7dTfTQkjJbCkV/51MxqGcV1beicR+L/iLNGQo21zv9evte0t5 RcpjpQSVeEP2exty4qalFGUcqZ1DADozJVlJ5if/eZZdpm+ZEo9N2wxYjl/LJXWamEFPOGZu11Y8 TLoO+0U5oaikkzD6UCnj1vlnuMc0HSp97SQ5tUk6H+bzUxd214IBuOHKNG+E6VqH/IqDVD3jxM3q BwyIWGaOIbrFww3PhDipIywJBmM6bUBhXSfInnmO5jhPXCEmCMrwen8JLJ+6VaBjXhlgzp73WD7s uClyGdGd/SQ/1Fp9SvZmFoSuuxGXL9iJPKwrpJV10PQNkdubuz5/DUVKLhMFIuCUc8ZUBvr1/Fp+ Y3Mvmv3i1pmn2sXrKjwmY0xYHzByW4FH8+Z/2YpkIgJS1rsURh/u9N91pGwi/92pLtEQloWJmwpU HutEiI7GTW+fLf7852tSbJg7yANFFmUwDYAvyhs+ewskfK5DYnWJhdq19acr96PH0qDpSh7KBMKu SxA5sz4xxGlDeGpAvTxWJcvxZo96Rx+TMzPp1A06gSBaR0sSdb2Y/FlkQqwi/Z2YDBKn4REUFLz0 4Xl7BbzIpiZSFqk8UrAgK9x8jDwI9yV6iiUyVxmnIc0ge9ees1etU46yKswe85dXgVot1EdX1UeY vgW4bYx2m4jRbhCeba7k5s/7UjmH4puXhNjUl1CPzY5ppU6UqUGeCPQuoVn7tSwmiqpT2WH80GtX hBWmPwOLTvEeoMh4MKfsX9C1o/KPyMajFX/KtekvqHULfrKvvKvoBlxyawZ8DOdHgc3PyIJo/7tL qlXWDJu+y6tRMCs3j0oBQgnjSusKwEuRtjfCUZkP4MtCM5gwhdhXeqgWLNTSC17jjAbzw5zxLtQV YJeVzh6jRy+6i0F/3EGM/hedoWzPdjB0GQVILPvKRXegp73bH5+Hmn/OTPGHIEBo1UmQNmXC//gO N47Yl8eHDX03ulKm5On8wzqs3oUT8MoqbkW9JdCjymNQQD4s3+5K4epkoqJ/lk69sfaaHpU3bbWl nCaj80BNrCzXdBICcsxeAXfACpNXqgZtF+L1mIvj/EAhOiT2sI3mo/2+drm3413mETZ1wj3wM57l i1ZxBSxwYYwHeVcdOcJkEtEQKALlvBhOopteJojOXo2xyL/HQ1UkZ7agL5rqoCtmq3xYTipmT/6H l0NQ2rReDbwimn7+MzAlxX9ZUqCqngQcIkEoDxSrP3HbwN4wYKAHVRyEJHN1VOfMkZeViO1JhYV5 b0Ssu770425YMv5rR+PhoD619xDnkm52TihZnlIBSxD9GyfEEt7Cvb9GPYAmOkAXnISwKcnRYMLp APxhmnnj6qMwCCAsjERmMWd+oAjl6uYA1UU/suINJtZQpR7qjQq3c8qdUYOmEZKzP0D0POsBlfFc gAUudBz/N0KsO2EyBYaVHPYBd05BVkZU1AQJVV9BQyPJBPAeRcuReaqEZbS7JEjfuOt0+fJ0XO/D 4IsnYGECnufKsT562JBQuCJG44h4RJfdmTGwde/g8skQLlqwbB9R/9D7r7KUZxR/mN6m2Oxd+ccr n0kVQr2+YOKyHFKsOjgOes2d886d4+lCTzZRetRLYDY0/V77YnSSLhzX5GV4YggBge14jaQBTM0k mYHD7nrU+jZfh+z6Mx1J401tOX89LRZzX98e/hxx5AfseT/OrD7ZCVRsIRtmebQ6LZqqo5hjV5TQ Xds7IEBcc9m+aGhttXW3gexWQx/4mfndIrz6ZldPvG6NvuNyDJzmkZdEOfRbrx7Rj9KFkr23Sy6z CUcsTdgLn0M2ZYCF8ZY7Dde4pXZtH133FOCQ0H6EoyQDGMDTapdJUPyczWx1WRr+o4dlFHBhuiEL dMfArECTQaL23XhsEhuiLAaT6L8GDPi+K9Y4aJ6D1zbQ5NY8MUme6K1eAd/cQ7z9BnXJ+DDe06d2 /MRRd37I5Png6ehzpCnQnUSSZxglbxBwgTilVOTyRgD8vSpZ2FfrfG0vNA1m5MsfhRW0vBV4OCVE Sfd5nfXqvTTVinqgzV2nepKqLIgcv8d9qqhxsigmZ3EbX1MPOW5bb9X10NOb7JVDTzFCr5bE/ZZq 6W3zcCQFUFZCbhsFePJibMvWPQfZKYIalco00NvFf7NYvH7r/HP3la9Oez6KRpIIwmUPbwgJ10nt K1aLy5rp6Tpa2/jT3YfvNxoyv18HvTgr7mJ65GdS84dOU5sipNJ4DeggDbhR0KWLLbugd0pjQuKp NBknomHLgNpy3CyBIANTXAwOqwiTnctGEjam6Ki3EWN4LDwHYw8DlxWnX2c8OO6CD9Lm9wIWeaXe oE/7K3cTAfLQoYVd3yratK+d36uw7OboSdkaid5J5TWyjGXOZ8aaNLuSX+MM4xf5lhjnvsuXrlMf hIgXdPo4nwS7pCu3WkDfKeWGW9J6D/V0RGuQUrc6F9gGwt2957afoycetJx2r0gRm2MlLJNQiVhu 4cuG8mGhztk40iuurSKCqG321nQOpr4aja/w6Jbj86yw++/eCaJ07ejk/KTEBZg7ARTdVONXyZGS /C7GOucoip+tub3eE1C/1LZpoHtwRe2eNjfMU2p81YRrIYX1tvPL8taZp1jXebNQkPK7k5ejt6NN SmeECycTC7JmxMw729QBctU8ny+WcClUDcCbnQKv0vT1VuL9+HkNrg83/QUm9zJhmVgzcgXLuFGn ZSG9vIAkQE+HFLuInJ3yXDbyAHahLixU8lReDJcwZKAk/RQf1zitIBbqUx8LEESr9cHGg5CCicpg GEfvlKdl/kX6GgCzniJ2rXducEhBIVCbFUxRpGUX6Y+VpPuiLLBI7mqBGwphA9fDvq3sjU4JnT5D LPOuhJhyTZgcGVuOKP7IwTNoTea6/qNYld4217hXuT4kmwPbEwUNJHyP7oNdgm3bYrC31iuRsGLT PjitdGLIlaX93CZtL0mm0izCL4U/tVZfAR6tQYfA3ck7bGFaApmlHYPpCmIlI8mnBNCArxQydhKf 9OvgJNnmQkcfJtZUJvxNnkmEkTeOc/PCiNZ5dh2mLDyrP86Ry/9I3wyNeObSzEo8KkmJggoPLXQ3 e5obuBa7Sk8FiOzEvqPV8n7VP81UfGn53ADtmjAQd6iFkj9j37Qc8mzO5In9j9EiuD7Zs3onFGcG H1yfjtJib8tAMYnOh8I198TlJoev14HLhFQ77ouTL0nr+ucJqcQVHuXopP6ktVSqkFtd0rLfCCzQ 7v5xS1g1BPbglX0fOBx4ZXZfwgu+SZlMPUGP15EZx5NJdn07B0V/21DJF/8oGo+vaQ+y8/tngSOY 3FgkV8cI+qJ8hms4yRNDQjeKUup6QM6MZmTH1X6qVLJPDz1o/rtqTkclDFS3Uyfpt9s3p67kLPnx G8LY2+ezemAf6TljcnZS+RPBUPKm6JK0pL4PG3sM7hfnVWCV16X/SBSSDLjwVZPKsGLOhZHQY5ab de13C+0kjv34mD9hJwZVcDjINs/4I1T+L92k0tXp0b0R2mJWtODVUw1+ubi/tjsZ2F8kjpoLX7vV IchK76phpCP6BACkopxatbN8rhI38up0teCQCrQ4HWaQu6LMDgEEO95DwabXq0uk+NbPuCjHm42r +9PXghnVe+lGfDHNFL8NmXPK6wniV8NC7hmFUeFHTO/iOiw0BEBNsDthhafuMNE1D+FsTdtBQ6PI kK8/xINmg+MTJsLWgtaJ2+7LMPP16IKBMgYJxZUGBqSihdd4PE4tdlS/nYxm98s3vtfusxk2GWaV WPBA3MMEM5SU6I24OarzPhftc5Mlu4wjZwXEJzh4LqvD/DzU7JHKmmZ5olxk9xG2tbjPDy2nvIiU u4VoOs4KQRSwKV8gTIkzZiSC3kZ/PQSP89B8Vvro1SYthh/73NHvdGzTM/X5zRWcJQbAIzy9d0JA N6LqfBx1BGZfanxCQ9qM2n3i5AdF3Hh8xrrx0EWBMmude72GAOa2PQs8WxiG7/ctIh+apAeRF6Yy 017fUmmdFwueSjKy7XEvvtmGrkhf0EaeUiPeGaEGO06gtPIbUQGgTgRuRF9ifVAq16uR+tuo1oex 9aQ1m+jytP46eHTcuJ0Mpnzvh5CJHhCRP/YV+IhW0P8BzXCJzweZSC72lvRz5amhRavOgW9TF59r iiDxTF51+ngR4SO598BsPmMaRhS0KM7BkdHb+aZurmxCEJESaBc5zaRidNcVZuiuiTGueLMv0nvD MQbcRVBt6E/uJunOkaQG1U9J+LlvR6D2usUh2V3YsqBxcTvonXIYa8RrvIf+iHxrcBQGZ7w9BEkD UPckq4Rw8N/E5+XAnM4ngn9CtGTE7zfBPDlAs+0SJidNF1iisy5Ki0sKSlY55gKR+LDkb0qB7pvk oyHbpP4K4srx0LbViOzthyn9Hf9/PY3wPTkZHhHFzY/GZYXTEyGKJWm4OTq15mqxWS8lv9kB0Ppa nV7vQ7PGodMtu7syoEEapNwWaqB8rVyrYIP1qyX5zpIF5dI7hceMseER+32jd3KMsB2nkvYqIXpn qenx/nsiwGsxupsweGuEH0R4jIORews/hvRG2DGG3aeqTgZrKjhhYCJ/iSMDpGkhqVPoHJ1s3aFT HF0/87s7KPDcqoKPKZYYxtuI8Ay+67n68IXKXz5NLpMcfH05dhi5W83ziO55bo7m0KvPJVuHSQN+ y6IRP/qwppEG6gwFi8zRtOAyQ685eCg590fQXgMQXsCQbaHJpkEINZKKT8TdJrA/gdhCsxi6T+of v+642Dsw5gTz6jwLxWCNPp6oIYoeAqkm+dIy8X7aF17K49V8FXLzVvoQsg+/1H4w6cVuB+cO6pJ8 fgMWP+sm0+QdBV+qSId375+/xX2ie3QisQnV1hXymqpsMah36MXkLnRbRmxOzWujyAh2XeAtQDiB fEMgktRnJy+uOBZLm1yDjYtuZZh4bLqOnxfbOuhs2jUY7fzD96COjiYApZNNo2NV1RF0SEeN655o 5hx29CgpB5jjsZ/FaY+y0tXzZG0gm2ygsii2/hywozLBCJLL5ehaq02U7R72lUu2xlLQ52eNOt+6 A4i5pwAbcf+7cvXS8QjjP7bFS6aq0ODMB+YDoZ040ZAiGZxOIAh+x0lFUoBRE/YZWdrZ9bfvR80x fOxjnW64sAeoNyMw3I9K4OQu2wbT6UNGzNIfUYwLUuYOu56ejO9zqNnCzYpRIq6AiVWiKL47+ckY h9Jv10MBmxEPXspZAJ6T4zqmRDbttlRK+4QrKDRXGK+BLD1XSPYhl0VDusNzDDqV3YCET/cRA4hU yu41T5pF72dU9drQcJkxsr0YcDDQBgInDjVvbe/w9ROs4cATSlMKMuf2tkP9tvik9/W5cts6m8ES asJP+HXSJmxV1TnWJk6/CZXe6eSCYZaokFKC11OjnC8S3+zb2aOFx1PfZWEMfwgdh8Sby9DVbMr/ x6K1/h8G53CtsTXfZIIxsw1JRt8oRWzfJKasbqSoAC28ADrcjmNics9QWcwDFhsaOwd4wbEIGXWi BPnG4C/M0jBvd+1PcksDAeA5CVD6FWwMuMPsZPbXTwhuOU2RcskJmx/CPQgrG80jZ/GyzymQQg0h eW2DyUJJ3GHfrAhXQERZUaP+jUR2HvV2FzqBbUXebZ7CUG/bczpw5BxuzJs2f8K6x5jSceuA1dml LD97vgexLCpuW7RpC2Ed55mynxdzxz/YUd1FIjyZvWC4Gg5hmbRfeIiGRVwBB7FgQu6vnFnqzeG1 iNe88wnIsjk+VCrlk3iw3GVYRRXBPMzDT5zJZlcAWsesRP12wtO+7VYscTl9dNrpLUkzkBDJUo29 Qvs+ZaE5dQPtlmXQGRNzlFbU/VHAw1eecUXfa0EthOId55RbwMLlUYQUQl9q4TgJ7rSgM+05nc6g gT+YFVWIMMPgQV4SeDpUO8gus63Iu3rMS5Qhd+88jwmcm9biKGbB7HZV9eGmtGkGw+gfg0pdluOz GLJShXOT20CDGMUQEVlojHxuuOjMXI40TQb9rWiEcQFusJFy+vaNAIfr2Fn7vDBf6xLonhDl6yAE 1rihjHNwMfTGKcl9XZ6UKMtytGK3I+560pQRFKkYGP65ICGfAAfmSWIljen1GBsaSe+hToDWVTpd IjgUv0PFgN5k4Uoy3CC2a7S5XrxbmEG+3+corcuisa134U34nnfxLUMFlO8eT4mmrpoxs/vNxozv 1Pn7fCYEyOs43mQx18ExQy6oP7mJFfxmEtMrB70yd+l+ILWFoyffXudM6f4IfRE8KnWDn31jl6td P3QtPL3l5zKiGhXfRLKR89vaHJ8klhfsKdIbwPwaptudSTcGQ3jVA11MJHP24b2S7fB4XqmnmryD jM0NZvczuMd9aWf8m0e6GaWmBuPHOs/Jirr2GWSt84PfcCaGUB/OVcrke3JTRRGds7cKo0sZaBXa RKEIe4WUt/eX+ThekXGYwZw29cGKkxcPWyXk9v1vXFnZnUBJi1Wcf3ZQ1B2R+lbeYHN6jZ0C/tnV Zu3Svdkbyd/PeOPuYF5ZDUGagw1KUqczA0QaRU4w7H2rw4Ie5urZv07wWbSjC1XiDwArJuh4WBmG 2GqeUVmjfG2cd8vUxrc/coD2A64mSbYd52fbvkhPl0NGKOsyXA2aZ7A+5YMoqqrHLUG9SVY3i2L4 2wD13EFMxQJSLbZj5E+iwKzr6KmVDAV1NK6W+D9BE/COVfO5pHqQx8q+p+XIFgO7VPlI5SA1VhjK bX/E5U3IatUAac5JKZ9EpwT1qAwXUc1pmx1Unk0TWLudl8+qzOCSg22jSI0/uVcZ01Um9Fuhnt4U +SAMsowJIKAjQ5TLB62P0pxSy8zMSqV47bfdkqYLQrOjiO8e+mtS2r8/cScqLkbB/7+xvcS+XBTQ luX81l9YkcQIEld4nVpkQPSX1bU3rqv9Zln0z4Wt7btu/pcsONj4vFO4DTCsTnUNQZ9WGSy4S3KD DSLPar25mpdi2NbrjqHNyiUB6+o237+tcY1QkzZoiDywaepWvbi8kTLw8FnIt8ZsRxJDaQwuVWv+ X4l4IFy3FFkD0cttsuN4pM/Qoc7M6IAf3j2ukBg+1BKmVU6u5qH44/69L5FlYb5s+CAAwBcRZG/S fkspR3KLwSPTek/z96n3GfxcLNehetnNwNZiOUoheUUF2EbRvfZiP04rlZC1IJPtoxMxHxm7rTuh LyWZAbqEIlVCOnpmnsNj5mvKZUq+ORnosx2R81mYZDlR2fZc9rCR22gEQkHQCVB5Aql7l7geJRNw GDzmnzNMnSwek6RbOi/HUy27dZqiZ1Gqe5FHvc4DS3PQa4aph6B2EyACk50QoNb47lac6MWANV8k LVaIx2PC/23WorgrPjt6nfKQb9tGg5WWG1rRRSmlqQfGGS8kZrBsljx2aYzwM8ykX42+6AHofP7J JmSrDR9DzMCkTteXpBZM6maSVDv4EQXgJxaPhog3/QHBkVuuSqyDMTZu98rWdrqgnTMdKySg0OZH BtNTSTg4dGJOz4tJhWeo+TgDTc52xjjlltjLjy+Tm/bAHfehLxgCMxjk1UWa6rUZAM08z3JV1uiM kFZoi/JSUwk/RJr3bD587k9xO8kv7Fogqx/a3bq6P+ygvLviWQowDJGzls3pAdsWAZARUcF8HqyH 5BzuWdCQX6GOa0/pSWJ9jPiV/IAx0kAXcKVUV82LlvADMkK2Z/sswqBZVMgqaOR+9bAlDfiQOiGN XTgxcQ5ypZCMAOv6qRYbjClTY1L6yHeTccFbVhiUsFcSfhGDvsNyPdSZF19YgVWsUsEXcLLpvjwu ja40ZSiAe2QyyZN1BtmeKk85KMUIAyA85Hj/Iic0TkdRLpBhAZBziAFmYy8tycyBYRDjfOVY7ITp 2v+Ewv2JMBQyqAAUk05j+1q50dnj+3k2XY58W4n9uXsHXk1b1a7dX9Kt4ezdSnX6LJS28Zph6m1K EMX+O0aQWjDd8KCTQuLbSSEBEp38nVsESm5bk/UfNMDLZ20JYzM3ythat+cEDWEIDRNuUg9X1lWn A2N0cI0z/zuWNaTPtJdYZbzHGYWFd8H0psP+6UdGRoNTGhup4Nknd8gDfVG5cac2SG/4RgrJclXy 4kXGzlC48Jp2sSSByQvH+TGROa90vJpUxdF6S/0H0tABfyLOv7kzRMgl+Jr2Jlez+YuhjKPNtnyJ C78l7in+ODZU5FelcP0x0mb6p1a3M+KE08ajURvHyDKZymZ7wz7gPCtfRi/r38mwjzqzEZM9oL2D W5a5DgP6DSZ+GfiCGWi8lYz/i5DhqCMccq0APdmGdFO7y8e5XN8PM8THLeYvXFBT+mTB79t3Y6KN LHvXpXRmlbR0bP4H/YT0Hr/dVm5/w6r1aR3sFYk50+6d3kojzVSlseCq3e91ckaICDaMfVLhka9g 01vgew7TgSUEkVy62GaYgVGpdCT0NWQ31bbL9l4fgEmhm7OyjerbRry1n3E5j0N1zyb/6cWHApyi SxaHEOTD1DsmOD965GNZuH4y6lJtzQEx/REnrRKxg0h6ExzEAADxGCr18Mu8CP65XYi4NezI4vBz osFwipt9GcgFCMEcRQ6A6Sh6qYEFujbhZj7Of+5kJnZMjKkeLbaISoPuhHrW5J6hJ+focd5vPDzW KVkMUXw2d+xIvmMQrnanw6658eco9CZaYchFGBlmblqGBbhTG/uWobme7f7G0228IRBIWa+EBGQ5 McHkWq76s5yxKVD9x++E6dcW4ci3rD0MN7Vrl01DAWdKccZie4RzjgG/SPozJ5iHiekaEjDrzFic ZDGlm/xcQqSjjTTwJwF9ZZVSXUxETjcDjI36NpFBGQNu2Cj7ZHSaso4uwZa+aDycQGtn4Z9voN7n +3HniucQMOURyKlgtHqGnbbpVAVdl4+N69+x3NLfThzIboCpnXpCbJ1aOLBFloToPNLG7IIqzT8w VbZxeFm3RwsXG/LsEvLtdwy646htMnclDaHyjn13W+dgTu31zJXyhUEsnrGr8ZRSXLOMbwvU6y7X AzhSIrSVrN+9x6eN8m/6vTBb2/rdXHZoMsBrHgYpaoyT0EyJi2uMOuq/NswB6L921PF9hza18G+r 2xVxO3O2M1V/cVRtpXO/wOy+mYAafPuD5kNdoddCOXAK7adzfTB+/Kj+g/IrCQ0CPn5/avNJM/jd Pzx3IZYuWmnl1pDsnbJnsD6B0hzCi22yoSlUY87KbpsPibBIh3Xg0+bkmTJLMkVIAfEeMa+YNgz+ W7Y3Jarop/QOqNmxY0Kd3jHt9ZiFFrCKUf6f5rp4UKxhISNIxDRwd4xK7Z+GTu6KZR7S31uAI2/I alUdWQBh3aNvhyTiU8V32mC78cvSjzeTCgr8m5zrcVhqOw9+MK0ymRAgE9G3Zvuti0gZVzTcB3lj oEslJvlcvytDKt7uC/oxpL01CizdBKQAoAzdYwZGf+7eIpho63nFH1UQmdWyHvVJCGMHotB+H1If GtR0AOLlwOCMZkoLEPrj/rXw5i80oB8fK3rFYFdHp/sLk7gOjwA5aW7nbFRAXtzDkuN+Tv1MBHfj 2/SMcqWGaGAIY1KiPE5S6YnAq3xjke3BW/l31p8OSAuemO/Wqe6qN7YhZ201IU+ngNrdnU3j8i+4 SN/IO8nRpwhtD/pAvThv084Xss4lrdR9e13xo01cFXveYkOk5hWKdQpRkXFtuJF1jeQArg2QcPqu qlvCIJsu4dj5RlnSbyC+Xaabh5uSOaaaeLtsDSFQMKclz92D+nHwxuHSje7dc30hvnzV4rR7sx0c eqOr1gWOOtX0P20gEPk3hj/hrv5oWPfd6COoiBq6TWM+YB/LD8sJr7rmFYA9oGBx1JMecVjYWJBj 91Bjj06EOgB6yW+3+p7pYDPcHOCW9o3aJY0Xk5RPY802YxZyMyTA7bhZ48nkIyKWNGdETCBwmNPC 8LrVwjcn1kzGnPerCV2ws0FTKuiA6Pjf28UQYk356E3reXPAE6qY3eK6ABKad3sx9+Svz5lcXiGq DLWdsj2ehMkmsU8PJzsz8QDkRsBct06iJjFPX3g6ffbjvQKcuncqakilFJ970uLbtjT+IJBWKi1j eVMCiw5Bh72i+8TihXUX0/pkKmqhA8iuFmVuKVjTKeEH0M8JqyzWw+tfkQHHfgLEqfu8+8idRJGo obb0blnrLGB5jMpmcrOR+P4qHAaiyF0lRgl3LEl/H4g9kSG1x3ueKVdhLIuBoXvdcfQ7V/o7O/8G cux+iYJ6lH7xzC4k8KuBZUwFiPyKnLC4WfMxG4nm25sd4mEW5XnXTQklnYL9yqc3KmMJNmeug1Gh Ad9VQC9Oe7/ojXQOoOY5vym2FlwYuKVPhZnI35FSqhGwPutwdWs/cIzWWAL96f66FlatPrao9Onz jrMzEiMT63stj5jln6KmweCGug6djuV9jwFWuupqg9Ut7nwLi6M2nR4q9w2v+0aXmsIj1ML0D6mY nxYu6AWewPwyzdVYWQJeT6NUnjPLh1BNOQOQMpJz0ucffTXwSzhPQHw26B89dk96ccGU+X9e7Ngr m02RU2xFmHkZ2zZX6hSj+Fqwzq1s3PIrbI6H7amrVGNHBzUAIH3OTaXQ4UnT2A2YVVNg2U7KyAlM /Uz/e22g8ecWC/k9PMqYnTG4HnJjwQwhox5evru7v7fduT+YVD9Vn5j+WR22ABz2LBd9sZ+8zMLB DdV8MQlHBKzSKWE537xRlXCy6/YIj2rtbi0wL0NxQakJvJEsuNhYqKlSAtqctXLxP4FK8IWXGYZg BmCp/KsCP1tNusG4S8yg9ZUQkUDZKJZuYBXqDXVAasgNcQVyKCb7VDaIznXCBjn5zuY3HegzOv4B Xzg5UX4+In++YXRk/UHY2OM4bzNXBGaqcGbsar81gXkOui3Lvi7/4NTrUouQUVB1muIDyBiEoCxZ pOGz6lLeZk1ptve4ZTLnQ8aWm+AAYDt9AXJncBBU+ixZQIWggsZ++oorbsvpvY4Foqizt/mXbQuc XA/RPVL+6kdswG/sZobNVZQBHZV1AZWVruZVcJWa+zahpNwFiIhl2T+U7l8/CeEkyzQ+ub6fpSa3 Jj+8c9y4qiEex9XfCnrGZZ9MMNbJI5M2aXmEwT92KiBVpyLHdwyfz4HBmIAVhZuO+9WenRTWgxbt gTZ/w1RXg0mcHR7JkcUp5u5LNHa5CSZNQbmcpR6iKHPkHev7j5X0FWn9vNsubZDj/RmfG4tvV63E Pg7orRmx0+I13DNz8SJ6LUuwKjNCJa4WVzCAK+14JbSRGQjuUv8XdfER2yPls6pNTdwRgo0i1gHn ZVVgd3m3NRsB8wis1syknf8xpxtspiteVR5/foKsl/TL4/9/wxhia1WVJ6lnRJViYV9Nw8o++j6U KDUx70nb3kRbbWG9Cr1ASPEJa4MAvHhXcPdZrbLtTZVmhUjvgGbv7uiXxZYPhea25HneT7oBa/jP UMTdSE6DdwkbZQdLSiF46Q0FWsyuDQWMb42Dw13vy2zgDzyuPEqR9jsGGczTM6A8iUYUC+hFLLtI rdp7QifPVtD6rC6fgQC15z+kmwzVf77OHbfFt30GGqiQ6TjO2WaGrJqNfIfACG/ZjJPmAHz8zfsP TuPgBfxx0/5BQklfWFewSptokOBMOSRNSODHavi22/f3+vK9e/busXjF31bwbwg2ehk8lZf8KTQz X9DWglJdRHKi2iA/N+iu4w8sSynsCsxAlX4UBJ9Pj3XQYA7o1bKSiTgf//qzRhVVxm6hxT5xQGg0 lVvn1NT6p0tnFHwz59cjysTmAbiqLFdOBVZZGx94K8VSJ3mZ3NrIm6LESKOVmLLbPYCsolwptuWx pX79hKpQ1+I/9i4d1MRXdf0ZEsVSo9Pe2/eecVXF5FbIT98KEDcGFxq69lRKf2HC2MFofE/flaAE V2WrZKjFbFiftb2Jn5ITPc4sgCGKDVeLM0KduT+tNXJTiDJkiX9L6MlFjE2T8gXqG+uv7M0lWwXd 49W0T5s+V6aoDsmY+Bxxpf9j9Phtw2eDblBDv+BwKWcE4nZie2lrNVG6EQ4ygFua3A+unjxhekBJ nX1XEahkRTdkIY3sUCiApCg8pBRssSo+yl5XoNGRQtePTXtBPAatPVTvzO4fj81HKVtqwa6smjlC V8hfsLefSMJNmwzp0wSqCU9LdG7ZIWFizMxpnmEkLKemT97vQzkZ0H+B7eyDszZV87Yo7V5asVeW V/ACxR3QmgL4/J67fBpPQYEDBGno6z6gSMYN5N3W3Q+Sp2tO6pAnlP5YdAUVpEmfAAMAgHfjnYuA wPQYM0zHiV9BUfCSkQJGWT+p83b/UrEeHJB98Q9ivsrNoGCJRaBUvB1JNuhUqoUDJkpZRZH+JOoZ pYtv2fp2rZw1WaUIvztH916NoRtYcYkK1Tw+xPSNC0GyY6kEF6apUjPpiM19FQIut6N8Rc2JfIAL I9n4TOpRWH0X7O487FFkvRbKp/5L7KZwx8fayEb3d7hXHcb7myG42po1Lf8o2tWNLBT8I+uOuET8 sjjbHlQbI7EgPnVilgF1P2M+Be+I/JuxPMHkU4/JMphLyvR4tRmyAUAe36o0Xw1IpUniLuQ7ylXY nNVdNCNs5A9tLLWdpUrFwCPaOS6ap4Is86CgWTxS/NP6hKrRaQgmmDDEiy5SsRWTM3DWbV6d/Yut IRtxTwEDFKvn5TtipsJ6ZTCk9wTnEDpxrNhGk6w+DbVqyxAxiaXdqznMjotoJDmj93Ql7qQ9N4S2 abiBJkOOMKwq8/0waYvRLZIScIcuT5t5CfoqydV2O2PVpPMwEFSSotzo8NRkBAgUMj6GAWSIGZJy stgB4exqrpJ7jrZJhvLpH+y2fTsmXdlBULSdWNKzdZNm1wySu/EGQ1c3sRSz4efpeqF92BZUxH3e CyXHEwj8+GpT0outt5OXUD1/ROD83mjIquaSkesaYeWaIv9Yfuh03IR3Oaoefj48e4raRjU1gXBZ FCBeVTduI5x/mwkRG4xQ+2mUdHYr9igIjoMwa06JVRaV3OLKhpJ3Hc1MHVk12QI3K2pKK17C/yXZ rK4cBwsksWnCnCMNjELEnIzUeNrFnQCEUpHbcNycs27orkWX+Uq+oqJgSQClTmgs8n/cE/VKp+pG LP9VEgNn1vn9fx2lPosZ6xnovceeNI5oeXaVptPJQ+G1KJ6BNmgDZ+7sBqUlCQ5n17qyt872KNRC xprNUQoHBSMAmdi20Gy0LmpZ89NySk55/JJ2lZ6E33mGWa6vM6JpDo1D9Fj+2s24X6/LKYQphJbE aKWACwTVSF4EN/NSJjNYSCG1CZdk1xmDnPjgmqvlDObRp0fwZpJ2wNuKlMDJOCfSJxjVCTvPf3kT 3+e586oCwWbg0t+gVYQKREkEBu45DyvuyxZTJg33xuv5s3SX3RqN0G0fT/6Bl4yooUczxjVb8HcZ bS3DMUd3Li0B7k7iJOxR/K4x+X9UaD2rxtbjBZsDHD3T/iLiIELo0oNp8LeU53VOrcrbemM+0GBI MyH6fFGwaFhUQjHrVmnCVm+mMbYC71sfvgSHBwj8mhUbfxdoRqEOGMxkOJjNn3PsEWpR5gqXVEaQ 0m6NgaB3bhWHiRuD1wdfiO0E/voPT7kxeuH6AC8Wl+oS2MO3Qza4d0FXaAe83zgfoRJJXfmZiRnv 4DF00GMnA8lZ1UxbiaxdWf750fOjV/IRnPPRVRNo18s1G54cr1DYGUuap9iwkpEiqSWh6MlrlZML lLBkbHENNozRcm3MZr1JoIRCx5BAkZ/OibBKEq2xPjHsbJFvwO+pL+odhOgtdrMN1mX3g6RCLt8I gap3EBd8d+EP4L0gUDiY/NBnN5bQZG0z//UKYYiLNa4xNkH1uwru2dsdLyDnDPoSb0ncUsx/nDKf l6Xwd7k3mgWMv1uFrCk5aMADGfSplCpw4u1tUMEPLc8STjpHOapPT0bbUg7ghIaVZ/tn+RwHJp30 xNaVLbzrypWfc0TgvJpJ+WnCT7ZAkFWCTdDyxa+h9vwtAYBAmE74s1MNOKPnEJc/m4Va/EdaETH1 hsXHnMhlufKtBmLrDMODLA+9ZFJYoMQfIcDObvbFRJDec/9gU5IXfPJALpLzqgDfEHDVE6wD/lx/ 8J6KbRbv9+G0cgmCfxC9pgHCWnea+5jUyrcAtgDBZ2QfqB7WTqLflxqJRpnqWhLsjB7TYwVEbgaJ QYevFAjw2ZIz298g5mDMvLbhuUznN7v5tUywI5oPMpv106TcG/RdxYxPRRkj+bK7Y3BqKxsUWjdo LHqLEYWy6bfXmnQEiNJSs7BZyzNK+otwG1th2gbQ9LTeEpVxhISRJsMvqU1seu76ljQ2/KH0M6Ki 2096mtDXAvQbFrin3VcZ0m6DWK8YlqgI+J0CGArZpfmhcbJX6Z0vqRJeEvGPlVGgFYpfbo2z47ua //OajdNFKT9f7G0/DFC5tTk0UKSuPFhe09eMsFoWOVCI2s6+DFvXYOyrBPElDgPkshNTgCLZJPYa BmDpXywKCDXRh/GnlqNVtvRLg+afMZStGmEcemdhdSCNZ5FE0Wbn8LPPWFN9yz9dTBta4c3jbYHA jUbWevZJ/0fNLiBtsZiTgucSDy1LKOMqATzzFroXbiXtavqvQQB2rtE9q/SIWezT1CPFAgRV1owT gjhl0I6R9dYRB6OBmAVfFEzKDA2RQ4kbgx0rRNV5/2f5E3IUPRp3LNVtZvTt7t2kEax7l+D1be9z Pn/qoUkt8DHEIPwrFoqoHryrv1ovxOtlsNlgwmkjZgjPal5Nx4wX5pWh0N5Qz4hgJ5fYI6I55RxK KhKDJD+aT0xUlwXS9UX8jkbya2Tg+aXZR+6KTDSwlgapjhTHAfhTwkn/MGtkaeSdTzl3xl9Fnn4W MRBs9idaFfcq8Hy4/7jqyOIJFB6MpcyDpmB3fTnLYpcEdqDI66Hdwd4SP8IddnKLSUzhsFOH7coY EkysSnGO/M7xMKTdm6HCO+TGJBXI7mX9+rn/sv2QUhDcFwxml8CmYV2eQNO1b2RI0HTaIMEXuGyd j2QYbaa9Zve3kaBqV+ejr5SNagtj22bs89+yjIvMUWvBrR9CXnDLGGufkhKJ0/IpwFBbCuoEJO5K 0/FYPZPSE8q0T9lCE1ubUP55ZLKXehnp70GIuko1w0BpS9lvJi0jZxPI0MhRQdqOSnI0cr6Pzz6I jL91w0sj0QXylOhYVYf7UPR3mi/hYVYJcSOaUo14vE+OACtvJrDjsBHGH+0gmDnpxIANkG3+N8pl SNAUTNQqcl0ExZTQakDMlSjwOoDNhCaYBEWq/YbjtnNKJmXeMWFIX7ZwbugNxB34FLnxMyjLoUDK 5eE2SGKzCo2/3QI6SIUsViRJH8rIVy/Zb+/xo7EqsNQ/SohByX5QemNlXuvsnlTPppwdTdMvZlM1 OTN/7MP5LBBMYWB3oL+wi23K2Qd2CiDD+7m8BKpgAemK6RfyN8J3OPceYkaPpu6PfHjdYmVDQ6Rw 7Nxcd57QjxWdJXO+JLNHy79QrlL+WvmtzLUUL86yXqvOizTAJiUa28rKP2AtsU+P1uQnHeutbg+F c00ljM6VvfoBQdHQ7Pu9YrPrN0rN61z09feOz3dcNubD4a+X6JAO2a3KY9E/s6CR5UfJim948+ig 0KS/W4P8rO5byDlDUN1NY1tt/lVVlJZ/Nwmy/6cE/zR4HqxqROJkj3qD8U0+E2YDdrT8/zUP89La X6NjF1CN3aqeGGYOljv9Db2/u4XrHmMl54K6wErwM7YAe3ZdFuzFnyZj3DTKnNt86a614IEQkJUi Gu5/o+iEAQr3j7sJsB2+skmkP5nbkmsbWk1x51zh4wY05hRstuPDOwqSpumIE3S6gFbQmhdp7VbF 0ZTX8z6BnNBOzX7kt8ecW7RarmNVtELBJMkpz0e/cZRF7WBurvihiEAfyGQdOWRjMqw5Ux5jp3MM 0qq4v6T97ZvxevMxefWgmOSxCeOM20cykNKj0cwt/QZjdbwum0pZ8syWPh7X8lsx7qogK1Xfa5Vw EDjuHJjA2MGSZCB1uDiqcvC6n4DTDFalUc5yWakM+78aSFMMjkOfblT38npK6/sQZxUUB9evAKNf y6TrcU74GMigPdoS8p5pxUVAg2OFiG1NoXQfG6hLyekzTtFYWQXGh1EDRtgVeOhn+NpTDcgSCvbo MxMotIalcqAtAO/cT18hb/kbejyelX5aGwlyRRTvjSfER8LGiToFNJGW93L1WwZ2XB92HSMSgkrN uM4iKeb7LO/EF9kcDXU9jMdX9+7oyf7ccGZu4SLof9fSv8mIqNXrnM6XFB5QqKQOodS7D4RckL8R 9C5N2N8h4gQaUBYeqsUhiMSdG2ZYAyrOybCgqNpLm58w+UMiqhFgZdi9r/7ZMrop5Xc6uUeAMGIF KGqPIuf8zdKNzh2TIP4Q+Ls9G4JsGmoo3XXqN+A1Blm0fXcvd3qHxIWY12Ju2X4YM8FKNA0ZmAQZ H8/VttTrm27xd2dVhRQEWdQLZ3Wp9Iu+zaAiKpmWWSAxLtFfHeR/DSXCVrB1c1Yehh9EfgzW1YiF WSfAo9hJL96Iz0hyiLvEUfeuAadaiasDq1ba4iZ4DIebgQ0GuELl7Uj9gfe/2mg7Vwx3LVFgnVjK Tt9JLG1jt4CFon+WL6QqzWKhuVVRy1bsgu7lUF6CJosQMxnNQEDhoJlCMyCvd5Bt7ACv7f3x+OI1 ZQjA9rW6buFxsF/bUBiJTTpDcg6loajKCd4jm9dOk18a8NDNZ9+Nnlt9VGRphf/MzjD1PClHXnjW usZ42UpUp3R/wMY7MPMOsCF12sjeOOnHVKhTbwoeCGBnSee8fQUyX1O/iTjUfXcAfJEra80YGYW7 u6N/xRFzm4djjAT57arTuK+Bzx+lR16rIURMOVmu11uSl/NNqKH6uwu5JI0dyc8wERKn5jX9K8RC 7FIdNvyzAhwVaNv7Gq35Cje+xLMpW324zfSuPDs61WRe+10XzusjbGN+MorpMz86nddWI2m9TPpw +WBdu9tOWN+PnUHPn7BLIJ+jxD32y9vCAvFeS6ux9RSUyIDuZvsjJRBodCsXO4a4LT1k2xGbzcCQ uJsHkQgLTP7+Q7KeLL9Vw6KSJZIJpMi43x3p1yNSMDSeBNXOtQURuNbwIh11cLiLDUDIHUGU4JxB Kj1zoren9t0TZh60yUp5mfsV8CAZ62twbp+3bj/h8AYxpSW1y7co26dnikdwVMw+lOSl2GcjUp3R 2cCzW3Mpn/g4Pbl6m0b5hzZnmCRASUjYsfBO69w+c8ITOgg/bPQ6ezoBh/Dn+d97HJktCA20PpiU JhuiCulXX+Gl1eunpGApWscK+eoF4JTOLuUVd1mqFvIDeQmginkZHtVEEUNtT6U5sARdZYXc1jrS qO7mTXAx2uuzcrzepIhC4eGpGrBbKuHOSB4ZFgFNDWthbYJEZ5QTDuGIVfuCpTcIdn7vgUyd+1r0 /WT9JjtXBK/BJlTJljracvtkVB6mkrIaSyiGQxk+0UuHqIYJ/Z7SBWQ8Fx4K8Ht31ObNRyFW+6QL N8Xh7PfEg7DuvSQ8CkZzlGqAEjkASHq/DSXn4c9xqlSWRyoe2FN39Toab8TPtyuKCWH48FfYl6Mn PQCaPce3kPCaPQGSjQNrM2xdIxlQnIWWcgvqaDc05L3FwFtl8/jfVcV/thYGFfm096+6N+wpHm/Q 4o4w0xShf63XpKkc7lNWnzJHk2S8TBl8grNDZosWx0ZLG3S+id/app0ZZfB4rlppZkcMzxqIPGSk pjZV63Mz1G6baC4qrasl5KV18P4MlqztAIqgrtFTNIcqR/PQKyJ4AsQZ5hXVrtKW1lZ+cN5c94g+ OxGJO31IdbBOORTybP6i63gBSHD+ITEiA6tvgcg1MG0DDwi6lGCwcb/W8oFyRzl28U4mKe9g8Hz2 nh6rM2PG3dvUffCLMoWtnKja+7ELmvLepRRxz2of4fZEJdy5xemNfCmJ+bU4kVuKrObB7D8/9GJ3 QD385hmHcGb1Wrr1WZ8QEMarcutLWy9A9ZUubs4Szuzy7yKoxirSjYQ7tgG5eo/zf9HdYP3vn7vG mL8vmD99k81Qir5C2b/tFbfmbcnNRi0IZAku2XcGLSsH1yK7Tp55gTJCp5jbAyf2GorABGVjT/JP ASkOErFJNFQ2MqHD5dwMefPOFByxyDLlsyyCdW/oKKDO8U737rkqbyN/WUjWWflEBlAw/84xFvpu +8f8acSNxW9V+w/jgkQ95xyxu8kDZT4zK1lFmZLFonJuZhauN7uJ5fPBruTVnL3yRkfxFNUttPq+ iy5s7ysHCFYC2qS9Wocdu4wT554rJyBQu5yAHLZpe/mUMZlPHx0qZU853aK/6UWjSZkQlmXz2a6Z HaaIwTb0voD9Av2O/EdsnNfQax28/ECK4EFwm4dVJxkgFJZUfeoJJNr1E2sAOFr2UEjjP3sikZ1w ZNZZ5jrgSb31X4p1eeudsFklLWg0WDnBHQSOJokyPIHR3R+bBtDQqoFRra3sWt9Ye6/CproxLAsl h8hB3Zr3NraLbKjFRancAf4NImgFMlPrclkIxUi9bBeekMosFSDm1j0+QF9BmlR0PIST/mOFqWBv 02bRy3xexj3kIPDmbT3ZSfPZ2SPwWi3yL/Kb4Q0Dnh33MvvDMyNSn/Uia/nxsNWaoxe1jaWVI+7L LNDHfqP01EkKte6hvBbE49b+wfj/R1vaz5VnuSGJNNdzcso3wWsLRg/11RgI6dIYstlSdDXeuolk cYpIf6tjIflfFhp4o2U0V8hkL3wGvkw7WGZJ3QsmNZ+DFs61f4rQshpadC5hZZM5DTopbYkCjrVI hskZq8md27k0/TiCpHTKCmp6v3GsPRao63++M3sOsLkGFy7IuLzJ5UFfDtammCGSOHp6zzjSXkOc TOBJguiOhRkqIZWLsPOJpIO7DwGByS8co2kU9/WIEwJUy48pHfkG0gEQkxLGizoVRjBcl7I7k3yz iZBUCfcwNK0v3Opt9jdk10+0wwakECQvo8A77qPZNmnpUJ4E2svfdZ09AabMxULXEcAqftKRsN4t coiPJHoq0d2/kcIZymQ0MJdEzopmGcOIzE1IiurOB2DdEMdT6HS95nN9SqPkzNsa+5BBXOQwCzDy Iq4bfH8ZPeHF+tOXOv4E07OxvDLoPEq1xBeWhcMv5Zpx8jNt++Wg74FaHowGgRsLRcSQVCHdmZeX leuxehbyuvzG+sZtvb3iI+ugkMW0+wUffPaScBFsm7Da3WM1Kq674pxEyOP1gWTAGi4C/nSqYc0q 8bO8+lc3eDsEqxetIV79XbyX+4WY8QYnAI0vTrIxObdxZgZnEXIcu6BmxFSl3BIP8SXwEG6UNPNQ Mrg1HJHhEiBxidd+zJTyM1MG7waKpnm8tDy3GrhmsjG9j6sojfhTMbbw/Nq7JMIHPN0inGgVqkj9 wqPjSZG6CnNWd9GmWK62uqZYdMhzOdXhTbI1aF4Es8JLtLYNesmOQUVwZF75pOVHPjPEuNZI3DsG swllqeaNKtQOaToyNwk5fB8MiKJOjMInEPzDSMhk3q539KHzWXT+jZnCdFMWtB6pFMfJV6sOa+I7 rypYl7KJaxqEAWmg88Z+gGxWBnbKvu1oADTGM3GUH7Fv3ohg6NMJkKt+ivoU1sIU7+uHJDJeddkI rlZ6DwxwJBRTXzTEzIo+tuJ6pd5L/NSq43IWf+53D1UyuyS06wcq6gxWJ9MYv9GjwB2FfAN1ITCc GACugiTHV4tU5+XydQalWrKXpIXkLwQHAEhmZQrME2mDKGbZaITI3/jqguisahF1hYo9zsANMTwk 4kFjYtYkrWG6KuteF3PUoPFtpzJI590Alceq1l/XOvnOcY2Yz/6x1MUKAjFtJZHNT525Kh2f8wAM dmDCG7kR0bqiexsWG9/AjhjNb/QsKsUrLAak4GqUc/mnFm6U7csp33rBCIIX84D0kIgdhm8zHD+r FolzH32dXwl3PaWaKkmhNq3gs4eR3VaVCx2iQTkeYwtgAeKRRE5YdObdQj20AI3BeYxWLaC0M3w9 o0aTVA87copqAQEUNCbsO4rpjrMsY9uBF+n5PlFvQDTlfp39xTCIKridQIJT4EBaWl7D68E3Stsg 1vUleQ/dYJQyRZrPcB/BX9/rt9byuHSha5mRme2zSvn/yjbLjyK1CL6gJw2jtskDcx2AT64cH1KC BwT99FzTjCTKwhGolKdTigJt3d1eTfeNvnpM+hrqNTqE96Abkn6ZbEe+WH2KxPSKapNtX2OsX83L UKvnRSfsehW2gunVB9DDp6YdsJfg5iQxuLZNPFW38vuPkcI1KNHpfpuWR5/iX1Ma5T3LieZZT8eB wsW2Bz7/biclSCKcPgv/z6krm1hd0cgt8iWu5BwI+UnN/ofuBugR5UZVDR5owlaoGHO0q47GFNAc x7QNvYaV1blqUGqLaM1yzw7nXLNs+I4TlSeCeaOkq+Ed9BXP4MbfJMizkJ3PHzUAgdqlmWF8Dhmb UOKopmxvJVpCOto17RjTtUk2wpZ52dbT4KHfI+F0uKmhmr5JO+qkKwCOYMFTqXHr5qPfdNtV7ptp wXVtbJV1DGysxFrZxxOhyzh5NY9s8lCfpJ75CRjTGHDR6MaUNW2RPk5v0LB2kQnHUIOgOQ2Y+evm DsxvwL6uek5TLEc2oGpRWvHLGXBmv/S8MRX6sBaGwW0IjWYLp1ziXodktMi4uhA8DDiY3m8AdNW9 OAs5VntzCss1ftH36XaYGZfBhpGMXghlk42mxcW8yGerSqS0A9vu7eD5Fe6iMzsXE6qmhLzBTi6l n4QvXCmAkauI+mYptIPrNxriTqY0oEsEKzpTr8vBO+5EoWw/8LA+oz5jlH8VIJmxlJfiYiV59vjU sZA5SJbwXwvCyr3Tr/dhCl+lkBsMBgVJGo7kgvLe2bdhxNZE7eIpwo5dGjS3PjY5FY4YFMRqtUvi OrwXT4JO2QxCmmxofMJUUc2VDiFtafSGlRLAYITjbTQh0L8yjnhO3kyQuJiYFyv49DTDC/wGhBey dOCg5OaQOnEWSx3Cd6OJ1HYu8Gc0ZXajFkiNybPeI0YrLX/WvSea6+vjCFvMm/j7HbrrjUh7Ioes 89uZ6XYmUX5IBmdNvIxKHn1maBpOOQKK1Deeva7EYPKBy6JAR6w6t1Z3hLpgjj5xmaPkssXktsv/ mOojZjmFcA8xDm3j5SzC/AzDdfwxcXgWSvXeVSNNIdsLFEDm/yDkjAjKiemc4aATQ2oABQC8o4Ca xKtPdlzFgQBZVhi50nxJ7E35/EsjHiGWRIPT65vVrik/pXmjsVhychCefqHA9o7lb4cU52yTemoq 4FKWE+jybeyffA4C+tgvEMJdouorcNGHK8Ilk2Hjm7g3ksL4Wwcx4u+EqtkTmKRIYiuvJgIHEWCq JWZB4HeqZHXrtWHLqGm8LYLh8xijdi68QgRexkT8WcaeBs9p4J7pQIu+gIBmP3WJkBSkP6PmE0Hc 0mcdQdT8broUYCvMgDtB41BmfKJYbW8j7bWAMxv4wGmSlYS2NSBVkDRwp5uYuqYG5z02TK+nLTcm p0hCXi1U8D+aAUBh8R3H3ttiklqcvHLP3wr0jb3YP87eDptLXzGMxav5dlndQXlHCM5vyf+rXuwJ Z/cyhFUr65bjg+bkd0PsmKbZpcXwmNa9kUH/oihgX8iTrBiOkwa9l5TUphFkAl8kM0QuNriiPPN5 Q4imtjsij+A/Aw+jKGLhkS6Q5cbv79HmjEt1W1eqi66mRR6h5AIiaHf7nIaYtRkwTSURm9Oo36UF 3lTR3pncWfPo+zqx3Mip5s8Z9L5eyzhXs8DRN/8kmn1iBMYPf/suxKVoPKUg0TZQgoI3D21qhvg5 cFTuBi4ZdEHy07dmSKuRarqvWoygstj3itY6dWy4mlWGCyXBlSeWvQsit6Qzl5KEpFYco11scG3/ mIx3LPhJtIAnS8tE/KbfacLe8WolTutsf9iJnccumHKgy8X7TkmnYCIqYhP0QVt+Xd4RDQtZSKw8 r4vuaMsATbl23Xcoc1yf8sH3IwkKA2VdNHTTPmUOc0AxmGgKAnSNcX+Z90l/1SGiYLxTHLL6Klbc fmJ33LqGL8bqj9Un4+IiHfK47bK9KyjyiwdShZh+varqzSny0OSRj5vpML9kSl78OAiWRvEyA/j5 w0cIO2eA+fdy+L8xJiRsctEQ7iseu9NxeghKqoQlGgop0Jzk48ShcmkcYC4YbV1eK5AeXXFXkLOi x72oU8P9FoxzGVHA1H7q7sojSj5OTDwd1lwKM6J0TwXXem4qNOVQj5kpNHn58MV+G7Hr4wZER2Y3 70FDKLUPufxnPDYbiijS3HzFL5bEAE3jnPjiXuwNHyb+yTvXBjql1mxRfoRREJJt/vfo4eNoec8o z241/39mMBL/dCqIgXUoSJEw/ZzOk42g0HyT4igtJ+55fwQSkawSfs3/UBtpqMlR/53V5EY+Uei1 8C9gKwWWDp10LNzLTIlxxiq8dmWBm3o4W3qdce07M5pB0xozb22mXV3DPZHFwbadjPCF2R9uVevU 3dLwn2xFQAYYR39jo5w42S6klTZBbyuVIm1BVYR0Q8pXPcwpx0IxtGviwYAoVWdtvV7XD94FqrNw D2IUKuuHFSkAXnbnhgIKK6nbGgw3GgMbnjikpocRcJghdhMSOVZnZjWoUNwrQ1FhV4i59Lim4w2D WWxi0WqY5hNMRw6LskiXpcP/T48DgHbCLbYf3ZqaX9fMNKxBp5nG6r7Hi4+4Vju2piimMYtisQor lHEr0y7SXmiTvGZu6kbB7eY/ZYWUdPifirHNfRptt58dlr+E/Yp9h0f7OKzj65hEmy47b2l70DBB y5DvsQRYQXCHvR4KtpABipYR0u7yY+QILwXRjlBRGA2ggIkFfxHXEVi9VtsBVM+YnQg0l0iZGubD Zug7AK/t1/sH7xcHvDrLCnyjXGWYawfvGIwUke+jcjHky6vq1Qvz0aHNvXxUvk5T6Wz+eySfah9O uGkF0Ube2NkIcbeolSZaOWMxn/EkWzMG1Qz2suNZJLQ5GNH4YTFmXFtbyxtZl2lM+gS695ErG0qQ cbC15bgqLNv+rbZ7oa8u+VwCK88GwrUCOe5SX0x++16JzZ8oJdTuIs+fs0MPYyx97a6zYiZ+efnD 9KwWyUm9l7p5dnwC1Vfc+6UP9LCa1RW05sb6j1BRbWC93IQVZx2RBXmloJ3Dyc/IutuRha7j0fU0 9FOoLL/JognSuI9WhglsxY+YhLQOYrIg4UZPadeQd3zq7fAZ7KAhJkTk98o2rIdqRpR95d/kr+9S orRcA6QVluFczdcXSjyLImtsMj5MP9SMURcTnhWVTU/3Ibfkr+cKKv0R1Djaz2ybQuv/8MNPAWWA z0VBnvN8iN9HYuIytG0R9aDQQe+vkCKZqSnJ2VP84RxrnZJVh9qGrW+1OMWZB8OQ8PnTZNi1WLXM fVpLTm2obOUhtM9meP/jQ07i4cXcO0lbWfIBLPAb3NiJxDLW6Ias9n26S72v/7TICbU1qNDCWj6e yG7vKxFY4dhZlbdbSry4cH0q/KfqcU1CbCGHKoI+RAEHlVkg1n0/9nirxnfP3j5ylG93IfIEOaUP c7WUzZda4C8dzbFRsbqC/xlSBFq9x0xHMoYTTZmETYieuSe6u1VxbKO9Iby3pctS41CMLIB6UsxD PSH/Rr1bgRpKgoSm4vEr6g/S1gPXpkC5jZ4/yIoGi9G698GKBOUysZFgDqmextUzUSDMk5GmPnVp 6BCYsFyk2rjEGhSdA393y+y6BDXmiNUGQ1N6drix3jXC0qrVyjWmaV0B84UUt8lfljeCIpWO5RxN lIrRqehCF05ME689+4muswB4QV9PxwR9kPtPrWBA0LSCJGXhPuSy3EZ12hfLPakP42oINJYBxnt+ 3mIac8YYpEO7OTkShsb3C3z9O3ryfW/6HsvyFopzy9HIEbB2F9xmiW9tHTdHp0DBP0Yq6YtozNDL nyPJIv7AZEDTkgQAvagS5NgmZCdP/XV+02Sg8rxeJJKOOKg7gQvtjh7UgavPlWRycWENlWn1YjME ANFEpdr8XKkdG3c+U06iaTf+x9XTRCU7d/X37BM5+whwLZOUcODNHIz2BY81SVmgF7x3jbFfmc08 bQ829RrHuEfl0oivtMuktZSA76WFiQbtrONcXQ+EW9ttkBFr9fqA1JHCKYqnvhDVHJh4aYyCxE5A myOioVCFyFWyMk1FVhXYkXXDFBa/ZDfDYGucGfDfQApirpA2rgpa2MGDHhsDTJ9lW6cJpORG2tz9 yNM+zNSOZO1Cq/stJcPpLQgs196t69Xr/b/NrHxlbEyC/sQCBofDMt9j/3IY7KQCl+gAu3XvZ2kH 5x6to6qWeekniwmb0RZ46tXMik3olViehYKvN3Ny4JxCbKybE47pMtjiSXdRCYzTLTLhQvQHktEu RuWwETf9dvPXO/NocGZl9haS2J6OUy9ROUMIMV0uJZv3dKgp751sTJb7hiWdX1LghsD5nIvXBCtT RsLtGpmX71BFUvhSH6H6nFcbUcpNOIZ+4TwIO2X3msazxX9e8MogHZoLx+MhQFLztAix6dUVXIa/ MKaXVmvPlExpy7Q4k77KRz0orPOuHSwkolj9tGWJ+dgkd0owoslIn2Sy0MkunwFMnW93npNU3zHK t+6YOSctx95O6OAFFlPyrRj4Ig2nWGSFr+sA/kKiURMJR1jrJzsBrAO11mkHGVaa8bcQEa+AugMW x9J0QxiDIsEQ1nG0JUhsRvo22/9zEpCu1rgn00AspQcKMjvZOZtiskliVQ7WHhptekA4zepoPa/B KVDa6hnNz7CMaufK6Qm1OjFvtrcEdPmwt3895wGLkBjoqFVyfpv3PdxGrdHzTy8jskqbxMYL5ae2 yBh34rvxr+cd3KMLLlPIrUJgjqjc2joEW0FWowtoo01ff0I94OPyot8c9WvO2c4mNVfJ2V0lbvdK EJroUKMIsHz3vsytLmIqMS7ahz6oFiHH1msrcmgdtp2gNvVC0xrwfavt5O7uii8y1z9avfI3RTOp fh2GrEmzrMKKNV251Yb2SuLyiut7k+05zo5UeHCqsig7Q3i0DelC8Bkw20wqk0OjJ7jPpRIuPMFZ T3fBQM5cs5oM9nc1/h0q8IWLIVWV1/8226ETUrQUD7D9seIYPmHUXJsVYXIwobI5Rfo4ih+HG76n xt07AAUttOc0ab/ZkVFhpUoR0fE1Zk+SIQOUDWZR8qWi1ODqAlcGBF0H6e7FbQHUtLtoWsZN3Dwm FbXhZtdHB1lzhyNRqn/Ntb30MsMr5I/1ruMxkR2iFu1MoTyyugnw/i/lWUW2dlEyon5vOurKQVfK Nmzv7QEDLj+WcGHf8og+JKOnkKdaOY7CWHdWtjsYc1M2eEkZiK9Jv/qGDB8f0m+YZ8Eb9mbKVBGo 3xTZxUiDGq94ad7q1obuNf2AnWMBI0YTyI90tp1B9D4IB6PKEBxKHqVACHMQGP3ETbKVM7edEqb5 OqNoAn4wuIkUVUh5MSFiZbexv7+8+b4sEXPlBHzK8+ieef4V6cejA0qKm8T7LWFWC4mcPkMNCIGN 8hM+m8qb7OcbZoi0HoBlxTYLHwc0vDe0DpOHM5O3G4sNDbUswJKWODuHhbRQOKxgBSR84RbICUS4 BUjc3oKkanVtn/Fj7hZI0EZpo40Y3elCvA1Jkpr8Zo4+/a2DU1xwytuNQcTft3KYzpoZeC2ojAQ9 fx6VQGT273eqSnd1so18ZwekypbMWDX+C1ZjDgjS6iND/CaVaJGm/yzMW0w/XbALX+XBMg3w1BZM HJLlS2I1AOlcj48LyhtBPKorZkdtdzTaEMUdjLC1rKwdRznHCras7By4vJ4cWZsEgUOP+n51LSei Rdf75v5J9LGSbQh8+LtYXUINd70eUzqLNEfMkv4bPL6Xv9o2rf9wwc2EI8y+Sgak3PDewbfFqrLp 0EL7P37y6iYW68VNaDsbWxgedrURLF7eXPnN9flSDTL78EopQqGd6weVrH1qz25T/aIDKOJp2++4 Fpr3ftwsg+S82OXVEeX7den5wwg3z3J7V6wN7t/dMuON8KZ2uYhbbmiaVT/YkF10kgXERsw99eJ9 3AsoVxZ8xYzOzq/pUufEFinF3nmvSEBsP4qvHK2PWjgwcKEOhzyx100W1ZIDq1JGpGUTEdTEScor jQ/Nr9nTsg435VRyUbfFqxwoe7uOV8Q5cmu7Iu6eEoQ2cQc43QBx2BJfyhs+GIELsj3QV+49FHSq BdPzDJGREiG6mLfHS7mVLe13dX42NsgJtWzs26hVfwrvOmEA+cvN+vbCkp4cG7EwNXQFY2K2Ozt1 cDNvoRQryFrf4bhVN8r0ypncrFhkiuh7ZTzXYlDYoODcGy6vCdFvwoNKnQOxC7A1SuPgQdMS9VNB eU7gw+4uwymHE2NXK2KeF3vom5B/Ez9E1iJHT0muVhMYJu5MRQvf842UBoji5myBNZig1xntHIF9 qEwmvjWQGeMBY6U1o2PV7QD+d9jnEumlCSgQsL6VBJmym5sCaYTCxYKtB/o2pPBAFtPg+osJKmdT 2U+FL6AufrsHVEMa8Bm2GnchXF6XUq65On9+FAKOG+VbvzSCIhZ3VYzyNHn8tkY5KTjUuBKnCl4K uc84MvKrFy84V/Fv3RsWw+FPTVGCcZNjj507HKhvVcqLAdr4uuQH7BDPdcqZ8t7GHybn/njl1IFY fnqthjkte/ZI/YHAsoqQ/9sA61aN4HOXQLv6zhSLQP1S+M3vZg3TlLnXg6H/psy3C6kR0i/aITAH ZtCG6ShegiDzxgFlkHatwtSZQt2gnC8ccEby6++0Q0mr/MOpVgG/JWeNnfYzfMsTwQckE/RNi+H+ /7pOI5AuLesEYXTV7Jzq/mfN8RdLBFIqEWBougyOYF0S4k8goce1b/45ySVFuJi5bcQgMEeCvt/v u9qCyq6pzGSNyw4MGJFJoyb9Qr2Te6d+tIb12H2NensBaw+rjhmTEsyfX2xT7rSrQEURNnwyPl6F 9ljRdVwoDEIaVmbbQAstRuVgRrOXvbxennvBaYw9nrXLmytnnEJJ20L2gwMRxt0GwyXqeneeusmy 4IczoTl25jz9mSpHG/WhG/m80VhZ3BnixtJWwoOZ/rkRYzeFFfrG5bXgeG23NoH9AABtOX/ZFDGN 6wGD2/msExWpHwCqbeNSQ1C9yvxJQHxco5wZxmmzpRLsgwAUgqtLursdMkYEzT+Jhsiq9IM/wgC5 xWCL0QdHLiRwB0xRg/3ot++rAY8s4hMUQGZBNSOff2zhk4SEDHSElGdl1JKTs4hUnSef6AAAipdJ QQCtM+/jo0HeJjksePczqpMhz2aCJwgaIjogWlv8al9m5uQxlVLhzPVk0k6M9PL3BqHmUIoM/7vx 13UfTJJ2ZFp5OZAFJ0D0KdZAFVJUCexCmVbS2eI75lJESJCwY3/SbnwolcqIll4UL5XB67HGNt/D 1xkSnQx3Pfg6T7/K6K4HKXPPEsIYapav3BLo3v+hHBD8HI1v0/FpCYZOeUFc7gB6Mdbn7VheZS/2 JiGPj/njaXwJs6pn1xpaHzuBzuTdyytCq2q2Bk90T2lSJYhPZGmw2lz2p1WEbSLDAn1hyjmLibjK /0N+4iW7kKGOdDbaWAmXPOrQeHyZ8kPPktVO1qakWrmHxTnePhYVHURrpNg7arFYTqOzeiOzA0pR c3QWByuYMwjJQiombviXoaj3k72xwjF7EjQi8vDq5+f16kzSWx+RZ1fXBKZugW6h3zsu33hCXexG xyx3b0eoZ9Shdmpj1Z/dO8EcwDJG8jgk8oX4gPwi4T2Y5jyjTuC7ctLo15FC6ew9wQQSt3LtfQvK VXUUkIyRmWBKj5f//aq+AaJ+Cyth/vHq9duCTrLkxWIfVpyxMpH3s6viFDTk1jJNzM2WPTawseKf kEoA3o62SCtGicLRmO2Sy8efVwUmucm7rD3+KZVN3WD0VOww23krCP5NIEFcX4Co+0TIVnyT8H6o FYTEjG09kZlqqCFlPx3DACetR000DWES8BOdNXj6XWWyEiUXq9uVojqu1P9Bxk4Ja2ciLojjAhQr lwW9tjpITey1LyoTXDd0UefXQJXgiExfMnXGDlsvqPtrivapJWO7jP4K/svvWTyjywxH+N/dlpF2 KO5EwswwTnU0mjVXyHaT+G8OAHFxbDLQfePOJPZEsOAsclSr7/LMkixr3yNHwCR7PGeVvyIOQOPi pQVkdC51HjlE5w1kvtKUfXacNLXA/akFXrgvKsSGbmmfwTRkC4yK4pBU9AN7eVCEK5jD5WHsZK/7 bb9gkiHkH3DLyKAQcz68FXUzVK9v8+SwyCU1YNoO9hiuzqw/7D8eny5ENwOOlGiydjI9ZZdgiNRV avVrqePSGfGNuHihffAfnliby00ZvIrQt5JtfVtvmbnz37rJcoGCfPB4kopzP0S1LNSKNEh3VK// Nfwy5cJXN6mj7si7Jpn8i9P07tEQHxcR/GlJWu4GvPuVE4kkqVvBbqUmRJPwcFKuPau7XGjmcfiP /ljH9v2ECErji1sK3fiu6hrOAbsKEBbXfzFpuF0SVIf7yuG/3EEUM1az0EHhzodKTYZ/xEB8K2st jvPEGBx56LhX/ayZ/YEpIjNUUiEUl0EEthmuswoJpaZ2BRM3mG1yACivSrHWn3EIGpCL/tqsC9eh fZnHcX7dZX3oGwXD5JzzBn1cAn1yQT7aWKCzyjMwHNISDuJ0CZo6vhPnvp+WOBVrbufuVXTbFFQl YG8UUMu/ouDDT5DhZu8FpP64DNYC1Wn6ZPdD0zTesyJcCVcTbAUGpFewuGb2bh2D5awDYBmtVtO7 T5JPNSnWBso31XAmF6MChARzryoa4Xxy6cxPhBu9mP50dVKya2bGEqToUJcERATwu9dMdRt7oku3 C6idjAP4tQ++e5f0qb8v2OlJT7CjvVz0FLQDqttto5jEaONl6C2pXF1CbubSodYeKh1J/qSuSlAD +7S8Bi50Eo2Az09Dsg0b0cAuH0YL8NjE4HVKVQBDB3wILu3DUg0vFGNt8FGZCae5nXa3RDvGj1vT fcxHxmgM6DQ5cWCrLH6N1B/3UR4MEkO1Kui7jnK4DoOlTlgGva2VtsNph6rfTaehj51tbMo8ptN3 8KUZRkgbQEzbwLOXFPRMV1xa4YD+qW0qpr4FE7SGrN0vb9ROS5iH9nXPfqfywXb5QY+lXi6YfKmc YmISdB7r9lJ5UZ3PVTnZnFycg7otPu1IEtzGKL0Mmq6eHc2fGYJIMWSsk95N9aAJbibOfuwxH1gy ZpUNJ4CppKDRNIRGIb74U6L5GF7YlBO/9pZwYbQHmVPh0Wd0H8c/a1fvkG8c+RgiMex31EYNPIJK mQKizXB7AWa/o4I+PL8l1oVMrSjexc2xetE+6SAZokSeKujzMr97jtUf5JhrsgvERm/NEfHfkpEb tgbXgBly+7rGfnye8Hu1ub0woD18kmqy0bJS6oOXyw5CcvjHAYxy/5iEDqiGcokFUWP3Yh2tC7y3 G1FALkWjisTh6eL4l6X0p/7K2j4JxdCQRMSlekDBvZ3/RDR4QsBjiNYviZICJ0mkfLobsAOzzWeX iBNMEPGZ8MnwZEOraxRP8JRlzYFwce9usWN++c2xLNKlEvDt7o0T12w7fDQI/Vko0QxRIloZ0hNE cPglMlOCwp7JbHnW4tFvv8JSM30CqXJEfU7XObWzU7LHFN/ve9HVDNwCVM6LUXCHc33XWH6hq/tW 7w3MPooOkOMw5PX+sE7cTmQ8iaOU591I3Y4J+Ni09mC52cdr9Yh9DY2ZGOiU0XEu9/Nr+gX+hz1i o2OFskZxRoIstVurxK6LmjRxFpC6fgwx6RDe5zzD7FC3D+y4ln4rrfxk0WF9dZ5uTIIHXSfpwP0A DL6srk4CJxkslI97+W363GOxYGNliEpKbmZNhDR0iskMywhXOpcUmRB/l4tQJ4xfpW3UQ+1t7XU4 yeO+QbSAb8FG50iAr2XRf9C+0fDVzmZilhk8tY3S4udSmaJc5zKDyvSvZm/bh4l35Ex7DvfrXJQD pCDJxuEaIqWKltDoLjrMvnuyjLDAUWfBKkH+V+/KJdFVLqys2qBt0Ik6nVVi4Io4t9LE/2OIw9g4 ETyjfIvguCF5jIXNAOOZrL10K5VDS2JBuYgKz9hUz3GiOurzXQdbf2hDlMGiR+l/aQGCQt2kPCtW WaInA7VaVt9q70Y98dMg7KZv5LBY06LjmDUGPHMNr8Ff4GGWUS8XPbGWO3SiiDiAbddyzTvaXRlf WvixZNgg/LaguZoQEhtIL+oyIBqXJbt+KLJdf8nH4xtfInSec8xyoCPaBA2ah7uGOY9jbionkU8I 9JiCEb9SrnNcsw8+AERqu5deQablxf2Hbm5WqcKrovKyLoukeUtpGz+R/gQHwFCpBIpfY0d2o0dS v10UEqJQXFehTLaRpEPTMdaCaSswoRlMzAforL3whsziod17/tS+XoiE9Ku3tPGGFAN4Sg8AOA9Z Q8hAlc/Yfe8YX3PP/9RTdoXbaBmxroM7Xau7wsvHGklPsU6n/jXcPAYxH37xcwxRIycQ9lsFxqQo 0vnKCu7Nt0i3L9j3/J2GwXXbDfeRcqDq4d7nSTfRlqbecyzvL/+Yo/N1eK6Zhprs0vxfUW8qHG3U GoMmLEdSnXErkI/LLBZQx596DZkgOP7iXaXSVsKcs9qiWBawfNd35L3lapMASmhdbGfkzx+mvDzT qHbSqTJMS0iGs1yOX5ZI4KjMS7y76lXKmSvPkhJQTdYpG+f399q7KfvajA6RS+dl20sPilF/VzvE QaQfnUconVFFBIplSSscyuprDl+aUJ9NVebB2xodvvKBprYMUx36xOLmjPVryJCBU21A+G/GQh9I tk/eTCOAjPJQ4Mylqt/71VFFtJ8irHKwnA2Kj6tXPp98L5fz0hmdBBsYpeOHFHZDjS20U4VbMtMZ ZUMAftjNUSSWD3PpfpgbyOYS4uWIhzJ9BR4nwbqK5DrKIiuW4tGxWKZg/zoZuEB+6arhuqhyJmxW Xx+Ls1dM21/5+EEpwrwCDI/jx/+XE/ebMJL20Hv3l1zQ0HyYM8n8YcuOtjFGFCRhsWcn0BFNhq0O 4xzmKTPEG8pVGbmOs9eQhZMB7EEKvnHovy1bPkJgS5gFAbsFIxw2A1pwgpQQr8mDz+0A6wZNdrsm S9DCA/nwQiXYS042dw8icCCLt6QTr+mIk45iroXOkgKB6Dt1zLqUrY7GRVVeNwQvfc1IsucHINKu 7WdsXDHpz9FOSgvb+WlX9G+B5x+K0PSpXQ54GMssE5g3BM1r/ByQeol4P07EVhj9F9Ps6iqCujc2 5ndNz7FTJ4OYMG1KTTBLZIXGsMpZXoTRlSWLYT8ZRMyiB9LenKtaM6z4fnWbhRreu/MNln5Fm6Gl O3ctT6Gq12KVQ25CKTq2WDQ1D2EJ0JzHTGRxSPvRtOlAPMv0X2CjkNtb4xAfhFuLFf33BsOhOkzy YSMWPih+ySHEgEVwRHyBShVWhFauyKILfUs4K8GwocQfeHhp7nt3bulxhDDkbMvDmLvHgT1mx+pB c8YHlj6nRlHmIU1h066gb/peGX4sIRNCwqteFKsYbLbFqeTTgOK+F9nRMUAUR8S8ky3aPXYFxzb+ I74VsfDU/uZfoOHUGekWBbR7zAtahyRPksFOmuxt8QezhsToPwHMdbRzIbgdS3LsQKU6NRW3etIn ugNbbJ+4byLwZD9K876TSWD6+MBXCiJMlF24u+yu+l3VxwH1QGl2sRPs6suhC8++xSkSSmanKkb/ naKK5IlPedTTT8wJWG5Cwjc6X9RUt7SBh+OCqt3/VIUIzabEgKFNIRVenwYZlyI9/G5H4rPT75KJ rtMRRn9/XCJFxyJvhZdYCFtBP1saDwYzC1qgDI9PiD0+WEPWLei2Jm6k9nwHD9SLn9PyJL6y7cVM xv8WCUATu4vSaM8HUutarOVc6nC8ciE4eBg/DZy/nqmmL4glyC4L89I243PuvL9zS3vmEzMcrnHR NqIuu+9uB9hbeYw9+ygbUzLPu3FMqg9Dvtl+N5Al0DoZAALN468q/6rqI3y3HJdeafy/tcgSqDwp qnpHEeadni5Rtx2D8M1q0gFGNh/yH2+Cp3PF9QjeYSEcyO6zB1jyRwmEsiMC7s1elhULj39j7hzi B9S3OJwCpXCIix5eQXCvPCUGaPTXp0a4e82Svqu6WZ9/dhGt7mJFnqAftzsKuLkpC87MsWpBuXRu y3KRXqq6hdTgORKJCk5vsuONKkHQ7VDNb4wVweYffPDByFWVJYlmtzRPohiq3bOtvHjljx8zTzO/ tmgw3bY9+e33yuuiaOHMvGj1QHsPI7kC/XvUoK4hBU6rcjDxg3ka0u0LFxE7rs6OY6g1dmiPH0BG 3awfG2vVocPMHC6ck1toe76xD5WfvZYmv3K1/AyKvNfa32YAki9yk4UXPQByW/b39R31ITx0OmZK VjFMBJW2IOLBCET54WXKRqpte6HUDSOKgDFqE6dE7P5ne6eRYr7uzcUBvP0BXEz86Q+1mgELBz52 OMjJU01NsV72FV6QVlC7egwqOsGg0jX0vwwgvMA8sjQW7+kq4xhvXUJ7oI4vA4DDTJcrbu41F8Yh 45r8SL2vbAIlSOfShEIFg6PuIjzNxs1yTXZxBiJyGgxuayP7wtqPJpbz3yTdh2rcGGGlOZwyQEvK SV1ewKn2kv7QKYLK8Q3nwccs+v52AYy4AvqJXJGBi9rkeXCFOv+gCd0YmpimUUXggCP01U9rf0D7 +99ij3l1ogGHKt/5HgBGONkjj74WY3LDeW6RlTt+BnptLDwarCK+xISTW34/dZmGWsGg0lx44ExO AR600JBZmE38ptb6WRLfkaRqLT3P85KnZ27wk9/DG5Y7xjz0WzZPSuMCqbNZ55ArmZovsOcIVRFp 5vCBaBBCWSJt3zJ0ViTOiay5gZa0zUHxhNdGbg6UEfJGeRkOJvvlYysl+0xWJ1HHgkyMGwcato4t sqRrMOsH1ciF9mkLF5o8dXvhc2WqDROKM9OirvL60bYg3dNeji7LXqxkpoZlVVkvwyjJiqPuy6+u LLK8184CCAjaD3rV1pIjaa9OLpf4b80CJ7OX2+Cukq/DBygjLXdw+jzVkCwUE/K9PZukVUM1LZLm EZjNVhV6ZcaElPN2bApgXL5g2M3BDKywBdqN9Ybm7nRCtpdExuhO6sMOT6wMADh7G4+Il0Fm3Bfj PM8DTr1LNDwke9W1m/JdT9Mt8ym5xwPiObaGtoYC4Oije/WDhSnfFMBtoCk4QUN9iYsCO/ptynRz AFFwNvnZgL3xD8agRvPBxmncDBwuJE5Jyyi6iYPsrHga3RRUTmXQf87gh/Ejds91fCmSeZtdjY/U BhuXce7k2pyG0js4GeaPZFoyGQ1tYMF57s73oSvt3J/Y2So4WQVD220jMgRy1338mi2CSL5Ao3wM YbpoZYTvL9EtsDKHHE3a+hVIqu2/gNquF5fBo74e1URp5x05EWjLJQUqS7GbgjtIH/UuLwn5EoPP ZBLlBacCT8z7goJmmAsBjb3SZf6ts64gsgmOAfwf0/jcTyyvH2RUUy1N01tb5wb5C/gBnYzzN2xq zTe6KCI99+erRoKHej/JHCcJiHN3RVHZwYdkJiHDlol/iQNWWFLHEVbtyTvKwWuH2ENoTJ4ApkGV xBAJmSvqJBUREeO5wuPSu9L7XTIxNSzv7DGk06d1jrg2of7AFQHvPxTe0HcYk1Ax89kYVV/C0o3w qAATyJ6zkDzAZlfVhsH6gJJTY0bbJzeI8ak01zWgq32qAEY2lmk0wPqHwkRFFrhGkoCB38biWEQP H3GC74EuFzW2Aq8GyFecM7JJw6JbH1eJyy+vUW8UKDzhD1S5TXIvqE/PFuJDBL/88E2CKWwirM+Q bkJPynCEe4iKEcTBqtY6+3FW52/cffX4GM0sVjsEx4CXEfSchFpOUYxBxrXQDPaRaOQoxGDJOvel iRuUznMhu1ldWg/V1Pvs2cNKJm4qM2sbwWCj90tIcKHz1pQrBbdqeJX28vKjk5UvEr/sS1bP19Hs vdd6WXmmwAnH6PRS5LQVNTlaBFFzp3aVAVGJHUqMJ1cZYElyzKv+G3fwXTklTtCtDwWyRXCxsIrk 5/XwFtleRxSX/KqOVlgXIf3Dtix5PGNMcFCijtnJe5nZPNI8e69cmlqWxKsenNZVTbnnhXbyF+4m zzZA3/0ORPrtDl+JWLIK/+8/X3XrAb/x7smL8qC9V9QwNnGQG4das/j+XIgspI8X9H80FJWe0lX0 oVNi4AhbecDaRgYgK84AabK0KPBF23PWXojYpAm9715wRPULWkLIxdc9NNzpR2tFhYihvcI51HQ8 9jBmbU+IspBiWd5DNEJgtpouJ5aqi3PEaWHy72lSsuyGrB1+iUqQ3PCWrmGwtfRNuLd/BPAtt1Db SI7Fg0mc6f1ZSdcWWgRD+29fQSFGd/QMQIe1FKZSQSuPVK+PLi7M3pCWYFJQLxzW81QAL5FkgDsH fI46sltpj8K6d7TyGjYkuoGxfkmWnI3L99l5SLexiB3jVP727CSVYkRFCUZb05Hkf5h38lZNYv/s SouXelYtNy/iTKutm0mKWTRyU26x20IMIaRXT5kmgUd9e5cbIZfku1h+pRZ5vZdywcgJBbz8nc/N 4dfi3rfaKrsIAi+kIGxE8jGWjDLU0ROsT0tKTnR78E/WMQ5NG2ddR88Sn5SpK+PYXd1d2vLwEHQu WVqbr6sL4F/+R3Vp2867ehciC6OtPcWXdTroptfsVgruW+0RTaKVfjF1Z6dXr3Xe3QPC3Ji0I+6B 3LFoZm0cJ/chHFq9w44sF13E4yaicovTD6SwzuSrSnqBauXaMs1Z+KGmQvNTDXJT+Q6xTfDpJpYO eIviZPIGlekA/KdvkAVIPcn1XkAbiNodNGzTboDLJPxn9BdhCIcP56wZ3DWuFhMYc+qdoO52RL3Z XqiPZ2mmISNf9PDi1LD1Q0Kro4nSFm7EFsm+UBzVXwHinvD2322gO9LH57SY5/5ARxzm8ysFHVWp XOVjvamTo0hT4m9oalR1kJ7KzXWLKtBAB2aCo5kOQ2m/n46B7QXxyp606GF/A/Iz94STs0j+t789 QUQyJbmFFjdCT1hAHTgObOa+iML/zq4pBiHu+5F0OvbeslAw7+Xjw9kMai0SMOyT6FWKXSsSgsjd lzq+BRx+WQQE1UDxGhCw2nQ8JbfjlRPlTNbwdUXG/8gKj9+3qb8GtOPCAK1fN7jKarg6eCwypmHx jHA5gf5w+SjDnVVNkmNTUJzd75ufNiJaLyeuZMb8EflvFApC9ydaDQmv7UTY7TYRuQ2eoyt23poj dGkeRAsisbWPXJqf9H/WXNg5C74tMmc+5e/d5RS8PuXuv0hR9CY7tV4Snjh1wBS2yDeHFVdzpr3G imAFOHO/ih9G1XsXRdiSNG7RYaLhPoZoUVcSd+7XxUzcCoPxdzoEQYd4OCleNDc4XbDgiCIhMldR /+BH3A5q1ArkRi5ZqqCNLlfM19o6CQhGB4L2P4U1QLMz8FtC2pr2CmEGD5+75+3qyzpVyAAqvz27 89zSOzOAeXkpiqMKfilbLD/i8wkV9zSmtKUOZ0nN5+8yVp6OwJ5DqO3spn+XegV9v1EQihMkhGeW d/GOLxLVJVaFVBqQRk2dKXGoC7CeWpmS2lvLLIrGMMgf20RuEVGqgLxNLgZZl4NwDirXFKPpj08r 64rJgHvQtKz0sT3KjHulOPaFwrd+Ztf6SVQ1xx31fxPLexH6gBqlToOvxhNYI/EShzK+qfyOvILs i8RH7eTZ2DVEdBhgnRuBDNLpWhDx0niJhn3itl5a4BkSrTCZYaZJrGxk6I3HTObeMaebtKDLCAyQ Kor2kIO5txRDgcNxeFKLmJWgjY+HDLUPHzH+ee0T0JjV0inVX9N9Kf3vEi8wkgQeaKiWpEngp2s1 XmJBxWbwz8JzA18sAM0xJXZp/5OOb0ntfMYwIa42CGLkYlS1idZOOK5z7o/hIbcckAWlvuhPEOs4 yqf42X1cbP+N1+xks6rGmHDRjoiPMVi4GPnMaGqd/7jBVf1OiIw9BnoB/qzYIG/QlQJsoQK6Qi2U fXFsAXwobciwSYviLpDTE/VKqWNBfS6NTAV7KPnb6JtNl55fzxc1jCSNQe7TbmYsSyR/JZ2fjvie Eu8BFDbkMqgbmF4QFX/AOhjrUqUfScLdoNrbUXFNFnzUTRb5uwHnqS/sxB8gRghK3x6bcB/kE+5o iszrembHhC733pdjYPZJWmLju/suftQIPXhrzdf3briJYJMGLyh21HQDeXm2m9Ab2aDH6PvjL66w w3Eoa+EUF0sBVxcqc2kTwMn9stHzPrrWekZZu6rJyHPvFL8sdCPVvCG752HgG9xmvqXskokhv+Sm 7lC8/leHDmV2ZfByDaEcOP0ym0lTtiC9hngpLTYG3ydN/B640fRg06wlPM0BegpKmxdSPEdXBNoV eFVQppOfuEE5Wj95/lRn3RgjLD6pfZP1xO0Fm1qi1RgR4GrUqibpaMcEBbqJP5cIhLc9hT7tPMNX XI3RpKV/EptXsZIZ+MfxqZ8VjihinP9OR3V63J1dLmEtayqQGKbLjHPG2HFfro4ar65NadOpVyk0 zy4tVC1d1g0HMnPBISn1/6KCIRav/KBdp8lvbkEiCp9ynRmGwNd/5iClboAXsK6p+bMVxkyhH4Cf O+etStRSUHMk716L+iU/2RFJ6U1oGk8qCg80C7hu8PWQFpOR/S6ZsFkIulBcoZVBeUql5rD3HSxj UPyq/XJHiOD0runUV6yqaQ1kQhNNBQFYLz978r5IFmATKT+Ruv+iYkcz2YG4R3rRF1UbtksY8PZc O+gsHy/us0W57hnb0OEYPbFCmW5UuUteXHoYtp92v/mjW6ZQ7MyH3+ongxoBZr8jkEoQCF4aeTRq 1CicS0RLO4SKYP9MUQWQ8VuU9PkebGvgayWon5YoMQ2oi3UDuoE/29p4fxdteBGE8vr2tMaxAcQy r12q9y+/lCHCucnKkPhuhpVdd5UBJavpoodyTnR3+icFKbRYh1fxL3sDWA1cLAc6PvsXFJ/XtaAL Zj5rdV8sV+fLsE1vOXhRBji2+byu3uUNLMqpWzCw26vGUDlyO7XC2VTQ+JzkG7UTTtyCYs3qzAYa tdlSYFn48TrGM3Gy0eyPp82kk0Ksi9AJ5QN6kEdV3nJteu4J/ZT85zjwonmAiUVL5keCQjD/ste1 zwosWRD6klXoQBfFeC0JOqlR+F2FQW68e5rjROCkjfmls4Fy73EaN9D9zwI99FvMjXx1K/RA+cZI bTtQQsTJzMCDXzkabDbbsZ/cAFL53PBGg7F1Pt+FZCMnfQFkxgPNxPdENl4+6YoO83jUFAHCmllg JW72QPotdRCTdhT561uR+Wrl029eSSc31TgmEGMAOkfaPDz2fnU4hvRRF+pcQ8eVFIqKbQYlIJ2h CbF6bax4rG1mjEInOjmlkMSFcXEZuazU+rAKnS1oKFhjEA5XSnimI6IGtjrPCNF90YTV5tgdzmcm rhUPGIQmV9ynTBNuvAUuOEJ9o+oOXTSxlUxiXsOpyZAbHPKFDCtKPDlBTJHZPfUCPKKWwgShItfj aNdtK0FoMcKaG57xRO24ZTr55sKsWQulk3p02ZNrqsJeVF4ae/xntjiHIQIfQYp9s5Gf6makGGKB 4guwf7U33kGkwCdu91u5y0EnThkOynwJrisg/CrxQE1m3K1/h0DOkwPz/EOB295bN/K8lzIkxYTT /TXG7F1p3o9M51If8P6fMIbKtCyiGD2vQdLdFWNBSPO8i99Ni4dI2Q/TEUE4apPDHVvVSoeaNtE0 /6pKrtpEoFA3txdMBVt0OegBcw3e5UBwPmFgt47SWbgJykrqflAAZwSCea9PGP/sStFX7JxAWYBN 5s27xX4JFK8W4Cv/Q1L5e10nfw6emqOFcB8fbzJ/wf0SGJJTftRDtrbmlHg08wcDp+RNPcl/5dB0 nZgLxYB6xkGoYl4VbOtZhz6RQPPbz1ixO1yipLSRWG2Vuo6obJ0RQ9FySUqLxg11ye0I7cn33Dv0 yqxpX6sI++BeAa/7QHWN2kXosrdYnDBbPG8rStJBFFf+hSkoh6Z/jeECmWpIbQkXhNfldTtF9JCf jS5uea/jYYrGW5C+GyvvZp34XOMal9m0vRmbtYPWkVY1fdFxquA1JFlDfwUtXgBY/Sbyc73KgV3X vTd9UatbIiiI6DidO0yy0vEyPULBkH3u1YECZaB9YsJKZkutEpY1N1p0GP/VNobB+3JROBUaU+rk GuYUJigvpxSyhVi2OSXKiru/ph7L+LKYRuLZf5iClyf5xBn2TxO2LCqD8Ar7F4WiLsgALorrsSmd Upbqabksrtv2wHKzdVt05Hwxi+1EVvxs/pASsx1Oc2MVEiVZDyoOZYjnT42PggMPGYzpnYjlsnqA afLrr1V3+5CwqyXox6M67QUZPJpWoMGa1p3EL8ole3iqkn/0K6Yi3JnbrrrjeT1X2el6dh/8JNSR NPOaHRVQfze94dD3jXfwVv4h/kdk0UVsROzHale5WK2lqZ01p7AsRBUi8gPkGHywuUKdJlRZZ9uC IMKlywBgKGGfW452F4loYuqQ28zP7bV0WGZVs5X7gK79YALorChkCbPubZw06qT6hckZpPOLmrzs FHDaz+0tQneicSeK+KnL/M4LzRB9yE9jV3toh6CQgZDJAupDgOwXzFAEFLYsHtid9XLAcZEvfqLL zExFukpTVgQs7PA9i72+g84YrEqJSPhnhBrxP3q/9rd2S6bpObEhbPQapBV+WjSkDbZtsbsXWvYz +vC7KNRrnA0z7A8240FDxTIYRhqpDZ+R11GdDw6JT4hQJAKCFzawLnN80+hUk5uv0i/4oxo9JhN9 Gv877if4ZgbXB4sbcmIvSaBoXaKrZlR4YsFWiyEO7TuZXIm6rW4rsaSpX2i5rCvf0+QxOKFWXoT2 n8zYGWKmd6wz3krt8bGu3mnpicVEPknbYGQecrAUtFAwIUl6Ts0VsuY3lSZOkYUy/WP6BtaQlr3U dIJsmfLkHnbzM16abrPVOoeM2fEXf8Lp2vfjkcsDiwFsN8Vo3GiN6GAZgsTrYvMbZ3Dm3iSIbpxY +SMlfZAeKVX1iH6E2pCJMAYG18CLjLhP14ApZvsCTn1TNawPbhYhjYGgakxFPiWQYrYR3Ywof5Ad o/INcNeD0ZyTy75hFDGPvcGCGg1nN/pqdiyayS9itg8/H/xDOEEwn+puEBuUM+F3gS7OP2NXAm1O 9rRmhWhTOBnJGyzqoqrbqPAih5gpJckYPrRqTKZ8nySGMvw3vQcP8hTEnWf6hc+FYAw1/mRDhO92 L0sPL+pJJmwSeqK4QL5GGyvgzslbQY7ui8RV6HX0ysjsn4bvMJD5/YB5cb0wKViyIk62boR3GrWv K9zWvEt7QmOyVPzjeMN5AKdpL8eLtNBk6uIa80CMOQcptdQvez/ge+bakXzA32gEzvHZ3qrGC/qO fgsJCf/LKhpnkXTmJWiGbTHQOsNx4PvO+o6In1c7IMrIkkTh6s2MlWDi8RQz1g9a08IGb77cDHir c+HkxY5osGYwR+OJgai745ODGOW+tdHYItdCc+KFXaghCvPBS3kB1jsM7ieyMIgWF3chdpHs1dje 4BzX4sMgP19tBjl7xq8Yqfw6Xeb1p/gKkPGtQPxe9y4ob03T32dBPNYjupPwP8Pv9JHfxeo6ldnL vcf8oYk4pKWGHai4mNFNdeZG5rnxPz254UYYkQ86Mf+jrdIQuPFt/iwB+EhEdNTiT+WUziZ3H6Cw LNnUVD3XYcGnKDJhxKzFPmTkLyYFDB9UYRqYrdfuCNdHsP8nzWR1YRt2kmgpJBfI94oYC5Cpk4if NmQmO+IJ8jNzc47HnJs6rePCu34krNn/I7ZE0/gEia0o8IyUcCMQCX4Gpejb2/SY60ABuUEF1r8Z 4ueE+0xByhAMGhxmdYXh6Kjaie9b+H08SpCHhYEK5HcIH7oXbjhFiZIM/IBGyCe4kqIRtbAuVrlv qU45LXm2Ka0PVhZbWk5QjBKjdBXD+LLtokstfDCd30nZaJ2g+hiLUw5Jq9gxKVvf0zVYn9TAIoKU 13vhRBWQ5cxUVc8ffXsRr+jE0hTP7b0Cwgh+z1vDB8NcV70i3tNiEVHLmXTfLEdimPv75ZTE3mgD LPv+kBfetgKN3eI3zcCqgVe/VN8SqYvv6fsd41H1JwGoE9zUbtOW2nHlKnRAlgcBDTvRLG8K7/fD m1Opg1Y8Ro3s7shjd4rHUKleuDZjjQO8jxpHbQSwK/15uXa/H+jYzX3Mp659GWethkr020IIFsJZ 7VxhFhVz6NMhGr/1PsE1f3iVSEWRYHlUcOCajg6tS2hGZWXEpiH8V5C6kZCqN5vhusEoXFDNHxH2 2H6aIQw57rEgsUELYds9Dv8Ba2TUVeiTXRHAk9Y1qdCog6d+I/zDBTZFknvfOztaPrR5BjokWgpe 8dvK0Mc0dp+rNAaOOHLozcZKLXU45oSXoQG6S4k4WTzJRB8E+2tbpyhH4YYRQoj7pVZgfA/RyCQY /3f8Vy6ZIUvSqyluMkmE1HVow2qVpRimc/po2Yu2KfYNnF58op8yZDuM8K6zvLN4rh2rQfdYiWfG jJIjAqbaTSmkuvPBvNaMY5TeLjSWPOCNYYM4fhf0/oPm3/f2h1bf4kaBXttwai80Vkec4zmM9Tn0 xU87lqjGzaCBoUp6fJ+11hD/oFImlTU1P9AjoiaJhP2MTzPjVq4KfHy82WanmFbiL1P0svRQypUm axSIu+b6y0fgSsZuffX/5vSnH2wyRtuyJ8w6Ys6qBOw4W3Yhm5G92uZTXTAbaxVodOhwIlL/Ew/q TrLhj4hDkGzMpUlTIyAHZjrIpNKk7TGh6/d5TqXx7Jd3zYqWU/599Q3P0Pix/qMOrw9QWBIzWBYC tj+doN67GdcXmV1zimCcmQrbQ73Zz6jKT2g3NQRj5GrDmnMJyrJsUnK3d5RlSnAPpzs8AJH9kTIa pO/io6HqvyY4PVV+Z7K/yZJUZ3UtCd/FAlvSYAJzKk0/nu/uP7c1KcCRn/upKVt/XVFle69qy7FW RiYMM7MbLO9PsFgquHqJCsXXoxGBokht5vyrT1+OghBqkAheIF5Vlmk4G+V1Au0PyfCXkaFsJru2 Ppvk510qcCiGgJZ8N4KfwuHLTUlEEqd5mN1n2fGQNx2pvfOSEw4oQNrgnqT3Oc7XJO8NqX+PGn6V nSedefQUFr6XmB19CGJjtA/8hFYxYnjH5KRkDe1JkYgk0S1vdtC6mZuBfgnmgsUe4dlBZw2zhDTK tUhAtn9v9L3Ub8WOr4V7JQdea17yyZ4ASpfrlUduuKdfGuDjJwjM8tLBq4s95i5NJ0dcLzA0BIG9 4MfcBbS6113qST04zPH8UhzEgsPOQSlv31jT8YeeskLx05LJcFZ9zdIvwr1Kv3BAPGURQZpwYPeN X3aASicxyQcPP5IAQoUglUg7KieIQ+4xKB6jYcRxcT+pYwa2L17lxIPU3F/sn58NtoZiOWFC6EAn uk95GAKwkFqjMUjOUGqFuvhs0hDxmQQr/5rCDcNBogejeC8gDAT1Ldwawgb5BzWXyXA8nTqLMEIh vgCGTQCpB0V4r873HgfFOI2ATXE6qiE5z7JilalORkLCuLJdgeigIYYbpoqgqANQCgeSCes7VTQi M5PbmjmNl6yqlDyM5cEWMcIzF70YRBKyvVXpTnhGVKgU6CPh+c7dk8Uk+8Qyzaky+XNuovUdgcCu Snt24jTA9Q6A17qC8d4S6twRypy/k1ktZEf/1AB3rMBpRleAmPtQHNhCSmEZ68pwqjIz9o6LP6QV 6h8QDwY6Udb8b9LMBR4dh7CnQdYZtID3K8xZiXZ8hV0VPnH/tkj+FQ6fIE60IlGEIuy0ND/0/84O PzEZca7yVNzBs3+C2MUH17MNcoKae+Ki1X3a+6/VzbNjfcERCAiPdRxd63ns+AN958s8WTz1ZgB5 jcokasPIsXBV55gv98cFDD3OtjSnjpriVkdCS+LI5wajYU7RQ/1sLycNMJHH4jMsucAJ2C4bZTCp xogyMG3hZsQASRY6guSJECf7rC0+GMNUgk6B/e53STna8mvv3K9/vRi7Ag630VU5Z1Kln5V1y7va SQGsTl3AX2x1ayn73RGnRciRmzyeTOK3vhbAMItljzhU/V3Y5sIRBcrN5hFWJ7V0R2POBwJMRxuT MEx/syyfmRDvBftOL1rgFqWe8xMACwn273K6SAI6W0J0NIAUPJZ/H+xiL2krCilsqqb1fPGmQmy+ pnDtMfoAZ4UzC2bPzhjOpiLofi2A+jt71xsw1NghZ70P3pdPz5ZIANoG/+TXtrC9BMuRfdW8wWvt akUcdrPXkBlYfBQa9bjDaAal0sWgJsL/KWJC9wqYtML1YqCbaozzZoPi9pO81NnxBhgqZFkc1O/e vkoxgvTfQ9qeGSRSTsnrlJHnrdZKTnbUgVoRxGeAsFz3LPVQ8mm2x3HTqhvxumDtsMJnDUZvGpgJ RW3EXbm/UnycDV4nAFX2g0F+BjRix1AtLN4GvLvoOktGFxYvfNrV6Gx1Uoy5PPtBkPhiI9espEFU CNgul+hRsnvTQcKlQPg1OD/TYvLHxRyrLFBwQm69y7GtB0puaCGhaCfYy+uV2DYFiOP+inUUzlxT VXsgxgTh0GS+2af5e20UB/mS1kHrWR3JzPX8R48eqo78i2+cTLBjQQuQiXQFN6MZk1mzxftPCYS2 tPrqLCpC8vxZorROSSmEy1McrkBBNQf8/wLZUpTLceXbXIUL2Aimo+pLtCoFZEYJIu8aUJ1BSjOb P5U7qRk1V1A1HmmX37dp9YzH7kNkcr7rTzPmzkxW5ama7Xmo38sDXQ6lwEW8Z6iYN/ZbfzrEPpU4 ebUfONU+e0PkPcENErdJhbR/xbUlL6h1lnz+ZGs2wP9n0sv660W5khcBJZHtl/OXvAWaVrmg//kU bW6kRa9O+CL5dbChqPcuP/8mDe+8KTk8Zovmdhx6g5uPAbKedaQjrk/6BGsWpkPtbIXaL57MnP++ ffO1R4qJK8DoeWnvo3mMx0ou5NYwnAI5rrJnkFDuHP23OAW4se20RNh9ToB5Mt28EbsrC1cyOdWG KjaZEVQmTgn5mYcTsziQmVGIFXa1YKkT11KKbnTHcyBjhh4rboBZFvZLCs5j5TyGTEzuMXP2Lswa 3UKkd3zy4NUXVM1vgweWB04zIOl8qcV9QYDqwN25xCJFn+hLhhau2sFIdl/eWbFsCa5kywvFAqCK QYvp/SXI4xRMwWytqX4M3/BEC/L7ho77vx03BvdR0GlJMhXs+YvMa/CSNs0jJTozVGfYsquUF4Xi 451KIP2mb/WuWeHK/cIm6cAtcG3KKxdf0FeBeu+pZ9LSgR8jEjMEBSaWGsz8fzmQmJJwn7J5pboB YXEqXggOXrB5oxTbo7IPCUZnt4xQEjR4MC8NQpUCULNkBKlpNQZOjUBTy0SulYoyCc2+7MO27/eB npTfzkIuJPsRx02Sos/55KDraMRp25OWcGZNGO8oPwOyGgLgDAzXtURjaNLjABCL4nmmMwkdEfY4 jaZziUskrc6rFdTca4cT1gcS4bWLvYz40WtsLlY5eoYCJyxlE2njvYFgH5FEPOpnF98Rb8jroNUo Z6YiBhJh+IcidNFV09z/FNOTKkkSELsBLWXG0M3Mlrzf3C7XtyjKO74qXFzQTnsenRxnX5ACwTZu CTdINAunb1uTPtcHGMMDKNMm+XYqy4BJ1UyyMzEkfYXTMoMAISIYky6wFoFRPmp4DJBXRAsV/VgO XjpybNtUSA1Lc4frWVnUlxjZpSjzDVQQ8gMYcjBqGzW7EwtrNT664Zznxl3RPbrqVi9D/4499rBl 4Dy/4GBSFQEdIQvjDqvtPvJPul50Q4pXy3Z9Y/W0yd86gTaMz1fbfMseGRFalwA2fiY7F2iTWnYB Nnek7lz0Exu1rkUZZ+GB64/VRF33j5B02G5JEI4/KjrsJ9rhcPS16q9SsXV4wTkksDSo2HbBrSmY ZadKjmbzLkbI+zRGxjtpQW8PjB0kbXS/T+0f6QFutLF2++AtJWDuRp9oVP8pUamgHmfVO8LTDw60 IO4rCyR3FClGMo0aiApheeMzgFzKwq3VkFr7ZCY6bOXy2Q21AXGRpfgiltd8T0hk4dfvnInXChi1 LsJcCABzvseNHzdT/92dUKg5PWXnJTd2f2OH3Px0PVDP3IMdcmtrBbgAblIAa3o03T4AHgj9Sckm CR/vysC8g8864BAiErNLGrUTI1MFW4+1mAri6BPm6em7Ddxxi4NMtovd6hw8J2YAQqTbH7WzekN7 I8K83QIjTPvbHZk44NXSrNKe/mKeSjLwD8dBJelIcxzb4FJInTdoEDYk+Xj6E2R6JcVC+EidvIif 321aFe3A7whub85Fw3TyCd0iDCFpBKVmhZeJhw/JVRH0J0KUwAJP7Wy1K/tt81RynLcT60ChJWgE K8R7ZCdhiINv/doYcEUT3MTp4VQCX0JCeJQe+j251BjwM30R66r4rSfkb9/BSkp533U/SemU4Uh9 Ylbjd6XzIZQN8Ka+SGIRFnj4jkq9W738QhSuJS2HeAhqTCHwcAkcjDTWHgBxY/rhR1CWvwdqyuhh XKISbYmrJwreQuFTsJdsghBnN3RH2eeX4Cpixl6QQySj7gspAA3T7Ycib+XXRqdQnyrfT1EebVu/ X5NzrG68uMtCR17qhhqOdY/rN7OQQyG9P7g9JjMGo4fMbRkIFN5ogSkNyRJ6B6XtS4Xe7i7kKTSK nb/bgjejl9uYZoMpqMCqqD5M9Vb0SrfjZInkXDELRWccfWh6pm64w/dUTuAFM0Fkn2nqjuMEMQ8K uV23YpVT1BIl/SZxho7OOCCPbAwZF758sq+EIPLN/dqH5bm/U2UGaVHCLuMVK7o4TW8XHSZYGSh4 ku57Ezv48A2xLnde59n8+DsDiwS0+xShNEHsjhJ7UmbR+vstTtI5e3KUPJS2tmZgTOr7Ri+wRJ8c nwh3ikZ2KNUF/ni264OZ/szKC2EyI5sNcPP5/7Gd90x5dijjbALOXB0RVSuERk9jZc8LxUuT/8Ru TzEsLNL6PYShGdxlMaeUWhJafjf1AaRnb1iNh8l0SDqNKzW3mqoe6CCcAdOUSiqH/75HEMI8xg5m dJEK/eq2xad0fxzJP/mDCkKz/ydLaDXe+gkFr30khFL8eW94uJwAidbaj+TMTK+vUk32CQMVdvui Gs07upf8KgVWRu+nVe4FXlxHVPBCniSh6VXbWmCbTn0JHiLxWMB9Y/+c2zOxPtdeVOommtou2sba dRAwn6CeIGIycL8PbM8kDSaYn8UARe0NANO0o2KN8mY64G8q3m3ZQaKAilUgs4LVWwcjzA2VB3f8 mULxEfinbyL/Dy9tqpk298SilxwOaPlO1vakyiWk9d2fDT658wjBc/U/8YOXq2kXi8XRlC5diqaW MD6XJAssknucuRepuwBya4e1R/nftToJ2j0fkM/KFLn/1G1Bq4CsO5d7XSZjQ6boX+fysP+bUlQ5 QLuHwu4yhXUzNIQ/aJGSRZDt+19Kg/cKYgVKOx+o50gjNkGD/KjWb/DxgBFDqhv0hSC/caAdd/xO 2ZVPu5Z+DG29YhM6yziL+xvt4Risaam7pEJU//nYNmCDWScqUR+rkDG601ooboqZsqO/uRCgZjQe 3RyPBHLecKFXPfBc9MIBIDDQZ4ceu1MQV8a5yCYjx8bxzfuhTyd03u7PfmpgLJZuacvHI4FS0i1f l8SRownqS0smRMtnKLq20HwTR1+vTlmFMzYMYl0knqV/KMkKJd6qw12GE7OKq3H/A82ZfgWDMFC/ /0Y5J6mlODDQ7plpEDzmE4jGG+VEOcG8QFoWqINTcfPl0YRcpbqb0hfSzWrc0ZiZ55Vv+lw4uSV/ FgY1ScyoJUPvWYh4muogPUs7+RGWC9+r89Lln1+seq22XKAKbtTwrtdYKoamDKhQb6zuykH1B1mM DBngscoqZSyQ1lRllqjS3JmzvLqXhCB86n07EdbXrVnE8eys0VXX6IBaKTRxXQE8FT5rLkSZ0zCb pI34Us8buV+V2M5da0EqLB6lqeqJ0HCjBU+MxDbpRH7tPe0O6IqgYeRsb0+eufRdCWEoOjV4oGVN g0Jg0+sLMMGcLDOT2Tre0dNzDFdubgjtpTjDYgFuq1OVQBn6nHBTWulagRzu0IlMM6SEhKpiUgGk iGeUSsEdN6D7x40SKSgNDv7AK3QBuwwfpFhV342bttLQvO5jaZAF7X2W2FNXeOxpzqeARDHwbjZ/ Bp/Jp/tcgVUUbqT6QusH6Hs9aVeRk49vHMrd0U7bMy953tmxSMSHbs0QpwnOXi8SwSo/SqJ3oOfI AsXN8CagO6iMepBXb6pQqnU+vP443GMimjjIfEhv0RQ/oZ44hdS1M4h/j+SlPxxCqZfVn46Dy4Ia FjxEAfvMY7yxGRINSCZfyKHMFTPdHDikdXVAT3Sh5xlOV5dtOuYdlzV1xGHSDokKnvR8MOmUeNCk PDyK+hEEDKEPkHT8ijwxnQ1DdPG5QGCgZ9xhiVQS+9oAtEryVdXMkIP0IyJFOJwSlWElnQRY15FS DE7Kyh0ds91Z66/PkNgLmxMpDyjcUVU2cpSK6qsckP0I+79VRhvexLA9wEfm41HKGDVH7Sl+SsPQ D8tA68+Vb0LctfOezOgj0tTdntvxT1I8DQh2QewgucYWZTQtDOGMGRDkvf12z7KA8rXl2GP5d+Nf YHRngFbN6bnL4hY+U+PYJVB/rfcDw1j5XACQtIohoPhayQVTcAxd+sy+l0v8NOYML1olSGUN9FBC 0gE8BdAq6cx4W8aIGBqmR6ElBW9L0HWlLxJ3dzgcSynFAdfQ0GlRvo94wBL5e66zgDc48394CTa2 aq56gYUvCk3d5LueAN/tWrwOaCu8kk1DDJsuEiyEYBK1Vgp2V0w5ii5LSCbW3IEow4kxQjCbmJMv 6978m5aa5O+b+1WhKskGs4UC62ZVzdxzEV067oPiINSn6yShaXAa+B9SkRhZO8/821EPkUEz3UZG YtJV/07fkX7bu5uP0BQEUmn+uD40zc2jBpH42bW1Lg45Q/oqjbgxDxXnqKeV90x3EaaGlvSOXULL bA8WaIc1wgLMSlkVDpuvMlXmeyNf/7A9k+sl/74GAHsOD1vre6xH+FXkzul6m5RcgiwNvkviE4fN S9Q7FJ0IvkPKcoWX9iw3vhL6F2uxdDHdFw/QvyCSFYjgpWtehGKV44qe8sbCQgEau9jym2PyClYv Pv3SSJksAmbdfeVL/MdWf3VxxpT5AA+oknOJttRGaVCQlTvlnoulg/5eV8dlvD92u/CmFbk7wXsW KZq/CW7vlU2h9N8iYNZ9S8c5Rtmz1Gbzkj+2k6NOZzcOc7uANn10wqd4t6wpRLhyajkcHa0DOIfj 0J6QaOfxh+sgYHr542GTDMScIXxV+FKlqFoBQmxeoIWO+wr/yt1dSNssoZAj/b1TX8yeypLQb6u0 TLB5JpLqIS166anvHuRNRDz7uesb7VuLQYe/2yguPgjHKi5NJLPA/cPJXq8dfFUGjpYyIUw4WLqI 7uXoa5CMNf4VJqoUQWB1gPXgHthRBMps0G513ZucKNdQTze3+hg+DJUv42IPll71DPdl/a4a0sEr k9lTuywWu01J6hbKG45LQ6Gp3UFA0sv1AZ3X0Zg/MIvZkFW5jK8oaAgZ/nmib4sm89JpOb/T4fIa rrFsliQDNdp7TV0oGhTln4v3NSibbMvIp2oKny2gGlwNz3Jo1psw5NgHqnEvam3GfMB3vp0+u9C5 wTJGMnDc6Wv9Byg4LML9nZL4qb45mGs38CzgorZgHB0gU7e0s+hO+RMRWDjnTiifalrPBHAm7tcb pxEqVhLEaAivcRHfK6zTFP9rhlrbi5lFcS4OfSla+6Q0ZWkK0dfcj8hEu0r75g8H14xsGBhaVbvH rTB+LRqKDwfdW4YPMq1cohevJ3U314gDUUFzP+/ZAN9T+p7km2w3NILWst8YbsyEjqLZ6TBvLGWb EUjwf8+JHTbiX2bwBfj8EI9CtQ6vC0WbsXFMdIhFvxqy2hWAQyPueBK6NcK/6w4tGxNqOrMo7BS2 c1YqVRZdIqprmcYMJKxJtc7v/VWJCx8R7xfmtV0UG30xADEloCiTsjXi3c029WZ53KYWGyS/ATC5 bBm6sw0UY+webiKVuCrkn7Xt7oD/FqPw8mLdWIxBnx7XpWHR32eM0nM+nRP7U5zzOZeOwukprYw3 4/Mk1oTJH3oARCS741szbJfw1VkUfoToIMDroK8o3G/u/VVtp6KfAqIunfxuZewd+VTWKnieDdOd CqnbL3z5eI9qrgEEtQjUKtc8ZJiVGG9wtdRuJgtLbh3kB3f9f7lOZAi2U3ae9H2q2C4U9jYVsHdE E6bXPf352mlF6UbRQUzSGntJ5ZqPOHpsEAEDm4YApcIqdzMvmVBQopaGbp0dBpSwmG/dZMN46wDf vXFdXdoM90rjgXdxQWOphQ3OVyg9MEUbiAslXCRNFQT6/zN0cXAmEWbBghpZa/BU7jUNpr7ZWIQy 2dSTnxMujgJlRcTpKdewM4FOLrUZnVsXSj+Th9T6mdwJca+uAvFcVLbbJZVHMmWExnEkghRyqYWH 0Vls704Vahr3fG7YW8Xl4ASyTnUEE/iB9qFaCOd8n+GJqoLbEvjaYRS9ivGrrl7LnXg9vahl7B6+ pJCRVTb+GJOEWPYQFezoW1MDhZ9Jiqg2ogt7wLztL20tG8t4pzumYnJK2zkTRwW88W3b84f3uqNe +Lp2hEWvpyyxpGcxHqAFQ2bmY1xRc+iGf9OhVKAVfOlR06TQypn5JRd8otQqUYMNUh0wi6i0mRFM xVIaBOI2ejj/rA1Bogln2YoYr3t6wwZixES1ApW/CGQDaLSe3GDiDswcFZw90OveKee+cZEHm0Mu LGrhKmbrb+XFpIAlVDYyMV2uW2NeEmimXVcPmqAVe890DLBEe7zFkx2oZU6WCIBS6e8pypX8nE+G YQI+WlevXCRw95PquWdZrJvNilwb2b3wfmVrwTdS28v7wPMo26VkHpIJsvuMVolbe2aAKyxprlFG lasZkWeJZGOJXeMShkfBtPq2UwP8jpzTd6ZxeYbsTopTQutPFi1kM2HSyDGk5Go8jpQ88A2Xwhnd 6kTBbcZOJVvhBrnB3IfU9+SdCmNDB5Sjv//HtSYALKeNsIFSK3Bu053p70txva3rZup0b9M9JBNB cpCiABshy1dzM86C51cbuQcSvZJpk1K4EFgYVknJuph4Cz1KyDKdoohFz6obSh2N+rUM2z7Riowx V3wmrgWaEIKkffMG1ihEPS/HeEccRRrtbKaQpslpnM0IAqXj/MDyHVwtsblfSTkwgC9rKFvvnRgy TPc7QwJUapfvUdfETn6gYUHPssb4iIndmPqjoDEHAWeyMP7I7f5NORdeCzxHRcax1eDVEY9uRiE7 1dmP1TpMuU0uzHWzfpOJ/JdpCRWKdPAgDayDjEHt8Pa5zK+Rgxh6KH0Fu4S9tjQMhQ3mUkKiwaHr pBz4jX2tOyxftQs5se6g2eOeU3/f7AxZYoKYTTaJajASsIjZb/61flSG83bS97muDjnATrCO1qSN //FCd6LiQMSPAgfoCzsUTlOY4NcHPTj7RL1d4Dz9eSAbh5T2sUKsAT+pEV6lzs/dRpdsNt/xoeBS ChUI4a7DBMF7csP3bUgtlIadikuSUiuG3fMrBBPVo2CpZxSEMhDDTFcOmR9a+/6cMujs2PWWybrk VG0DUWQ4Y2mLAlHogJbBXH+91oqJIpxwxYwssjKvPwI5aVfKVyEqjZkGP6Aqk36ncUIJo/YL7RZZ 4AqKpoCpPCJHYuc/9c3l94zSQx9H1qGCTaBHJ3I4pyhNYtYE+2Vat2/mGQzprzNvqpAi6aDFzPwO Jwf9YBmlbWbmDf4O7ZWu33srhZ8rGc8I/eC180HNCMx3mszXnej7SP421O3wccswv32a0pbb+i3E PXJmbTfOaQisWqR5gFYKGjXzDwDDhZnKREgVGQQrydv3FfclY1r1hUr+K9ClUcL/l6tHP4PrJ3wY l2MPJXrD0JKdYCCIhjngD1XDljeOT4RTJKEb04U1DVXT/+cb6+OJN822KPmEOzM4eCO/O56E1Vmx JOeCnMgBip7mqll4uoeHLySZ5ByMcmK5/GwVr7v3VTD/36Q1NP37Ex1CiiU9M0bBP3I4Im7AEbkO fetqO752lBja8Z0IaA91WkDNyWABX0aRuzMs3gVXjnzxnIPMvt/zyTu9qY8XM0x7scBcRwYILZny z7hwZSEfLyfFcpJVX2IKJ0ub5GZmWVUtH0WpnpCzrbVs6R2PssBYtfvD4A7Z887Da8nIs+A7RiTJ H31XybxTjFK/LuNw8XvWdymYCDxA77z7F7YiMnQ2dLeBY6N/QoMLUIgeg4a5AazGQ3n9MUYitVUu WuRGiJIo3kJGSmbz0q7RudW3z+iIf19gYbWGaZNhId+I79rtVkmDw9+hg6U/UhpuaaF/mLrTIwTD GcG0j8y461GJeKT4OVUig8acofaxgrCphGeSmyM5dKDkFAl3RACehOsQQNpeELzaB/mf+ZmaWRRG Nm5cACH9yTHUp/eawxdJv+Og2JiYa2tE9RbgOxaLk7h+1Sz3deujwg79eyEPtwR2hLhurVRaFl/q aX+rGDAgkNjLi/sbyiIGCUzhQ05Sq/MOXuHXNwzT8lixrcGzmgmI87fnlt/Js92wlyg/SjSWbEcu bOzrIhfBLbdEIUzp3glhhQvm1R/yx9FwQdgOCVWmV6OY/kGKVbPoI+0eFciBg8wzVEq79O/I/8iz KqlSvLgiaEdoAa97x80OdD9V8F6lDxUOe5K30Dxe6YApllTWo5ZyE9ZSohYDTb+iS+7T+ONMJZjm usEkWiG6v0OtSlsjgqjCCOj2aF33t46xksAUzgXmfyTHfNz7Gp5ZD1yMIOi5NwKj6SPVc9HtkrFV njzGHofnoZipLOV2bVnGdK8R4vO0wcAthEPOhOePYXCvhW2sz9otTQyG4bL2PvzBi5LLwAvB88dh 9cD8aWopoJN4sio2qjW4WvVNwDtCg2SC+L+1H7jlaRdyQ+QMciZEOv5gm2wmL7U4i6nRqbsXoQR7 rshPtXvo7cJqkDqyi9Rc/PsMX/xtBAnCdPjzZLu+xJIf4xQH5xBMkZMiwRxrSMBdQGpHdRzoTZyu amh0JbExB5QncE6nkty0LUYQnTVjapNQ2K8JfXWikqa7H7MqMh7XjyLm9kx6lMI93imSr/7xQ8z2 C4YMGPAE6MnbUcz3bgbMfb7B6KtPlbkEGzSxZdvwhnQ1M/yfvrcG0kwxKB9yWbi4j2uQxYTwy8qZ qwNNKzgit3NcdqOdnFjEFn7jD/X3NEVdvf7u7ToBI8sXxbkvhftXUFYgB1z1yLm/4kX1vZ/fAQlp v0vXH0pmDWCQeQghMqV4HA9EkEdzok8ibWewo//mgF49az5ighm61Ij6y7XahfSvjUZ5N21OyD0O 7Khd6dCHHDOke/eTb8+BIHIM5iXyvoqwiZY/ofmJp692kR8B8xra5RxIBD9yjhucRPCp5+URTz5f LVJ9MeVQLXghEJwFzPfKRCKQox8V3GsVtcsMn3D/M7UhzxAhj28kjevB1mdEpDg2VIVRMR2fVD+h RyBwkWqXnVqTnriwDfNcaxY9xNMTt637mE/Trd0KJu5JNk1ra3ssw2wLbatDcHvnp1wuPUfwxh06 SSgNdbSgd1u6MTbcEWYR7hy1nPzhqvRf8wPPRR5kTJDTFhphkl9POJamEmgU9XU030/ZUyfHneG2 m1FClvpUf6l2rUHqU0yitCMYSVO6FjXtbHKVQ4UUhOHtZ9SCJRxuwXdmC0R1vGitHsPTrM19FYGy mHixG3mL0M4Qf7yk1Hv39UtwiWzMFDGsiClqKDRTSUSxt+Swb/Es2YnebS29qGUS4doGO7ULzVE+ ywTJ9HR+0iaVtYFPpccRk05NL5yymLuOnYbJOBWaXudPdoCHA5Pi5CNfQRrHHnXW4Xi9gqlQexju aEtr7SN/NrbxVQpa970T9WsGVU3Bqu6lN9hKoQY9v8GDyjnsx6/GrGHDrLtw0OVlAIjWtMHGm7YY ApkWykt5iUbO26M+QmiC2wZnPkKEVGYtWfblFEV1tZ26OsRxXmoCfrHadpK72gVfOTm+MsuMe/bQ tNOyzAxt6eOhsioDtT3HZD7l8v9NoGy/89qQv8rwvdahjnj6l6zkbpcwACmGOOH1ALHLcKqc3Hnt csG7h8Z0ZP8Rd/tLRWWlfsac3QiDCM5UMEDJ19+5jVwApDH7Bvf17Zg6uNNM0jxNNufHN3MwmfKz l67ZcXPldIjOIt2gR30fozuY2w/+Jf7n4Zt3B9+xmaj7eBJXRSwOXZNpoao/RgaiavrgDvuo1fvP oyi6eWzIb3Rkz5uaTZ8ArK7QJC82VEI3850Cj3UbqvX4lb2dF/cB19E6yzxJQkaEOFMgLBalj2j5 pj1Je+zZP7LlxizUasyidFO35EJIDmqA0bKQDeY19D+er9miDmqSoE6R5BA5pE7X43cn9bzuVkZU kFC4/8IOW5OTuXNM89cW2TKqrorOb4uL3ifAFHcuEmkPyH2aRjtVtnQoXhhk9/TZQ5Q2JXupr4tJ xJmUKsmVQUUvm4Y3662Im1yI14tR0nEFpiYBuyHSakA6L/k9df3fdPLCX7PDFkHmxz/B0Ke60ymO bqLVlQWD5nvy72n+UsYxFGh2lRD2S157lm5om2RT2AGPvD7UxvCvy9Ew0OCeyyCXltKh5ACa8qnR XxVsc38NdJVfEwN4DDi44x/Y3s1NbF5YIUfsrfYcd+RP3TvCCGX9O5z/fpVZpFLpv4T0JeVuzQ/J kK4SJc+w7Kl7F56PDm8neptBkq+NJgaVjPgasZqBG+QvSOAX1ku6qxpIVCLHxXRLRI4yInOd4K/C bddU4z3hojsZSXFtdiKsWf/71LH1YKYAzlggjGyK/W5Ho1c/cZKU/UYZ4BzrP9OtiRcNHBJcMHHt 7dbVeOqgh9scJqGyjidQdfEzlLBSsDidZSqCQ5VdGlg7kQf9zPJ0D7UqRlG3HiwpTVkNc5F+0jcH 4HcDf/jbnHLLn+SBFiD3q9RB2TEtTeBJcfJ8h0Td7U/2K4uQl/Sr+/eMoEuKV8B249eC7af25wxa zSPDffCXaI3zCxu5xXHy84MePxtOQ6dgFOs+I+cThEQNyOsIf95JCLKmQrtg9e24K/YhWroCDprO FHjars9I5S71WsiyZrN9FMJ3E9W0cqy/vAof36AMjdsTGtFkF6X4D9CVs1AYXY6ItjLD0bdPn1Zr DWnhQcMKg5KvJ0pDy7kL+vC803dtZFvwpjvwALz4n+bFP1FZQZOUs+ICD+mAlmq4ez3vEw4W9PiE A3UXBDPJdXNOQPjm5Mh6G8HOmGqIQJsQuCi+pKyU0QsyxaFOAoaW6oVMv73JrxgpoF+36Ae/A+Bw KgglAPZWWSQyBpoWTr7MsEtJCNHwH1BuxSkiom50+HF3Idr2K1r/xNt0Rvoi3cGJwsnfs58OhcuQ zGo/XVzgjQS/x4Il2r4WwGCUOqtCiVS/Nog5ro/b40+gjiIhsSEf6rZ3dmQvFlpIQ7yT5G3MUDYC xSykwJFIQoJZIZV3ddSW4jKP4CMFjLqnVJwUUAqCTOlR4H6SaII2xFee2XO+MrifNh7PXSXdXvCO 1qQgahc8xwh2PxcQLr0NmgsUDyWpWtNopFD7EeEoNGG28Viiv3UJJqHPm9/xTf8wlDB/qF0t4g4I HLMVrWHt1oMdQ10xSl02LDWKyMWPESUVx6bJA/d5XMvlDXz4Zwir4BMvRqP3aWrvYdtLp4vLvqXa V9UMH6zwwUNmBz2RSo61P6De2+u4pTE/odc7HevStw0pQFQ+CIZ0aP3UngJbtzevXNtjPCG7CIgc 2UX+MHT4Y7a3X/zjvrWLpn2dHsFn5qUFcHvcmYDY9Oz+Fi2yZiIjN7w3GCDRr8CTbzZE4m/IDRk8 8tJNe+Hiqy/Hy5k0pOVc6CWZSAOHDCmcd7wSHCLQ9835vfMwu+NIpJpAmxynhjU5+h9prwcCAf70 SOz/IYht64oRP7icnkV2cou5crml/uu3iVVJQ//ZsRvsCutiMMAwPEShV4gwcbxdzgqB/S9sRvLK XIXl1JbbYugSshxJrgh8wf92hvPJNVl4rmfeKWB4aABjWbaS48mSfjF90VGCDmIrSnjvdABppuUj 8eSYDjkRt8RUJcuFNGtPp+UXmBJyxtT9qK+amQAl2QG28ED9EWzC7h7riUV/rXxMHN/c+HDTbzAa wPbXWIMlwHIaLictLDAy5CH8H6awtjv5JRE4ZjbVWwNxIuFGfZTfS4Mezn/ie+otmMSofRCHTi0j U5xlwMTWj5ICy5ut0jTdjypljrr+80b276skeIiTa9ejqNwfu2xu6f4lByHiNvPwCyNedLLgPPGw CAazHGQmJuACGEuOsOZrx25VWB4DrFWmGEp26w1L2Vck915ML6KNoT4IX8dxzZLcDt8paUmwqkI8 VtG2n5o3nsasPlEJwbCPBBKDXjvi9RhVcNtdTke1Qfc5F0jo4E9/UGPlEqqX/6Pcc1u+rXWRpo+M jOmvw0LbCD4X6WxWPYLBN7CZ7CKrqlztkRvQdtrEpB8BsLrpJXiYz2UPzxBlwX5zD9TIlEWiPHpX irEei4TzDtWNQKFPOU2Doa17f+0dxZA/gPTfgXP2EBMLrpO/bV1HSLjtsqMzuAp/I68JGXSWMrWG ocCraqO7SNq0sHMHIzeTD1/86gYvCgXGdmAQIn+K403n/6CE0c+58n3J/I5dbt+NkDmj00UCjwyj nqA6MYPtlv/KWNFITHMKLOwW7xpOAQz0vQzU5SbodCsAcqK0r0XDjQz3eGTHoKBoo0Hh0edzM4DN 7LrC6jCF7NCAIaTQGypFLpa0OPTIdyYWaQpYobca2Yuv2aPXcdc/z+u7ZSBRk8rOxTF4DezP7g7X w1v/Z6IGsrDvonj8cTFFqaKQ5WXJpwxy96wQDyrQ23/MHBhIUNY94IKMDRORimsf8c7s6SBqEzdO Pxfmh6dKaVZeLJGhmm7CX8s0JJICdq/dMgt5ILIQGOKfp3WL61Hlutb9gWzhCRj4j1P4eQ1w2R/3 hWOM9QbSTw/8CUvppUOMyKuSoTk7qo7hFRDIibIajVy6PKH3wtID57PMPL5ai5ag2aMt1ixTirtw RaZU48XA906IOfsSVDpIh3Kas38rAOXWtCjiVCbT1poGrKUprMxR+A0GOjpuMx8+ZNRcucmetChO rXbL0gUZX+qGog2kWka+gKRteOGtZPxiPVPKpejD1zYGPRTh0f3iAjYVr/XN8DB0DT9cHvrXODeH xRrYwFktjSkDAVfKWag0WpcxZC2zh3CXr5XDBMf8ZLljlm01K2wN8teLPygEijMmR2FsrsU4I66h qL/tnSlcE19kInE8NHjxHsnIA7nnZWYjSreyKfdW3Ean8t1xfruHmmy/e5oB0wA3kdisa3Lqlk7V Ynzc2woLr+OE5JH14AHkKSBo5YusrZJjzLz4Zr2hycTgqOw+qGhaPOFDDfs91u5B94TojHMMFRYZ iZC35IjlGsAuNMNDgUS2Dhoke7Nc6w1sgO+0GjMM//sb8VI5VaevYlguCWvNL1gHPkEftKh4K4e1 dGhdDCdsmEtQZ8ufHCt1k/4sm6Gon/4Q0f3EzSVfBewmwiy+XTeljZRA0dgNTnw9EJQvPeFGdD+8 ab14ZxthFgGglNoh9po2iwwYXwIpoWJEVDVWsZMxrmz+kooJxXbSLx+S2vM2RAHV+RZ0jSi/PBR9 OhuLvCIbd8nj1PqgInp19eJpBchzDxJY9wjbMpJXKkUdAPom/IM8Dnab2/e+fPpNGbnCsK6mZtQW zuwxDMzzjFKI6QQQIZAfV4Q0TETGoyu9Eq2lBP93A4EGDfQvRQUAbxAvZNAe3AwBvmiEOUAxW7Bq 2K5Q3TzEoJitw7YaaQ8mfOdSxO1yptuMQ1G7EIYoqX7owsauYl6OVBmdvoY8bYYkeR70aqGQkLSL wVdzwsSnQGubePN+n+6MCpQS6D9QoWW7Hx+ajj1GMfsjKX/lJE9XM4KxbSF5BSLJaFFMT6qnrMLq ZATcxpyGJnn1/p9x8X7q/iPhqs2AJkjcJ6O1XY2ffDvSkGeaSdPMgUArd/GKnPWippqrAyxCJHF8 oeoz0b+2tzNeQ0U8iWG60LsVFEzUhob1uQu7OW2PDA2kmGhwW3D5zAI/C+NT4DVYuDJAaOgFQ7ST nb8KCTaOV1ZdACik6OofsKhRiZaaPMk0Vkp2JCr7RTPEfscdUZJ4JYUpZwX21w3xthfQNoFvcXKj KRpicfqo26XiVQNgk7UtdLvnJ2FF39/TQL94sKwkoi16tlqXdgf1VoXFVB7I9bk7EDmSE9APOx+0 i5nnKsoGyeNStvEr95ZkfTMpqJFdOgkftqqumFEsN84SekJami1Wnh0etZHmFrwGLxih8bf6PF3f 4H6Hj0p35WFElUpXE3QrARWbUwv9DCbXa6r9CBWEPOW/hu+42J1clM4k6hxLm4G2IhvQ5DJetZeD 5j1BuP0oPrIy311u2SCpUpQnbmkJ0mJAbt9OhNEnDy6B1wuTjztCMX/Eg4WkS2rOdRyvVFqyZPGh oSfXrIh03QAAcXMo0cUXGBlEcdjweeGh0KFojH5NF7MBQJ9EEQ1O5IBHlIAWimYFuydEjbIzLINQ WKl8GEYHCt4uWvs/wdqGfbRAAnPMVzqY9Dfon4cZb9vxT8mlAnAuQ6lgbin6VQY3kqvEIrcgGwLO QYrQd8OHN0kkF4E3AP7jotcYbpePCpCd9ZZf4NquOnGL2XkXUsa6N5DUHjKiWhVi90oPdfGSp7Vj jcDa849w2+Z61h+jL3Ltu5IekH09/6eJ0CfBpbpMRouNFTjBIhimt5RB4WTRu4FBQqQNr26oguTC SW0tdxjNbQOdjFC86DV76PDum50L0iHOtMcnz1ptSokRx2sdZAneN8yR92pW3MT5eOy0OiyYl/ev HU3ncAXq23TUasf3UkKr6MCwHlQ0l+vO6f/M+kujBoh9wiJzXtpzIrnVxB8yUdN0Yc1YEfwTkvHL rO2xCPs2wDd9aN5pgQXslQ2ugMsGnbQRrEEaBqMNemOSChEjlot0v/HsyG57wZEX/ryXt+dWImEI 3coycKfTJjhbj0OUxHHsITEUTFMZg+nx8yUa1a4yLg63k8RzKh1DHSiohKFA735bhM9UUjRy61ds 8PyDBDArIPM70Gto++02KE/1GbUfS3OMusj2082ki9CU3V8GkYDolOs0xuRUWYOqyV4nEI17gErY 61OXcvjpG1ig9v2DUtAhIF/omGlZTyaDbmGQh1ElDFsxIzqLbv7A9387/UEZPLyvNtXg/NO07He0 Rq+P3Pla9i7ufhsiS2JhGJ3o4Mgkiz+kehv+gKqcwRIML4jXx1+tqmB261CDxvQKpEOg5C2ooz2H hgNkQIVKfL8wUUHRJx9YnobLzNo4f7tHt+tjBZ43/aL1DzRDH4WDQ4lBRVNKf6i6qeQ9zmvGNkSH rT5BIWIMJY+rpZ2DS5P7auZNHAZ0149MOU/O90FRp+tc3ZFdLObA5HtN7kepjj+j76uqsDowYGxk PCTzWSKGfO2dNj4hclgLIf47abktJMhAhf9LfxakfyVygvdKhXNoHYa3ytMVJqIqvD0dT5pGyk6h NSiY9kUkbvJ3O6JxZS0wrLvMXkyD+gpVud0t/g6FY3r8lPot6wFDC0kj5g00AXsqdPAqTLNgaWLY oTPacGAXMkFkPVDpOQAnufWNZ8AqhJVnO/R6rTxb0IYjI/NffGmmSKRfqtnFZG+EkD/PdZCEie4e yP3k/i5L5g5aNt3UYQNLg2FijIswYJC0HxhMN8JW+x/l2WzwdIIetoYWwh4383mBsjO8WZdEmUBh gcUI76mlVrjDHTJy+CP8doXHf1w06dLRPUYWFLsI+Y4BZOqYK5DHQgfFFkZxreOJ2+qZOqRjOutS z9NOZAfjZqUU0BKQtrti83TCW6pRt97EYr7/XtyepYU/q4WuPzdwFpXuQWAX5I932IAOmDyiIA2r ORwRVqa+znJwbTqV0m3A4vFM6NXbL+4f7AeTUOzLEObTOZsRpUM8gt/joF88zHoXEMlOm59YnmLU L+oEudk7nGCsZvfK5sEduuldr9cMCC939OouO4O8mRY9ewyAFGFMVIQ4P/895Sz0Wp5krHdvKpj+ 2GxsmQxxzQZArG02TjjRD1IvOp8SEaimoEBI2NpDcr+apD5+IOTQIVxec6j7E8iMoEt6yw+afZ90 p55sIUBNpLZ2emaKZxy0JQIiUDTsCqHtysl3xQv80GKmXveLpnJyMBogj7H3mGoCSxqUAgPy9igY sic6zJNp1ATvACLMrbxrzzJAsoQcXBIR9vGEkK7I3lxGy9eikb+iZfHpOvk4q/tzeXOEjPIRGA3F weasMePLO88CFK7R4XeIgvdMpNBNX4ibhfvFyJIbUQKxWChKZa1/UWlVVRZsC67sCKXKTHzoGyZC 3VhryAwqF6MvPZypie5GgyBrE2Fy0Cu2QA4aW4aJ5nSKWQiZDXXy/X7VQSO//nYr4tvMO/a0+vKb 2a/CEgMLSc7I+GhzJyOgkbECPKkhFvE0OHYcrBGFCS0GHrQOTk/8p4dz4MntVJWKezlpoQLLWZnv JwffNbZCE0W3ObNQ1Zw4QrOlcZ/DVnJE1sfpu33ctYbQtZMWZ+XmwS8toM4ekLVR1qpdfF7UQNNa z+BHTWkeCpneBk5ScNHCXeWFaigbIT4U/Sm21/obvKlJEQHX7ahY6L7dLWVP7qbjXyTYW1g8FOk6 TRHWWdz/xCriylvtuCPaJYkwFpFFucKXmnyf649kX10j4PS2wwtX0Pr82O9mghqnJ8AmuIJiDD7C rumz6nOnAA888TeGoRzxU+DwfEaxWYFrP/U3rJnje4rb4qgBGxtz+XDqbGlV3ROrvtA9HKmgfpwe Qg7ylitUJGeniKVPcUc3sqklYNeseKlE3Mz4jwF2G0vQ2PoOEXAkAe9/35JlGjjrz1BGAp867bC9 rpKpy0xDoXst3m5nGO7fF04EY2I/Thfwyh/NjnAUlw21gN4O7eP6dGVqhCKH0LwLGIiLLESQMzHp I4TBR+AdEX/KEYw7DclPceZb+gc59nqJsZ++WQiX7tIk8XelceoOgNFRIKuzmlCtnQxShRTrMEIR r1V6vhZvBtPisJPkUYmlHOL20px1hpWPhkCo04ajM4zoC3rLFWHxRjKqDvVx18aIwfpR8YDgV5I+ 4I/2aQDHrlTSCyT5rWvduHiRJxMUUWjwXmLkPgldVAGCw+oQx7ppmfpmntDWS9YHvn/SKt6yFN54 AateiwNSdoEa/m4JtJ9DpQ2VUTKcdZa2pSy9K7jsg+8RiWwyINwvN3hRujWl7l3WZVDn5WeBFvjK zPO3kfuQIK0cnwbnT1klz1wtkblgvn/I9Aam1UuhrsSJSuw4JwjFxxcvi7Dsb59knhtEghYNHR2a DhH8rrdKID55WurzQAI/QVqvf+GjndGbKo3mRIeQ7gGIg57RCX4PTFRZHNaq4uZ4k0cGobAPjCgn 52u6iwM+YFRMW332IjXPlHhwc6iTDLRalB4kdJAa/x1RDDvZpThagKJb3fLcRAxn4HodlqJl874h B14DvIu3UljHg2wq3clTNsocjaAXhVlBlPS2RIZ2GMhiaVafbGooXQ2mVPOMmTNZ+78jAViYihHX Q8V7UhvY2omf3mRNiUcMoa2wQI2yImHn4+Kx6NRN3syXkihHgBHdF5dxF6S193t/oyWBzRQdPexP qJQCCmTMJ7wPRMcBrWY5ACnDgTz5zBz779MIsu9PdLr2G5l0fyUCQoKfdBxGLXBAtWbqxcjdpPYs 9NR12lPOmcUO66cuWGEME0WxxFThXwSuyDhplchJqGHM1br1VjM/dza8m9APrrGyIja0+Nz/k5Br EXTddUTNuaF6IO2hGBGjOx/DR1nAWvwXSGeTzv/087vS8C6Hl3MJjp9J5pBDMyufiMwdsUEccjeu spP/D9eV6kiOVGUsQ+0tEt3ZpAn+cTyqPGNvivoAxEkMBY0QLvbogS0VIIDeCtGll2ev/zfX1w9w F+BbAitKLU8fZFkmEYr0GZRki5GoqeTfmzse/IVLJzazNnFo4NqTVebZgA09QGI9vfLfkCpMOg6+ BrgkfxA6LCVmV8aYkVO3VTvGhKUhAvBjyAUmXy7hOhGcgrLO+Hcnzx/NZrtbAazypwZeLwZMTr1i Pmvw/Gn8MxkPtq3Mtj66a8J1wxw8erNHW7cVYX+b1HQ2Q/Rx5hKVp/g6X49G84xWAAAWo1GTwz/W kWwTm+PXRFB5F3s3T4I2aoMkbcj5ustQNDDTEEAiCaTgwSL4EYMaTzsK292zb9h1FNcY1jEB77iR 2j1oVQdKA3wTZTvn9DuBZIY/ef20z1pLAf/Vfy6psE2lk6n9k/FA2jyJT+BZBiI+FAWlMem6dl6+ DWW1ZzTK3MPP6UkLo0WdGaTfiZP+b5rIEdUJ8fQWt2gp5DbY1B5/AYxIt7FqSmc4qiRi1fUwMmJ3 mSrOt8onI4UTldpNR4kIBrupxHNa9GnimymWgHf6L4iznA6pna24eVtU3mxnhk/OyCNyglvOhArV /k+UbUlxfc+DujGLThgv1N54YPnuRTA5nvTfNk3NjoYwxH2dcZrBqTylBh9uOxLL+ERFK/1NjhS4 pNTyaZOul8lMifa3yMbn0VGU2t3wZvOWq4Ew9SWWtnVkY2nlq/povGjkr8xdqBekZI2UEhlKOlqB 1zJDjClBrXjcylg0M+k7WYoyUIGujV6NyYNZEUAGZ0U6RJRrljMagOppETW8baCi62vPkPE3pgHK wVCntMOHpnmhlbzPb/593lOgs/lk5Zj0npMpVj1lLECGq/blvdx8Ra+LkKFmtTLklRxHkskZY52Z 6s3tY+3qVzWuk7ig+kzrAxNOraX+e+O6KTdg/p/CD6MYuKlIRAWtoncoeOGNoTrMbCou/bZ3ub9e yHwdLsu0ob5WTqymNNBrpb9mD99RfPcNTY/Zw9dg4KMSR8f9HZ5cNyglDMjlMDNggfxe5vDqjrzv rAG07M/6PtVRXa9rGio4+e9saYZfjRlIwU1GTsFUCqwzrZ6Qd49Io1wwSXLHfisEbLRumMGLv7/I hg5RWBqBbWWcQREs5fbHMIZfBgEjczRJW/1S5Mfj0W5RJokLL/IYq1h3DgHIEiJwCjRiVM44+VvH AhyGgbaAkv1eRgesFTYIyNghx6kjYg+XoK7tDdiczP4g3PLAhLVRu37VazHEM5ZzvGDe8O4kES4N IsefrdPrY/3pLnAktj0aiW5yezNc/fujoHNvziderVkMaKfMXHrUOPosQMiqYdjDU4g+XTPA8AEI ZdgbXE1ZgfdInu9EgjFT4HIH335iBeJRinnmC9bCzUB3YsHVukWKSm00N9cddi9EhHowf6nqTMkm Fn3Ny+q2f1Xhs0npZtRZzIEtqtDpbq9gprY0kVJFzUsnqipwv1PbADmzOxXciODi266oTMdYpOj5 SWAPIeKpHAOMFcD6kdUWwXK1iorJ6KOabs/4oCBZWBPRaCmao4kg2yZLD6cv89oUm0x5E5pwaCzH y17ab5VikB/LRU2nqsfaRlHuwvNrIfxSuVk3eaklN0SbFcFboJ/Cmrf5Ib3VRif3wqXkqNEGRRO4 nnVWC4Z033p/A0nzPV2Q7uwgEKcOA88N5R6SiRJ35MZYVH1SDrp/w6nej/WhJ7wpQ8vPyOPkYdbb utblclkBvBUyvho+hJXVGxiafREbkyWk80pl281ihQNiKmpc6BrbLohqwx2vJkqriwpCFMRzW7lZ OvkRuR+Otzr+LcwxezeKveTjKkQzYtEJ9UQVtbbu8E/zvwkomAho+IFuU5cdlId9gs2PBS4rgtI8 caZANjkh8QbM0xRRS5JLuPqPbxA0stsSevwBUHlUbRKz4nWRKiRuokhijeEeDWJ5rQwpqkInt51d 1BYkYqHf1FvvrAMYrSO+/nU7R+iShYkLRvcFY3UfwuvoeL8pwi/KymHYWoo12GCdbeiuVDubHB7g QEsyw1GCdYr+CTQet0P3VxE0e4O9giKy+9/Dyxs2mNc/ruMXeazYCe7omlKa4Thlxf1010mOpJJ7 CJ8/LtvLifKDjU70093tYlus+bfv/1aWHfyL0wfgGQhA/rlbWzIm14bRSSCFCkOiqeH2JaqNK7YE H5LZNyzfbG4VAtcVUw0xnUPSXoToEzlxuRimvLCgqMWkmxeD7L+eAoXr2a/Tsql3uNKbVDt6TsoB RYXzSxbjF16b/zC1cAEmLB1zNBVKemc6R0FRy/O8FSk81//K76+SirBCc9pgSTCj60R7xCQ1LflL lQhhrBJe6LQH919d9rbUvYMvBifjK1pczfCWupaCVcPwYyfcRAQ96Erx5YXCGPcq3+2hp8BAIflL u1Gg4hXZy2iz9PvZKMEC6BKlKSJGUa45xym1qY1nvewk7JgIyx6onNZf47bW5WezbuaNZIpkU4cs rbP04qa+PWolFbeMQADuMVBL+ICuglm1fVJpX5YknCSAX90VQd45n/Fx+XPo7DM63CyR1l8WmxuA sBdsOIrrAsxbm2SeGCNjOUXzuIWXwowCBn/G7ZkrPRWEL5fx22N6UouE0Fj6FC2XVkzPwiLkI+5d J2ceuQZzOEsTsICGsRFKMDbRge1hj5nK6E9CGGSm+61/3AGcP8LuN3sXXSvEUNKZYuAv0rpPiaQw tIQw4SkvctHjidOfOb+BzCPPhNcDayW64XUB0+FPYZuARzJHKr8iiepKM6TXY1ks7T9vtBurz0VQ HvCOsp2n0vpWAxV4P6dRGDlfuwLNxObruNfIgsHWlacqcuFvla7eMbVMMXc9jeAqYDw/yQjRlEXc mxKj6JQGGFFxZCV8yGPI9oCaTVnUzGEjfHUr3pz0kJCmbperPKrflGCg3YLLly8+QYOmbJ7WbilM VUyuMQXEGqqTJyyMfgRPjlwU9OdFDzKQibToPdo4ymkWXKpm12gLxoEZr4Uh07SnhLnhG2JGTcjq 3R01dbz8P5BvO3dpQp3WpegirlDWBrQLmQUKHsHkuoqCsau7iKuo0nr+azJTLXmfgPHOYoZ8plNC zInZ3NoqwMnny0VunLs5SoVeZvWfTOkLi11chMQKG7MrSzfDWVsTCrclJliB0GCpsO7dA7WC9oIo OLqd+EK5Vx/WqDQbU2l/O4VMS9ucG5grlYjs6y5lKWVxYeP1GhKLuk0D/lB3P+QeCJQ4sQc0SfKn nMaBNoy7/QIvsYV3Mj6rwLvSiPWDfZFqqflNIohGUmrhCgGcgj84OP82XjEi0uDevl/zq1K0RDTx WGcB23ehG2NZnoI4DiF+GkH9MzcbkGMe7Aa5SXjmMtWCf80LsiiBQvLDP3CsxDbwp3T0fQV1Hw40 CkUfCOtUuPNIKNv/tkYyCgFCERlBxjMlTwFUuCvKvuKJdZghicmkfQiby08b2f5lO+UZjcfe3bRI SVpxLyRi1lyuQaaVa47HjHLUl6NcKBxpUEVOFLnp9l11ERn9A+vZvUlFALKFwq2Onco4UB7iycAb jYIu5xCefnqE//Z394sL2HENpzI6KMn3aC+OXQ1jsqdheTIqtfk/a6Axy7FRy/kk3ZmFcRbwTxOh mtvNzSFRxTgwSZw/4r6jclONvfqV2BKNbd2g2GQWk/PS7Ih7fkqFXSTUw2RZrrgxYx96bVMQ08WI D6mgTM4rF9cre3v71+6Cnh+8sCZzH+GhY4U0bbCPun5mw+rW9+myoewdxwa9V0p4INQ1Z2XAEWDz K9vrbXQPfEyiNWfPDhkXkIjBeAqGZjU6s6+i4LL0lkrcVRKvL/Gzfvfw45jsW8yeLkeykFeXimx4 myXHwJ4q4pn3xlcM4JSYogs/NrJ4XBZn/uyUff4PB+AhZQnz5tov7l+d2oT2ziLquEhxOVUvXfih s3GuD5IQdWQUfllQwdykZDCR/ACxm4aLJoECs5acqbx5KADBTIA1Z0xyC3TsJsw76RDzd3pAkixi wZRrxZvkOqBS6uwWq5CvYUmxSLtM8Z/fgrCtK1DliFwmnp9LnR3uaGy+L+EQqZHamlxE91eaYnry pXYKGeORdHdo1CCMx8Nt2WeUz/AvXJhvObBDxofyJqZB2ZE669qG95P6N50+pY4dQQ3HcZlv1ofd Z7Tr7ZEG64I3d+dujRrQwhQMVDTwb2j16sH67SUQ00C3AZlfCf4jsNzllT1LczJPvIUjTPTVpMI9 pbCiTjGa5DEMAmeTgM8Ge3Jf8xYlclyxIhXoRMuzSGlyFK2CvKiSEr/DZshxxtY6zFYhn4gjZgR9 Lw5Bvs3qCKYGOHD2DLWXpfBhL3Bwj+i0R/ZgSt2dPaHHqW8ExYUso7nT1H+XYKF8TUwjDDK/um93 OEwgreGzcwHqdLJ065IA46N5HXhIfo2rYzxGFvw4JA7oseNh2YQBXAbOeTmlaTwPZ8i9a+JpGCxH QI/p5Jyv9hztcZdCz//U9sSk02b5jpdIw56wV+Avr5xDDTycJKo609BzIgq9/6jjWtHWVlMVLmIZ pW7+OBeXKeEs+b8pFeCqc99Uo58qtqKffiaVfbio7+AlA2CeyiSVth6sqFNKThkFIbeP0YQ6t2Yq Lg/C4+EZICuwJ8rvXoqR8uMvKqGgBvpEVZN73IOpJfF17Zlib3p3DJIV3P2VaUg4lgY7OOCAiXCL DYs33OgzEenthca9YAO2IMCT6h8W9ZTOUiH0Lm7Yn+zn1sW16wLw4JK/IwgHD1m8p748yMutAiMP coizylfKVAqOM+xcPwqArKJzbBHJtmDpeGA7hjX7RqQNLfvkfGFIoJEu3VNQqoJ762BEzAVqMjFz xj4nFtcXbmQ79X5U92jopTxJ1G9QTRfeH6xDYeZV9xinvtyGALnpbBHxDHYJFPSvCzFLtQlVIW8S uP1Y87V+OrZDDSsPuQoOYnL/8LPvu7+/ibc35I7V5cthLLwngLh19FOb790JsAcdv0HY8gMvhq4e Jj9v1Wu5OCfWTLz+ir0XvhMQoEH4u7Nx4HBjvra5Fskmwgpi3tqQhfxH0LoynprfuHBRoNxWBj7q Vs3RaYlbHeDDRJnWR9die3su7JBn261+h6IQ6QJhON9LOwIGkMvBRanL8tMdybhSo2rN/n5GqloV vc4HrNqu1mV2cuVntINLyclT9NBXNR0AyOZy6oUaCKeV6DzZw8ewMP8Edu1keDJ3KmzwzRayIBFX qsgmEr20bgjELFWr/uxuekQ3iVVO/mRweXoiQscY2xt+n6TjNoqZ9gnd6LVH7pL7ECP0U9JeG0oc q08bCzZeQ077Cd/m7824HBF2/3JbverForvD/XxWMBYPNuJ3JA9JM+G4Bg45Wy9qpI2c8pKKVYdA CFGvaqw5eXCHFOYQ9dnR7h5STLEzAqLAbc5NUQcnZ0zjkYoyHOoPdfcSJ7OxDgUZgT5oq6AKZfyg yZAeeUWPpkZq+gJO115xxjbdl/YKMVvtt7XS+lElWsvunBoftOUq3qPi49oPshlxiz9lki6vNGhV A94iVjFc+KCmL5E1StRGMwHymg8CANiKQhPYbDDzcJc/QFWpMs5jktO5DVhR0/6YfUsU6WALj/Fe sAuKq/8ZwNrn19zwn53XbqESCfNIYDYhKBenrLIbDYRmi2n+7QHOY+i+OomHj387lsI1DJh5R8b3 rvfI7wwhBgKjZP8QDNWcdQ7PSpq6QyXDdx3J3nejqqeWjHW1DnvslL3azggPrUplI+sN54NMqA56 flFZUu9tofJi3/4T90VxKTa+c+cp5KjYjxXp3w5O8Y/eDPwEGoCDhqVsZIsWZPBHxqT8ymDKSK2e 0EI4JRACbw28vGYa7ib3snsDBjVRNQL3N2x4v8wM0aqxDnC2BDKSFTF+Znk86v+abvzfXfBSR9uR 2ON07R9t0hBvg9vJSa67C0nccq0SUj8E/bwX0XYDgrXZQh9UOYuuTfk/0ngj1jRSgy1Pnxa+L9U7 vw3pBzuP13bAT2se0YH0ZwYyFvgqL0nJgvQTlYLjG/frC1OoG7Gauc0GAqbPwktBTfKNnAaDij8A 6CSq/uZvE3FkdmQUHP7fAXbVOCbHufZWrnyqBb3PwK8gCutRcBZizJUT3DPxs90ohLaqrdxNeKMq A7co93KEA6C/SDXoXCEgUvgKcOe36OzP8Imj8jZYbEQHsM/u4cdQfkYy7+6afwKZGhYN4VojxJRg yC50fTm43HwGcpZsRvsRFBDnTTBkFF+atr4JfxA+9dZcsVASnIX+oDh6ZTxfViYZq8hcVTHr6utJ Dll1qIHkDVU/ueF1al5iQZo2AXAgyyDUsc5/aDRK6/1MoHJfaVQ0ki3mcd8YwXuS8EkX55X1fiX2 XepoYOSCm+VHEC8qLveIdqqhURp5/60y7bhEMiOKoxeTzBHBKJpzfyRayCXa1kYXPoIXek7HVBZf wSwfekdnyIw5sorgf3kGfG0Pq0e1EpVECwJq+5fSDehA/FoFU5UPrvB+KsvjpBr3nAPF+ztyCBQ/ mbXx8odb92Zx8ZQs+QzQRMnTiKXicU9WoTuQgvgWxmu7SSrLh4c8TE9H21KfXpcPF17US4xSBrXe 4OlBA0wQydLo9hVuUV+LgghuLHT7KW9TP0ECZwI+B6WicfWBhelyaFxHHINJLEU8ybFhHiFz/dYx zeBBv1R5LhdvvZfOIs2KYfAatVhAFoWPPlayDCEabkxLO9UfhDMixLAiy1sP8xr1YDVppYFmL1a1 mhRQ7hEEwd4N/9FujQ4l6C2rfDdlxcIupYHDe1G7utK05cFyA/gMtH6g7L+PwycFNIi945O4D2X6 89ErGPrpw60huI97dM0+P+MV5sxRK1ZjH/0S1h5MkhK1Px8+8IkcxiLvxE7zEh9cL3mg8Amn2a8+ Pk5zKSHlYXJCEblpCBohQRJc4L3sKlrxfTEmnGsue0UcOvsbEdBBT3ygy+Rin+bM1FsYQAAaxftW gNZ42gwIomfIOFBFCAneDOBoNkbpgGn6As52jKCNR1zvAGW3qlEBdamZyZufFQyTDoF+DZU+q0zw v1c1cVknCF0nurbuHVkEvtC/p6lzu4qlfCCCjf5rZJuf0B1sdEp7TLVninRyEpMbY2fxST/w2BuX ZsThITbHsl170GbBAJ1GCoDPUt4XFUqesHGg6+Z1ABjrAogOzM8wzuZKrrwaEM/1MXcnE/eON4jO 1umG8t2jGLSbVdIFcQ/1T1rB1BV0c6LzCbx0PDCCJ1rGFbI6bMMzvmJaIkLcyBvpFi6CE324FMeV EK0/AI/htqe2O5XsmAzpXfOJ7RiVCEu8G21xePp1RM8UQZ7JRcxREhV8mEmdvoaHj9ecbdme1S/g BwN4av+tuVicfif7joQqNMWwVu6Xbpr8HjxQmDkPPLH6RQ+B1iR2l8EFy4WhNGfqmFjRCq57VH8J mmJwl94p7RA3zUqmVA78CJ+LymC86AHknMiwCvDxph+H1FelePpK+Hi8s9l8gxyf5SlO7h5H3or+ rUg6HfZ6ixAIW2C/Ql+FTTImo4ExwAHwTKMLtGtr7RIeThxgNrjlZNn5VM/3+xwAE2QymqQAhBRg gCKhs5Egq4fBEap17rUrOwig7pgV1xnysJB2GJkyludMaX3iiTWjq/+KvxdYJtRYv64E0FH2QvFb SXfk58hYBF1VbwGxQTucEjdfXbpRNZOKZTqpVjijKCO9bXwpN+9q/qihV/YFSSMdIbVlvirHDX/5 OajrOSHLYe8CMYfF5KtKTDfxw4y1wln66E4F9Fwi4qCGfP3ln5sc+7ZRgDTAN9f6OmNwUiE14QgM FR7yLAXV9HRk/1R8/mVtwsUWaZel/zy8p8H8ZTyswY8QJ1KQfbDeBEcKLWO3PQdFc7JtNVVJKM1D WBL0TMbO4Y/pSxXFtut4wnuiHMRt6jmgvhpaBaCmW4YP+pfiPKi+FiPjZuMOCpkn6ky9tBoOl/Nx uoiiQ9/hxKKMzgTtUF7qBVnWMInoowLzPkNt45y7nMn6E73z47C6RH6/5KwctkkMNW5WxbORMr+S yMCiTR4WLj03/cA17/31KhtYIMkP4qRxMwReRUFX0qBBfi6ZZg9atjfjX+hHy2jRKWUnLvlVmWiZ teUMmCgtfFTlcBPRGNjyZF5Dkp8VUViIMaFGybdVX3kNs3rowMEXPQg7fpbF4Kgrs6fw6y8WM5Xz z1uPGFJ8a8AKoU7Vl2iI3TnIPWhvv7RSxY5aA/DoPm6Vg7WlOvXDJnCmRGF+Xz68Tfr/XHZZ3Oiz //lVwFSdKSgfXmS/VyQDim6zcLabQqwSvqV6CBO4qu6Ffiox8tBEBlv+e4TquejjzIvzDwOH/OwK p8ln/pedGzugKIBmfP2OY94S1dauam2S1jADvgyVSkRWISJDAYKcNY9sUYOTwh2ie0n1UePx3+7q iOz12MyShk0O0ujQVCkvElE5T/lLT3pOxaQf79zuTlNNO/I7lVLF1qS5SbgeC1vxuyZLy5gqy4PM jrekzWz+aONUgXg9mmblBCANMShW85JpVU4V5l4a4jDbCrY9XosHQqSfCmRaRzkzn9CcLFUQhwlm wSJ/i7uXIZ3lh9B1Q1By0I+ZJAl2PVPeDjQEpRpevj8FCeMisF7SlHP4RVQAWMe0waZ94D2WEBiH PfvV9hrtGw3WchXxhFBtwI3sbQF79V29P+6gUlSLPQ6TwNan1V2C+1X2y6gXbugltd3lvthnPVIb IIhH8cg9uFtQRV70PAtKsBphcjHrWjNuio/sZjvMEZvCexcRuUKIlc6UfTK2E4OCwjamvoN6+SKX Ew2he6Pr02NgbUcJL/zrXP5rabhL/pOuKSLWG9RR/7nWYOEj1IViJnULW7TYwvofxOG32YYmXvC+ UHhT0BJQHluliAVcKG+mnZaDdEA0D+QuazXrY6Fe0Dgg3eXiI2zGK7qntzzOzveZ0B5lUl+V9lVe QtpqtFdEw58ZKq96JmqU0xjADFpzfUvoo2gU1SOEObvDExorFp1KIznfSgWVDg5iIydY8Xobx7+U dBx7MDQuWYM8+fFotN1pnnNs0XnKKpxhKay+UI9cjtW/BFEadW6li4e7XQpotVHQzSJSF+5X9Cwk OEnQoTmSxRkTuDEVGR2gdQryKO6UQ1zVHmqGko0IH9NgbxcnOS6qwrFzkxA0OrGKEcodjsKJRcdS cVlAQW4fw/KQrKqDWXxeOXykW3QrDiEXVUSArcwHMp85ur7aV8fcwG8D2N2C0Q+fomKewOdYqrwe 9HNpdhctu/qgzltZKSL1cHJ+17KVNhhKZiPINagJta4hwGdSzohr9iBkH4KUgmcARGJNd0daA181 +doNSOsqAZ0SefnOvXHOA+x0PHcxBL1ujGzKViJAEbalwDaCPGS0j3QUjqM+TTk/WzokHrjMXTad gsvqXEdUToiSnnUWKRejUTl7urTM5yWaoBcKVFf1fQuQJFJOB8HsUsI9Kr6eIiy9OVWJM3Ysi1rD GNTEOxc/IqEhLqysxoJYEOHKbsIHW7ppt8e7Rye7Hixl2WoiEK7vgbVGBc7iH0TexFktE3c+m9cF l9NmVanejvxBpIGBF6IDtfHF7PRrp5NnhZjtNULCTO6I5Ad4sDx0C6AfgBZ6Gl3lVQ86m5OvfuFZ 4j9raawJspIan08Cxj3o/l5zQY03KNBgSc0snvxGPiQt9h07f+rI5upVVzEjT3Z9eHiCmX553dsn +5L4Qyx/iGdNsDytPR7HVxaRBOyPsJ9ctRmIeiTIl3ChaQb8LwdQEmo3Aw727F79XeH6RjT/9Fak OYnrQFD2HKKDNme2RLiFlcG7goCqadc9Hknbo/Akbro3AXQCsy6oIB4flMgZ3TlAiu5TAY+1YJga YMYbncrLytbRiaEszMPI7k/np4EAuVNOzAZVgwiodrZr9s52fCkTJABP3Y6Q/Jg+A4Z8VxN2D2ES 7Wj2UPx/eb614VbeS+QUh1ekzx0coPLqomCl/p9nivzFnwi0obNU9pfHcZ0J4vlxH5M2Kc+c3+t4 cH1sul9ZUki2jG+rPAtTCQym8vLTuLXCAMEt/Iuio1YyFkxA0J88lOS1aFqXxf1rgnO/jmF5139x 7q8eKQiCZFxF2abHPMM7IbcEiyJOQUxwE8mQisULry7oWTlpr7SIi+gz86HGwsPZMKxqStBP23At L5/AIhpijyuunPo/g1h8O0GJeBkqo09DR6C48kRf6uh64FF4jfL53gYGSQ0bGzhig6LfqIiKR20X AX+WKuAQ3AqXJQv9yWbBTNvBglqfg19XvZCELJnHN//M2bC18jhn6LemhyLd3yYeNyLd54EWEQjd N/F85yEn7NQN4CwozUHcbbocLC/py6r3wqAUVrNzfUH3rkBXOZ8t/rq5ulsyRsn85Rkm6o6qs6WP mo4MIDzG2TVFCCJaiDdX6EVdVVsflRR5v49LmfvFHO+hKy/kACkgwH+xxLl/sJ2ABylhSvYhRL5y l/Y70AdKeCgbYRa1pV0mv2cR6nbX3iZd9vTyO0822zhJZUWdZOCPQNfr+NOlZOn1r17pkN9sF5+r YDWuhXnUJb38J1puhfCElWL5+HJ5W4tRgt2SmChSd4v40HoIG22R0BemqvpYigz56QQ2np9XvihT 4IZbZ4xPiXWpl7+6f61gbwk8eC9lz4sI8SnJ90/2B/xBwqkYIN7c3UrxnxoHgA3/5PdZpZTZXOyy Gae5RRbM1Yrm5jfz1OejfcZOOavbI9HSNUFfe2J/mE3boIOPNM16wo0IcWBy1RhDII+1CCNnCKy4 uVxa3GM5QxXHt3ZgRCIgz9MV6ZbHoE1titdLrhBTm46rD7TF4mSGpDsMYv9owHvXITYGDLZhc0TJ uOnbY8yptLnq6b5mhRTgz8XRpbGGU3TJa2bAkY5QR6FKvjIcZPKG3O/PcW0+74AvhOUGCTDBXIsk qKHEpTJwawzSQEhNfj2uJA0bmI5bJEm69pJXqjkQYfejWwG5OIwkt3Tn7g9rYedS8axtlfXK5H7B sL7KzI9v5p0/QR+UD7DtY2ge6gikug0Pi7CAihqnvrrpEb522qCVM8EmIhoS6tI7kFYjVxtc1dJ7 8hfS7+JsqSNtMMw5DMxUE85qYoKbAKiSGf/KGfCSn6EDUWDsTzWbS9HzT/t/x41+DyFcatCwbddw OFneopxG8vZAqWYjCmftXbAIjzkrtGLQuedIVAUugCO7ffURjtSYkBBgs27i3xERBM1wxOMqKesB W/fxr84GCO926INAlFuaoG+Y/WhdkpRaSA1iP+2+UG01LElwEW7/iedzN9idm0eGUKPKgp7V3Tbe 6H6MEigFEoOGA7m7BcqsLv7/g9FK/mT3qB2Rv7yzTwBdTbcHET4jcrIWotMpdsx3SFJ7ufmXOw6H O8ls/ghr387cTToRSePiem0GZYSspmFMVccfMBxUpX28vH5H3ivsFKotwhwJT8h8PL2BRWUT033C 65fd9d0QCVniV0MjBgZfSTtxt3CEc35zCX8+QvMwOIcnC1YYVQ2Bdq1oFmSE8E9dBZenehPRAouw O1/GnmQ+0pD21vALoYeTDsJ9DDCmiOJTjLgYpKBc9Ft4U9EJn7XihV7Tzl79m2Z2ihtRX1FCh8KC xQx2GBBK0qPauCWvk8QGM0NXfweIamgo8spIG2ARPnFjXMfsCSb6jp9dGbqppfmvrP8/LTJH0DYW 6ftltk9utrYI1koSR1Lf60ot+RS9i67dpdo1vOR0a1FfkdRb6DZEXCHsbR4C9Bug7/kd1Eb4/uSW 07SfnOx0nt+fjyiM45rKyLWVRhqpL5jvrZsk0KkmUdXjniuknF78g7SaMYkqWaLRWigKrdct9BR/ tKmhJuu/p0oU0mU4MU90Q+HFte299QvLArMUdogVZDjfz4hvlkCEgjUIblaE5zcMQM1yuUneAMOj KWvkoEaVul5I7JH04juoWfDTjfKZL37Qf8DBuQ0h2v7OO+o4qXeX2r3L//PkHJkiZeDdDSGqyGk5 YKgWJN3EogPwFbmndl4Lh5WeBxHsXY+Nm8e5plEkxUstgmdzXOIwHEpxfc2CtO4h+nuAF8E+eiPR shYgpG84GPygVT4HuYo4hY3NcNaaaMo98uszUrDZl14ck3VZ/JaVjnUqqytYCd8bKJVBrI51YTAg pBQ3xNpDXqLP13nQsFehx3gzFkvku7yN3ZGee/KHu4BkcHYDHMVwNMAXQ+n3dN4uuCqA8w9deBf9 7cSWuEHsrPTjuUkI6blEpkSuyrbjOXeenDJ5w2F5H9TetOkC3pr2qkiIuFdtHgHDHz2GVt+Sx3J2 BOZ6F2zk0/HYCcQlpT0OkAlRNDHaQhuSdWoAAIWMm4Ch6YOgXDRJc0bcm+8V+yLv+1G4JYd1zlUQ IEZGnE7888iAXOBSskTK15RpczXbgZGkq1P6bpgyOjjlUiPlVkai0s3yN8uZ53IF/hRkcyMW498T 9SiYQOYJ+P3yc2peVb795aXDHtqWRNheccxLyhVmj20czr9ABFAIL317yvG3IMu37Yv/f0yHxJzp Pkxg5uqMMGL0JyC88MAosWO1RJ2tMeaPkanvdNnZnkYl/+d7wcOcYUTaGPFYI306r5/QjJcwEnMo Q0nFEuc6WVMscwKhKNpEJb/kGspYspJShCW10vhaOp8ZX+M6JZYT8NGQMMNxx2niiS7kLpqPBGzt yUqXNPSXi3TJA6zxtMx1I6/nPnTZg3JU3DqbNmJ4yrkOOtafae+YsZRIqnzI4U7ufZvm/DcE4ehV pQeHPHEbEYGc3XmbAkkN4fURniqJAdIGD/N3RkbWLEpsdt8uaGmtw7nVmHbw8fTZ5BrELJKoDIhO Nmasq64/j9V2nVoURV1OuOl/7oc3qzJ2Jnm8QsDu7uPpLUY+BTCBHDWi3KjiH53lbRo6cO506d73 mdO64UZG114qQW487NBDl4UhNvrHMOLKQZK7LfTQSzewNW6l/8tdD4NGSs+r1v//OCI7W0YhtB0s 7bkFY/LjO9AifnUyE7236PhU/+I4/pVd0cPI3QyzgTDtFPZrXwYqthyFnXY5qMUHek1+KlpwG4pD jNW/89jZLovbH1eqKy9Dq1OELXD/pCEY2TCebzbQLDCm2vg4YWFjEGt3yIe7fppYAkbhIJ9hM//E E9iNGRuUWmdt4IGShJm3KjSW8bdkV2lhvx72wH2FzAYDxDl9Isxrxm88/j4oZqNUDKldGE8J/Mvg GF4prR0rND9d7Ghtl9KE3y5KiIBBb7j1tD9M3d5XKlpqsnTtqmbh8HVeJkUkoevX/yfeXiIxllti uh6UjKmQE7eHx/kA3a99rw/iAr4cJpVmprI8B2KnifHoWtXgCEEpUaE4cK03GTbICVuoOCQhx2kE Zz5fu+UpifHm9LJtocw51n211ezedP/y8X4zKewa69ocRp8rs6ZGgryhFETIZr0mo0iTyDHwcLke U8saxaBaOBsQmBahjDp4YE5Ntf6z06BiJS9s/D4RL+yp9tGKt/n9ZEc/OQ0lOb3ZmhtkbKQW0wxR 3lUGJtI9AP6LF1IDC4m3V3VoH5nPhMV6cCbFphVjb1TfUvB1sCyuobxuRHrDb5wFA+flfd+QZgww TJY22IPKvzc6gI8/XkuGrKahFJV888mUKZKTtJHmF9dnOescEsIdHU5agc7MFdAFevV6CJDz0aKj xoe+nDABj0Rt5cCbvw5HCkvq8pHZzgpKPtm4eXrKLjU3eCcPXUgTx/k2nvD7kyigeVi4jdR3h6Ez BWMuTWVESDn4dDWSGNyXczL7K59lr93awg4mglYvVl5ZckQ6zS81ozT8LzvVxVIbgrVGI4j83zzm OnJ5rE62DOVNDAl/m0VTXH5ZuLbTPZQsUuILxYw60C3WBfjUXBRFCuiFZiDqj5mje1j/dSUJv9Wl Bi02+IRwBc2aPR6RV5HrmwU6nfS3sz+rOcMzNQ+l//8peQR80zKu6EJz3SnUgk9btPtDmDk0ThMH ohDf/IJwAkBvRDrV5ySOXkdxcHyrNvqRPJH7r3iYzPy52q51S+7agfds3n+AHCZ6GWV/xBIhUv8l yjko3UUl7GahsqoBBKzuuA3nI11wI2A3NFeOREqPeIg/3TSINoEbDIlg8WTH5TbnSfzrAoYjvUWH OLqH33Arz9Bm0zPO7s8yesquHKiSGP0x+ocBi7F4DCtWZCzrsQ+SoZFxYcl0sclbSvsYqx/s4oir 45/koLDjFlSwA89ZMN8yVbRyTbsQuiQ6vEEXjfHapO45Va2TQXBU6KAfGGXfO4riB0jQh8yfVLLw gNVqu5v3UjxWxzJM2wxhk7vwx8ABVNUOAIA4J1ySzgY+hPa8/MWxDJnNB/8nR/XbnAdrVmqBXR/q vNdnh8bZnldbSOjtl9gilDmuSvIsu7oDnFqiohwpFRptoUn7dRpfoID4kREIJdK4GP03ItwKa8Kp wO+IgqX6v+tQdvTTd0f+Y0ZY5Nt8vbXGQf6+WmKIyI9vkjBaXj+Sof4cH5064xhwkb/XsFdKoUO7 SHzgtm1cQHTm95BJ2qxxEkqO7tfSPHg+RPEvjzkC/E1qJikdwgXkHpLta8ceQmjmpSuRoVgr60Rc bAOmC/OVtSbLsyKd6X28bDFHPivziymbHlxM3pzJsFjixqelzw6VMfH3127uhRU8qhE1sbV01FCq MAd8MzHlJOBXvzZAmiSoeXQcgcbkWnd6Nzh/mcn6HamVLSib3p7ysVsUhogVhfFIolUbzv6kqFak mNWSjiy3VQvjR+DONJA5g6iz0w7E04atY2DrFbSlrp7ixcqmJTWJYDsXEZSjmRC4rUYKnmtWCMRg J2ZcGCOrfWOvsudGdJQx3ykMv+tlEttsRFQIxl1pSm6ZMIobGKwE+iMfv5v4PAXjQCiXwWq2MN/W 6eg09LSeLZ2xKcyhxXB9Nl6qLneTCgF6CR5UXWob96xqHdWoxaSSRUPPcwsxHrSoKN8elQ/Yxxhl 8OuMPBe19rzWdYORLOirwlxdhu4d5WCBRoeSXY1rAfsuhJvbGl7MjAb0PX3/anEh8J1KuvFrhdUT C77yNjNAjuP5McJb9S7Gm/HFtI7TOSzjY+tUqDM6m1rMLKg83MspaTe3l0Y8MTmXqUWG5ZMVALbd KjN+4xvZK93Cd0LU2E9qCS+Nc/TcV93y9F7OcGghv+ZtY6R0t5iGjcjn/++Rlq700P1QyGyCjIEC N2DmlKuAvatDs58GN3ctDmVy8yy5JiWQQ6ucGmQsLdxizGdIQNAMDD3WCIWVlmPe5pOKQflJSUIS 9vUH0blN5UG9vWLYVoQwB+5/FZ8A3nsXrHPj9wEprbmBHUG+tnXPIdF9pTt4TB321qqjWk5y0za8 g16Klf2UAoDKIe2dfk6c+uJ5XSwyjKC78IZbUPKL39VJVusOT0E5IwshULDwPqN9h4bGHb/rwftd tK5KFlMxARWlaAeOLxlFKkH2ZUnxKNF0EA5RIBZzcahZg3yANFg3lZjAnCOGy58OO6WKtCt11IuW BWUCRLs6jQ80wGSdsw5TWHHmgDHNSmBfhnFC9np6PfD7ilcE7cKCui1xn4Qw2jtvjB//G8R+pyku m1tdxDRghqkw57wCHzwU6nvnU3iuREepJHHnXsohD9B0ZbWf9mxkEn9xsI/6Juvb+7ACK9IniDSE 3+mbc/+awIL59KDHX7SOaZFUN/HqDDR/F/jrvkfF49UIhYZWHXwQLpLxkSl/9aJef2XnN/u9Numo pnv7dTQN+cT7EfadQ/jyZFT9A4Czepz6aof2Dke1TYDEEigVobpe/m9GBYH8NxTvk0xRVYVf+xDM F/+FA10kkGQ58dK313ZEHfcOmQvCfhztZl+LP9yqwWCfODFGFuFwVHeodtASwe589utWBvgln2+x w2bQBI/QSLv8qJJRs1oFNVIgTUonzecUmV4whpe2soQiD5j7K3ptNzwRto1boi6gtnEZ86UaU3/8 U+SGf+a0trnmEFbwAvCo6O6Q5tBAe6lhfndhL/usQEGkGOEMxQ9XREg6c8svspLq6mAUN0Tsiq2F 0x4spfooDmJ7aKEAR2qJwR1GSD0uPF+1SHIGI6f6g0FZl5vcPgL7VPRu9VHNUZrsHQ6uQj0GBHWa dmAQUk6G5q3OppWBs8a/kQnNknQbvtpb9tSSZU42qNMNbWqw805CHdgllSg1VI52eEiXdPTUwATX p5956s0cgQf/MY3Bp4TdVODZ9ycVN35HhNcPmW1TIqcWwjmms86aa4hBf6NfIU8y8v/J3vNGbOKL Seb1eaAgxnFFKLpZIGGwjIpvy1MIlzQ9MVDujj1F3U9O5uyv3+ycdG3CNIR0pkcZ/H6clN4h6S00 2MbmFz1kP0i3GwIbK4AO2uEwdcUWCLWl4OdSzgxktxXA6Ib2aD0LTDBLWalczw3eGUYtg9U49AwC +QvDd8E2+DzZqTA7dLH9Wx8pdLShCdEbww2cTjl6wRmVPECIQqUVmiq9XQ2W3bbvhCZVxuoWID9p zz42u0XiJh+hpQnkYtbYWevjp2ahPvQrYVHPkwCZggQWtn3aGPNnxjNeOBMUqRtE8seMTJ0vSFJc oOUD47Ba7U/3ndNZTrfjk8PwCI6jGnO0u369oWVdDTn/u1ALdIkGRjJsBxiBp0UhoVN1Oo4+ZZ/K WGDDl//nfjsEq6bmavXZkI/sVZJbWmXrs8EO0ubXeby4nae52NHqFmHCn5Z3ENfxwkDwinfbwsCy 0vnor3l3KzPt8b82GxDgugabHx8ZzQ/goViSt1Lj+4BUhWuejRB+pA4UADO42d9WwiHI57fX97HP tEJwjrxwlMVQRy7YCaUsrV4K7lKj2tUaxV0rBJ6qeudXq0P7lpmcce0ZNvWP+7jCMu3KhF5GAwzC FuVccdd7SC0DNklBPgjDeR0tc8oxnHFT4Qj36x5aZRhPxGsJNDRWbBEbeAKtJ5adiF0p5gHKbFXT EdVfjIa/zBnBJggdG6TTDq7WESg2CQ8m2n5L2vt6Q603R57WX1Y5eYbmC0MNYUWLEaggz2TNh6P+ 91/dEFADRm3NPtGULYjeFBupVqs5ZyJkdb3pNIMUgnAv9wroxaMN40Usz9xoryUMBuNp8Moj5teq XCnA+u7j3K3Yh2gR1ARQUx2yoS1I0rw/TAEtIm5Lw8jwvc3LAalA98HRZRLAe0bJvS5Tip2Tfpbg WBj0PhSEywNS42WysJYWeW6T4cF3sN/Eu/SFitQc8HGaGc8Do682t04kFfqgEyQJ7MDXJIkx/pAj lDEgNvipSWbkm2uInyd7QaVEQlO5iGliizHO48t68CPNyoFA51TGz1lTV6Y4wXS87OOpkxVfVJBD gfxmUve8Zy2SB6TH2cKIHsN2ykJilqyxkR3TCmAY4E6zZwENvu67cYG3WG5HzU9Q37sjYG5765Rl Hmj+iJs/oBrWXwRXLNzvK+6MOowclnJIWiOCIlYhGIspzpxsLTDy/YTVX1zSzC7ZVkh/O26pbrBL 2PMvgTqrDrabhSKkcH3jjFThGMShY7Fpa9SvYv1CfAyfylZXqWKW6BrfvFVoIba7Bbdv6tuGGW6p Ty9kpTEQ1QNk6TKMINuljBLP2tdRYHlae4XtvVbIjyIoXDl+q/E15167oHShC4VTj3oLmKjgiTJ0 k1zCrtuseeb1ceNdjCAtnXrKrzDL4q8z79n2tkyCwv7TiP6tOLLJNtRinXEO8F+L2aqV4Q7PEU7+ j56PHO6dXp+rqQ6NJ/UJnwNl+y3rcMj1MCZ2zCcXyglbkLFfdmmChncno7pzbPAzRKl9TBI/UHnU 9qRtEf9ckYOWuA7ynvQEC11zT0malmYmnLrhb2EHg46Zzq6piDzWGuv5Gb6zCanalqwCP8fQ4sTU rS8Xfgsxy2Jq1hI4QlVnu8isSy423rCxcnA2Nxi8i2dg3e6AKJnLxtWeuntHPfePjSkF1Tk4PHq6 Sfx5OACpT2viOHmYYAWG2YkZYzZzWkMLvYMHeXIzUgd3SVvch8huYCSf5YYkzddZ7y69OvXj2ITD ScQAuK1ivfSSRtF3sZLboknb3F7uZcoxlg6fK8RnXZr1socHjpDQzoVZkR01O5KI8ILLnIubD58x F6EvkAmnPS50JNVIVuXOZuAElXq6RGT/bJXTATOWlQWREgCcEjWt9JUGZEMi/b36vu74oEC3gqbP SKXlt6waLXpDGZhWeeMdsUFcFug8vEPG1Of6wVfo2Y8KLSv5TPYqTe5lqW4b8Co4/elY1KdzFUPD 6Sw8ta0Zc662oH22Uhv6HkqQCEw7BHUS/rlDhwGcze3cx6w96QlG1D/9QBXwfq6r/spcfUU3Jzah JwaERsAx5xJOHiT1YGLok+pngLV92ORGpE57T55ifb/2K0lSqugo/4ErWZ4Bb6c+Hamn+j+MbypM Uxp6LYZ3LmWumOL1wAW3KO4WAdvRmi2lCuz91ip/lElgVo++Gtc3VrTHWgkVzta8Ol5QWqFAuIIS pXIjwkRjbdr/Ch0rWjE4dNpQJpvR5dj1UqI3vgBc0nV8LoyTW1sdzcinI9fUhARGJ48mr2ILyYXK 1J+EXqD0xTHJT+WBa7s+GQ2dfOx47n0vqcWxTQ4MNc3WzDWqWIsz8e0HBMZHinTx0pudS1CPn2SJ m+BB+Np2EUB9WeRyYAubZmllLqNVKaSLrWv4BCIz6CCWhjf/QTHGwrR61iZJ499/eKfxU9nD2l0u Niy1hhR+A7w+cl2y7sYgfIlSap2LoXYB33bqgbVFbtDK8d4Aud6Ua62EVI50v9ntI5R181EC/qy+ GXohTWVFc2VTf9DwiU+hvp1Esqs47Yzoyd0SUyfi5EeiBldO5+cJEyC2zSLEqbnsrzvn32kIpTDd 8F8ptPloLlP25TOdoJZCuqpdOF+5z+6PRXg0T4mbpz9r3lRkP05kVQKNBYTqtcCtNiAv3KJ8k95L M0PG3lOJ0jdSIDl8wWooA2vaHQU9TqdbcVrOsUeDXygJyl8vBdpnPPHb6yJm1jQ97zFVvtSRYJvf PQ+8It1Ar/EnsH/CofJnxMGtpx167ajZFTeDizneMxZdyeVR1zAd8wGws/q6fBTS+y4bvPPQ9cFO ekOe3BJ48tVA9vRudzV2zUZ+MRhi9cjYZw6z+6mJQv/+ZuBg5z4QPbMb3X1myX7ecxdqZ8TM0aYS VLMXTfvW60VVXmc15llaDUPbO5YVvUVOGPFQMGWzm2wZAb13QoMJXwh1w6schi+V/LOGkw8wSGgy /o8bBMCUttQ/tcvaBwl55DAqSsxLEgnrKdjnGTN6jJfTJDBZddgLin/64RrLTy8kHzEW8e7SvfQ/ IQnpEUuYDbc+ToA98L3b0I5PfuVF1eriwycqtnf+Zq/8ievoq/bKMv3EmMZf9Wpd493Ytqo1OeLW hLHHg707J6IekYBs7z2Mp1Rvo8H84CY8VI6j3Ds2Ki6RZrKBTIcrdlVOq+rV2DZbMkr/qqjfq2fR wltHnWKmh6uu8Wa/AyRdPiaJV2mn2UaMhlWly0kJ3d4LU/j4JLODvCuHGlbczyPEehBc5t0pGkt+ xowGm/Ma4ULQ55Pj51qs5fmKA9ab7hIic+ggUqXEw0Pt3o8qP17qaxZEK1AOaBAusanDNF/7jZy2 pjxm0pmNTyyF/OadVT7SxVUXGrOlFt2BAsHBKDes9cA/VrxWqpvMGoexPBXaMtzYbdr6LtOtAT0I DE0ngJmkWkOPECv5UNPsdM084l1Jz1cpNQvfCnCURfZA/PgOGwAiirTLoK9BnxxDuzjle5gDo5fR FP6ojW25ARely9SXEl0hQwDSxMFyXEB9f5h5Poq9EKqH+xJjBk7Up5JAKu3AmR20HjV4YRQKDQte HbxOM7S/T7YTfUv004ND+RGyJIDMyLvwRFYyTShZTHHqbJqwTvT/c3HoZkWIRmmqBBsgmmR82KkR v//DRtFGoEeFVz+V2cZIoM0VI7WONtkEaNB5IWS6Qmlrqox+wE+yWA27Z5pqqtmo9zzQ7xCIOXzM RgwpmhsZvgynGsr9PYJyEwwYc/5xAwYWk8iiseFPopD7obPDQMnRnItsD71UGjY03f6Fq9AJsASt COXzh2UKbE1supho2L1UT1HDvBE/07HXuVb0l8HebysT6GkS95XI2Y3aathScY6z+KpOgsbloR0L 6TJq6UHmcfyh+C11Apiq5AaWuDAYbxq3TYjbl/RsFMTgUyPF88ZNmH3IHnZ21ytQlWYugyrTv7qJ uF7+UhQPCCNbjeBywoEdLyO4cCdTdXiSl2aCN2pUp2UmiOaD5xkCvSaZDJG4hUoPeg8qJkvgUPx2 T1qa66zTGP04LA2BNPiBcZV/6GD/QaqlLKTEDaZ/Ul0i7yNBtAnKV614cf2Yt98lsFdBuO9MbSvs 5iFamE0VhD+AP22tgSEutdq2NFVwovOUDJHwttA+FtkspdmAfE4Qsu8CROOOXBTHZesCnGC+Op2n lAfv7Q3MWb/rbRVWCtYPFiPfycw6PHjazpg4OPvdjAoBGnVOZ5D33qxVHYzgBbw1SAwzlQAWLVrA kzolr5Nxhdf09UhSjdR0TnIojVuZXDVDGs691EuFUQc7pxq4fN4j9lpFyY3kr3/4OEtzSDog97Jb l4m6TJlRuQbeSuKsExZbX+0xONn0b7JHarS6Tu8AlHvJTepFdJU1594q+LrMNqKiuyWHgWTQnL6d 4eWSdHP7XCRgcYbrOolCSUXWgDbR4xlYKjd8d9HLkDmffecbuB5WibvhUx0hSDBeXMEgokwLdVvF szrW2x8f7EFTRAzjlplxkh8iirqPX8Yznh9hIFKDG3q2uEsioeS8uyR2cgf1GigLmEzpXX9ySgcd +0iHYHaBmwvksYaL4omq4HZJzDzfMWnTQGhhNYxFe0gerwJ958qF2HrGwzXDG8YlOAsEAx+azdOv 37Fdgeldj3QWA7odYdtUQTRI2pXaYbz0yUWuPFnLB3GsSrhDxLyTIzik6SEsHKMOwyOV2vXj6KN4 ENDbJ4z7aZLENpZegd4Kq7BGaxLatCeNWSEO2R7EogWNS95QHCZzulvTSpAvF+a0Cv5wwi1wlddk r3ixJFRA1IPbaiOThfNlWpEqKhai70ZM/ynx9Mf7sUPbgpvJxmNbhHNy9Jg9EcBnxu/vH/Nuusgb RToY1QNdZRS2pCP1yc8d2oxTd77aap76TxH2eSIU9d97uH/lDP6YBdN/J4SATUlmhPoNEq+HE15U hdJtGRqhBdZ08lrHUnV+EAFLSv5S/Jkfpstmvc5Se05i+dw6N9hkXe2DBjOhlbupB+K86wBOczN7 CERef7hVcDb1zD79Y0VY8vY59w+hX1ySFhN3d9/md56ftqVkMoGWmhSnxdFl1KZqvnHquhBSBS3J phXXymVroc5a0neQS8TPWWuamRJbJZ1JI5kFleQCMxBBPbWgJsIcK27dsosiABLUwQQOPqUSfRHK ni9jE43dBvNHOrNKFlq7JPpaJAGGd+Fu4uAE1P6gPocebu57aSKxsiXiYOeSc2Eu8NVO4svts/Rs Y3KiS+Z8x/7MyxiIj4U0/Z95fjLGcrWsT++RbvRgtEZtnEAlylI2vEw6X+PxvTXpIei6bAWb3DOx Lk2mhGyWP9aRz9CPTzUkZLPtOoT7lkllh/0pIkf3iXOpALrULTMPXTkzv4xviGixDvBRp3oVXdnQ mOCnR+B8m0ezzijMz9B+gJIUwzODgBx1OJ/mi9A5Fwm/lkn7r7IJaiL3cUivrEkBx9JipeOU/eW6 lO9bz4c+RwASBNjn4acQKfAiDCfO3TfEnLW/u1pauUAm+BbURBy3iR+oWz+oUJ6oMEiUaV8Wsl0p eO3AGVBFGL3527k7U8YOvrUdv3GWD9XMJV+QzF+sPFMvc3nsviBloleKtH9fzY7d+/+p/js+j650 zkuIriakIj2PB3Gqp8UeCr/23WLy6/W5NVjtuyZJDQ0LqsMxHm7m9rMX7XN4XXenD7rToF9E+3uZ QU/uRy6y3FAL9l2ass5eUJrNnHIkw7iKU+iQeC47dxpBX6HvNEe0AHLb5rXljSnqOrmz7yblAJU+ Zk2FtETly+QiganLKCrXQkT3tx50I4+4OPO71j/EBxB5a+vL/83Pbz3r5N32Qo9oee3Zrowesl4u GNvFCCIOovENGGOWTX8AQFmBn3s23soRvlPYZXS9nJnBn26+PYSx7fSPmqIdMvfDFLpmzQnixOdE 7qdMQlcUopPyU3mBUlaEtkeDWx/sv/f7x6fPhMXKhSYxaYaE82xOJ2CL9C5wEU69raFDZNiOWy8T Xas39P7Ee6l9ElQnGv/zJyBhReLWlY8gFO2eQzgp95JMGpgD7138Rrga4rIgrUoIhj5jrlcpPbo1 g2/OP5bF5JUnuHkoNPKThuCeKwxpBjW6joHDI14OCt7AcpqGqVoPjXC6wVpcqyCxkfuea+5zbaQw gitcyvrl8uHy/Xt766brGmh51K5NP3oTCg/+ksGavFC7TA74Ypqk/4ZzT22joqNi+SBFfXuiQjWR w8KDgbrYuLtdniwFIogbdDosU6bFCY7trzBQ2ed9FqcEh59OIo/aL7RHaIxGXj6bTr9S1vRMvFM7 vvhcrF3zVrzQsQqe69nrJZj/XRB3C7NNkQSwQ3W0MS0GeIRxaB0MRVrNHKxbrbemeXq9jSlkIA3J 9D0BLnl74LPh808KIfOb98DQ7ODT46iCPzOr1CtLiw71rkVMGSoJX5C6m4mugDXYGZGlonIr15R+ aIgRaziTiH1mANGR0dyt4troofSMfv06+nH/QGoa3bQFQBydrr7lhy4S58oStwbLdqRbBDBy3Ovj 4CCpOn+WVqANfAABLqf/dNpKJtdP6He4Yg+WNvcZu4FMKmooEgyysxZKLBIpunpEIEDN7A0yPvTR 4LacDFhLseDCj/m3HvfIPKIVVPYusyYwkXik3x6PXx3BlH+rNNLN9KtdHbZx0+NxFUbIYT0yvDkw V/PnYNpEwnA8HxmFjC95HP3M0inATV8tHCA//ORSqZxLutiytkycgaU4H9YqUN7osqZUUsP+nr4y sTyJr9v3Cx+tH8u+e9zVfh5dh+Hr89YS08xby+OyTyDGkiHTChHsIhJCN7qMphJxoUE73Jw7Nw4v Nstk0pazt08IMFdI+tPwtBe0YbGOexr08Y0q7fTIfOnUbU5DUAF5dfSnNRCtd4kngHOTVU0FqB1y 1cEoHYOe8hoQe2Nc2cO82c8Hz3deS5F0MSOTjiCA7AMq6hmzNMp1Ela3eMyEgxTXCd+B2rrSl/Cd 5y4MOomgjnSdgVqGVZhcmX+4LbdGepb+KP8erKYLflircVmymcCzf8DkkE14yBWMHGFf+bpupQUj yWNKDLK4B3gF90/zFb7OxBIdygmEKyZE4n3oiMBFRr6A5Hq9z9AOu+AacybZ0iEJAL918lQFGSXp vjwY4CEW7PcoSgxDwpvMHxW6GxNsokx10qSqGY9r6QlGAUtv9+4UkZ9fyFNnt8d9ripBaAAFt7vj lN3QGvs31+cMvCQRjIKfoTwvh4jq6Hl6jXZAAqzTX4wEeQxJ00Jye/72GvWsJAU8aD1AleyF8COi c5gUxYCiJsBe//scFnUOw5eH/9kr/YAhmwbWmbRZRa+QQoxA/zxoV2PDPhGGYfMA3A9S7VgUb+KG k9CDJSkv6mvsiLeNc3ZHb5ymr207oPYbUcIuMJVuHb4Nlbd1OX/72hWQ/s+67JzXwdVg/H+734DQ emgRlTwPkGqFYxCckjGzbJKnECcWaNC4ZFDHyzxI/zVODJgR1K1LGhX47nfVvi3Fqlb06O8cZV82 8VcsylkhrHoioHLTi5ugxAc9QB+PBZImPd7H81G//8EonfUajd67BGVj+okuLK+mnKYh+hp5i0mh aSN68G+IKJIwY5KiHncgujFU+NZhmKv3KpUxkGrY44t9HrfgT4gG/01/dQneNtgNS+isYqWFBCX2 jbArFWtu4J+IK4VSIqiw+ExEciOusP222MuJRmNYr3gNf0iJVEc5cNwucOcx6YriLHHl0xeEIslo REZb1SFIDBasYO5g/9neMTyz/g18XfR4Rb+GFdjcpJd/lY2pedSkRdjQF7FQdIeWHzB9RwD6KXR1 H+GWey3pELzX5XEJ/asnOq44C7lmetTJ4JaiB+oeckAV35cKOwydOSbQNkzRLk5KH5O/7KU3/hYO WYQPNwcLyPJbVcXhWtRPrEUYTk32RJfZuf/vb3YjGCQv7ijVJ5tE+oJI90ufKnWBseBMrnH0MMgk u0WKfltW2WIRBi4l6LUmTjgBWgXjR0Bc9gBqvho4S5Lnjg6DdOenlMGdynOUwJuUw2yV9IHuQfcI 8KbEvRNAgqwxJxzQg4rjPJ7IELgQHAZsX8dTyj9YYDJeZzU//kXnVM7Mec5HI1nHNNthW+nVL/bz npJP6SkOCECiQpynaJQyStofyDnF2QQ7UWMU0UvKqgzNJ9p+gmhwDV5HAX+z3++bhA59RdtvE61B gE86+fOt9y7mXrfQ4q2sXaAsyHddtORTDtX9NYhY+esUOV3e88TEzu/D50l1nWnuPKx9pL3qCX6R wSpGO5uiB2zJLt1pRdLgrFTWu3CbbwDSgdLNRqsxmoFfO7bef4LsheL341GuA9g6gq7QL1/F9ZJ/ 3GDcmalnOoaDsw/75+40nijP3/uX3oBffLabZ6TDCHKIMxFYUhIH8ehhgNlxO3lh6GfG0sbRJ5Kx u9PXjyEnr4xwDljgK3tfRi4iveRzqzOxNRa3vgkHtkjUDIn57+mmTtPg6Qo5snuG49liAASgeuV7 IyAh0GkTZP+2tRmjxsHgOtD53FkK5uWuhqSNiXqq5ffUZhg0iw95Mtq07WsR9bHmncBNDAFyhKiF 37Q9W9F2HvhpSHyVLek27WH4qiQJtmW8gHIfIYzczVC+uTfrotYoRF5Y2Lcs/A62bu/ZH9g7Iyk2 UG0lkT3uQ+sEJafrx+qS7OUxoDZUARyg5mG6ENencErbhgQrXZ6DgrHAYi4uWFtZoskaOTCCDbGP 31Kpj+1LCICCVnpZTR1obQG0J3gNIoD1OlkhuD73iZ+U+Cf/Bq6cgz4Vi0TXu4SmumrAmZ74Dr9C J14Epg7hGJlBlgThabrKkTkaNfaNmEu65ISjqgEGWSZ6F3ITU8J3Y2fKWavYUOUkQdQm9qTe45Po f78jYZWA14gx8fIxEif/H7ybwNorc7tQ7uJIa4wetM53aqavrvfv3UI0e6JfL9vHxl0naXn/dxim t1dvit+RyOp53Vu0+na34hHKNF5QmxHHmutQvfwF6IFimlEbERXXxQDcpWdFkkP62600rs2NUTDD K+qsrzn1F6co8EyZuN1hJKg20C4eMdXl1uwUYHTvZf5nXEYLdRbPjwFvHfnPbDNnJyatWQ/Tanzh nCvL5UmOG4vSayJl6v0OKrKEHJE6bN+xNBh59zwMyr1IDUD2NKhKmP/YoUemTkIJ0MKkTnf/6Ujn ktIeHwoISOch2pXfc1CYbqHl/Ljwpx6H2tayrPEFL6n+XO1euZkpl1CTQPy20SulaOzxmwCizd7s ajNg255PPCZGQy6qdCU4u8afnXHcois+MKi/IUIQ5zy3XVUas2tqDRXez7z4NRHqyDa62yA4elXH JneLT/h9cxdLIqH8pwMhhwbIaPPAWvpKgiQ32R7nMWFKmW+PxMJahT0naP7q3S9qeqG3g0Q45faR oyBsJpeUgy5QbQsRd5k1mm/c43XI0RV3Soo7yaLL3wEKozqGAYluLIOLV9yBAikSsZFIGecdItSa am0MLg3fNcvrqcBaPwiouhhgIf7zo2iSROXzDayFmuIhEx8swt0iXUK08aSp+bW9hqr1ZcAGLTUe Z28F2cTbFB3n5CjNQpYF0itFv/+zRRG2mJAGjyEcq+vlJ1YGlmHFJBQvWJzIFmR+5mvhWN6/mOg0 crDKFvg0lwkw09Al+2RG3zEtx3EbDeipy5jsOHM1jIzwHHx4YHJgUuf4XiBQpBFGB0PlE940SdG0 5dEZdDRWphb921YirwY4jyVg1WsFdHeyWEZpuE8N9KjZq5CJQnZovcY0+CSOoEg96rjUAlHjFZ/H DbvxUrjKuzPzP/0kpZ13h1Kz8PHOHCQZOwKrn/9hLcP7Xht6lF76i6QLkcCh7IoBKoM+Sz4avP63 5+kffEp/5LLV1HeMYbu/i8/HDPSMVZu5QSxPP2n+cBToxOt4S6kOaZXsNMh9sDwlBeK1fX8l2v03 1SOw0bcxwuJX7fi7mDpmjATiImKSkGTn6HPZXYeMUqF2OeAOE+sducRfzd85CvMMsTAAiqSTBXdW 3LUdz94LC4hkrU3WX+MugLoCfZVHM6NO7Ew9prmLwYEhOvpCiWzrxi+S6p5K3B8CgOwI+1TWlGDG n6h4fXd/kBE8n4Ic2vUesCwBPpdmVtQEewEHEOlg27b6/HVqFb+54piiMZiFNQLSyLZtdkWHGl+w tIpyIOyN+KcLOgT2fZXc00HHpw9sfhONNcC6aN3Q7WRc3zkGSV9u7hePZkDjpplUrKry7xzm4imA +NW5kXDlxqF4GDJEe65GEXsPLpISrtQguQJTiMA2ca9B95Rx2kMezziqCwSjhJ9RFaWtzvEYR2b3 fiwvPer4RVYI8zWfh+EvAwVCOzmIJRKAl5PRfu81QPkc7LNHcdYuMXdAsda+eHEKJf3eSPX2oDUC BwSRU9mLmzVcHw9AnFB94MJz1Zl0moyfvPt5dF7BFRXEeejF36Q9wS342t5b9ee/Y36KHdB47EgE rd059KBLUMJDkPlVqz8s0vTaiZXzErSvZUTODDZvbBgvtuP0TII1l58BMdGmS3iTGfwIGsOtWNpZ EoMkqWlUP4QhBU8jF75GECOQT3YGlvyeg2HmPa6COyR/oVoFpiP8o/Yxf0HpAP8mdEqrm76cr6X1 z2Ka7FGlSpdsauWSqm0cDWuNSMgEqJf3cyZhHuLhJgcOszSETZoWHJnqtJ3YCPp1A6n3k5DV485q bjMZOx1aT8Poo81Z4YW4r6oVEiQXJB0amuk7i9YXNQ3ki7j4oQQBKElz7piMsJfPpDh7HVCTyNp2 i7zVlaVkpUqaKXUbojZiMwlTsuTz8SiQsDRf0Y1QtZFLAb+qHXzNCFA6d/TRJD3IAhSvQ8LffdVS EpyKgz+g7nAfFdM51gwoJs/JLEgCNkFnc4eCB3L7dQI3L+gnQ0GxMlERJuaAUw6B2l60XLpQCHVQ 59mtrRrKP8baCTSfFRv2vO+WR2s3dRbHHWrnUsH3oJTauyabQHRr0rynqWcmsDh672r4sCfm5YLK PzcmKOxidq9NaNcTVNZuSb1FCYlLwG4ma6LXrcMxC/cvBJHKpcWVEt/pwwyhpVNPxnxk4DuhAaTV GI0Iw7Q2S4sZzALCvD3N/te5E0x4JSdh2NwhPh/XDYq4keha2wlZ8KdpJ2TJBa+YKEQrT0vHWXYb pNgvABnxSx9VWFvJKAKh/M4lHGibhn0BV03VJc0Rqo9TSj7MocPvR8oTwoHnnmAePg2dgqqzlK7L tjKYbMj5hWHvoa9ZWkfE34mNvZ12MCMXBi3rBl+Z9xUnuaFNBHVEs43wTwt7pJn1lkjmn+4FGnGL tOBLQqGFAPJtsazvHj8CptNnfeg3mLRK/YAKADlox5RoU9eABQRzNUEeCjMjBg+Sk+wrWzN39jMn VQvfHCAfxxL6jXItosjytGr6Z54JWd1mGVGk/WfXouK8bfFXRWoNeQc7+fA8CYGUhS+kq30v2+S6 fT3vlLsLgi9iAVV+bnTOT5JhkzPRXr+GzE3cl+7Be1EZujBbN8AAMtcpCrHvi9PixTdorH/4Mrm2 B/+tbdZzke3vUXEpTBEbAwJoJerBiXhI/EtH9tOxPs2rIRpK36Fm69gE826uVveAy+9Lfxevx75r wvS+BoRkQGcRGlGwBs8WZKR1Uqv5PgrTPsYEq/8wHjlDuweDfjSTb6yaeFZ/LlomjuUGKax0WhCx buDS98hCEXPbTo3jtoK6clSg4opFRKOsohrfyHTlS90LprcF3ipQckUPzREP5W0WcsPgvwgnUnwh kWB7bCIEJSRUEGzuP3IrvncTIcLTU22IlHmm1kvn+D2BFMJJxqgn/gayK4po5v8QI6ihe8VOdRJ4 +jtxVHGIuZc4kUuoxR6XO7wfkOSo1D+JfEohII/Pe2JimdI+Vxuxa/7AanpmNvOub9GQkfeLFvPF LGwUTRsqJTkwm8f+ZLJCPXFVPjPqZ17n/mVwiLaj5H7MtBEgigvstbBLDQ/sxtX4pgYSpp8Z9wFs aHNFPXPebnBaDef6l+6zGozq4TcuuJLekWN08pxNaPhJzjcwqqW2/bIm+EvYsCY3DDxqq5SratHr BDNY0adcbg7gnisx7egG/oElj2cZ6eKajVQ/r2Lfk3ROP3iuEIwt/zxVoU1g3fuh1m9NZ3sFikWk k8dkuQ+PJPSHhtu4/fwDUNj/f6x1nFj5aedJs3UoLMb+W81p664o2JrYRd3gWFIORzdQzUVMIJgQ SKy3tAuHZ6y/cqj5KX6e+d0eOVrtJJGkJRK5cIvglvnUSXhN9TKk9GdsIGJKbjN13oqvObscvXU2 61xwOiz10wLKxAbZ859T1DpehNI5iJPMRAnOIG9jBSxd4VbFgDuZifeExPbJYbL8F4fkUItkQCxI 45rEQ0dYAbtrgyWTOZyFxWhNRQDI/rwN64vlVNvBBfZVANMED4E7thOkRddleWIQ0P7pRZd698NJ XW3prns5/4Ue34ehMk/2THnX2sAcIkf0904uR9IDQ5zpvO8FPXT/LuKqHMXVu/HVcDCrzFSVZdQQ n0XWXVhxX+p+LFWG+cRTXw/zkAUz/hRiLa3cghD9ZQ8BiarTZjU7c9vTK75pYkN0fOmGzXR5UBW/ YLoCHDo5I85K0Dr1qPlhQ0m6v7Ujh2C+tt+mlB9PZDKo+PqlE9ek0QRX2r1+PHpne+k9lJD4gMxY +LkHLqQlC2UdTgZCbYLf/+BYC6TUHcUvvUWOWFmVDfGCieofwENx9KkdxwQwTwu3geX/IyKEhB5S GndEir7L+aM0gc/e9K19/ljOWhCfTzLSubfHsXU7Xb2sZVtTA0pepuXA5End/dFXAD2sh7QNcCN+ EQlAO7gGQ0Sj/ggTLGApkMdfEN+8Mo9v2RPf46ZUglCBOvJaJhYhigjcjOQbQda6c34/8pqmcu0l OPNaUfHUoqCABQDTGeiF2OvJzV/87VfsPkoN0E8M3ybYKhJyfdWwyvIGoValRy1jLlmdsjMnWdmK zjF9nByMYtuObMXrdSympYNA0kMP3ITUi6Pynzus069YFGuZcRJ21HTS2hblcv6s8YbkwBWiOECL 84QPaTx2EccJJLz0xgEWB5WpP+Kpid12giawIudh5Dxm4qcZlK6VC0+g2wObkJIY02O8Fj4iRkYr 0e9v5JPTLRerwIal9zMPRZJ9yd8oVGy0DEIr5z0IEEQ7OKCKLMLE2mDBSssT0M6DKrSKDIFk/VB8 JVwAuHs4F3oBvx3A76/k/QOGBp/TXarRs3piGZo/sr6vWuDitXuZtcFO6OeH6CqtzFqIx9Uxczyp oCm6gRm/2bkVWCHCmduSCHkAivyW2GY2Vta1c8RFzDV66cDRoQilX1l1VDKGgKtWapsQUIxtI2WO Gy+BP3ikGw9Nvh/2ZTivpwWG+olfB3OA9Uz7UM3aN7oP6h2O6qi6UiBOQBb9Fuq853tlZiP/0Ehe qawkuluDiSvMKYgDj2IEZ+ReT7guycvz3y470NayQswDMip8Fst+Q5XTe9p67feZBazkbu1jcoCk 8D5DpZFNpT/CWo2p92PG7LM90aSMtbGnEXiMb3Ztmf4agkHQ/a0iyq3FvLTtS8+cfzreJPETEYQi aOevnsNczoMv2PtcIAz5t3csMtgdMedVndvwnvcfBvGTBtwRvCe8SLuTn4lFSvEGen4VXWTxH53g o7ZExF3QBwLta8zAPMFphHqeKcfvT0vsIeQgS/wBlzhtVXHyYybN6aA0OIvJnI5qYHdu24fgvcvt xZ2xTBDOWX/r6iIQp7QUc4PTirNfrQISAhLGq4x1DTgkGAlvSnQTjT9fNvTlRH3Js73hKHpVYfGk rhKm9fVQUpWWpaXTmskFragi70qUKQrrUFLbXG2bmdM2Xlhbxn5otKP9VA0IYs+vFK4UcErQw7xG jnZ6U/6Bi9MwfCAU0+FfpvNkACAheJSfJHZ2sAlxaqTNTVf36LSG2vEUd9oXBfAZkVXsJpI4kj/M lR59D0U/UF9y6wmwR1oAJ4A5UQUtH+zYV6olUH0H5NPM9sMqEDNc7Qxk4piYY9XdFICtWIBkskd6 UzWCvkcqX+MVD9FqNtdJhi9jhzqCe1GdkwTegrVZLyF6N5N4VwlzQ1vYFS5JLpwla8mlp1XtYZjm sY573Bo5hsq8zKZq2Z3+makKWnJmipDLX/t5kCT3N8AhATmo59o1nqEj5D7Y6efahnJQz1X0E6kZ RoI3Zfhmgn2gyTT1bMO8aV2K058kjT7umxcysQT21mo1XTDeY8lX3IKWex+qg0j45IxNMCZPvT6r Xr6FTJgmjD7svx9GwVxq0B+zYXOF0l5SuHWjXgRXqx2FZ5EB3ATjZkI+r3nOMrzeHv1fNlkeg4p6 c8TA5W1TVohsv8RmMAGy37XZvGBb17FAlbLsCMH7OVz3mBgDkuHEFJqH2Ls/Yq/B9R239WIWTfVL AErK+JF3jvipn1ZnNfT3DNt1UqFXPkcYs19TC+1M1LxSx85LvlBsSIuMLf5wmGFN2Mn8iaWuS9vv vq9RkKcjO+QhM6bHF+R+nKO5QcfATiqe7UD8Q0B/pfwdmlrjB82Mxpt9wCwlgPNlRrnL4TrWZcu6 DgqEh0XYWNg6Rs1eiRVpLXmWS10SlTKUEkyao7jlPAuFBl04WB1G9C65WnQ3Fo20U5CQi1nBDdww LD+JrEBQIeHnAy7cAGYlJZIt2SN8Tc4hWVwjHKDuxIBGTaeF+QbrnjZ7iBpBNxuUJLArm3XXTov1 y/VToYSHxWZOwn82AqOlbBOdbuYXTitk2OJmyTyCMnkoULNZ1TFbu5fP3hPbkJV0wli0lLsmS5zr Q8Z9dnyOBtcbfZndRbZ/wLWCBpaAWVvYrOObzMZY/S0uGtRFF58/iaguclgH23dBBr2RO+qrSoED gniD1g7nco7pzI7LFtzGx6V/Q7oBtkIeu2HvCdPr5W8d+3ihO96Qs2lBtV4CbVWIPcZuXR41yDR9 xa/DZMsJlTuNgvvetA4TgaIefjVli2da1LTrQl6po4mwZQt4ygSq+JvC/VvRZYnn7NyzM5rGV8dj VCiJ6JaghG14JAjs7s9V8x8W4QiT6BwWci1ziSuy2OvENtbjNr/Su2pzoYqFV9JlPImu9t9Ii9VQ CwY39ONffGvMvsdK0b+vUsgWvdQ9zsdphLovoZqqqMTWpQf4mr1Keskv2y7avBM+USdS2JggzHG/ Y1p4hipol311eHZp5pygNqiB2yCqu11vN9pxtu1LZVCkxkxTfCUWWlF5yUodudBnf6LX73GK1ONz VZtpah6gI297hIfC5uKKF0+IWxB+NomUht8/frlUgzuBATrryOf1pbzSXJI8X5DJ16QH1wmq9/S+ qg5qfZntbRrWpIFRfrRZ7kN0spsDRnKTuEnuHmkjcAPKJ6UOThR6MnkSaslF7Ss+oCj1EwV/SQdP IX710Kg6dRUT9UNo6EOtxSK+h3oitQ5hIK5eraEllgs5gXUCBJu4XUg3LC3MdFGW+9FhdsmNXBAU G8d4kYQ23YWlkKGTcuvxIzpqW2ppJzBhD+5Sz/4a4ex2+OGVT2mlf4st2wwFcd3pbY3IwHTJ992H vV9iLRYf70DIZBBHWojVybvKmnCp7Xi/p9wOSTqidZAVNGz2GY+1W7X4kiPtAk8o1rER8mIC+BV4 0Ulk7GbYr3z4DAdmKci3mJWjmILP1wT3E2mdP2OpY57E5F8SiDLifcpb+wIQZ+CI6bcUZy8gqbR/ UyH1wTHTXx3TslNxIiWGjHsprz+CBMIFPqd9O13iFDwsoO7EBO1fgpwSGpUx/NZbluNq0+MehA0I xNlOzqIjISpaGMMi9Gm6d8hvzKCyx6zcvpIiPB03tGvIzGaF/eYmi2rNgNTRDcR+O9Ni5XZ5OcRm d/5qPRMwAEDkSnNtEHAynYvxIe7rPTxvKnhiWK/gWHzcA7dCbuTDh+0ox3IZ+2T4Iw+wnNRF9O3n EFrETvh5snbIHcYUIqVeL9LvrY3e5UI2Bfp8fZ/wdk05X7SlYidHd2zXn8kd4TofbrW0O5EX+L0W k4cr+EgjwdvBNkCfexTQsfB6tvjUVFSgc00JRRE2Vx/SQopRicVAQDc0nw3PBEGkoQARJ5lMStvx Ba7gDnKGQ+AXYyMQyEMLk7Gl06WSbaYq1Phj8u6CEQ3Zr3lrYT0ISbGTOfG+VefYHdhRdxCkOzfa +YLfdsH0NMUB28CET+ME8S0TmoOEtaTlNouqjDYhDgOK8Rl9Bu8ImER8S15r0MGASoATWM47lQKy kCQJDzOK1kXWdEQRU4j6KCe3kwSMxC7ff9+wxZp3r3qqH19gTefMkRSh+TgL009XAz39EZynZWBm bpIprVU1T3KCLHftu2Z/Ej+oowJ533EOAjUJhA9bqaz13oQlzk6GnVDLqt3aZXWcChspwCQOXU1X 6wLXx9g3D6Ii6aRrHYxQf+OI7Mz/CLuydbWLd/YOBpvA/+ugJ6fw45B59LkRgH5xueqoVPguGMGg iNRyfrQ2QH0YxinmnDfbFPfJS9DHncEPzCnTx9xTCwXToYn69nzoIwl/M9focaDOYwoWdjC7yBka 7CBzN3TnbThCGiV7ntlNg69+8s37RqsI/4q4UsVHXECIpnXURVYrw/sHNb0kgkiDhd1mO6iCpISQ 8slf0W6UlA2h9q0aNCCt/YxqSF68GpniIcEy6gwaEilzXw0T2uIvVN34S/SWDyg4exzp1JnjMAix zG5mQFjmQvhi58sLO1Rm2IDqfcgUrC4klIeXogp3VJFqbf3OLEPsGAz1Tc11UDgYP8uwl2O0LVIy nZwzCq2tltRSvjnvnBUnXVufugmaEEKTYZMW+DRgMiHrjXbygTZPxO86FA4LryKH4ZLeECGKEUxy gGVhePY3NjUHcO8W8VRIuy3kcKJfMqYrrNQpDCrCJqjcZQpqbOhWBUPwgQBBQE1fmxaQLqcKTPHl V3nDpuiLp9cHH99PAWILb6f/UnuED+7VyC0tJZeAPTMpslgUOmwRJcH0/VpA5B/vv18rV+iASDWx /GY1UDEcwMVeCUS/mDSGJO5e26KBmpkRdna1ghE9TdU4ddGz8UTcjtTtCRhWcxTakiOQv3xBrcUj 2nyY7FM7sFTrHQYgDqI84WAEJp/RiZMry6RIw8e4NDtFGGtmMDsTkA7OjvpwXhseB2xB75vfluQm rOt0h5TwNFumxhk3v8i5/+E+mClaIpHtXQSZsn71cPf1Uez26qXudrEt9hmnFHT6auK0Xgc8RMwC 6CMU9qUSe5HwMwPgsYoDAvgFV1n5lBpVm80mIfy+XzuNleXg7o0OBQGVb3pHO6Ir/SUQF08uwehj IeDKJF0Wh56pQvZBfAfn3fbIqeyk2V3kXWT/JRPBMW8U+iH3NNVDa7BfwUQUNa9mtViMLqB9FISu kUEyrPLRutjqqaOm7/kExmuJQEVHo3fArnKnCzB/03kc6o2T8kDJJggcFmPGmXdb3B5cgAJnV40I woq7VGjIByNTg0efW89RAyvrqSzjUzklzlqBgVqE8k3oZsSjIMsdmrVK0IP+Ow4hH2AKnf6GPFZq dszIj2Q6S9AQmp5EiC+o96BE2ZHJ9eDaUBn3wBJDHdfgIH4o2v1YQF9L5JQGlxAGXDLFBK2HpL8P o1edw7sqF0pM1TsxedePZAjaotqgbUC2y2yNog5NaIluuhGDcgWyTr0iFynRdtY+P0Td7JK2rnN4 fICpXAGg11rydxDPGtH0bhez0i+ySj7o0EpVkyy0mnV/RqMU6UASPXmyZOwm66OEIDeHSVUHa8pN zxMJVMcpdd2agdylYXg9dAc6dKt6pkLabEuODHEgGsyg2U63PS0G6TvnQwJBNtiOFx6dl9KDcZIR VcMM0PDxYX4azohycWjXPYa5BbbL0w/3cwHHA+jmRzfBUq1MsmMnMKsBWjjBA1nt+kfD8SQm1XmI ytrAxHNOARQYYJhUzBkMitDEbxeJ60Ph6PHpI8ih9FVaFMJCN6IMNvSEsgM+6VxDOsgAub8bNUcq SPpjI/3DTG/9HLJGChfe6FDfXZ4drLQ7TbwbaZOJapgxpiQogWJ4uuy3wEbEhtKL6Tuz/MBK02FU 3a7NVtM2OM50U7wrANyukmLFzw3XkKPqyEVtODo+CaIe6NZEFOWg9V7d5cGDbPWFce9txHtcAydu 5h6dHwt62NfcwZQ9NI6zk2QOfeTfiWaz1Xnm+WXGrf2Af9VZVz3yqUiGqoOUZQBoafrc/0+gq9ol wzG/l9ar8FxwZ0Zr4ouRjh9EpZwk3Bi5kLfrKrpB/Zup/kPtLVDlzdvoav6ky8BLHo7GMJgt9mAO fEzSpO8rlaogW5bXDkdPOdi1vHwWZyUt6mB10YzuMWUAsT52XxP843wdqUWSEHOx6FnQwY0atiTj q2XcKTUxXNO4egnNX/4RSnkT1qiT+AjKuYq+E02EMTdXzJwV/ujRg8GdPRpdFUHXjYSWyh5efxBv rfX/LNl+EJ9Ur9Rb1csaWF/k7Pcor1KGTIWeTgNCB5mtMxDhN0U7bl6RtRmKtmL9pNkVyQqwA474 aE+xdusz/Sp4k/TP+gbddQRXH9OJ2Z9fg7m0EqYagNSvAd2k8Gad/QShfFBp9001KtAiInrkjujF bfpEnnxIvIOQypDXOvqNUetx7royWFOiHC1xFRt2WQAYnmpvKnzAnv09DG/Qrb387saOHvLdVDG+ 4Adf3rDMtSzEqZQ9AgcwwS8GzCCC7EIo77JMJu3l+Nws4s4Vww3hnQ9CpLxZMdr8sF9Lg56wv0AN c120pnzy1dgPSfM5NIsaNeJ3/5fPFTXtkHascGhGxHrf2BG1GZ0HexKkpGzLjb7qpbY45OPlO40e KGn2AxoHjuHtTdp+h8Krl7IuOilL8xC3CK2sgZG+o5+AuQyXc+T1ZhzT+1mIx5+Zz0Ot7n6CvWFL TBhRaBc2owGrDg3/ctq6QwGJp5t8Am0FV3twJM36GfaoBNr39RjA95by9oJ8oxfpqnmWBGw73ngl s+NJCYfQvr9ABBOAtf+T2CM9umtEEjrQ762xxwD6lOjg1Ks5pf6u6Xmjv36ErkADriIlAtuBi6wY JC9lPvZFvSayy+GaxDjQJ6X6opZkP6vkj8wF5myybEvhCEXV6O+DDkHtO5lWjn49HrZy4dZNSYLq HL/dkHA25hqJnB7dfoeKp/qYYI1uXmIACXttjnqEc7LgxS+tXItk71qeouSDUyiz7nDI7BFm/Ep+ xWMHGWsnQBsXvh294NjQdMHpi8fEhH8n0uxMeiL1jzWLQZzkXOzehRraX22aTvauv3T0Isr8amPH Vf7GZPJEuaalMyszJ/5Hfj8aMTdF+6QzZUjhax7x/g1TbeoVXnGl+oyLTaDa1VgydgQZ1Xduhl2P DDlw2eYJCpISrGGfFb9pqZw9i7x2q5YRyTTzADq7eppHrx98u1PFtWvc3U6xkUrO9vKG+ZTWppFI NeUtQyELmIMk5zQLiZy6HTadjxYwWPcAzXdbJeVqnFbi6lzR6ePv/qPcljL+YZcFaZq5Xbn2/oV2 Nhd2w554Q2KdMzHEx+CdI8amdC+SxH0Te2+hHSsWDuS9uoLpYh7XJZgouyDH0t6zLe3IFtXQe3VO ioJIYSYNHPJg4zzbsPPy1YHvgb9jRfv9ELkBmeMNtAhkhNqAtWAZQQHh1TdgFsr1JfQU8GYmgH9q SB+8Mpe+K6eyuKo4MEDfaZJZ22uDNiid2DuqagzKj5MJinHmCE0o/eBq2loj3iYYb2s9N5GjvL8U GV5Ll/gZgP7RYHDXN3rZpQE67tS/2RgtfgKA6EJ6w2qucLSCDV4ev5j2tBrrh7s2hO942P+VL9El 6iilIV+CgslOPSaNkGn8NUN9XK3qCls0azfvX+UmiZJzWd6fGsOLLxXyIXXUs7q5vjTpR30zzw3n LuDvxIxuOL33kkV+ofJfmbgE9l6xeUhXVKQdra0+VuYuNG/7v47LXf+VzRoFYp2QxchaSMeG700t oFfrit6PIphjU7t9WbKfaR5MP3SkBz2DeFv2DWoxvu+HRKpc17/NQEaY+hE/yaqVADlZV1njhwEb j4FsQSF3cjM7MpbPdFuBcbT1XLKoQgL1+QvaH/536lsuHWXEyucpOJKIbHFHq2CCiLCObJGlP+Pa 7AJ1nhuZsI/nAFpnFwYkP7CPu6813qGHVOR5Ie/Hxb/nDLe6aOQKW9V7/YuDCrpikQRKFZVpiyPi nYakSjX+GlunOMuur/rlGKelPZbeEdX89iZXV+TVMP3ZMJQc9zGLYg30O9R6bONk75Kkeqf+Y7Pm 8I+I4oeZM7mTxBP/OA0OepRNqL/HAv6bfbDS4GRHhJp2OQSzv9Wbf4iQREOQYEx/bPcrYQSeLQmo f925pBMo0PnLiPONDjv73mnDrG0+HL4sCNqKrX047MqUem4XC9guqJhfghkbBUf4S6oFIcWykj4R d3EWiuMPpbD3/3jckEEPCnWPIh26WFh54uaARpC+7MPqOiV/I/wiZX7W2Teco6a4M1IvCuZdmDqV yX0dX0DStFZZGN7In/4aWxNjIoxyg5lbNFZuZ6k9HMjoP23/gRMNG04ZIfvbAUam4pf6Q3zl7nJ5 uTLviwWLefTNntx/7xQs5adjt1HZNH2UxERfCbnYHxR5TNcZj02D+30lsUPGZTsMAS5Nz+TUIpz3 gT6g+at2tpa3C+io0t6Vgmo/2E7eWcC82oSSq+ft7Jzq9l+CnSMMDGBmToTMnI319FUL0vzdDYE7 5XxhK76dbUokdc+6nVNobD+kAlm+hCDAgK7Mr0/DqlvA5D3mIYvZw3ju+Z8uND8DNYgUKLEXfdy7 lEufA53Us7UgLFJRjJjt9IajAirPP4MZZA6hj/i03uP4l44bQCVBluzWXviwhFEx8Azl3f1F1IS5 Hyo4efR1KrasHdLQXKvdez4vMTy9lFs+5JtN4CcBUZ17+FEKkY1/+qOb1mTY8NZtFLAZg/SHUHfA xGfHoonHXknJ9Z3cL81DemGqt4CiUJUDbpWKzDVEudG2YXSyTqd+fu6C4dh/y01z+NhnfoYgd5Ma xDw7kVFwuzNMwWgS/2jU3QnlJYw2bvm6dKikzeIIq1r/ETR/W1mYopmRjPlKoIUVCOPK+miRX/AW oKdnOZb6/eCWPjY0YrYUYCN4XORyNVIf1i0cvyi1434Xi2JdhuaAzl6MV5t02Rhd5TRFdyCLEGZd nXUrlyx0m+kRk8eznfSu3mdcNG96+NgmhQNdaS1Ji2SyOI49LlRFyZkQGucBKR6AqrSMT/dzlcCE 75RW45s4sD0QkXlh5RwFtc8W+vQiMuiltr9gjhXDNzMI8dgW1vnBBXHWqQqzcy9FnakVO+OuYFWG 8VhYB0pULzU8HiVCUeIs4FZqE1Fx4DZtYoK0Y2ooRCdm/eHxr3jIGredi/O7MHLBprcsIfPQ3ebp BRdZB2cG6zyt7UQrKPul7AB4HGZ75a+Soad8P62yx+F2+q1q8HO2IJyD2HKmWWhsdt535+0W5B7F tbZp4r5Sy5qw4MJZb+HqDJkpGl4gWovsqiuA54ypDMqjNemU3X3jO7elUnjM0PrMBiEJXmzXU723 /bF+bWIlpQbf6sf60Z4PPWHBM4RAVpXMJqB+p1yTHuSPBSSyBy322KsqZoolAJPwxo/MOu90/J2J RxWJ6Rt6pFeUxq03DDc/lv/baSflN27hUWLqfVKjAXOyWNzK1M0p4OOrtn7w+GoblTbWzi03bfve v/2cfpYjaflBOUMqcSLBCF5KdFPb+kJS1cx8UDvWlerglnpVlSy/1psw3CBjxmk2KAZGSDNU9OIZ kcCNrDt2bUb6Yxal8BCJUyXAQSgYQjW7RMix8al8V887mrk5IWSUhzIjfzRCUmbujUA1K+G+DoXV yDRG+OjLBuXUwYiZaoWcvpch5Z3TUanNIBWLS4McPkDVUFRA3HCPh8JT2ZISJVPJJOafs++IMZCA isfqQxfY1PwVu+K/khcMwFnsCwaz0k7UWwasymxhuL3JUbehEkkqo31VUEzVWBa6UsRVrZMr0apL qNft1AIBP0elyHRrdE94t21qhb7snUDPtgv3rVPS4o5A6A9ZApR03eZHWw3GZEaps5+FMycolBUN rDrLzUyvU1SMR9aRKEVX2ci9dUz9dqBs3ZvwR1f6YYQNGR9c9VTnuSSN1bdIf4ZtlTfDGFEHQud3 ZsOUnB1FD4O+qeFFrcTfchsLPIWeFzWu9eDf4rEtBhwZBl8gK9uJImErXqaiQM0lWgapGn+Uevza 4PW7LEpU6SN/poqBVFl9bIKRsoWlCqc/oTFU7Qs4NsNtana6oVjSPZV212vpHqrdvYE/hSyyDRKp CnaTUqeP8WR5Isca1qZ75V07bQbdr7EuIXDKlROFOKmROadzoak6Hugk04d1dDHbW1khAtjPBeMZ cSqxhxbAc9EQjE2ZS41B6IkCuFBHhD/nDjVZcRE4FsmwfL7LUeme10Wp9ohnOvXm1LqdBsJhXfXl LjHmSMN6jWLEOMXGcPkII08V8pFAMYTJNFqKilSt5cI0LyqC9kFAR42GQoh6n71F5bAviu9oyZKS lVdheNz1815B+mRTOIrT2xLKnsCZM6JJ5fMyfDCvG4A4N0zfgkTiNcO/3yYVsPHO00puKMdI0gpH gytURPg0PLIVZA3VefKdGKAO7zk8VgmPYcx9z0Q1bGAY0nf3G3Y2yzDZUibXFPrz2SJet2FSw07N c8xjq+lORjv7PrtgiHOYcLlL20CMTvBsZ0SzYnbnnBJfoonYN+fvYsxzaK8Pn2uKev4HPAFIUwcy R3GA3IwzcVPNp6sp72l/UjJuPnPvo5R1ew3PdOOq2q/SLxrhiOOZxQwy+AJfoctJrmsU78NBGPuX IkPQMvAVECwORGxkTZGdANoOMXKlEPeye2LgRcxxPoq7Fr+jmau9mnIEIa80Gg8hmB8Xau+RMJ2y JQVqAH7F3Me/q1vlzS0ngJJNsdhRrDZnDs+PHGZvCQmAWaIrK90XCvl5Z/sp+8F+LOrD6Z/tMiMj KdnZr3SGsQFwU/J6012LvA6cyTyiLbKdVTB0yo4R770R8p4SZ7eGNyQsbYXm3Kj3qcWwW+aHPxOD YivOws3a+kQ2KeCFio4JHEPQlWwyDMXtk3rjG0X6gH9fdyUnKZE05N1jwGOSo2NNukWe+Y9R6cwV 2WiL3hApdSs9jlJa/EsLSCgmy9dqtq70sgmy349SqJYgH+hFryDawOgZvZD1IiCkeysO7DV27m4J yAmtwI/D2d5Vdeq2QR93N2oyBU80BMMmCow4BrFAxnMMQeWt2DnbOn3lCx9BNnzTNEifpSUDPrW5 TYT+4s3C0rEiM1ded6n/XMYyGgUOPE5M+XLSbVDSXXFUqjXKY/3UwN4LSprucAuf2dC12ISCEH27 Tc3f8YC5iJVbBa/fzomnLrU3t+3VE+nAd3d+86LgUjMoZ0TMLCpJO6LgacqSLasPAP7/L8GEDnjq zN6/Xf6bTDfgtT8upY8uY2JOLPAArEn2+p/JxohHpPgCZrdm6IaFBCHVAMMzvbn/YW+JXPlBDhfU l4jwwlTXWUDspLXN80mo3p/z93/DxSkDGsIQV/1EIJlVWs7FpW3B+0iobndv/g5csVlDwFi6XawN VWlpxQBpakMLLTbqrx6MLdr82lhq2nCW6KUFZBGtqEqd3sQkFbMIzTDRkgr+b6SngDOqAc1H3ERm JkJIF4j0Eh/FuETARdwiwpf/U4P//MfxdVzlzLAqHOo9iU7VT/ZTtL7o7+1iu6uibNAPZTtR2NyP RXZ4J7+XcRuS61G8MRFVcTBjZ2TmBtmaK89UNFpZe234Iww/cCLkuyeCVy5+ZSLPKj6tKA9/WL6C p0tbunQ7ILH+vA9DWdb99cUck9Nz80//oEfgiZwUXjWvSTsG9H2lKlHAcLoYpfV2wPaE0qxsHGr9 gmzCqhfbljP/L1u4VEg8WKzoewBIAWHru9DCW4XxhHdxHpmDFfL4K6t1Lh7aCNwBrqqEURW0kNRc YzD34qPAq2iz6H/FdlVKPIB38MqFqWYwGFS0H3l0oIGlwfK6nZT+/HxehFmycnFhiHGsZhR+bV6Y jT1HFoud5aIlDQ2PCZeYbN6hfON2/uWMDHNoY8WXlMd1W1zls8a38n4+eRWx5nooZh11W1yG2gAL 4luEYdeHD/oP6nlTU4sKzdn4HWIcAmT+3heVooyBg8VroRhFGxF9Y3IConOWLlPcaDjaJo/xUWwq oO4Me823IuordYdY6oTvUzem6lC6W+sWN3Hygwbhc7JZ4YxsiyLC5a4xPW2EX3igykx7AZhOGfZ/ CiCgaWVM2rzRrIuaXXjZpH54hb/e+mu3nKMFR2ppv3TFHh+9jQ03rxFVXPy1B33zzIOb06ZTrsyk E+UmKBP9hQTK6xl4LFVad+JngOkDhf7dmsfao6AqYQ/jjySRmfpBWPDLR8ppH9Cp+CBJpIb+4vaQ 5vNfC2QXzglSegbE+ARlPYACp5EDDWcLUVwDhzg6pJ+aDcOo4IS4Un+chUpSgSCm3hsuTMDq5nEK QZ/1Mq2EQYvjLCi1g+8j+1GGUpBn/6sqjaPzzsbyKaff0xVF1f18ZhQ80LKYZG5k5NmkQpXYZAnc P93dYtmrY2L9EF35SxmkeVgcd2u+v8vDVmcXKr8UhzkWFTpBQC59GpidRJgTxyZ07M4TFulDikan sktbA2YNhMX2iORaDJoJmA9Ujsal1XwEKDLZbJNnPiOBTlK8cpFgY+0A1oRb6f4XUNguqvrZx/v3 EjqYojFcmWNXLJkHbGSKkVLArG1XQdohRklnxoYPYuqLScd+5Krxw/aZry6K9kMAjgZfIY522U3u WvgIwHkoiHXKH/JRuXML2VWMEn/gQD3lBfBWGUp5jt7fpJbHfQZg42tPub7+yWVoO9MCjI/aPTZK Sbmm3yjUjDT7swg44zejo0QLgsrTr3BcxiBt8EUFxS6BaAa3lVs/+JziCaS87jy4pP01sECtBXZs +YxEhDTQ9wmS/Vm798FWanLa+wOQ8a1GCv/imHZHEyYVX4mL4JsYzHRedLchToBRJYQxehI+ETIH NpXUjhX9RJ2V6R8SD7+WNBmg/Q78Dv28LAZh/pFjg4/G9UJ8dHil9Bf8/vVsjMWQ+YOoZNtvvPaW YHwPtNbnmPX2BQHBrX7y4y1u/6jnycSY6Ka3bM2YbY3q2qX6jcO96bSd/YoMjUBQzmUCt6BUUNuj cX3aPtII5DeWXbwIMWkjTF+bnguBqKybg0byO3QcMSwivmozWF1vUsbm4XgMNjfoKHJ2i6zwJmOW 2OCOm5rHfqztoVvpHVHS+dPbKVjuqzF2meSHtvzkKsGB13+OpB+gmzHUQDIuQU833vIzhnXXMw2t p2nqJB/nYIFxXvjTwabYw6hc3ohofGX/+QlBjycA5EWr1Xgg7yVtjzLf3dE4SlB0z4sKv9ghFLbq ktb/KbvJIDXqrvDKpf9/SGSDmsdBbnoff50krBATtPSM2YEh9kW9MzjJBHzVFIoZAEEbPSo+R+Yw iX1jH8/Rfh3vyS3qqLIu0kscBSJVpx7YMYQvLWoD0MhNh9hl6nTT1VAjhc1vKyq/c3afT6vi/AMC DHjAwRfoBDCQxru0ZPXnTgAah+Tpl5/DKpaDbtvVGkwQrA4Ze7P5DlMEsEEXa2G1xiA0H27ry1jQ 7VqEUa0Yju5omfF9QCmx34qRW9Upm6cboL/CXL2QvQwYKZsuC7xtjqRTqDdVsS4FktETPsYkZgDe p5ps6pEep/ggPhNP3K1LVVDUM/wCamZ4yNG9xTgN+uuGuuQ7lz7/0Ted8i8wY7GuU0EapEbW5i0a l67VGta3H/w3qcHcYvtn+Sgnp0bcruu6Wxoo6qKXlKfYqZCXKtS8scEHfVFQ3AZvWOefy6JN/0SY iMOIsHN6bHLav6Xxy4YPD+Kya4QaIBfxY1FlQPirYzEbDDjXAOy8Scg8v8fHn+ESLslKNTOBDrBy dPB3FUrXPAwL+/6fRCh+hwZlxBeTF8WZXNJxI8cR21hlj8uI41OFMl7p3G4UPKUNnHCj5L0z/k0K 53RsVWzvFEA896TTTia0d7tKrBsueWJR4G5tBjWdUUQnOEFb8pWZuU7h50kJaWUwI8cX/aqS+eCh PwCY3EmcogvqCwJyiHgaKD1nfbcDvcvLsGBu1YJ3sk40glc507S+z/ovrgS9fWK/2yXjnSGFhShw c+pjGeMJbS+pCY7t1OloyPeOh/jj5wwcVNhNRIsai/otEHUTQujaHVEeoh6JNb5wH8xRqyfYoTMe 2vzWelhLshkVP9l+15mz7yuxMtCuoGDgCH1QIuEtRMPhcW6kD+dnzjQjZayiNTn3r/LOQtefHAwA 88fbuzdNy3tsRaUX5du2wA8mCUv+zEg95LX6Wo6NBccXB4bRYgjZ6PsjcbevHQJDfy6Lm/jQzr16 v2FyserrvmUCzeKuIIgHN6MVbYZZ9y+nuqgbsxTS/c2Nb1EqawhMVNHoXbEDCB9JGQn1xs3RGZZK Vtr4d93/t/PzkzNBii6c2FODwKIUoHO07/X5TVfOSAIiY9FrqLC1w9bPNO3GPvTGSnDYKEKRY8Og SogB/NjbpaP5+3E9o4UKaPi6Y0oHlrYqionyXYCjrCyHQmYwPcg8+U4BBLbdkoUsLXO5CUEisSFG 7Xr8qLJx1EM9vmyTIZ5BPQoiDVmBnCNskMKM+WKwDKqAxtz71eJgFHRlnsLOOSB0WkiUFmLvr15V pRiD2YLVGhU6KTWhaN8txNtDFQrmwbf3MaISbdp0RlsKKhlUhhd9DdMWldJPAFDUUcERmPawZ9qS 5X4SwoEnLw4drE/kxrO7RrrTQQsVblLqyuwzTUDksZAi6NpUtFQZcqFKp+yA2ew9kkspdYMyhOCe s6hJCErJcD3rjHIHrxUwUSFN0GweVcuOBhRlROSQkQCUhNIi2aiwi1hqeUM7T219Isq7+uRSUuuk yeitqOWaEdhu3T5zVIKEPh4w/JmIJ9J96C5mwOGRm8eooKoId/eY4OIUXfaKnIt8CDkJBgR9g8tB 9yuRrHkXtthyc8T+gj9AlDVE+JrNEPLJMFAoaGdIR5ZB2WcfXznUY5YCt8YgmvMwvQXyAXDN1h7s fgPCUi0QdSl4LLp5aWmCsdPocSlBg+iwXOiiJZPE7FvIS1SXJZvFmdM7EadcSQf9DuQ5IkktlgBZ DzBfCzwhfYDmqFvyhmd9CguMwSLcM0ateM4IX6+3oaB3t0HmS1Vz0pRoA3VaGQ2F9M70U1fO6CU2 9j451np4yaZh2zXGfo/cvW0T04mbUOK4xqfnvQ3rOG/3yFWXHnySL5Scm4vt9yj92S+4qYfZnh05 B1MX3+lEajpKV5Mt3SANJlsYMY2xqFu8D2BrZ2nLLTtG6eE01FSzvSR5cCEksZImtSzZZ+i3ef+3 3XGfr+OxapMz1VhZXAgWZLMaTz7ydhQKHY8d51WphbSWRPjzxeapWYJuXh8wsFh06tfIqA4dzf24 KgM/wJwA5Q8SfM63OGN82ftSr6zQu95SqbglHFUYqIzeZq23Hp9xX0bU6mvxcpdk/cqxCSm2VIaW C0c3+tLfjiNJcZjEZt9nUZWyjaSJ1FgAqGTqNJheGeFTZJdvWGorWli6AjRrlES4njXkm6TI293T ffDaQlntVxOMQASM2g2zDeujJYSNrE3c1E4sM/ViuT4rMcnkv8Z+aAaY3txQ3Ga35ja7WAjb7S+6 /+uJBTaGmV3ugfBRVbSVUW7Q9+/bri9HsgKIx8gPwAgWw+YmwVnRu7QnCHY6OkQ20vyKrSJ9GRW5 vFiVw5HIx7Tgrj6ZUdU/5p8mHlUQ+GkPCOUdOOodQOLGPrkvI+9hHhy7QYylMMiE0VoPMjyYpm0W g7zXYVgNbUhyaLYhfvo5BK0stKS9XKzGxTG6hHOQLMbWsGT3nxVfrxkeWwU4aCdBEsvNImXjRZZf 6LRpTqxXP8qyCi5qjdheHm8lcZWae+dusA9x7OFIXntvWcwyDu2U5FvEc2shBUi1qVYM1iw6Q4ui WoOdDW9ITaRMefJbCNhMxiBEPfIf3e2S5PmIR3qhbACdMzdyoQK9qjjjNinUrosiJ5Vc9VvMy39B T9oPn0Vjx0Sl+qo9Q+LEOGcxsewl+xENVRwbNvK39IV63cnHyJfEN1WwlKYJSAX97pxOB8BloVZa fzBzXWHOF2T/WOVrKWhcm22TWzMTFZNncvIyOhAkdvzXxWFfW25iShJM02hzMRLmCZk4lahWYjaf ZzBi91DWAI0YqdF1TLJG1qZGXZMwn86QiA1KTaVfzO9D7bvGGGBh45dt7OaxsyTpVy9uw/G3v6cK 1654MVx7XxCpzolCOvksrSynSQW3uLurr56+aMyeEWW1OiUFKDrfqlIKxempLsd0U0HJ6egWYiFm WQf6kqDZE/r8qRZGknDCYyS7oJYGjH4IhVzNJKO9h36vTCo/gY0/xB2pmtyFwwBHzRzt/ClNDkRE h/Q0vJ4Pp2z6lMe8VnYTn4sjb07UihiwYduV3dIPdccbAVBWxc984K4bfcBYnRj5rB2ESPmPfUX9 tGm7gSsSdVNq/ho3/1k7DkZE8P7G1yCeq1yCwq4KapNKKxI1YGRxa/bMaLZ5dlI5wDmncSggtihw +fohgOshvQ3OqX2zSyT5lQSb+WtOjpjMHj5VEj6TLVlw1yNqyY2dIWFUTGgoCIudwone/M9FSvma hPmGdAhd5qgFayP4Yp282sWjA/xp5i/9g6i1T1JHPUEkilVj1t7xXfe98n4hj7Ct8DGwmRl3wIiJ WySgYZlj8qZtz9bVIQxev84akAelLzXqnwDawKzDOgGiXTzlEJyJo1P14oPz+WWnbHp7WddnMZ5Q +v1MP2tMWlPxbdGq/V+WvxB/mpAjFjufPvmHq5lQcpKe2laLW7fNIN9pmnagUHUyd3wGD36ZNQfP BfXxESOdCNnEmZsrc+GYyLrky+yBdFjQOJ8sNmwPmEVKROuVlo7TdQ6O9WH00gheat+KH4TqCTVw 2AEcud91Gt8WxW4YYbmfEAF4QVBfsKJymtsUp7wkup/ZlQdjKnzBwXCQzvIHP32oZwJhnknOQFwz ZFrPWIzj4/tAYf1LQDFXG+GX4adkz5e4ApzuTE5TbxPBIAeYcU/mrrmpybOqRyjNBVUEfB9ySklN 3P0X7PD/vebh0/h4vpxlIKgWoPjAK91d8WvUD4CES/znBJilp/fNzSyC9D/ceRGPT84in3h7CJvo 9P8Y2q0/JIHCE1IIaBf2aY3p5c1IzKjWz6kByrVKFd7ViKHkaUeXVE836f8x2092rtcRHXHf0y6y YFiyUdcuQkM+dHVqEJAdajsjAKjDqo1vDuNasAhqoDe6ajJLxlaZZfJf2p8X6c4EjKa8AV8Z6A0N 1Afl4YIN1yaUNZTyEorGxGYjh0Xybe/bwcmrfQNFpXnoHAUNao+Bw+i9567ru+GR2P6VVg2Ha8YK OKXzgrsHqpdyETrAikppzMsLP4LoZ6ee5tZBf9FEko3bJSpnGNHgoKZcoIbGxQgviLafRQYi5lF2 xehOFIAbhjANRSf3vJLE3PGbzizl/8c+TaT7NT616LnGjrFJUI2DvZ5skp/GSxDtcWwhByXt0yjy UZtJsoYFy6o0SVBX6+Pg59fbbtkdKW79r6G2kukGAqC4b0lvYB0ue5faxhrpIce8QDckwyMnev9V hNFxMCzXjrG0o0vSlvwlWjeYj1O0JC1z9rPLsVX/fC3h1in9WDOajTnjugsE6bbnxCC3d6/2kKU7 h+Ej4BG6TyNn85lBUl+IMh7gESZURpeWguAB4EFig0cCaVD6Pm066qXjaHu8ZB01+KppVewS7ahv LyNMD5uLKrOhongfVm1H+f1Xxc2K6tXTR75DTWn7L0eO0bkUEAxjqMwTut3wajhCZkn/VaM/YM6g dHAZdznfS5ZWc6v+robd1LUJpx74ePGl1dswmkU4Uch15ce1ttiYi0guOmA3rpjCswllRIoslzDC 91N8gdgitE6nk/k1tr7K7b5VRqd+T/gAzaNGZRPGZzr4U+p++pJyUfW6ar3FJoo5W8PjTnplDAe0 1ERYn22CvOxEMOdU8zYRZ1RA/CZuAxLf61RsD4F3F5eLW5orAJ0tYcJns3115ZuJqs/zqx/UB73Q PVRQGt1V2Bnr5dBXqD9UysrzpPXELimyxRDD3LJqhlsisVvANFRW7t35BfPBB/73IQILTXrbU1B4 wL0kFhGD2A3txRDeJqEyJ/5X7dEbVamdpIKIsHwlgtBuUOnElo/oFtMLsNJD+1C3wnknYVVa1SeZ 7Z0FZV7FtwTrr7hTyChSqGFaxNA/ris7ye9h8Rxf3rJIS5WuR/S1PY9niQH/TpN5F3da6C1eSZtU cWODQ/hbaihty2LGX0ksXG5P0sag4qOj8rMbBP6ZnMHvwahjzqyAdaKeNDJKGWhteMIrpTCJePJa Qv7CjOwxUI70+iTOHAsRYwLbmIQZawSIAKkCmf5pDCqIwy1LP0MhBWqUv/vNxE0PUPg+D4kj/7Gl xRmXn6RQRmghFQnaSUqEO/cpyai2S1260j96nV2VsMkP/CupUGXndY8t+C59VLiqSmltGEZxWj2g RQU2u7LRm7FwJwT3/lm0ZVryLdoVljZd72t3YuH1MI5JzRH3aQ7/2VE4Tz35sJk45hDsowGRHKT2 pFDtUO7RfzpaXaiPnzdJIvRz6zv648rYOZZ6evGawi9mtm9IvPQwBZE8VUo4UOta4ead1P6Ya0MT 51nBvvf7QXq8O500X/ia2E8RT//uIXNfOBGg3z9HAPiPq4dfu31DhlCWdvvPzoT1auSEgmP8Da8G Abk0x7oEp02yhHXSHHRhZh+yi0EoBvFm31oHwG8WVnznfLN5st9QP2u47qt9WGjczyCd/drihnFr 9AZSzMEOrM8YsRaR7WLmB+FBXIh/yd20aqWVr63W2vhIBd54JBgmvMW//R+Ynb2+prcz9Gm2krwt vzBbTY65yG0+AE8GLprYC3JZnypOYS3vVuEwzFWtZRJQUXnT9NhxBLhDjlSLmZld+QG1Xb7fYu1I DHdSBLbvlk8sIzzleM5jSN41+sYzYrPRfam+EzynWI48uKUZc89L3W2aGveI4NXaOm4N8wOb5kTT Wr38uQanyrLq/sFWO378/KJGEdWqRxj+t/MQ5Z7aigX0B8/ivHJggis+1OYQuP5hXEtckC65B52W ZVWwde2q+uKtjwsowcT2XkNqDvwpOu3Cd/Ci9TSccUZZTcjxXUVYXpfY5WLbOVvjzhMavh3alEFB x1Ub3qlvZe0UredUACxsVk5/koBN4xwcAfD2KO7YKr8wKTxKG+IXD/Ua5sDUaPj2FK/d4uYWW4fe vi1gzxsq2ZJaTAvSo3VN1TCuIZShc1uqlGCkNU/K++KJ8tJwVd8q2KItfw6A40AlKqBYQHSmyZoE np0joGhjHRgt3Sa0mUfX3Y0UgSpN7/wLzB5qpjEc/TtqC1R15T5GfWDrLvdwe0HRAs+bBMbKORI6 2BZNRkVr1aXjiKctmqgmWgl4cxLLhEsPVBG14+VbVMuIR8dJS2Mb5mpCk/JopLX8TdievieBOGsx f5w4pttZ7aE5PbrAQPgumriJPJ1csHknpjlXWq+vunre2Qvvj48yGssWI0sSez7YQK+oRjz2eAZC uDfDI6naJeqooKetZGz6PTbj1GdPQOVyvFUKNq34n5901Gs6mcXjd5u9zM0vuwlvHxT5RlOSCc3v QN22H+HWb7s6TiP3EZwcCccdH6FV8pf/UkS8g1N1Pjat1x8l9+GC8dWLLtv09lAscS4qXn9V/XUX 6TmVhPo0RFoP/c7FKt6Jn6Z289UizB8+3KByyVXRrHt0krDkut4QeQeSnwekP/ZqDgbfxCsAGBNH MkZQ7dgAcAcUOBuhnDvf3dnqRGhQ7UJMkULmWP1x0F6ylamsgBq6m3x1s/4TH2omz9Un5P8fAmku Z/o1iK6ieDkQE1gq7QkixgktzLvUksTwWf7SlAyO7USqEtnN2WTb6GigO53lOMe2FEh7kjVKhuqf j8jQCWAa8si/IZNlmFgol4uiEe/NO0QcVer+3K9RjpjWNS7SqOPRj/N/Ba1DNFNgpF2vxkbmfb57 m22bwymi9dmhsVHjNAEFOI55QPCIkp7NGlXVzr+FoUk3w6BsAxJt6vJ+XsNXew0zl1vjXSH7zDXe 2hW9aczTA8DegKnif2xgwBj+cBau1x9mmR2/1msbjOiGWMPEfCVta7syF+7JIJG/a3Og2ALg3pCy 9n/eyWLSqNVe2YwJad8pPb597/YQ53pbBX7zmhP/0Kdt/Q7z05xPClZxQWO5tEM/MvUUqbv14khl 5YYWhZRx01QKfRHatQro2WTKygt3kES2An0gY7Tvg3vdhPKKRoNlmBZuQrKWTCChJNgU1iSgrZbt WQpUImGuWpyOqFAqH7fdZwkmdxDugG9KOwuvWtsl7bqN+9zm2lbzQvpXK6ni3tFuqpqvEcDRtf7D 7fPlEWN+c0/hO98mqldIvc/+nNQx8iS0t6vczFegSG8N2TbHAS8z10Kgfr3YVwWEcI0QQo7V7xFS 8aJhmG5KuCgWMF/Ji1Z8EwR4xXtojwWz44vutbuwV/S08CZ9BPXC3fvsMgMGtDLPbnzFXonYOqR1 R7QSfFW8C/3Cpiz90XCQoJ5VcNpf+qSC0hjfkcOWrvi2RPZ+8wWYRfnXFaEvbsVWTRJdcnsQO0aV sVNAfWtZ4vZsuKpidJok2zFZFWsC7++zhFPWuJDL4zxYYjO/o/m0ILHxccxXiVy9/xYzHd9Tdoov F9pa8r3L4/VUaHAG37MbCYZ9ZdCbK7P24eY7hRRIf+4QdtupmTEMPRhh2mTdo4YWFR8lQftJnWl3 271sNIz7g4oMlhROX+JbflDVoQhR0Z/z34eHROEvvHS5jKuGiuQb/7otvX8NIKmlSd7HVfKi9zjt spqg+H8fKv8Pk9WbCbhy0gHsSvj3X4KprU0ql0cokJLMk888HDHj72je+eufqSF61q2lo86tTtWv 3Plm48hGg9X8b73KQtlbC+/jUwyGL6IodptfhvHIO5/6VTipAd5K316SqWuRvrhf23gH8JJJ9bVm pEnKHS8AVBEXYb5lMrhxYX6qRmedtmCvGs9WneYFaVc6FvvCdBrzaQTmSBYDou16olD10M2iJAVe QFg0KmegyKXp2n+9abhapq9eWQakB05ylNBclYLG7ylZymewSZ9JQWCMtl2tTlqvEOFOytCo1uVY BsutNjpH8ES/9cLgt0p+0hauXiwWSWwhZIpM2krkz263LR3ljLAp/Btzx71fikKaat3oSWlO1Kvp AeZDcUQJpRZdDKk+zVEDeNgk6JXfF9GhcBpHebppIlerQjyESo6ZM9ZT1VG4yrkY2RbdEsMeYAMv tVA3d3sjbC2T70kVMkIdLxp1HDxXC69kMYuGJP74ycGzwW3y7ZJHh3OGS3wva9WkJ8qXJFpTkMe8 HF3P2LgJpsTHYcTeKixWTLu8GToveajSzrbWRT7zMD7zdTIjiBWhehf6BT6MS0EvbV0THT2NhW5R WYsCzaODTQmQ6OxqCdS5l/Fx7mGwSUIkkoLDfunNWN6/uFcE2wN8b3VWZMCeESzESVXzEQun3xiF DVc/eaAzxHksUTz0SnDYtCKiLw8us0HauUpEFAU/d6KNcLARmT5rghohG5GUSfayB+qar+8dDfma HBDoxKjkor5pBfB1NrY93J52QbuZUVeD7nT6eDdj0Hk1wI4qw3f0BQi38fn+Voqqt54dfevrxviy K17onLX9L4jgnLgzctuLYHVk/jyvFDzELYrVWOq3zwB6Jwybs5ji8u78CBcDwaNU2m1bqrDqgec4 B2qJCX+xL0NxAWOGO8DTasbIwRCebURx1bYquoOfE/G2P1aUa54gJs/aPr5oqiAxkohbR9ql1iZl faG/ycNA2yX82tz7k2AdEk4tf2QOgr8khy7uWwI1gGhZij1jQHG9KiJUJl0di58yMCcPcVVyv4Ev T+ZNfCdpWURREbqJ7RgGBaxbFQ5FvtOlHuUMTiEk7DT9y0Z8vnjuTCelIY2ZBEid8lKeeIONe+Qf sqidr4odC7suBiT4++WTZXYvgmFCRYYCn97WBwSjntf54qDg8gfNsF7Zvft+x62QM1S1p/2+9yWI 6JngInMBKvtZVTHMh5TJKESWlogvrmiB9QPoHY/8b5MasbSEpIwaYtOxhl3i3lCnR9Pmri0syzRZ +rdBG42kpGHaPIU3xa4reTyEcH1pfkjFBKFyB8CAaaEf91aAd9gU2aClAwe0rnexr5TcdxpBbcWJ UfOC+wYM86JGbIQax8KQAh4F3XXshSQp8pFw/TEkHnZSoV54xIU2keDIGTFw7AKyKQxGXGUqmPTR x7UB/okIDvq/CVdWXKlL6xqLR1fohrhS/wr+9VY8kIfnBij9DFGm3YmxTPQcsfUFCfVdwuupZvO/ 7a9VAo4QgRFPwMAm54woOkyzQWAMIfsFOxZdn7ieWl/R4NfcvhlHLn7dDfPplZwuJjAGPqLYQ3Je sj9UYTdS5vQ75XZHAS69m//hKNNKH844cU4imMOHrcvkl135dDoH/0MUPY5yqcycz/+7V4OrFiv/ t+m5UEXMPbtrZPaoXN/NEpCTekJuEkhwJp84+83xImlAIxZbndNYD8WBLjv37HV58TBQv/FlL0gw 7OkFte15g2e0j/p2fit0FCYPUGpCSy31UuILPLRYZmjDzoYhEO3lOS33Inx1J/DZIPH28DRmI5vy zLyF16pSTvY/QJaBl5HFoOHLHq+KEoF2yI+Qufp9MhjMkzSw3tlpsf2CWnFbpJa3JrQiZC7CYjjk hc1/ACzXs8MWCArvcZlI2Xc3u6iWljXk+XYteqNgrniTQwbF61diWQDc0s6MmkCUX1Y1gTtSUDnk Jk23MGKyt05LeGNoziINNPNlDVol8MrF7VS9kuCYeUPd4hdqBXBBMLOSs4I0PpvSD53t86aZmizr ao3BKjJlamaJ7giHDbxj0Ce/Prfxo0ypAOWtlBjscS1CP3gJCoPf/uJAcY82GIJKQnVbMbIldBDu tTAWfIedM28UE7pBS0Pcdct2Kmab+oVhzVP18z7ReZtBHtrIvIrNim/TEDw4AlK5zZEkOGgCRfyx Fy6D+3pIc/eq3tC6vb3mF7fl0Y7CcYaausT4CsNItsXtkHXnb8mw6t5UHkt8c8XocGd7bqmZegpg 4kRjx4xDK8mNmIwlZ5iQgpO827C6zQ4W2FpVGpq80xu4rwVa/ELR3ACnsserB1DfZG6WHMfvjCxa 7HgpuZdQVm6UfPxzos8kD6WyJKGAs+vGBmx4aRiG/TUik0XPtwVqCm8D5R+S59UUB3Pbg+Ww2Xwh SBtIfQwOyqG4pFV3Lqwj/SeP1UlkZfYbUuoGozlGi0drsX5Zxa0tZvE/Wgk3QpTawXBV80WlwqAh G87AzK0rO3iLpUg5JU4crIGbtlJCg5nC2vGP5IHaTjgV7awMS9qzKBirAyujekAaKYi57vXE1FO3 65n0sAzWAuIU0H4pKKh5AsJMaq1+98vMSd2/71alXTsNSYc9eY92JNJ8fQhvBvMIUskPxGUMQweH RZ9j60GyRtNpR/sAq1M3zsOK5gLyht7tqahpp+/aW1MYRRpqmHJPWEyl6uJdR6FEQ55mmfTeatvO D7v2ZsnwDLYX568TlHb1P2yfYd9hZQBoznZUZXCB/xKhWWDw9tj65bFT/RCiiL8Cy5WNHWJX9Zpx VuQL8pkXsgKeUG535izDTIEY+hWfzPo7p/7uOOjaBIR4S+9lhj3qri998q5Rn+ZMSyUYthp5ua3G 1tktRXtTBw7zcRTiNcK1tssHjG+BTp0QborEP7LK7Pr5IOxrdF7A9OHxIf+BdJqBD9/2OVE19r3b QZXSpoSKDfcQFYUCGPZGKYEpZah1GvyozMwRKvVavCYbqtLRLhQ7wmVofvfW9ONT6piYkrgGdA/y CymdKbS/G7yM+WriFarIkIODnfwnC7XOXRy4YJ47oqxHbf1uQbUyHd4ryMlEmD7OxLKeGCiQ9+Q7 dKH4B53sqVhPDIMnm76CgJ9egM0FLY0Zz7bHyXG1jFhoTII0FslFFfEhBnwR8Z/97MdNmTeAJw5P 9Iu3FCV1UBLdoR+zRAmqvo7smOA9reXwsUs2sxL853HTuMyJ9Mn0CrGDPJr13jbCdfSS5+r+DnTR 9qEnL1IO2IxyhquoloFI9mW+iGZmwhnJV0w8FMzu/e2cB0n3FiQEvLmxRCAmp3aIFvOsSGGpMY+R gV84G8CpxzctOusnzruwlCPNHa3/Lmt8ZXCvq2EC1paj39H5LhFDc5RtfgJ6FJ+lcH2FiMnEu8aI CmD9eym5bhJo+/5viuId2E1s/GsFpO1yGzILGkiosEqk49hHKEwoS2Tc/4Z1Q9nK63+ODqgAhr8k R8HRoHMZNYh9v7DAljPhCk3Hcpk/Ya4h+WJDltdeIJoCIAMbHt6BtnN8dlacTau3vM4cgMkYgQL9 6czmjm4i8cyzA9brqOJd5JScpA5g0/raBRs52dvPAclQkI1Ey0LX4QHY6zXMFEGo8+WoPuSz55KY 8QuaXeUNkSXR8B9uQYnccq8armCRQzyQX5pite6T6Q+F4mp8RyEpH4IBVIcriOx/ulzYNuBf3HD2 Ke5RdirLCeOtKFzix6kQ67hD6XSQbOlq9SZFcQAJp7mYywHX5SPn9ZyBUzasn+pFC4AfkuO3J4Fc N4+rj+GOWMrLya4n0FVv4jjIRk3D0bUEl+8jPs7MYGUn/FvBWrpTdzoarBgIruQKnijkg6ev6k0Y 7hyO85EJB6w6vLjAkYSFvvaY1T47NfJWRnvRvtsys2Uy+U6/WytjZNaD+K+7S1pRQMt2w3oKujRz JiZGuolvGTw1yVUgX9RgKz+g0F5GTRUtl7zEGkuELSI03JtBoV1FaW+WFSNk1fNTzpM+DNgSv2Or no1JASYHKnXbQG31MbWfkc7YJCWKOyJTijUVpbR7aOpHdXJ00gAOe+znUTtt0t/yNgxS8kyoXLCX s0klJPLfIJ80biNpMxhiTC38ItVJ9gF6YrcR623NAU88NM9W6cpCeAMA5Y5HcvOX8I4pae2jbVYI ke3vNjtOWdIzDPrMPTYV3vcQ6WwULbosVeFLAs0G/d6ZnWg0RUGYN1IANEV6/j6hTfK636JtlUm9 fTRLWdYJk5ShiMxbvFOUUWN2+6GKB9B83tgH8TXYpKYChr2qEtz4Zf2GkaXG2n0JYneEgZKoNvqz 5M/VVorw5gmSziNnsQmsCsV6lQugxaz1f90bWRpO3LAMHUD5AKGng2BfbPeJqmkEDllO/T5LVpd4 rPeMP4VpGyQW/fJdImMPwgd//sfC+AakDeTd5WvhIAEWrY8Q8hjs2Yzitt2kugcEDxhXK9O68oN1 x47zktoacNGPoeUFumFlYkwOIvl06jTNxP+fEkow2rVhd1hnww3S2N43eA4w5gY4XZT37M8eDR24 LK+DlyNDzDgQvLyW98vaM9AWSLmARRGxOkCBjR6FVyZ233PFqLKlUy6wmjD3cPK236uCvne7+HL3 f9I/gfiCAirXxUi4EvpFNPuADehXtbky9kWsXi3B4K8jlxNwM6VLcv0QFd2/2SdioHR0e9LiAgFl iGeIQByI0omuC9dItmI6I8x1TXkqZEs9A1kC7PUYlsuICpkUxc7wJjH6vpoh2GQJB1mfZZBxvqJv pGArhsorpAsePmn7AT/Wskz8MlcfCtp6AV1H3FD6nrQp8QQteDM+Q60aW6pNojgDdtBaMgvbl6qb NYJwWTxu9SWHoKoChK+0o5XHm+btTsHsLQFaEO2P5TUKIHOYveTszUvPBNPD2Y+TAaXlzoxSzDDD 9l6QmaBZyQOLDGVKmayn/zdfQDlfNlydaskgo/K87PCmcr/CKeSThdDQrBbrKLhZ32Y8Oa+H43kn ln/Q0JyNpOpx990RySvmqDAyPDWiRKy1HkJyPT7MNDkFTX6ZjOSRrSLml39DfHNPmtW16FLLLZ1p y2p1UPeOjcpI2tFtG06aW1oKnxaaz56cFS8zU8+Uh1R81PqnLzd29eun+mCKdq1HO1FnroF+Yf5f AsRpv3aYemd05LsG9+YZjul7S1nva9xJ6KjtmVRMY/nNlmA3v+ypKc26K9XNjmi6DeW1mIh4OIW9 q+hqe1lvI1fkfPPL/Lan9OwcNMyG/JiTSPZVHjQU8qMsJJsamCqk5VP0ohbYyHEMUx9ZG4kNMprD BUNiLoxbX1BTbszGzYFnvDpzLPk31wOFcXFiyLWBffwHmHFKcpWbVCf+cmHJRv0LRrvn5I6IK6c+ KHOezQ1YJ8TZPnTFOmG0zLBgPW3zWiqDk+TxISM5hJYIK6GRgqKCcWiZzEnO/YCClaEnyhNBVtun 0sTEF0Rw1D1MBxf7c5bNYBzurXE1vn7zaZ0AQxwLwFC2E/TMtbI0hr5RAQF+YzpQJZMiy8TnQFPT Es3SsrQClDYY4rMfD+68f6IyiCzSEIz0ABdT71jmq9CaxI9E0Ya/zDUrGlQkZdpNfSR6kWU8bfvZ XynANl3pyTLsWjRP0f0wXA7XD93Er5sYLyYhkB9Sxy3jHDq2KnunXSE2QOuiZCCWd9CWGnfMzKQL gtPZD+txtxRFGcQBI5wdYkRqMH/BN0d238zqzYVu/C6z7X0yFW+9TGyxBkKar3c/Yo9/GcT2n5ky odxZ5tFB7j1CU8QWysgcmSNZUkrBusGQUmHxtas+Zu3GjvjL7SHYgq9y0gXJxcJCtRkYVuy87LQI ATeBmut0Tb/C5FsqeWa2rOxiER4vlD2aXt+O6Vb/mCYmSeT98+V7CLkj45tMuYq7mLXk55krU9ws eitqcL86WpMTCAIoY9NL++/bqtBttwd7HIpm4YRyXd0Fygx0rHg79PCIPxFQo6LkYaJaLs0tjY4/ s4FmS1mWQuyGA4jUe5Y36dfRuTQV2f0Dimyk5j64DtvFfdb6o4JvYCBY3yQV6ywrAcVACpoKpD07 XYjXEdjwrwvWg6wG+WydwDmQl4BNJDlSyX78atv0fD4OuLmPUqmXWTgxKFtzb1zoWlbzUHgIVSEQ RRRlm7zzLZ3cPMEOhOutG7xQl4OzxWDq9PiDRIL1l6XA2XMoSM2LjxPz0ym/3cOwQEaYovvPKIcl EhJAOu/WHVF7GqN4v/yXwoiGDu23xpdiMu8DVjCf5lO6qQXSUYAbSXzdIBxFRRxKAZ7OtnzQDwTL ivUmbdhTy+WFBlfsHARMh+LFFE1UwILyBDgXt64cjwCQMDk7vLq+rwKS/hMg+1XEQb2dHdVLJY09 nAOc666y/1zZZ16O0WNB6C1xNvuxccIqnpPaFOeay/8SbEXbIdmTW76fgWv0SYVp+D9zm1xu8MLp kMdSBjVe6wM7gdVKS40aEWrb5iCK84bbpY++tODxJy68XoCtyvXqOSdLxyBW86V5OOW1MhDyWasD qJAYBSBBisU2Sz37aI4x+++snGcpBqm9eXd1vbxDeJinYnncHp6xVmLyqS/mNrRsIFNvM8fm63fB wTYPbJh4AbjVBszqLp3JNxIAVMlkdYPDYg2jSr3A453sENGG+rYlzjgmQFpnzS9eGkZyLy9I6Fu1 x5T/ESHFn3migTdEMBULr2RI5K3FguAI5qt9mtOShgZRb6j7ywmSUI3vwiXbFW9AKqHI2hVmt2LM SdX38uR7iZajFLJbzUT+arZfUb0R3QVBvuajprY7L92E/XTuuXzZI5HFenH0/U+gxZl6gMF260bY TY++3dLgbhq5TaFd86ZZVHlSyYblUefieKdBBJtuaqbcecqoQ3aoCF//IH/7ABgWlG3jvCdKMBKb kExdHZOorLXn+utGwJa2KjX3rzO1WnO8Moxj8TEYUKYZKL8oHDSBg6EllIJcwELEPjviFYf/XWpL t6PNzQ04aUz/5eKDhD+C0tYZDc7YO2nmsu0NzYCDUuslPY326CQe5IyBe7JTEtL7+Cr/Ku/sY1TD AYB3/fFg/VPkUkV51Hcr8aDaQHHmQyWgH+L3t9EwQyCjej44HBwQCsnBb0WszjorQui7SS1NYwX1 JgVJVx9KmVHSzGHbbHLjV8YHtPtgJKpabSL1+/Kd9MyBCeFjdPfAd+yK2Qw+G/0633htmX4RKN5T +yKqzJ/n7Wc0FJjVBF4kFb06ykrGsxcfJvA2Zy+oCM6jMSoeFyJ3yJfbaT0QY/tMn5xYNCobS2rb Myvq0JLvLX39b0/oFEji4ZNDjn8vePqkX0YEezJKdTM9AWzT1mo0+kyrxdlQCKD8Pf0MURrBtRYM KmrFt3I3i2J2qpk6/KVhPepNoLFslowQcE4bvmRsPW3q6/q1tTja30MW9g8T0ajygSC9omlvqL6S EcdlWqwvRmmDcLtAL1jTnMXBc7W/nYvARYfBMPn5cBetOvAQh1Vd2LComaOMPe27nFqg2y85QCGO Nk4FL/o7V7CszB3xPsSq2zo0HFNsZIjz9sHaWbgvCarRy7sLkzV816l0mY7v6Y65v1cBacdGhj9O chg9j/yggnBwnVmkpZlmFWjFqHbC7HKectxv1iHxaKnhwxjF20XkSYukJam0jV9ucASGNEtWclX4 4oSaaQ/Vwgx3WniAAJE4HquBazoBngDxpPD8RZwoAqyKM8j+ujgin0CjHmqLHCXYPL1OL1C6pr8X RO5uAaYdL1wgL0a+43MoA+5aHNunrzlA68owuvBiZfOZlqiuWTQ+qNHNRVb7XekTR46okxwccYnb OfissF3B4UyvXadc16SWO+IWgNfhXNmbXai4eHsdZsDVOdliT7jKR+LjY+AaeFNyKE9FdpnRmfSl sksKzL9OB3fkXNDytSUMHzp90PxwpTPUpYu9HnghF/kZNLr+y4nqoxk2wa62WAfOSkRsJ7alVKHh lYUkr/Bc5LMO0ZrvG3RjE6hxXqSk0UxwE8vDvqujcFLjFUDOdC8+x9OaFlLWgFYNddG+P3B/hqMe dkRKfwqxOWHd/s43zFmW+37a76DE79ihDfEI0Dh2FhAAadfI5GAb3eLYBkJGzeLGhWSxCTueAyfk okF2CAEb3QKGdn4o/dqZaorQ5T9Ai9pJrxrmKZ8uET87uL+IMws8egKxXmAo1OA7v5HYEqblT0JC AENvgKNaupD9yacuW0zRaM8JBaRvTW+Fpw9AeNQmp4csOcvUBEc7mpwXEvEdB/8JmRSHt2y5kIeV KdCLjyIoiRHHVtbCyxlaA5UDMUl/+GH3OuYa+7VOhw5PEy6Iv5wYnqIdZPRkJEXD0vERcLAH9ZK2 0u2fDNKQ27GY9bH2CozGR2GfRPg3NmoEyE2ksRfY8oNecixADgd6HTCgAcdeD6Q0JgaemIbQCZKh rI6MdFN/Q6aqP5CM9gLNju1Lc2i7hFwR78r518GumHC7y5xPQSROGQBn0oq/KqDyyJ2T7LD9rvYL epEJhqVyM3jB81wj+X6MWrpH3KWrs1oMeHIO8OGQ9s18VIUPYQRVITCIdLX2F/s5XnHvNNiHAPGM 4kf5kuwkQX2kahmsalNzsQDdFGyUJ6AQ9GPbg1ExxeXaSPFIiRXyZTTw5M68Go399v9ZBaTV0Vxb Fk7+vVvPcnnEcDOQeKH2xxth30WJdPc08ibMeXHFT2p7RjAbKFydurABp3RhK22A6x/iqivNRPbY 5RHnJtrjUtOINL7XoEFDfGDNmLpENQC20vcvozkI8/E4c+0JQlWjo8gJDQkcM8k00VVT0uUfOIHA t0Xxd0bnk1KbjOookOd61iO4adcb9zhVfyhPFKP6yXG7RNitgYoa8GHAomAgAJ/73txEiAG91SZy G/TH8HNupJHW3muk7H7EdDVvrLUyULYzmb7Aj6BBl0z2HSjKKgC/fA8dKjV4nADW17utQQoJ8+fE yUZZxXKjHZrqEgRhUstAUI5H7tkDfjkTQjjnbT6dd24uUI7OE6eqx6mUfgamMBftE5e9YR+zOmmu rKf8C9N6hCB3pd/IYj5N2gFJLuVhKAOHFT3JNf6aDSJIGqTyXvocUT+dB0jvjRAkww8vBdgwSUM3 /3VyCRvqUI0nm57SmNgitbZRAHtkHSxb3W25u/pjvQW8ZoN7H6vksdAnC/hN+JUKZSVrgo1qf/1P l9H9zKh0LkXux3V6t7boq/Otso8TL/0XE1tsNpN9hKQfCbyH2InUBtjGdnhzZpJfPZKKsKQLKQx7 yUgwFbLZ9zO+QCAaKDfxPTAEh4dhqUo25JJzS8Vfg3UR7W8E/bcQZmoqMhcEWDQ/EcFIBPEjYb3f Fnb9wkpOE5g0NYxvgT64PrO5aLkuii389jd4uIEKuRLMrCLOjJsBGYtS6sFcelVE9lHZjXWLi9al qvGkrSwegK/z/E3uOgg8DpLuuGh5L+vcTLyHBPRdqY89FY6wV4y9G7SiHwK/M+8AC5QBh9u81Uk4 yJZupqgK1ZpohTYqF75+oy8dMGdf+4DZ8XzerIYQ0Ym0rz9AnMoZQ4IvdAI+wGn1PZO41sgeg0V5 BIkEGT4UPtjqQCIIUqV+ZtbXnu05zLE+rP/iVIgLnaSTK2Fhoci1VOMxLPpF2TZOYYTfuwq07G/x mgw0uEP1C/H/zE67iWd2IS17dvZUUbIyhG2Xm7Wg1e6NsAb3KwdgUY5bu4FBrPq0yvzTnL3nBqD1 ff7hrju9dy9IxPugSC7bkv7pmw4I9nNykM3y6NvM/wRTux1jmpMAvqgrY1cjAG886Qa/+GluGQ9y GwoosNo5tAuihlr86U/FiNT8X6sKP7f8hkuZwjkyETbXW6R5R4ZLllSoZtthbs5Hzjf7bxjTQ8wr HqN7cgfw1vdjJmHlX63lKOpvICiJDodhso97TcrNdgLkFpQERxXHM1BJJXHE0rUvgokXhTyqD+Mx O/t4F1wVvGgyY+cmARpbpiFye7Egb3RlXCERzBsI29l+fw1nETC46vnwWNdEtRG6h+NLAzK2aUsn X9SoOg+my1NWJyJXUrrsiobHRJgjjZhONQDlE6R3nv7BEhUyh12AKvwlV5/g9QZk9+lz6L36syDH A1e9/i7qotcAY60RS6dPwAlH3oNNoIYg/dXH/Ah9civ/xim+RDVRp1kqGZdqLVsfZZYOIdRQW6t8 4RekLlSK+wo9qSHDsq+mg3Nr28zV32gP6+RSoIZuD+PAGtSZaxIrmo6icu6am20LtWwxT7IGa8E9 GcXxWaTFqY435FZYLYbcCem/6+9V9KlS/JLP5za3dpXhX9STJkjS7pbml5nm00Dl4LvLXfy1Ypyw P8TGk6BdqtAfflC5gEic7h4dNSPf1cgAb91vHNRG8rwtiIV5PvWmWgJ7SK7CbYxsdg3U6N3CSp3p +K/no+HZfqHzYJQ5N2fcZXeuWVBTJEpAJ45r9uL5VQ5Mk7cJ2ohHyA+vCEFxQg7Uboyqx4CeEPtG 7cnDcArOoeiHOqyBxVHmSJHuMAipgZgMV10XxKCEoHxBS5vE3zxnbgY1bHww7Q7DVjVJC0tFrSGH 57c7ggjregUZ9elznQ7UQLssDcj0LCqM7usolfEx5NGE6J3hRwZienbJQqVA88R+q6GvzK328W6D fqxty111eaSHQhx4u89Z68RxyRVm9cbHin1NVvxYjKbEf0Yp+EjB3i9VMhBb1euYGR2GgsLfeDZI eX3E3nAZOd7S4jydDy8Hs5oAOBz8yIhFRqhNlXhYatq1cjksXKQLm8sB1R9tDN7o7uZDZS3bJo6l mOF6y/X7BBH6ikawqub5bpE/JBtg0jpTsZKxWY6G6BVsEIX8QHdTFMQs00u20yHo2cZ7wu2WMRRO L4IotRM+kOLCcCB/4dL2Z8mWJC4M8uoSHkZ5BdQcD1aj7r4L+InaXqFEm9CobSuAmn2xgcSKtoW9 ZyRft23i/4zNJEJCXLrzylr5NmiF2zR6MsE7SnSbtnQnktSu16EsItgnqv1BUucqvC+iRJac/zZT CCTTLRzmsTlOOL5c1ikHxHdf8A/Ems9Idi8XHJk56hBcDZQQkiVWMKC3rT3WN5aFspEDRBdJamc8 liBG7Q+hDCQiuhKUOr6fAGl840S/wAzK0DmhXsF/+e+INKUoCPFmF7Z7K+5WVF7N5jcCzO1XmBhc JKEM6VL5mkc9jaggoAMW5i6SR9USq+BzW+poHNeEwiExhWkwf5xEYuh8IbiqeUUZmutd/HbZs2Lw eRejzGLKoOv4WVfRJ0+x4TJI4k8RLxnNfpdlYBCLma9VW9Q3NPxnTCy7T7Y9nuj9bE8M7eGZvYV9 s2AnVoaH9iq48Y7mxoQmGzMi58KUbaKy0GDnBBxG9SPk9eKcoz8ZNJwb+TU1e8tZtVILmHpdgdDB Pnmxhu6SyJ0KoLqKNkUBXKoJzaN86AHEAQbRqm11pLqMUOK1d7gnuP9SE9N7EsbqbM5mLfZ9F6ng liymuCEqrX7CvTUM4aV9P7xwDgwJ4OjWhTxjA+Hd5tPhPbpgAz37zkBOIJHFoxRxsH2inLkSgdaG B8C3MiPu9s+4gGhoY+vqhZ0PjYDyW9Hy0bRMVhlPOEnKOcdnbgYu04dPVp1mruHBM5nJSPPBeQGI B4uFG9hOoZ9ZYcD5/C7Ikn2RvK58QrpZMCILSe9CTi70bTzC3xv755reGeEVtgCi4C8LP+2va7Ds DdUSvRwZ5L4xB4vkvc0YLyV2X9Qr6rh3tJD38N1bi1urq+F+F2fCA7VGZx2H5Agip0yTsbEWEZat yBVeXBuZL+fLRFrMPlEIwGbdxw6+mniQGLeFkLmEhZ+C7RLwO02DMjR2FnULwxDwLcEFSWmvUZlb +QCXIqbzCyg0g1Jfn690/u4bwd4Y1pCtANNkvCK+UEb7wWm09nH0a1UsEcAowWou18p757L/sw09 x4fMhTG+zg6TOCOJnekeTCIhMYA4c1A017/e9Wn6LtM1zMU9Miv5+lY2wah4Vgv8QP4CYTroFZsi /6LDCZtQT03ZER2azWjkJfiGk9uWp3GY/Tb+Pv8xEA7qMpLWACbuNUGZAOzEi3qc9A84XLWgkLjX JT2THKrTRYTrFMbfBMpV7BWca426xZjd/xP5vnkp/bKMKHEUAgrpM7icrzDlwNMrPtuRE7wRyKr4 PUjpM7/M0HDKl09qBTUt18Gvb0cymkKKYLIef+joYW3/BrUaRbvFHBVSGDkxvYWrNjUEWcI0NvGG 22LOU8EQ7JVimoVWbC9Wr57IbMjfdV5/cVuwTcgek5+eONa6Qjdktxg2n1hdGRRJTxZto7QK0p4i FKBA9pQmB2IYqP6ZebR2/5wu1PTAYVEG+GPgz4YcLo0QlcCwZECpio3fKZlXkK2seN6diuySbXDH HcVbUN1OT8skVH7IcRqXdozcsu/YQ6oE5905dPhkfIfkOFUYkAMUDh+L+z9O9V57JR6iN8p4Lj8f zRh1Ku5IWaj444kmdCOHQDRbz+L3g95cnX9Cw2hVa/kTjj2QGRNsYpuIhlwhIQ5dtTZcyWx2jMKJ eRMKbrPfepLTln/QxC425JBDDUHX2iNzpXP+BDx534vnW7RLGJImuh2by7O0eO6y/tAgM+Hxev+/ mhJWM9VQ3l8KU5QuaBeo5OyRVfEt+QxbRyN8YTJQhlMExRdPO/WwgSS2uPdD03Q/087PiOyIi8D+ r7U5xgdgFEGp3azDoEOdFaQQdmJtdg6ul4J+nr4Nu5p9/qa7WcCNkcxJ9ecd93t5uqRJ9A3SCYdw Zcf2z5i4KP9EW7TuGJzw+Wt4AvNNk9eAY0yroJ+YxLX9DPdjjvqg1povZBWziRzjsYAVohOgZenN 9pNmnFB+MqE99xFCE/c4q0G1U/c6/wB1ZFjwLvDnUL7f/tZybBioCidPYwrOMmpJ3khdlF0IfTZB oZwiZ5e8IaBGefArOzXS3HP1MJuQGb3ESKMOPPFaon7T8Uy5pC39DPkZEGwPcI5R6qEAgSrnxArr aJocG4XZPtpfTxdQhMFvNeIHiC+lHw3Y+zrWoZQS3QGEI4tB51msO7cvvkmioJpvP4i666etMmJo lzZot38hjmJbGAm6f/y31bPZtsrHzyMlIVOcZCdqOyPHMnmThkHR2t3zIzPlA17EETTHdhPLCcOo h7MBKahYQigJygCpsxZUIwsWdISVTcK6G+0244lfMJCjuDX+fSZUGT0MAKPrvEJW7IhlTtYUG5WB LH1yEeNFvi6KHyrO32GuFZaQxRTC7H1RHdki0Z1jSMw091YEWBGrLuv3q5Pw4Ye/RLUzbbguzyHl ZrlVPNbeznd7xJBLY6Qu08LVwo7HynDO/SlWFuI7jzlysB/as6dn5T4C/47YrG2pOBORgO1LbkGM QwxnUjtzP2LfhhrltpzMcI8DaanKrVQnXHfTjV/5hj6deAKDlXtFFn3IVpoCp4XhGNwWWn+hQY49 +d8j2nyJmBdj70WFFck9f4kjFBanX+uvnQX0CUuDL+oI7Lp3hCbHOVlPFuJatoZzX3BexSiqphkG GYxS4llUge5qmu7EstuztmlzjcNUwn1u55DsHmzZEoiL0MGCdeq/6LNXzcAWMBvb6ts2P8woQPPD Nlfh1xjKhCIkKKbspJ1wD1d7xM2mteLxiu7sKjwwiWE1J53ZnVHdi3pZTbm132Y1NVBc8cSuhr6Q t/YhOQK0UD+DuwcOkezAW8KOreV7AsUqLN7Es9FIg8bDFwhW5C/cngr3+zBRncXuj1pHSzMjT7QY PtkOYUQAFtzQRo1idPyKnfHgvOzEWlyW3TWFCxuY4nAf7QYIzby9t4aYiAYyegGV3FXrERew7vLj wkzlF3vHOAhEV4mXHbv3jwXbe4N37LCli51aDDibgbRNuVWz62Zh/IE9cecuWDqLU9qfFs60uR+A 0GxLPEek70RyxAH1oYAVVT0GPSG74j5TnPTkp39g4sof/FO9U7dXa/RW6UovmaFgKDrQK4OpMrLU CknV4+XZ7NmM4vhzeBW3/nWkOLZSjzt7BjodFLvpYE7W+rl8Pjii0y1who2KXP6WKTQFhYPDNXgj uE/7TfTyAqM80MbRhjrIaPF9klu2caapgr+PAR1YCOG8vsmSSg2uvPGGWJawL2GcWlhCDZKzfkQ9 S1Hu4KAutdEliXa+geYQhJ63Cpvmun0oSutVX0/rq710/aezstA38FLcFlaRoCXSPsrOIDoSxdUV /0UweWPgo/vxH75Roj/qccRcUSxoHf0JdKELZgX9xDsKnCFMTpQ0lD2CJoULQcHSeP0P3/WVAomN xT5Ms9y6v267xUXqCCJ8ij9/WunyGBPH1L76t1AuwDkNPPMuwBIqee7iqSYBkk7Xn+sNNfxIIR4U /RKc0uJtOnuao4m7O4yrdp1OWwfudlkROX8TvMk7QO0U4GP/FAp2xyRGUUs26pgwCGq1X7Bwz2pC pj21Aeul0O4LvUGzL+ecIXcgYaSdm9qpTW3AchkmMjcmCw+HuIs5AZUk5CYtDwOUtGausRItWleZ tfchb4WU2qjJ/7/bRarvNnBw6BLD0wEWcpCbyhgxBZsxRBMij7FZPqlQnvBbmDJT0i0hBQQCHjg1 s04syw4TiSwaveePMRDd4if3SQXe9B97J+MYaRXUvJixdSGY7VcK9Gxheds42/Ui+sEzBNTN4sxj pQDBuxcIpfpOeaZRu/Ox4e4t1vrwk0wGUlXHlp5smozYW7dAWmNQP1wZAGT/kQekX+cajCDWSC8O AwSEjtYn9fBlM9oC4I37A+0XHdl7S/f9/oGpcnDWUBJhMkAG6Rn61zUF7jtiBkQ8DMlrCp+Lndqj I6ftVvUREdEBSubngoLt9UQx85YQ795x/LEqQSHndmBt3D6lsJm0fAfxYqTZFy+cy0LYQVooIMm6 XKs46aAI/doILy7EDrT/X84NpzjqAU7F3O2permlfdx6n8A+koey13lvIL/XIw2s2YQ/51rbQNXX MyfknVXkvHnaoNcIeuo0ffQPy6TE4i3Ci6gB+Eu6R1LkNeU0yVJpemg/nuVAP3MybY2myaWU81AN qhDDibEAvo6CkXq4ydGkwOVniDYrzzboRwddjCuKttwDFpAbsP3ihreZZ/2S2hvDzdRHWAg6PL5t 269bmQd+sQ1W6c13suCFFzn0LZeSbBlxiz1MOXAEo5Wlcx+eloaXoWuTYxuqpKzCQV03Mh/cCe5+ fJDP06MnUT5dbGVgw5uv/JzFHzQsgGDCwBLz1YJBJ4haJpq9XOVVS86xt+I3Yl74Dd8oHQVvNCDz qms3w9wOeMHKyJdCE5gvrus84+DCEXOWnvXP0H0DX81CnE1orzgK/hvfgADBpxlSnbyxzpT2kfm2 DnXgrOyIcePTv26pyvahEUTgp/WQaUj0WwzbnfLEId8w+1BhY05fVGbTz8HyjhHmvhDMBD+nUBDZ 6bEzdw+Y6V7mNxn3lpdakmWgu5ePIzut2hTvSjeQ32UkH/sfJU5M8EWKb6ZxRMXJEw8C5oPRMN8u SdEQOw5oPCDOmvxfhAbGu8LmCeL/8wq2o0DEFZjzBa6IYmHDcNuXhDATt40jL60toQnyabLrNU5d dSEtwy4kD0ULySIV9OV1rdz+fkrmHOsXC+Sk8h9LsL6deTo1HrVTuYuori61Bey0UdCj3ax+6YiR EIhXRsiSwRHbcmA5AD8LZkafCn/A1iSoCz/b8y8UCo09p12RMyKee5gFyxVFVfPR/FNLzGhOCBA9 clcSKObtE4ai9pibXpYbkpUz3+BRDh9oN9Py7PxPfqRiYV3x8Tag3GQiviCio3xjhWNcwhFoW8C5 /y6CDjU0PAMTa+bm8GnLIBVyvoDKhyySOOn9pDHDsQgXHzhTS+SvZ2i2vmfoLmwqkI4fDVefsqxa QfzyJS/DZEYl0d2pADUJwYmvrxZpxyHCLq2cOCDs34Q2NFTkQgVB8TXW7NOxeZUJzvSfWCHVgL4U f6U/0rAV8Xtf5WsRG3G6QBVSWYDg/u42ky6wsl72DfDHtSIAbtIge8PBtv5+tWOMkdE4G0fRiq1Z yS6yybglXwEFmfG1K2qAHq4rrI1zuKQ8qz2XqOd4sEe292oJjUs7Ej+3bJAuZVsZzP/eVq+xB7fc m6zY86SQGAjUmOlMSBGYL6oTnv/LeDDuwH3ZIQDwiBSHhV6RNmsB2JnvqNAd4b+AddPtrGk0PaKW uXNKE09i0Z/MvmXqu+IA46WoR4SJ8AaczVglZ8QuwgbYUHO2xbTDvmkvRXhZAEjqLr9KFsIy4XZ+ 9ELRwwUKeFc9hnF3JsAo65IyylI1QI1XAyw4gPWddFdoUpKRFnwJ2w/V26JTxvR46YqxHhz3+422 x46moG3zNE7HJNkry7uGL6MhZXa+7T+cO2GDp6yf2e676r4zOQC4PpmeZp5MK3QJJGombTil2xkV r65c9UGzxIv/vox3yHfGDU8/nQC+IYK1DvHTjxC++NDFma/9RAxOoaf7o3+Y09nzn/1E5PzS/fUb qxeH39RY/MNbo8NueG4lkPnyVPH6rSg58e9t50mHUUVV2ZOatfALSdP9gVsJmlzevihVyhx00h64 3aBlFpX5jF9L+trUoLB3NRBXcMu5nif0AtY6kkS8bL5jp4HaSj5qTlHSSwjssZXdO7C/bgz1puQY yEaE+oEleKkjqSgqu2AJqHSfY0yFkounMzC1dmGYl6or2oR14bkkiKRuqbXhLBaq0gvWjy8nn/sl y4LKGe18Z7PViGqee1oDcSQIV2vMUxxMGNgMajWWUwwCKj2p46BMTzkfYNw+cTCQ9hWsq+ml1Kwf RwRzFutLowFS06lEL42OgZNkTErR5m9LgAgrImcru7uVvfryCQQFkWlUS2+Wo94c/5089bvPn+4v +QF7jJ2a8U1SMQj4jdSdxmQr00mhYce++Po5BAevIbISZqtr1nnOixa2O5MDUhbV4fPveLmDULZr 4jw6p3L0J30PyNGJBY2FMPhA7dNtEIer9DkzRwz2VeyjgOARvnCXmM4P/ongAD6oRCNVDq+SbMpO TovPn4rQHktyqV+c310jFcWf6D6/KY9UTiRHRbe6TJtZlSXSuJoRTVv5HXL1rdWGOW9jkvWv3GWh OYJ7gwQhAvktnYRhrLFVVSQB1lAWElx49aGjXlN3wVp5DxXk3aqM7G81daHLniaBnCb2P4FOyNk6 xyHFgi3PQNfpViFGuCGckCzqKsnEeT2yHaC/HaZB0YPisve81ezfPpDxnUHMCY+q1Ltk+4b0UPVK rz4Nsq0nPe9wIcQN8wsd5Ot22m09KpWGtzrysUUhXi9qICMNZDX6T059EIRHf5I5kucclxRcm0l2 YeMXNJm+DM6hkD+9EXcCW8+O2pkeP6iCdAnErIWNR/RbBLjUzIsn5jTyhB+GZ+N6CTCTFzKiQRQn cErZ1uyaBMQUav/XRp0MoNIENxv1PR9AttqJgQECAfi4TBp8g9GSOEgyUakUWzbW49LHEG+/3aUO JSJpUlg/JNZ4uAIw+aSiWZbNFmYBt9/nCJLhTRnasPxfTv6aACEQxo//czZa+KGw/pv+7HHhSJWQ MvPsZSGrl80lxkvgFSZVub0oWj9vVgm6DJ0q3VeQ9Vt+g7md7NFzLjx1vlZ50CeVz3Lc4I0JMGV6 8mfRb6bGKyvCF4ML7Ybj6BYKBf0zTrLtAXl2f+CMkXzlnptsjEZFgHPTqkxl5Meh6Vln6FTAmCnL +FMxPI1Gyiyd0w5CV1nZl5PKafaT8WxW4D1TZqnGb5pshaQF7cPDz+XmuT2/SdHo86inu9W8PxIU wYVOeVz+4OPUKr80fpxfNhPvdAVkeKuU2v1ueSSEctkKowU859KuK+amrBIFcn37dvGlm0aZ3jP5 7LIlFqCmONhFpRr2CB3TWgtgkOrCSPoaBNd/N2w3wGS2uYfRAm2jkcPUZA+O2WGXDtndlKeJvgeE yggXWgLAMPoU8xyEge5zCXI+oj3/eXHB51qJNMjhbNoT4/WW7qUlFozNuNyBimVxsFtlaF0VG/mO 07XjtJ89QtRO5ctJ/H8o3FAvUL1BJf6d5i1v3wUynMDQ+mmZtXtPUiiCvNAy4BY/+Rt+VYf7MQvx 3cyMq2txInzCJkjsJthixBU3Vsx1Yynca5Njyn2BHGHHvv5LoYGcD2qB77MGjp88Vf9oxNl3cxNz zamoZwIE/spexdGctaSk2hYUgjBHIWBQ8oIjkGyDmYJdbZjFji2n0bQm3L3+rTs6ghfuUJ23gvCO KliI4naLFW0HA3OGoEHMwT9tKlqOxCsd/D3DYPcTn2frxvKsRIZbv0HIky5pXalXptrNG4/rzses z/hW38XaUqi0TfodUHF6Zf/gbbJWeL+1bL3hBetSbGES024z4l4OMJCaRENxVtPP2USFnHA5jiUn ZnNjKmS0p3/RuI2jq/a0Rt2dXFSMo8izi+qLX8NVuRDRTi6+3orkJftX40fqVtJVmHvIrxJurrNg d0feQftkPTZkudueMxzI7zE2fET61jBnTrpWCH7DCAhRX5eNBwyQK5oF2X8UUxh4Al/7uNGk2LuH B1o6lkj5AQpfmEoc3e9z4psDJGjOaL/Gsld+E8X1dIQYc8THe0v9/l/m+/uNK93MOD71Uj56zpq4 msP7wAqvObAjb6JJO5OCFoTRX9E5CrOx89L8EYfKs9Ehr6oIYGPd+WnFs2Pjt3CytyEFMCEW8lRI wCAvUHNvM9ni2ww3G0wvioj3RvCYWwljwX+898A8zjUgj1Jx5T5a73FtGjHuREbbGQ3DtY2HRbLb MzMi4h19ES3fHgSxO2QiTuFug1YHcemfkQI5c6UiAaKrMZU/kvwSwKQUe0s+399iL5nV9vpLxApQ TXmxH5dgcGIrPfEZBixa1nrkBRFwB2J0vEJDKBRdzK+f7v7k0WVaUb42uN8IV4lM0mpUWLwcVqLL oW2R6t7AX9SJ3ATFpEoIlHrFvhMWHxn91rOjspnWqSAJfAfaKGcOW5md8otEt0J0oPZgCE0T3FiC pLk/pbLM2ofBpCC/7fOtEmbpNiQ8P1culrHbbr0lISde09SMz1s/LVM6iq/h4we3p4FRjyUVASPB koTIgENJZnEAUBQj8xBeMHZTnUhVPyUqmsVRTlEJXrECFpGCGQTTizMQzdzOZzB+LLieZ/NQMkPj N6SZeOWDhttI9PVROUhPFLZdbGmJoiGOZHl4O3Fxpj4dt1pBHjtgJwgWYLAzGaocxNgS7NSH99SG h7n5juVlK/i2tI+CH7uHgIVqkSsqmHA+rjplDCwDkX1ivgJ5HHfINoEHYzvcpONC/S3YnRzxkzDC p2SKcvIzTA1kAfHl1MnDMQA0HrklemjK9xsQPxB2uGSCW4pc0HVuSYajzPIUxRvfyNa2hmqWHH81 DE1ZOyUGldJvvRllvF7kNttSJ6nqkdFJ3E35SU5X/7QxcFnABxHvLiHS8l+04rFbsJczgjXd179O ZrMUgpMsnO9FrEe8zm0KADqOsL771f3FHG+36w3oU9d/fiQ1RwoUlu5SsG1KrPPxuMGqVQK7XHgL rZqj6Hgor9e97RayQFGDQCVlN4f3QXjVgcN2jNR9sJDcW95aZCOOLirtILPt9umq3P1h01uoDFiM BbGpZNq/jj9gHOU0S7ax2W3fasMXSm6hEx8A2NGhOZn9YkDE4txbYmOgPrp3boySMqMc4el/R7Ei 6y7Plw+pRSCPcWKNY1oO3rG5nlNgnY6qMKnbnm1YOS4W/bCu909yQhTT6pJ54Lbg2ces/xXshQCJ DIhS2i6JXdksJivjl7RNnOs6KKIcb80GM+k/jRMMm4U+G8UTheCcWzU0TFvVz/Zcl3Hl+sYRmvSx W+7ym7XXMHmvZB8Cwvk0xNpA7L0vlAtocafE1/ZGFnM/CxPnIg2dP+ItJ6OTwF+TrFFeZ6uYbOi6 OwlBWtHGkRGtk5MB/6801RZ8U2nEVRl0hVWJ0Ii7bAMUKjYNFJUi0dwq3fP8kMqEC4m4dEeVfpNm hSKgRyz7DFsY0XLDimBu1Xg+V8bSGXyDIh5Gphh/dX1jZ5wluwxifMc60GpuAR6tldq1UKDxB52Q s3ia29yQk7eP4xJ9YEvx8nbtXwe2wBcwiDCS1JW1AZrGXfUzgc5mE6XT/DmtmEf7xPdEkKQvz6vI QUPB8sGErqbeoPzCGpXeOSJEb5n7knyrFKCmjyvLicJlY75mUNiQ+EHTu/1t73JzXpRuwzR5cWhn XOsN9yexd3UA8b47jXGq05JmRgLHUNutofXFeHWAGKBpo/yd9XnOnCzGCquwYf4kAxWSs+XuQyUR EoCwoIkxFqQz85klfSpqyIxT1fm4YpCF9Ne3xIdObT3HKb8i3sqIKJB5HbprG+G/TCo/O5WgUEfV I2B4S8WYCMrqPUZnpUGUrRBLS0nXQXiZki7yO4OdqsKgl4f1eCdB9QclieIT07R2VdxxNcIEk1UN 9+f0MPxexC/Nid3Ek0rx1tgYtnOLEN/8F2CwCI9JjG7hDvB0kMg2NqqB1JoIt72omONN9Qvefyxr CwgtFmHmJbUPm7Dh6+dtKefYQeOD1vTJ7Jo2yjrDbV+A0ZiaeD4ZcqWXzLu+jfpTXwYbg+x28T4f 6VY3Inn+ynxzUQqvTDOoWAzii10RUxjlzFBbg9JJnUmGODDv1E7zLQvDl10u3zHK8kO0CQGuK6NZ Dw6Hwck0aBFtv9kS4grMUMYRxYIlCOo/ghijqQUMvDSUJOmXJ9QHPOcJoVwptScbQqrNmFrVTrzJ HQ7kzpDpeAyzsoAU9GKDqUaqqHZKuSJPPRN81FD17fgA74nLZfbeOldFJsXdU2D2+0judm+Ew+No oUPgrP/wHgYnM7OmicmtbR7/JvYzC+uStN1qNhl6CcB81JNcksnJIB46Yit387gAM+fnuJfMvM4q +AHFsqqeRA0ID1J/8zV7TuehzC1/+UXDWx54YGFe0sGsOq5ZJfOOkXy7nEEMq8rDA5Re3CZVl38I c93ugv5w/Og4EVtw0oHFugQH70E/B7YcJlQ9OPNXUGhLawIjp2RXqVg0rA/YrtEb1Wr9JlxDtZoZ Iw1cbt9dSKztYmollIO7MvZ9ubFhdRIACMjBIHHm2NHIZz+tR9jve2BlG0OmFB1u4feDEAS9wrCI h9vIm+Az2WVrDdmHk3IrD7vRiWDIawy+63Z4IjAC8MYhTjykAySRQhYIUrCa995pJ7wCYwcOmxrA 8vR9w520v0B1f4mWg7hoZILoCGVLGcGqGDLlNJ9vnHwz2SFxjqu6kpLxWnTcDivabxUIV84VHj3J Y3WB6ddSgGnuOnwGJCFtvVi5XPNmu7gWIyEdJeR4I+hGjhYmz0qV956LbqFXGvLoXkzpV6ZFaI+5 OG5fGmKfya3mEV4wJoiZYwHmuPfdZ3jIJY6D4zhRd9r41UmjqW53i33j+3MJrW0D9gauDvW2o7Nf DvYgtwgFm8+3dp/Zp3CUZcUEyO0lcA/2XHE9ymn3QS5ayA2SbZScRMfE1tMtYpbob+hMdVkv2tKy RNGcCpVTej3rAW5ilJpQEAhMQn4Opq9YDmARmg/zDciGufaNSy21zj/k7Am5oafv3intiBPMl8DA ij6ddOWEBHrTbOoPdqfyK8hcELeHSguFA9ModOhBPatCLC6Yq19naWcm6QkeK6oHoL81mJCambth hZNcybRG6iE7t45UtoNCEH1mHmuqv3uzqQa/FmsyBqCt7yv0MnOvSoNJa9kJDPewVPzWZYzCznde jMPI26Tgf98tQkGmAFwU7cT2F9KIhNISp5qmqMn5jU7xR2wEXTte5i9BhwLffnzRu7AZMa3bUqgc m8NF3v/7kI9GFfNv4fwMMS1iutfjCBq8H7gInXg+kYcx+FRUscjU0Nne/ASVjm8fTSZxchZSspFb BLchxh44saq7Cv8JU4wyY8O254wUfTaaueQJpp3SukriN14uVsaQvlZtcsiVyu2oVESnd1ay+g2q yHqmhAHzTwLF349xEjtDQW7oTihjBAfWJtIPbhb0O6k2NLdoGP3fIMgpyHxV9HY4jEw3UeQy3WNM 225vITj1a5maNNSgyqS/MUrCX78anmBsloUPzs3ZcjxpVvC9XUmuDtf9Oo0RGUqW3PiGgNCgx6ln R0B/wpJ5eJFxUq/lMLEJFPI2Ds9KGFHmKHhvrtHYG7W8tPkzZNWIXoY+DgMrmRoPmCbkSS6L9CDT E8BjbJa09MRc6VLU6Y2W+m1W2SoIEJ3+0iN5hws3WDnE1PXWkvNPvoMQFhfchh7hk+VqreG2eo0j akp3FE/ojj+uqsTop2wNv6kAGfmYBsTBJAF99nfU6Vmul43Dz3uEGreXIcykjqs9ppBQ2BOrWdYT 8YQfHzydF+74yiALaCKQKdjK7yDavS/nCbjMA9+qAw5hXxmXY6bVSDsVP3cbEMz/qD1IQErrK1hv dGjgNnVZeyQkZJerTohfCZgfbk2lbm19VPoWSgz7ucAvuJJ2hGmym650Vhj+CTTrr9/OKwCotLOS EQT5dfMxaWre+Z4p+GedC6rIyHQhMgsHRHxK+m89pKXGmBBLc/wEFNETUiy3f3h4dpy+UNkRGqkO lmjyqH5Di649721kl8n+QHEvfksEcsxHMsKKGmQo2394eh/5DZnwAK0o1I7Q/SaZ74TS+C4uPCA3 GlQ8tF6cFFgujAoqWn9nfneh03fBML+GPPYBl1uUAgJ7Y7T4zld9qFgrp9cLPjJ1psPIOwbv3mhJ MGCX/S3BU7j+99DZ5N08+lFoELkrC949JNNVVdS70aCw4eX+QPSrrLaXbnhzwyxxVEkNQQKivJgo AFYJa+xWpQ8iESBl+SgMm/2UEABJ5IeW0X//JStdbSlNXMvKsJXgCakHeRwLTSV1phn0zu++i7sF FKL0yLDsntXccmYq4GQzWSfgjR4PcmPDorAfxJopjI9j4ztVHTlOQznJdzMdXdP85V0q0qjGYG9K ZY0RWMklazV7chVSCHrRfts707pUOlal8mviJ2w61UA/lDcWIQWrXmmfaVEDdDKHWJsPNTJPlE+x NNtdJ2PASymwjD2UScG/+FRGGSJ8rYyB02oIRJ1B7wJkt17FTp6vvpTDyGdTXXTylQTpzHJLk+07 oF8Po8DIXDO474fF15h8rNL5ElYzhD77/gUMmkZNeH+zY1tNN8ajBjcjNR42+fd/Qj+JMjNvjrxu b8r+zSdtiOWPKk75c0UYIo0eyZyViaZTrxDaP1+JvE9d+hJMvWLN0GXHL02rY13k9qZsUAFEH9L+ VU2xPxL5suIdXJBHzJEdk9lk7SFMrJLYclufju7dq/8jxWVCQwbbP8UwamBVBwE4m1hfvafYbjTW 4Sb9gB40KgJxigSXbbvbd24/69syNgCw1OqMLgmAVreNKbSkZIPFrVsslQWaMityRydGAk5BNoj+ h4W+QZezlLpuYG7VvCFhlIhy/eAqtAyU3a5by+73bOJCrmyR/zbEfJdB9epcSnlKZQc9R0TwAzdg cMl5iKwdEleDhxgy0I5WS16BNRdb7PJnWhJJl4ZJwL81RwngqB/iGMNquycOxJDo/zRcnq/AFPXL LHwsUr7hoFUNKvF0a43zoqWuwunZmi25Xt7o40uKgRI97j4/0vZuhYCJD1PwIH7RynnvxxAbLlmN Hj5JNQM3SoDh3gEyQvjf4Hr4E3dl54Zqnygu8Ipu1dT41gLK0h/dcSBgbOWAkNAHohTw7NEJB7p+ SQjYYMW6kfNyLUVY1pnZZiaVxXv/Z38vt7NDP4pbsFDurGj4BxDv33KbYsWwi+5X1lwL9dLjcJ+W vuqqvfg7uCanSf3821K9sanoCF8eFSXZDxZgQYQ5Hf21gPeVQGFB9AcAlU0Qd93bctZ0Q7EaDyBW S07O+9lv/YIyXH13vsGiXaW6CSqXso+AhC5saQe05o/whEsVy+CGCBVgw6A2L1w87GqSagU17lYY fh/IWloSDuvj65FkuzYFYirluOSlsCsMUMA8rJ4c0p71wBa6gX76DJP0Nm02HWgiSSgfvYZGhFeB TVxMoPIFZ1/TRKWJ3V1KuDBEEMOElEVWAi2Lt5xv0zNtOi/JJdqIgacLKI1jvFJ4c40jFVCyC2oU 2rFHHoNLT/ozz99PIH2iEQ6X6Pf0CmkKRKseAeBUmpADrc6VgLsrhh5vhWzOkCQRmOnYWm9ul9DC ExC2BRqBCtZRYtR0ZTCvF9Y6r9iDABg2ozYFGO8ysTFriHpJrxxggkFRNOu8kP2sm4VhfAM65iw9 27/lBQu3sMUGzIk5hva80yfFpOrGmZGHM5JNx7EiDUvc5iL3+lWhwgLbq8uQFAm4lR/ux1GaFU2v wDFETqxs5R98pils+DqmIEqIGxfWsskxn/aRClrI4KCsxo8uors0Caq4uFhOO/jYSRzSPu7Tr0Ib 4oIDRWinsk9G1qtcvwZS6WZtw16K/K93z2MX6wO4kcfkDTyWPaQAZHQXxpRjPGdTbbyjMJAbcaXJ SIqZ4i1rwNYvlVd8PigA49vV5pM/xbmrCuVwoTU1qdfZOVnVcQc2t61f+bQPXS7y6BmhEt47Q0O6 QRYaaoAjNqtjPqbUX3VwAm7Sozdboo/jaCHmn9tiSixXI2jyNOEYVE1DJHquWYcrl5MTChlZKwd1 NJKTYrQs8mNVGDDJX23HO42Y/dX0jHWK1JJldizK948fsE6yidxi0rrN/oLIov+WSK27ABUngtp4 cv3owKAUYt+W+EMCWZv1tDjUICp0wnSodUg6i1xA68PwDHsxxyw5SV1gLrtSwUlHM/Ou5Mh6EzNL g00t9Zi0HOF3ag8N1UisBEBddc2zq4T2F06bYGzCyl/JIL/Unk/u0/c4c5E/bL0EYKdAFtMNIDC1 ye45DZqZ2ADdCDBIdNKfWcErBlvfAhfrKT6/Pwn0LFD6L4klvnIIW7uUxIU66TrQxkhvNBUup7S0 Q1zblVDmBwVM58EhjtSHWcTPp3G+rFBBCgDYCjXTXRo9L+4k58iO4r76CZ6SD+D2jVVF/s0ZMlS0 puN1LaqPinLMqcoPOvVCNfIw4DUPvIpbDGbd/gp8pgcJDvk1tfNuHs5p0tV6hYID4SBquhmLn56m 39pHCgNsaXAhk3JFncbfsGA8+yE0qpvKAPY6LkeJpro0K6CuQwxhOv/mSI/93slKtYuFeMUoh1iw ycDLnCJjw4m+EPG6mp1IngFgatvciNzKmIwmu189iEL+E+YpgWe4+9CBdQN5lh2XxkQc+g9crbry jkcyhjpapGdXtUT1wO37zopMJCpb6etM8xMjsydb9SJgL1f4nAdMuU83PLqYVRgAd95U9L+9tc4e 4pDyEqvRWgQySoaWbIUiXywj4dOe5atFKZtyk9TMTfQ2d8yJt1u3Hj2Jy4cy5bVS+Ih8588LfIcq nIrcXS6xzKGkFClIz7lFdghSYOTaxYsne9u1VWQNgl+KOexlOCzXAKhG/w5yu2IJDIBy52JLajD+ ht/H5lsY0IUJzaChvMuXPURoc56wj27gUov8Qt0aNdgyfABO+TdfMs5OqYpdX06ZwZz+hlKo0ehY 4GOhW8gUaTfxbSbuAS9FJY/RwH4XJ73JS9UmVgMrCCpWJSVN9yrMupy5vPT1Zn8kdqiaO+5pYQ9R ksB65qZI/s82NB+8rIP1m94P8RhIzKQ00KEzzCSpIs3e9IcnrgC97IogC6/xJrM5gEqLSQMeTEnW q18qeMt0roTEty67c5nQa53wJaDWa/jYKVFgVtjtsA3klR+1VK2gyq5+TojTkWM8H+BVjFHo9QDL 4uOBXO6Cl1eXUkAfe9BzsjKZVxYq1ebN/Xp8NzjnA54Est7O3cbNw3yPgzT6PGFuOrTe+Rkt32Pz WlErOoIRhXbFvXEeduAgpf4lkgPZXbH7qohFihLvjSn5uP0ZFTbR39N2qpsnnA6dLWNYd3b04Gj3 2iQAEUos9LRn56vhcV8X9wCNiyma8Nr+MM1Xd/XQJCFBxtLCs0YuES6f61DlEvNwEYZ7mpGcaall +Ka3zuZ9RdczvoNoOBR8ZZVpn8jHA045zoCxAlvZdr8mwiOuUqbkkkq4W8+lxUu2kSEh/BK9ggV7 KABhzXz1WYHp+QTc/LnmoScwSgdjZ6F/OYNI7dYzQ2zeoFhjiSJoMSVcNnyejSUfwenT0DHPifSg 7bmGj5rjFSaU9GkcJ6VzzylplAC6wtrqz45Yr61xYfX/Xav5G4CwcbZ/JI9SWdwn6aVRQev3lbqS O9KNhNHfS9Dfuh9ggroyFta2OFfr/eEJJ6OlnM88OAMb49YTEn3bgtymkTGDHa+tBCsSRg8OGMV6 +UY4OpSOyUbQexZS8y1UYRAI+GcDjmqR/IU3zvD5AmR7PqGaztvtTO30qJzPK9MsxtDN56OKlcvf I+rcVSGBMA70QifnWTt8XtaW9aFTnK5cB3SQURsRPKphKSF80/HtI9fx37R29Ukp1L1DDM1V0ZP/ ZlsGrJy3WJyhndMJwq4h2QDYOyRLpKLRW6rnMEoHuoLYE9bBh8rZEwyTCjjYWClyBc7EEJKxSsCe lvp2i9V3STzjKHz1Fhem5Cy6TsPcwSKKxVD2D+JM/UamK5Ivk2C4oMvdC+Rl8/jtFqmVRngq7tRD WQRYA4Kj5npiWsSiOdOEtzMFoU8dLOwHgXX7kzcw1LlMyIrgQWFnTHgDp4pwlPU86F/vo8EUL/fo OAg12K9EItcoccRoBSRDzCOgZsp/07oiY9xazQH73LXvCMrp5qbPefS/MGqGrVXzlkSFlerP7nRO yXGGzWh7CAQGc11NjS49/EKlZW6RlsZt7G161uV4uvHTmakTRsiOMUVKBGGqX6hCslaDdpC1WFW+ gAx9vjgAQ1QWlbSl7yeihpetwGng4n8y03GcH078IEAYoF9x2to5HBSTAYl/gOZwg+0FoYGo7LiX MnZdybR6Ni3vjYWj/1/3jj7LZ2BDlOjxix3YePu/Y5EzhNBQ9Fr9gBVP4VCqwlfbL1XNUOeAqkm2 ykQ9BU9uhqJXqverSzHF6FIe5lVVcRVAjPf9ZYApswMRXky4MISF2adCBislhzh1+hZ8Gt6HBEWm oZZ6AOnfH79nQxQjMivh3cs90mvbNwUv3Alq3EvsZWASV/eUIWpb8K0hWNU1pgMIcp1H6OF7Cgki DUYe98AFxnzxquHLEZr2leWpQxif7NGHzFMsBf4Q/105kEcPisda+EiOOz5knOt/bmAulNcIO3a1 HFP45M4l2hLKtkzFCEHIOAa98zFUeuCppSGQWLiBUQvi6KDGRS46b/3XKVqIl8Hi+v3EeU7JBERj pAgc4zuJyCeWSxcQJ5bP1Y3F90XwSQELxCXIl7MKtQ0uJTx94IxIQwgprrF8sUf5MjzbQEj+V8jw BzW/y5FLeu0P19TQVs3Kz4srdZUiyhhpqLGcixb5MbNZPs3v3Vx/JOTyLtcarhcfl1o13UgbI9B5 Zv4JlHGh8awok/NTGU2TUCk0hpVxz4cGe8SIBcQOk8Yp6gCEOWr683HPvlTa0mTG20zNMD/iUoq8 QrxTYDBPw7/Z3k2V9yC2rubb3BHmO3j6a/GVCBmBeGfalt567FbVxmTZFObIMJZxIL4hgIAcHNYZ w3SKKa5tOH3GV84n8XwZgUKdzttHg49abyX4yj+hCT4GbQnTlGnrcAVUeOhrPUllwXHDR59qy2rj Xy8iE/gpVEXClRwWmmtcnzHRwxJIoAiFdzA3yTUUN4BL7wCvdYPBKm12tBczKgi/XYpt1IINoLoR k0w8RIP4m4d11lT7Kq2ZENEMaJrnEtoGvialQAQOB41vL5HlE+jr6jBBaZdI4YBnERfrc/1LE5wC zF6xOSphcGTM2LRaRi8KILac2N1aNrLit/Oc1llMp6dosd6qweo+bHScPG/aurlaQLDppoAJkhoK drXQGyg3Yzqsrh3vO06uP4Gs/ggpfU+7lOZAjWbiqyWFTxEb3k53TTmkA1aRvbO0V87Gn8CIOd38 IdwSNONOA35T0FhGGkeJxGeBP6vT5JUiQh9p61/gfN/YBPXMOqDu9DPGMABtpDxGOy5/+tecwNkE LfKgE4GvQBpRfmWaDN5q8jWDGnJ5iHUOmjmqBZ33jezxNMLYqx7419fB8/LTOjnHgSEfyTWsxiAT KslDzpoZ5CtEtq+85wXyD3WbCoIm6T9ynNnh5hWN2/AyGtv+bRdEm6wMU0C0UlJB0gRAK/gPL8E7 63GkH++f4mgXUrIr+aUay/lvEuCwmqpOKvwXBZ45IM3V8xQhhZlvMUHRsYqa/Ys+ksovvy9Vktu/ mjlRtNiVpBo4JwNOrY7eKnOqyAXymZm44t0SUu1InoGcm4V8IAHAdlwzqkFaFfFE4HzTc8xDqMGd DAs2Wu6ExBCxyt+EGzij3oJd23saKucaN0/uCEyY4aAjJ8BAAv9RNBVVwdboWiM3cIOHoRl39vPS I1QLpNjroPwIJRQ/xHmsy5nhCCTbkouTcXgXrKxNWX7K/wPkZdMnqw20uSbrQkT1q+eOXAvXNnRV dTB1c2agK/8LoDUdo3mYfY7co2dpXpTO+Nrd1XAlee3taPX6B850pqltMXLNtf0EDVZNqgVTr1DJ JaZflWF1AGESqanJNxWbUUMUzPT2uVN/VkhmnUZK6MFOn/m7RMbpYORkZTKotQaM5FY1XaulrwJG XqGvaHekncKW9wt6J+AOQ5q/6YMNoqqXntgurhX/StUlJ+nIhHOPM28K2+t0Ewt1+S2cPdXMq5vN StIKcbgn60T5W9P33pEbhxv8GZ9J4mvBD1NbPHJ88qo918jyabD9v3LLQkjdFiw0uTuvcpcA9vKt D05K7OgkwvPHnIOky7p17jE6HElKYFNnPoOnsPu5l02cONUWysGW+fuVPPnf24lAA0yvn0QrdOqx +ilBwaWvlSsZoKRVvVL1N1FDTZjAHqSJIw/yN5a8UeRyXxl0Ni2L7PLM268hICuhgHdUvEvcYGlD WN6/7djxwRMlAvOEhip7qCUci04p2YkKo2H8wjUBMzv76bc8D6oIACYbat+grucwHZn9G1dAdHy+ 4ldd4T1wQg2WcgKjf8J2Es8Ak5Z/aik3i8B9ljJca4vT/0+4ecKHe37Dl13fbwcBex5a4jZyxcL7 O7Te19CJNEAJS1UItgCgMtGOlLYMUlNYlspbF9xUOGKky3QoLEG7BCT2FBeBjt8maXmstCbDktbR YAGHjca6YRydvYUzhURXv9kCFJsvvv9w7qPvZ9dtBX/IkZnPsVYVrsaVxuNM/iDQGTcWvrPcpCMl LfFKSUeAG5ohAxmicvp8WQF8vCDTankkCQhqpzxOv4TBsGhppFTQIEOyyONwKigNg/lnHofKik90 oN+W3c18jjjYIXXSnd2eJedZaiuMGSn8s/58TKgls9UYqzjUPGyuxmTiEpOgFsWxjMNS9X2wdZf7 nE3kLmuyEM8jYrJV6hfCOR4CjxSxpgtAsTO+y0tJ0dNUZRhBwWSYNIbrS1DoDT+Lu5RHDUxKZ/O5 OSOAb3B8UfWu1txHNcMdgkT2MMh/+cC1L+ieWUK7zIblrSxpUY/mbSC/x8DU6AfWuTnooo3locHt lZkiSjOtPzaFw3kDC3VNCGNNzHuv2zv3uVJP+z7PDNAqyjBILF9IRTVyFzi4nU2mdmUz2GmdjPYc C3/f4x3vAIIXyP2iAQYcNXnfA3ufUS7nOP5QiSnJdCsUAF6HPkL8xPH3Lb946R+8uuXlAlgMTab4 u09v3uiyPJaxuVJKyBkpDDFYTWgMBzSsnKviYA0l2UOD3U60dPqB3bgp82usLgZFye9EfTLOXvAH BWOYFDbCXhJGmXR/L5S3A34kaNbbU4rY1ehblABQb4PRTI/y+VkL+PGiWTOngL1xur8mzxkLly1u pZAfvJa9yFnG9WoSjwPbaHQY01s98oSyPD9mlgrg/bpxWgBPjxCCRs8JgRXe0UgKojQjRezn1CeN vFi6dAz0ApjDNNVCk1VXfVJ1XyA7d+vy5YHr/Iy7vsN/3LwOAwdHuHT5aL88eUVYTBQIAjP6qqQj R3CB4hrHQy/O9RcmZSJzGolVeLDE7KzsBu9b8to+nf7X5r1uI2bZbcFEe4T2uLQqBpa07mh1Kmri ALXbC+TZNZOgPyv66fIde/OfBMn4guR7g44tcJ4RMnapB+AoOdsgKwAP29EeCda5V8D+rN8rPaOE ccylHRJ6gOWHHJhKoXVjT6hRjswWSwZ0xisgym7oOy1M4dg1FoPtmd8ml1NM2Rkz/eIldjUAGvBv B3FSIyqTP//5LbQzEGQfff8K42UN2hF5jNiCksR2+ar42AU4+DssmRp4vAcULUF9eDJ5VjgQe/Wr kjiC9yRh760U8fUJ9G4NdFTX+p4ikk6oVaxaEpe9SLwf68AF8kwq2wAcSfDCMcLDKx+pWzz2z3y/ GlHQ5masnpX3H2Pc9qalT9y/J+wQXs21N4/1IpGw4lD7QjnsFcsMVkrgmMd468LWy3D3pyllYo8J jJDVPET9Sd9YYJs/ZjTn8Vg+ySrvKRRjPBdjuw/nqxNaqBL6y4KI0ZYMOzAbTLS3aiv8EpYxcb1Y 6k/IExb3jd9amonjjL4PcYaAaJU+iOhv4s44XuGVyGCJMm5gZ72xJH9qu9/hHz9p6LTel1mSiE/l a4gY0cjJcpmgwYgfuBDND5uRUH4Wgc44wDkrK7fJp9F2KujC9gjT5u0e1KpxCRXvxvP/TDrRVW2m vWkleeNlJfT1WwWSaDN1YHJA4/QLjeUKViUmYUVWdsVHNqalPKgMUo3r72uJ/3TAonYGGeGLgONG 883/Uo7eIEo2RBBGhHCJkPePzDaEFOqkJUbrpKzes0xeO5VJCLm9gr47mLRsnz4XeATtfSWR32vW PP2W4bW/3SID0vImDe11w4ka10lPtm2sT3oJGv8tTR5bBov2BU7AKE0WsUeO7ALaNRZIguvaVhgc ajLG4Zavz9YJQ+fNzsLvrKaEnLvLGcUfbcE5mHAYzaDRBwYB5IHm60tmf5g9fbX3Ab+pKmCh9G5+ 9Ckz1jey3qD7wEdJDSssHGSjipBvLiqtXC/vbKMkYAnxuozh4OnvUocE0ul9C1u/8nscmkuQfbg1 OEwmE6Ple5Kz5ZyAV/5dKIV4lrjazlA1HBfTIy5glzRWDtX09jPCxoTPGPo24XFZnEUuwcS9vGuM 06Y20ENScCShs53RV2OjSKLmDz5zAyfpUS0vtK49haZEPuZ5Ajyq74H/hF1dtOwkBzgiKO9CXU+k iiZd3ds7IUzlLqY03rYL/U71VrWwchFoLA9O9XDL4E/48fJnet9hcLrFkrr6DL9kvGwjHzY0ztQi ovbQ5woTUtcx7hQgVCMlubx0IQ1V0H7zkiyMmCqvq5xx0MHK30c0qDpYCZcMCHA/LgquCBYakwse dWccfPTV1aOZwL59ynuDtkgorwQRkUYlpR0o3RTGdj8NFqxQ55psixBcx6Ow95MW7MWyux1pYKms 1AN0BG1lkFG951G/tnj51QA413uSCJ+HeU17hVXFbKxl3rUciy3qaWRgKIvS5D2LNkzyMboxGGo0 XZ1bh1yMOVdh3zAw6EMbEH5+Y8vZoUH4rreZtW6KCQs6piZ7qaEBmJXADFW7N9v9RebAssD9suTW UW5A16OXvvqPqZfmbx0saYu0Ca9DAT6maeHk5NHV+UqlZz1U3LMyOFCODInmsT6f5TBz0fhO88C0 FLdXvRARHkHOaQkUGv3rn/whxr3PhNjdi7rE5fnGb/dVfIt05CVbu8DJtk0dKtd6x/hkGDAX26d9 zeBYWpO1IiQFFcefSgwVSybhbqR0/6nVIwok8B9cqlfqGsPPE5dzeSGAHg/nWKCE8OJ23yt/13ae IeLmr6hsQxgdwtfqqT7PmcnixSOpYdmjhmMa+/sNsFHUJ7TBgA34n7WTC8IlWyTztJB5scJwzkbd FdECRzvTgAS7GzkxfWpt+2ZSn6B1NpFa9DFGKkYzOcxBDHKs29DxO6M62Y8Px2OQuUbRpmzxq3Sh ltquNeXSBEIQSNcq0/SzfV1Epo17HFvvprqjER0EldkI/+lqfeb6cQOP3qRxg1tiAUMOh/9MPZcc U4OTAOEAd5eFfVIF9Z67dw7TojegBW1iyJskkCt4PQG6fPvGpsZCX4hk85gAam5UsDFMJvG8I2+k hvae88YHpQ77VG7djLK8ueXPBhVYWUPRWky8hOn8hBMpare3imTtfv86LXMIVhvzkKrsmS0dneHL HPwd9AB6IgZML9Zkp6qqK61k63NajmBXoOZC7Nv78J9HVn00lHYYqR2qjPdb4HniA2SODHE86lCa meQAyu1d7uukB3XR22AnWucvBvHD2YCHwNaxjmVQeBJD028e/Z1KmgLfC91euYXCd2Ad+mpDVZ1y 3/xl1f9R8uoUY+0OvFxt1lbF6ffTBkVHjdSaZAirtkjmrvhcUdnngpP9ZmPxrD0bpTBg50I4mlOS Vea+g4hMTMLri3sSstlWDp1sHkGc4uQ9FZFeR3VZ+OTZj0Tx6F6n1uMuJib1n/IxSRviXD/HaDJp qEAKlo0xMZAakBaBmbXZoVpSumtvpeO2UJjmbXCBvumQQsLwc56nOldqdvv/YQonD74KsKxH8Obn DNrYwRJD5I6UfVupmsU+v/J7Dgt8mualL42xd6QuksvovI8/YMISiIg5XDzg7LkVvfPDacGT+LOJ xth+TPYtVx71ixSY71fQIBIxCH91OQcXW7RbW0FkfSJPBO9YoTF9Tu4sen+AzarHHvyMdlncvl6Y Ltl2+m77lLKIOkEhLN0+EVKEA5kblxcKDMxd+EAVgQla3YktHFVNi7M8HGKIPMpNHf6EzW99qWCA vldK5gBrNMU9Uf2bK36AjTjnYponAgeVQdukrvfTz/CaCTdB+IyD2jvMZQVdZlTVhySXG6+VB+hV s81SLMgHBo9lmkssjWpgZ5T6gho8xzUTwcG0QO7rN87xkx4+5a12rHuZ9SAcAj498ild44rynDr0 W9Cx+4zUl1FVfUb6s1ikh6yOz/UaXsYVnIo/tgMpt/JnWtIXysuvLWoVb8DcCw0QsLEiiLVpk6lY 7OknkEBF7OB7d/Vtyy6s3jz/o87ateeT5PDik44zm0+6ELVyVA/iX4geSnVITm5eV+pwEod0BdH3 n8aUbbGsfTPPNjkgT2MoOj7VOInL6Rr3gIZnQrDXcl/Avr5W5ZTF9mtAcXxGtrkOejuNqrjjWJKb ybske9ceM/gNP1nv2flKPNLaYvlSUg54pkiDcxa43Y5LDNx8YbGTTD7QZUaEaWO9GEb1b9Lxb8hT NvZ8XYD4BWtlQiDMiOvSoYs7FedwrYqfPJeOfMJ6gof3+WaxSRBRpDTcUnrSZvWDap1yh8kdSuzl qp4gDs5+JXEzaUStd9o5+/kPrYqGFiHJrmD6UJ4g4djicVcBjHi3b77bpGsiH7gtMnhDV+QJh1Yt 04q7m6ETZ9zNa1YTolWE96r35MW7NyP52qatFzufOLbVlrd73lYfeJo8FDoOL8j049foiMmbhIE3 YJW0hVIBFrEN9bLvVP4KbWGu269YXJmoAqy5tUbFo4D+10JinLTweV7wolt8kbYwSAb1H4X+3lMk /MYzbPlNOTzwb8JLzRu8R7cPiF5rJDE4Syw/XZsKIJzuhXqHgoh5DjVCA0cJSULb7Y6KypLcb+WE bcN2g1XFdlIN418eK960J31Uwhg8kAUk2Ko4BdiOCKPQ+y9/j02jf4/6leumXUlLe3hfQe8RfwwB PQC6HpJuIivRIxgb6wdFN/6lwqslyqMrA3F0gEMLnUvx10MqJq/DvOv49Me3HG5ysQDFRGFC8l50 4V2EhxrTAYg1h8cq6oUJ1vtSabkKYvE9Q8jxa57ii28OiAt6U3RdjzTg5+ZHnnvgkgCHeFjEemZh CQw5uIuETjZ5IYhNju08CICutPYoakWgTxI25NLBTOUqhkSVsVAMRzhqBiY3xNgUinqya33qI7HX CPEknkeqwhShvzLUqP6+iyLmAACsSMAzjCDLqVwuxWjtARNdbPbv738tDdDwxYysDFlSLBHgRXvN bds+6tKWDVtxs4oy6Y2eM56FXVw24Uwgx/UDHzsyGrO7biH4qnp0Fpx/MjxB8eO5IJnsq+GZ4QFN B5ePVaVWCUS/AcotdFs3Moe4Q2EJNx3DmbCongDjLp9o4CXQltd0tMdqxSB844sMr2sCVrlnDFOS V8wwApCNuhNwS97Zpnon0afGI/LDJq1kpxMy445JsKx3x2b/gRgHA6Kpk07NdBsQP7XktgIvCiAO soZvJXcjmNbCLITGspik50XV1dYeUx0JPeSBKtVMOLQDwzC0FdfbQ7AoBkLKRZ1DfT40O2AC7XdJ Oq6dhwNO2/yDNkWmaEdFOUGw4Y/BypRGp3z8J+X/0cwgOmgMEs3kp5aPZ1qGxgmaXx769Wr6VdEn eK47+xd0mIhu1KeAHK1fpieXq5G2VlFe2BhIxEwv4Z6jZkGyrNmErNaIPGnKhnAeFTXkG1IQjm+z U3n5PXxusvVYnO7bXTB8XcIBxamBebuVpNJS/gIviuxLjqo1HnvH+XMpGT+yCM4qlpjxNX1VqmG9 4hMwNmnDtISHc3iB9PBpNbOPUZcytT+U3939cBvszqsDHYHbQIiCJSAQvGrhU5zylWEl5/44oP1r zgDTFaRBgslKngoaNXykyy/DQFjYswLYdBYg2c66wSqwOkb4hzJEhillweoe5NsOB07VAsFMQtI6 UsrgYmXKP0E79sxMGgxZghVXoNeVxSFAhSnVfB5azgPEZBwgtZbiXmjuhK0fyMSBo+XJI7W2gWtP DFXTjijQdw00NR/sWVeeufu6MEnyDG3xUVn4wicqEvnPM2Qs8gyVuTU288dA2GEVJ9LsDIumrmkF AXUNnwvf5avgckTiIERgxDBvwmeAThKb+j04/VEmtYoA/6H7r4s4uNuSFrXKzTnBW5R5llTmukFa nhhFB8+ixe0uqDYgOD+Pgtv8tXqkwBSyiRKLsMl+Hwzn00sZsiBKNSlYjgaaJN+fC8ybdx3AB1Rf hTElPb1xCyBoEAKRCqiYPEClo6PjwvYQ4GouV6Z0HhX4nkkaZaBnvvTYN+L9UaYz128TwH/cQb7f jyjUzZWpgM6jk6XG2yw74depd/c6FnV7RXKjnWorVKRRBUv7Bj0Nv4+3FhTgetgz4DRqVC6gMHh5 ax6NcJvkiZLOSqdbfWaNi8hADP2y16bpuUZzsHXnPDnxYfQEQpc9Ju4PCgprGnY76T5A8Va52fXO hyEqPQGrqHTAPs4rB30IcsMM7cI8FT/ypDBPsmSQBstHRWsv8+waf2QPpF9u70bq2GCkD9SgXaqw BlPt9lkp5/onKGdQJjOZBQRhzwBvhZo0JsWAbLnCUfcSu5UYvx16Gwv4lSrcuGDyjIoSl/9o2xUT zVnhLCLmYLYl7nLrRklHh2zG75xKdFWpXKeMNkWKd5L9kyBmd19b4KSuC7ZkHwPvX1jRx85+7nW9 LyE4Ag4A4cnumd3hSMjfzXynugWtRnapqp9DQDpaVzt14XvGkilf+Fua/SlVHf7ETQxbUjYaXZk/ 4ZZ247VSctAhmg52hes3fi910HHD5Jhec9akl5SPQxWuaYr2+Pg0pOdcp2qT/DSOXTta+Ezj/6aN Det7YCcYWvZYI41FZ/EA0oz9KWvINNTNlAafem+BwuAPgNt/3Ma9Ztn0PnVC0FXRmvc2P7aE08gW 0sf0dsT4vjG/o61KNuvPO3pj92jcn17y2vX4CMvVSr5ovA/fM8SADnHbhA4dQg+WUzVn1x9JFATm 2q6l9+68E28dGnD33ZGktomAHkDYDe3Ix+W4+oOSri0ubMf+JY45JJXSP82G9Za4Ew0gdhoVqR0H FHFT/yESHLyKPZGVwqcS4jquo9+QLOkzguu6hQvRQbne+Jw6AWbImpTYmXXNwrwGyRyrJEPprIuA 526VDtxv1ZH5+46qVcJxaogUpK8YFkyvZRnHl7ECD+2JDsxf4/Lo8do6ryNkxDlJOFg2nWzooUR4 C+WtOs4mteggEHPXWLQ13a8JI3whbLBlwMeizuiNu3DIR6DrlgdtngfPFfQM48xBIu4GWqs9xNxS SompLlK7LXGtBECuwl3ylXbhdGTX1ANLPEu7o4wil+UMaE7zEu/qBTmuhMik7sISbLAsWToe3g3m svrH+Q62y3Auwaph+jRMZDfkZUfp1bC+ZxZRP55qxOr6BRDDGe6DRRD4DDqHDrNKQ/nWIR95BS3h CCMx8VIiIXY0pAmJbJGA7MY2/qhKmBd71b6tbz5uMxLNjiPv0y5NbzJ1P+AFK84DXT/a6XvSGBf+ Ykju2zIDKaVXbtlgU045n87H3ocEn1ipTqm4VA5ZSYj7pUlPCAcD7R3qLRZBcG921fqaVxp9BXly ocZhJnLJfKM0hGELETWTz5FNl6aCurx/9QMeuotYU5Z0ClqAtMr3rxcyd8DxQn9//UbszMn0zZDH x5fk5csBnrSR1TaE8nl7gcPMDA47nRsWLgH6x+OfXXFEs/A6qTGyW3EmBaVkXGJM0h6Dd1UadTZn H1C1uPF9wtZ01fvj3Y4367FecJrqhKD2lZ63g8BqA0L3aTq2Ql0b49Rmcwnk+C0EP3ZPIFcpDW+w JOUxorkrcAU8G7I1mgU9bGRyRLS3cbN0NjY9zOCdG7sTIcoFi4CD3UiA3UqfLJ2g2qb6Hw+FzcH3 dqsIvW9UnDyJrYS5+fZy7aOc1DPATheqi+Di/p6zkFhImdaMOPdPwcpfPPYkFPyhGz4FIx1IzPKN wysgAUPrOHDiKrc/y73YWT9KToeJEFd138yfshr/byyLn+ifKp+rb7WJQMUeSp9YVYH3WjRirvtG XMFeTUMpUT+JeUQsuknZOTWQNnKtvAXhLM0/5wJ8ADRw0s9R28P24OjkLt50J8kZo6foCYkErdgB 0ZBcRGRYG7yunQWFDSTv2nJ48xIbKkpv3VJ7qVw6CJQsuZaWme/gQibPOeJ057AVWbWJSqHAWYi6 S9R5EjHTK3SNYSWvszxN1+qr9ELKzlANAWm9AGOhRc23TatKQ5hAN+0CHNol4NXA1zG4ATfR2o+5 YQqupcDgCmd8vr5txIwSybcUdS4a2jSlQbrf2rRXnB8Ae0+f+1m3hZMQ7taSN0STYJIj2R5okjmx eElVmqEU7R3w713OFdFY36bOFiQLZK7942o3JWrhazN8WToz1P/L80H1aLIoSU7jDR/RN2PLVd+R p9NGkXGGMmPVaKYM7cJFVo8Aac/U5X+IbWD3/Zha1np1ijSkqQCiYZclXMdDo8kC1ZEjunmZUs9D P6ZvWMmRqJKeh6/NLgKGUoASM3UgN4tI+glNcSBtkRtVis1t732JzsCD4Jsk3r/ZQoFBmDleKhvG fzCgmLsdHNb2vL2RWPC5qDTLztR0RB1wuz7lUsRHeAZjtveXUOiMOnYnrZ3kYX4eBYGz+vTVxC3F 2yo2nXZbms/Oe0berjxcmxpoxl91lBaA2YeY2niXtK9qRimilqTmr003/oVzTKwUjeUqhevNAEaT fLDyT1+j9x3o+9NmPS7rAycWrFk9gzaO+D5pqSeuGVnUbbiZYnilOhqR8QtohibRyNizWJNOrf22 Y2eI3gall/5A9RuUceeqehTKEppYVjmg10uNBVXdx9lw0D1GYjUFuVHeLXWwztSaz1taAHnT+12o m1XZnh7d5KUNE1hublyolgeeVrYjtr1TzxnbIDACuhF0YTZ6WxV+m934f0xOJsr1vGBP1yHIE5Io aPQ2tzTG/YPP+CcBWfyrUvC87sYMb/QKFMCb1LS5pUzyzK87GwdAGeHgYbONW7QHtph3e6K3SnPZ ns14u7EaEunrau92afLmznjAye526ciusP7ZLOqqdx7ZgPF0aSPLDbk7TC++CiRgBeVgnzIK0mr9 gqWmfrmqGzLszhl542m9pJE0k9uBvVbRQunKYqaZYdmw4AaZt+rZJMPZIiVgunpf/tS9scPh+4eS HCJ4HjYs1qVzvceFwaMjyI9Sq5ETt5hr2L2lWYdx05/Eya1JtFElF59V1J3mgOv7+hStYzWbbS+9 62wDL967jW6179BPxgPnaQMPsNRyxc4vtoXoiyhIVn53ryZ2nFfz43YR46osl2EfcGsKooEEZsWy RLXJv1btSw1ndty+YaDUG5WwjdLJ2DZNDHZpteddH/j/lsemGI3gxC6CvIoHpZUwYbtwFVtEZIqN itKYKiirh5uKznrd+JMyXA+wajVc9Sn9H7AzCtANT2Ob7zIa3mx8cEcfCvzv9AA8WyKSs4BIaffy pJhHwDLjf0n9AmE8me6n4WEde1fLqv6vRQos7mxyrIp4gFzWnpWbSMqsbs3Fq+fDmgrr5RdcMb9S FVpP4uCzPXX1pkGFY+9kDhTGtLEtV7HLcFOQ4mG/LUcikeOFEZPND9KZwnPcg8MggSVE8zfi/kid p3zKUwUaDViuor/8k2SS+qs9G+htG3vAjwlnvv50T0nfejDO5kD8cyZs0SzK1E7uyD0lJM38h+vk 3BwddGAWMgppWGFtg/1KjH70TKuJ5ctvB8LzgRhDQ2qxYtQ5mi0iYODptHZGZLHON0kcdTKCerQl Hnrd9SbmrQqSsVyp3/gVVuA2Txhw7luFWTuQCXFPYUi798U5hTUlp+4YXSIaWg1PmP1Bh48BU7mM 3DSaLX+TfkSGSdaUAKJI9Uw9EvkkVk7qDf9CEc5+SR/t9n0rIwI63e2XZsYbW6FO9VAl8epLgsx/ u6bMy2jUEBqBTSha1sGmJ/eobmNA7cybU+HOtrNj2G4+dzFg3vfGwHO5yxFHmAVEIu+6qHoXTZdw TD9hgB661C+/pTFPVKPSOOnZxxMCJ8CI7kSV/a7d79nGFzEDeNxntIdmUZGcEBIwCsdC+KtGUl0y 4cP6L952cqf+sRoiTYA0jkqhmNp+LPhgAq9YvWm24za0jraXKMv2+keEi4NhmhIF2l0N+rdeDEnO 7A1cZmLMB8iDeRSxeVbDmUNiAUC15wO7HfwHsXydWaE45eyVqQpNDHn85obbM0qllNW/Z0EeeNzC CodFntQ9A4Th7eH1+nP7LX43JcGI3F+WIJp3k77hKW5HB+2jJmn5LKNKM1UhKrmnhw6aEkdb0yUh A+kO6EpTRC/MCfS5358RM6ZKxEA8FJehUis1CcRIND6+O3CyuS1gY2sh/x+sQUF9SVChMeDS5Dfq ONp+DoWoHfJFBblD4w81Txa7qykH8cn58JQe+FqH5lBwL2BScbczsc4fJZswA0HxnL5nrqAW8Ngw rb56ehNjfg8AEn43/HDdqIFvENkSPTntEswPMXWlx4MvQ0/yw30NUUJikI5EZidcnzQuHmmJ5bku VLZ00FNQS59nPhH6tAeIHBFQW1ujB/UnVCo3AsOA2S3LgqoX5fihabrsUSE2wjjspXJ/uqg+TzI7 pjdZh/hcaP13dnG8RHmpgEWDJGZ5C91Vj1+iF2dx4GdkdiOBkC2P6Pu3aLcLHTMK5yGowivfRLzp IyX6AYkb5bCgjNQfxNchhIx0d1ak3v+LlD6AqpfGsD3CqB12BPUrBaOkW7LErYzQRfWyHAS41/z5 D3zVYaRTwwHN5H6qDrwpcIIAOoAm1qhFcGmBVoosE1r+yD7wpjHfFd+vdyuaEUlmtP6XmtoX8rpA /hCVkrjNkenbJKIf+AvSWxhnXAzvPXGkmPuRyGK120kkhEddq3fbtvFbLJKmH3ADvPvHLGrKYaIU gYoaphgv3tJGKOBlDfn9IbeYsghmeEN0FtYkw1pFY5LpGP+MTZKjdp6kc9VORrInJ5o5hvlUEBlt scKQM53qhrUwQ7lh4Xhk1TuePuI5S/BXsZMHTaXu2FKzcWAS7DK3sYjXObgad6eyQumnLRVkMKMh J6xj6/ZisL03Kk7x0jYDBsnMon8t92CUE2hb72tOc3+WfpcaCnD8FYrFfrBmnwqx8+1bjrbfvMRe Cp9GF1SZmwJUmw9wF4/g4o2+hKXNROSIslo4WTpjGtVGJ72ZT2XMQbHb6w73YOlz4l3uKekyj+ms ID5SiKRIhSCFonZsJBa/E/24cV/v6j3IU7qGJq5g3tg3iG8o2wQnbYlmBMcQloLAH0x1VLul/BMS kTRN5TbXW7n2ifgb7IruOj2J5mPA5AE1p9uGyvIEmySfuUH8yjL5tMhpVSpWxZvf+9+wcG9JA+aC B7zEDwWOoivOQMk8/LHQvMh+YZAdQaGNiyHCDrtof07LUcfvTMECF7h/uWMXKP/hLJj8bhG4Kti1 Z+KgdbDoKMLN1V+VWkWcDtun8sRkD4kjTp8EJ95K3bJ27ZAMTszRUA4k9eAxwhiszNmMTs1BZ/+V E+xUu9Z5KhocV8FflOQ630kyniDAqyNzTEetVy4wYVdEsraYGsHkB0m5OkBa7YS5ES9hslZdqkcf Opqh4vl2vf7TTCAfaiNHkxkh8kWml0LC7D9ymURBdlv4XcpmgS+AgEutWBfL0IFJm39795Iu8VN9 8py14CItkARvAYbRcHwucI7Q0ShcTwa3PeQz3v40JD0utHp1PGtKEfuhPwTpG+DOBCuS7Rh0tZ5s oW6ZBqws/KnEuwdvdLhzYMujajiL1jWRfDKh7Eb8Op8K7eqHWztTJbUCV5diGQUja1Vp/2/4dput s775SjdOpUhqOt+GYlG5LYMXFHKCBinF2z+mz74BZ6F76oSKbp+QIw9ulFeBQ/6bbTLGwMed5sQ2 bog1Cw1pnl6EWi25OHkOPhtnNHGrJCu40whmf9GEppStYQ79X4LR3gUDX8QuGg64+29u3XauzvyA kIPQnqd8codp18eGkwcZw87540noGlIat9bzRRNTxuu8aTTd9iIQiJ6pv45IowHCeZE2zr9+EimA z9A8UkYhA3j5OJesWTLC9IsJK1foy1SSmJylyq0cEaa8qVA0DFrN4JRE62EvFl6UUdmcKEowDsc9 yNukpEdNcgFsa4DGzy6MW6TbYD1+Vvr8u9seJVnLI6mE14a8ut2o0JaVt3Zgk3QLAVtFFr81Rdq6 ZmDkQpT8vRI6zgwkU5YmrOM5dBUQYzCayZYWTmjGDMgEwuct9Q69izkXglTS0yoIiK9ESvzcdHQL q+Qr5Mx17kEUxEBt3g/Xi9T9UPnRmwjoW6P6Nk38s6qsDmGA9SkBwEh0/T8PoAMWt0bZL9dNiptI RU63u5ZnzAcf2E0tGY+BqEDs+lXd2ittwUxNZIHD9o6ZQejCWB2hT1x01/Qqf+y+6uI9/BTq0XAR Bs3Mv7NXnrFCMclPwBZ0vcfWiT1qSDORteIPEx5U0J0NOIOOlvQD5XmkYYXwcJPjSj3xiJ2wpn3N 6iQsm1EJ2rPhtLVgI8z1vy26xOxz20SRkkvPg5kxTgFYcgPx3igMdcwXOZc+dAkATNej9Q0/bz2j R5T23FGLouGScco0cEUTOXLzDUlTEimL/8oJQtzD0uS6oCsvXvdfCzfhRqDQ3lxFuWgejfA21218 d0tsQLcFN3AYoSW8Jpn4CIWHU/Ei315wAVeAQE6khbSOOLp/o8QiBZfuwCqP/K6XYmpmWmijuTZl /prde/i/c3rXImYot5ezkt+pbPeuK1ODIzTM7pFTaW1L/xqjlOfB/KLK6lIa7Ngj1M2A7EKZzCp1 DkbTYtssbQg94w6mxJNXyEH1QreXCOIXPwO3AaTfQ2dhYyYXfmwJD5zNR+clEXA/5DX39Bv7JLDX cjpViU6RQEssvLMMBDo/YAE4tyJRHGBypJ3uGuh8rHz2l5Ajmq/bRQjhdYJpwy3t7iumO7hwISy1 YrmGPZJEEbvLwHQEEHvfJeebwCG3SL1+HKD0WK4ISJOfyaIJm36bNPo5Gom+1Aqp5kauEKzgd2l9 jaI+Sn6mfAv9eEzWj6EqRMNbvlSQ+a8qrjWHKBGKY01MZXuxzFTAZUjBM40y6E5VSSaT1fBvOtFs joaOTvJCENU492g439yiKDOpNCJY2OF+Cj2lYG/VvQm30hDs/BLSQ/fLM7yLZ0DY9f/f//iXHF/T GX6OsuCwcEJwPBsMNwtT/7EWMDCKZNBYnuqZoWjrDj78O3Qi+AjWeYD8tx8caOqOYYdnJ8S/FLaC MWpzmZ37hi2mhYRyrPhskFtT8h5wQCyWUD7QVVhFKLuKNkK5TDzDZvYuMfifHkSRmW0WVwK5GNjM hv22SCACeeDe9khN+YtHhYphr+oz7bLH1kce0b7qCIRWepnQLmQkp6rfeySYvJGdl/Cdqv37dxdk UcEHZEdbWwGpTxNjJ8+XvpnZUuvNMHjvh/6sTIYnHkgpmLiC/mxJLz0lW0SpHDw3dWUDBPgS7oNw YyM/kB/5c8HTZj9oSh4OWEMIHA/jpdQjsFggLKrf2JYDLEdiXhqKIuuKmTAmC9F0gsJxKtVk15Sq VSB3y3I2NVfdgGjkODh7YOJMIdhf4Prq0gN2BTvqwufgUoph9VJJUm7iwQdzrnrfOhl1KbXyNmf7 P2UnHEIP3k/6c7zOSadIJTmniC06JAvCN/JgUGy9ldSwdI5av/geEzoJ17VP5jtY7aFtP3vkUS4y TNZ4/bp/2mnTWyJ0bUzYHO669RciWxfgsJhHxaqybfIdYI0veDqRkhfqsHkmC5uTOQclNVwuf1wB IbDWXEdiu9HOfw5ffkeBBSQGH9BDM0M3TYR5AsrXiNnP+0shjn9Ty2B2FVkL/vnKdKNQFjxY1WwQ DB19/9xHfyuOrWD1ZcmLQxb5eySAmWtttylrGWN7ikgGlb799KBGlliGTjvIKl1zsDOZxLXpmmRi YzP5k6noNT6so5KcJSxXZVRbyKgdF6K3A7jatHWtR/kfGJnsu+khnP///VtB0qGUEp/sGohyxQuR TQA4nfnUwKCbe6luywTl+V7UiRh/sQawbcOlzvijqJw89Yqt2D2P4zZ19VV7tg9eV6uIU/KfHh3i vdHMeQsZGUhGTTOmzGexFsXpyGrOsxgcCIfzvXbUY6uIZWH/ynMxQZgmQanVBTGv/9yU9NWg1Sli SgTbSB8TwFY4XTks6O7/ZMDDEcw2HUcIM1u6/A461D/luGHKrq8r5HrEN3+PnC/YpraByRxzxV3v PjokAbXLkfzY/Uj07iOhFB2xsPfLt4GGUSMqPJf8m5RWjTXllTWDNcLhoAdzk75VQGoaOe6MWMx+ o2H58MpYXELMcSRlG9ErnFHukZQRDkUlUsNMPRDEhj3BmxeLP9UzFzTGX9kSCJwn1jc7MoCKjcz5 h/m9+PKISzloD1kmwCEfkwv1ZbxQzVJBKBqx2FrvcFX9Jh103pZ/oltAOBY8RsdVsMziyXMHAhCJ /14PdXm5jJNEYREKKl5MaW4I2s6dBsNO2xIr03pXn6HXYbzbX6qtnaoIEDtVFNiGH6NzElOigAvD NMTulzy6t37PfOEq2dcR6OZOo5lsVAd72xEFfw60Twg+ii8/hC+WOrVjhXflvM8uWsp8etxxSfj5 7vv3DODi2ng6pyg4/yZBAM5CTlNtnrqKdj0wPWuiWlzOScWHEV9m5Zy/fiM+oDpC+fDSQYEGwdwm 0htAc1Z+cwuHyU4N6L8aHfNDoaz3J76s4j8jQ2aXjD7Aeyx9WlndI88Cgkcykth1C+CrMOK+je40 BIxrxN0mMMtDeBvszmlDGmMr5ycFmSYHlCdKfd8rluaa4Vnr6lw6tvaDgLc8SCRlQE/GMf7LrBua KfKWgRPkFferzOqF9HmMDAL68hENWHOJhJUXIAt2WxuN2uM++WggecT7X+65dRyXS5qLvrz6h2MZ Kbvc1c3rq4yhridUAVRrDICwntEyC8ARCSulJAdOHSniQU585M9nSBniuGNZyObIxEWqT/L0Iihl /PlbmCQk7pOgdFzqDdYK3r2V/ViQdAa1P3YCcw2qOW9fl/1qH8cym+0wNXKRpCcbdpXgfXFCKnWt YLQ102v2vR1opGLdDiSJzPhKyUWS14Tl76nyNs/U32i1T/yUpkj/cLFOTyqqfr7muvsr3gCAfb4b BZjFagne0NRUitRMrnRiXY6zLLbhn3Ca5m/pmOu+ZlCJp5dlSCbtV/IPRnHoAr2dS3dn0iAIyvbt rDn776XASIO1s4NPJoeeMoc93u+6df/NNLutCqiXrJ0Dt4/FAfdnVrpZAIMrHDYJ+gFkterbo9QC 1Fp5cO1Vo7K8dppmOHj6BOR961e2ciRHEGglgNt8ddTmWWwUYup+YGgehEgQJf9MIN4v0p+HwfiW 8ixafzlC+JySxooVt9/tKKCoDgr238LDKjRLsYgcEcgnXu/VGQ839g3pUAEhvwSeB1BKemVPtTXn 27nONjjzDpq9kOGwa8pkZxXM2+kgA3HufGI8wY2TTe6IL+mGDspGs1SeqvWDVqYA7fsrKH8DmUI4 FlpU5jx3VpxwF2BGC6e5a/4k/HfQoTfkxJVXivc7g0q9L2PdhNVx/RSqdr4AsgIS+JKG5fYw3J+T MjOLd+1Laha9xc/Yi2lATA9c6L5DNZAxtlgZ8b/5HtEiE4w0BYDHMRZqoAha5KH2lh8Io1CPH+JV rjd9eGemIyTv3LO6YN5PooqMN2tVX38SVipFW0Ztk/2aahhwz0sEMqqncli9sp3PE3zhOOyzLsBR H3pOW+K3kDKx7W3JRH0MMwo+cbvc1JIgE9sDkmyyijUVSaLaLhewiP6erDRBjPYdJfHoPaLfT/4k Gc+RK3ouz9dpxzRiPcRUbyuDZt3FxTnot0S5YdaA498qsmf+hcZzIWte58GAiIDMZR7hK8DrUON/ ao90SmO3KZQJhiuK5u1GQxkx1pn+4jbS2EaxVI3B0F7qx35nDUvDwCpBTrhzcQ4HYPrPi4gVY/7A pZvih1YS/r/mkfZhFbdGQZSfyX0Jg+Zaibso13Pq40MTBfK4TbQJPn70CSp4EUYG31QQtixWqpNv EKd35UfSc4Kw1T7INRFIdn/YOV4k58PgsPKqryo3sud3JpOvUciGG0SEAbwWY6by6iG9LGtoCaEN oiqyLELJuXtbyTGyTLtbnSq7mEAkc/AlWm3QFDpmbLAYjOFFT82B/QPLYnnmfSFbszlfQZC9CSJ/ aldyc8pqdb+mpzPsOiCaxzEer7EsZzABtf2/R9Pot64Wv/LDo1oD7cQrG7Gx5CU/NUwly4DSRFVg 3sFnHd28gZ9JluXOCMsZt81LUXj5Zj9SZJ1S9uK2ZfAitEgWPHgVjeaCemjMyBWC/7aJ9LnYqnD8 Y1aCHjAhrJX1cGR2Zaq9LQ0kyfv7zWKO6IVsV0Yb38QIhwZ+7MB6OwaZvhR+rpRZVCdorLKgZ0yS gtMRiap59JS7RGdNzMuax6JNv5s06+qfrIt6RJlibRDZrbitsgsfWxm3lnBdCu6fOlYL1J9/bgZ9 jmRuOOsx+tD2n2+eQotcBjFPtmbBpdvYFgGu4KWkN0KY1nqJ6YqKQePVcjAH9H4YqTjLGt4zlNfK IQtSmKUcF1p2NXcEPF8OeeSt0rrGOpMXPodBpxkD1cmue6zurlLPFBeV8ZVpZPI2Ztj/u7sYT1lS Jld2T+WYokTyrlS+FjJyVwmBRTQ8/3FJD2ga51UJaTiUiAicbCvyW45xwySkUCkjmJBg8CHDaTxf pQgqJvrMuNefJDtpcqzm9+Yx7luncOM5gd2n/SVIyfykvfEWheTZInwu6VqvKWLEsShEO/5lFWSy njmhSl3XvPyJFfoo5Wc1O/a0bZf9uzSwTw4AO1fPNJNXFoio+DgOg4rrenstUx6TfpipvkCKz2nT vZgI4t8QbUEFBcd5k5qFo5T3ASPJtCd+/18gTgny+agGek6Tk8DnpzsfDJwL9BzymPzgzD1/aowu m8tZ7+CdrDk8SgHxJcRcWaIqVFAwADzJ+tHU1MBtH2F2RbhCSPa5LIjskZ8AIipnuiKlz0Q6zYQb ggSxzgPEhIFyl/a3Kyoh84EDgHc+sYCvC6tt3rEOv+hygUYxHJ/lebRhVLKsaoHbBPmDyZ16M8tN O9dOVO2Gi0ogChX3SGhUh4+bQ3lVeFDoYnZvbEHa+QVrYK559AUNxbjeIwjZ4TEKzZjK7ZiwNaKz 2RteCpEpi8DoohT4ST2duq4WGYTibXos6aMvdEE4MEzmagIRR/YOs43EOgNJsLYLaxYKS1yx06oE g86tET0U9deryx5x9gKRO14BTFrz2uY/TlGCQ7B2oYnEl4PKVlbJN8HBPiup715SmPNghbx3+2+2 /sydiBD1LVex0PPy4X5bJRO/jbWreBOHaimws1QjbzwNFTLOcUJuVTSMsNqgWKVWtHfPu8/abD0r FM+22LQ3mjs+HrvxglFGFvbTzQZ8AGV3s2jm+7p+MCAl/uELE3I4Rir9lXl30RXWoYpKZWRiXbHt AQo8MXbSDrPcKeJin+rMIzBfjuVBuCAtCMNzAOItrn2atOts9+Zt+8bmMRY/imsfmohGeZy+shNW rUHOp12v3AB474f0qi8eKQ9a/wcaIuYNdrK7bmwvVvugWB4rTyix7d74XmDTGsrIikApD3LCUWTs taxqijwuRpkLzjGbw2P+4dITyK+lfBhRCPvYi/uSc5LipbsjqwKNvZv6JChjHOkgSxmo/lTaJJoz xXtKtMaHWLAcYAPNUpWjG9lC14Rd9y7GHkx4LmP0Snt/VfFrcjDtaiu4s0YbMKTCEMv0WnVKKzZA bJBSs4zDD8uv0RARczr+SGKdiOkHC3QorqmpOFkA2l4g8wYFuynYjg68FMPiTeVk2/DLCnTt17T5 Crhb0AHD1xPH82Ggut1s8V3T37xuUJROKO/cjm5goVUu+9HLZf56ngX/6G23kE6rAz8SaqxAzpRW u3Uz4waRSenxjy0YZmJol4jDdWDw+078A+Nwbw+Iv0mOZ93a7cSzruk2a+7ddrSCVr72iwWZTLbJ TWNrzuCiqkEQ4hK4vJD5gXzO7VwQTkcpGotwUeX7KZCzjCiztkHBrmwNY6cdbFFocl02nnSqnoA1 t/6BWe74OoYneyt8WzqHB08UfSZRNUGGbrlCxgFvlxVuHXnQWYXAWr3Yo4S04Pztf6O0qVEz2fQX 6GQotdgc+4P/uDP2cCRa8btxnumGISz3sPLK4J8wKQnMCe3jL1hsx1PIVIxTbzWpgoucNHBNG9vR bt1DNO5JnQq/hMJWA4Bx4fnHlTnn+CfweW/F634Qxtw7H+K991gj4Ygps1cI1Kh64ebjDxENtXJp ZJXcr8cyHRX9Xk241bohpoZt+3AzT304t0KayM/v2T7cKoALnOyU6K+RsViHpBdXmcZvLkZPlU4t ytvMQUxMfP3S/sO6/ASA4bGyQhfuxH52TqTKIM1XMwsJR7rVXAcpPPX7PfHOP24cf7xBdA5JttW6 NWdSiB3Jczbpvx3r580tM2eH1xY6ujogsf6Lzjx5bo5IG3Qr41o/JmfHFISyi0LqV7XsaCceso8c 9mR4SBvQ5PGrlgyl7bJbLn9ZMokYrjMICmkMlHzXh601YXXLOxTR1U5IjG/+Z3ShBMpT1Lpu856T 4nrCFHtBMUIcXSLLgPo9xEVPuMn8stZOWf8EIlNfAwReJFUL+qjf+9c46trH0mNOOThq3Ju4kcq0 o8tG8sUchZOgRzxcDpBMsu4EzWDO2BtIUjF/tjVxP1CYy9NP+ObPRcdr/ou1K62hFrV1HuCpJ7Lb ygdpfs4hrjosUUR3tz2OZtV4KXDdsYztz1lANQGBcDksN/vOVKiU7CETb/Eh/134bvExCC4Dxukq iLqk4Ns1TnfSyRaqv+Pc3fWWX5Ib1YEIjGY47wSTrqF2y6wx8LckBTYdT3c7cFXtFMazS9nxQbtc PkGt/dymxO1oIPegwXGY5SoZk2STZ8scOcB/oMKV84UuJQdnZ0adwqH4GdAxy+hHSCGTzP9mJpdU m5RcwNxEUQFJKPnuOKleTCoVvOH+bd3KJup1Re7EWpbyLYU1a7z3+PGqM/9OiS5t1EQqJ0oSxRf9 qcQtHuV1AnF+RPdrhGElxvwuen9BFb80F6D849G7mvelI4Zy6h4B0jBXAAHu2+glRX9/8P5YErIG DYLstqgSQaOeEb7J+bSxBNCMb9HCgrDAAB8GgzqpGDqSF5gtGnhjDdhAc/US+YF0r9PQI7VqMEsk iuHW63UAFfFTvkcWzq6Pwf6IbT3MwtXnGKGMAuWlLZ7Ns3u9C4290RlFA0fJwD6zFSRuBF5M8oGl +b65QA1CUeinnyZnH6IPa4Ldqbu1StB5L/VnG5srHkY1Iet6yNR3JhtMZ9+i0EVoMFbIpgQoY7b+ O0EfeTcqIkNiTSJZiRRiB9H8s/4JTw6ivc4aTpYnPgusRr3KxvtZYxuyUG9zBWBDo1EmgnTmfEhz 8IJ1QYjVGM5vQEwKJVAMfv/tNijsg7QepruOqgJCbwySb25mpgWi3lv/DP41SxuooPAA7Vc3eJ8y uJIC4OjITq++frLaQfwuEGtGT2xs9yLU8JLoWv1/VRB2RNIxgh/3DXJmLQ9JXnN2xYs1Kg5Sp/7d Boe0Fodn0ekUxKgBcf6Q2vJ1pXU+/LRP2KnaJQxjXtK4xePrEbzA4NHU1PPYHWKd47wA+uBwQq6/ nnlkf0lGOsAIQU8WfzQJutpE/3LHLOB3ZBCAdWwtWm7UxFWUrXX+8QHlZi6Wd8bkUklrbTfgYSZ9 4S4A4mUIIBlmkmBrT8ZctOKOkK1GcIhlOqGqUT/cJFCAK/a3Oe2OEGhrul4JxiQO4+DvBV2NkPcE Yjuw3aCaSrlXLJ3Iv0KiFJsprzyQKg4u8Z1EpTlIU91OZck+LopTjyeWvm1ktIxrJbQdHjPY4Hgn 0qBEQb6N6DZQ5dvNOGIBlKY3XIM01cHYdYAacioLqqaCZXNXL9vF+6rZAQz347IePswwpNuYso2g BS7WTt8Kk7O9tJj7qVlxe5u58J2JxKXmR2zn4Q+nO/l5A8bSPHi/m68mJnsFQW4VHqDz/1O/cvqE h8ckI168+x2tWc3pS9gYXCMV/4UtcG3lZ9h7sAnINmyU0fif3IL48Jb4EvoPJtAMKwB+1+Y6kfCl p0wganyVz7RxZsCkztJbvM659lY1EQ9uxCc+6OMc7y4EKM5RebhdXI9NzWoX1n7pSADxYHeqRC6A wOQX13klI7IZKmKiGQFMjN6ikNspRjvuw7qgp0vgIyLkDHTCDaMfWS3U4VEjuAAaKXz41+sVOYj8 THKxaXbtaaQFWU2/4ryrI3yZ7CS0ffHo8o5tQUPO5e3AENnFpidZAYW/fAo9ZbSZOKX7iKGbOl74 VJIg9IMW9Jw3Wq+FDPjbdjOSFuIPh8ObzmDcFc1olcvCVsAEix31Ly/5/qu8vamxGwjnN+19U3HO yOQqZyf8IO86I9Cn7o1LRn0XQxXGx71yhneEhQJoxzM4ZxtxQ2ZBsj1rUeT052Nu/hggZGq04Mat wyF0JtsOdOHD+X3MkUfd/dVHwZe9ZkOkB8XrbjlodhPCMe/E05mCk5Hg7AUYJABABPPXDS4Xk7BK yzy4EIZUkI51O9YYLndRCBIWq18qB9O/kF7U8slvDY5swgAq9TNlR6+nRpcZWswZK8l5ES3UcZ4n 1iOJ8PV87i2dcTeArfH3mQ9nJtOFTOtOi1LImvLqOkpaspf5KdqjW3mYYuUMMtQOwxX61O5+Yihz 0LP1n9XTIgHm7fhDveebdP11+IR8uxFCKWmYJheq6MWM8zS+JvmlGKlsXs05AXJ2FhWuR5eyIuE0 UAoPjmZ8k+0WzYxUuBH8IavRq/aFGu8GauaKXpuwvrwRVDX/fuJ6ms8l8KXNnUBKJtjtYIfbZqWy 5tNAg0dQgIp4t9GREAf0NsMKnH4YSc1aP4Fn6tvUVKR02p2P3ZFGfduOsxkJNhgSRzuXQZ/GWtpd 7iPNl1K38R29GX7oEhc8pV246NB60l1jDBEFb/nD0r3B6dsCe24OGUgu883thwm+o9260oso/gif d3urTLFw+pm0VjCeUpUth47R9CS1mEryH4zItxPRyZgChHA6EfdI7RKzvQsiqnEl9hfAkXUwGHok VKj/kbp25dA9JouS4ont0r38ocpc4Mje5XMD4RHfGo8AYKnaCJ1J7k/KvTO08McgsorRpqEHoXUU Qth+JzeXsrvwvZAOYfzqQlpUcv8lW4z3evYinvI2uhpOtJDIF2zFl5m2hLYsmtjyWS/c8GV5fxOR Gb62YC1+UxORxGbVIbgRyehr51jVKKfHig6Eb+4Swk7XFZvLLrctbRZUPgI/x5Iry/22pO+TuKFZ SMfD3TbMCnNBqU6A7c+xTvAJnq6SaYCPJCDlCLsvcpjPfiGVPfi5uZKrgSxcLC9mHeJJDhyb9zGi r0waQh3GDO3I3id2IFO2rZCH1ucXpmpM8kb+L7yRo6kMue7aFcRckLe2tXXUKcu9bJtDmnJsS37D qYIOGJ1yhUzlcSNJHubMAfQiMnqdqP6+VHyAu5UCZvqP9SnbGDeWJ6OfiYKEF95zgxMkVi5tk9Ac WeDpr0xmGYaJRIw0Abgrm96T90FT3q5PQMC4StNpUPMCLBFb12Mq4/HLCAlHDQ5DkFZSMEU3ZgKx Ufo0P8gI4VMZs/x8kaj4p5iNtIGeDR/F51sO/3Y8R8M3mScad1QsiRe6sAqsAyw6xdA5FEZVaSto r/lTvLgUC8RWl+MmQyYE3ZeOv3tZWhqxN1xVr3IaZ4v0zc905E5MP0eoGZql9lW6wR39K/vlGrrL Bt7XeJeHa13jXFAYam0ALsSUiYuyMEX19FKMnagK9VEVq8py8I4Hcl8G++sQPcNeaSdKgT4v5OeV MvFnGzFye/LaKn9FgU4xIpkTKOgzz58Q538wUQx8FbeHqx5ltKm2ZZ9tlhu2g2wbAc0AaLZi8glP b+Tc3CzKO70MLaxh0iZTKhBhmoCMFRNOGuxSbVbXif3lNv+jnZRNpSLdkdXt8qX48a7s5Ot7z60Q cqjb9TpAXn3CrK/NEBEDWT97kKgDeQv4Qc5pZ+Kcqi/hx55lqeTb+Qu033Vx8vpTZ6yuVaJVJSuj fIotPkR/q1BlP154Q0ZWd34KAqrb26Uvep3RQxckl/onCq1dU52FrymFAYNztmvVhKzs8CA/73Vj PMUdQrzAbVyZAo2Lwnx+g/35ZkFTLZU+DaLyQ85SqfyxFIXnRDBovn19GvjJqwazqPcJtadKrIGa KfswJN7+VlCkRkZ81F0Kc7atIMptu+Y1qvw03O9sP2Mt9JD98ofwN5iaKm1iD5xp+aJ0Mpax0qvh Pubaoh4mXpjiDl0oA6opSqKv3EmbEivQtP/IU6qGrEWZQK6sY/BXF0+lehc5rH4EB20/DTRRoXmm 5E5L38rqapWKQwB9KyAk9zUsMdGZHluskt15Lpl64JcYDJ36EvWYeb3myUEW+pP0WFCYKV18ETkv xlm5itSeT9qujhg8Zm+8vLjXnwVQNRZIMF78L7Z9eA/h8kN/Tec8Jx/soXhNcZK5lvEGjqgjTXKE 6eNqGelKKP2jeV8OFJvmE4HF6rjT9UVs8fGcFuEO6DvRs3MD3gz/sGiRyOIKj17qEQt73ntLizRS Jn+1o0Y8/IL7dgJlZ7Rct9Ev1OPLki4Q8cFGjFKEmF6bpgc3ntWzWuiCRBe/1F0PuO+goTv931A7 lUh9iWyamIjUkJw4bx1TbjYB9Ow+i2kliYVgQXNuvEvwAFxsbCdDKHsjeq1FtfBNKPuf4VBGXn+4 tQkHoumHQpIdhNfZs8W7gC4HlMB5iEP8NrZn6n84lXtsqXTV1hoOAwIEuMFOReZE0J0vGvVNxDpw AW3ET1PdG5+X/cBUlzSiHuWFRzMKmV1sG2kLU/NRsk2Nh3iGIIw3v0SbwLABzbCfCzRTcEHlzr82 sI1dY103vMz1Xi3I/Adck3d9X9JG8ivdXVPMna79RPC9saKBSuZTNsOHm5HIHD+DuSIbz48A1rP+ gnrnt7lcq1rfHAicVN46rMlaN5/Niqms2ooh7gz1i9q1nx4PHJYyz0u+jyLpIJ/nfmkfC2c1/lPf w+BM3hKFPjfV6OnLw/n9PimoTYSzzD1Y/O2judeOKvVW+gxYM572ddv1HO/Jw2U7Wq8iZ+yix5kP Fo9/FIOt2K1lZ98lwObJY22KWqHrzzc4Da3KCLOnzsa9vdxR3+CSfgCCJVX3sV00sp+HslRUDfBy 58+p2tYh0g7gBmvKY46fK29Xyp3Q0XoQKFxsKqZUFilC+sivB+MS2xgsjg5l+SjBTAikJGXRjuIX MA44vbX/YL4E8OfiN4xN2tz53DyoTrUCPxPwwDaYfF02coxPADWTeHaENCO9b2tqAJ6kaw1w4uzS CgWI1G4dBNrZff6orgRn524GbAwIDeyMc5ldwuXUWx9z18Ru/uI9STNLU/3WoWYdyRvDtBZ46cXD oHzaCwT7msf3LhGK+MY7bsOKEYWoMZDOWUQFknA8maX0Vy4MDe54w+92cGvEl8yXFzvGDvw0po8R qOANIDC9hemTeLtInPFKwS6WQZlvqsrXOBq8nn0LXiE1ouKI7wmyMNiawEeEI8I+E0kGXsVBXtSu gfQpqfIsnACR1W4gnVBusFmvHO0yR5uP631IGnvmOX7k9kWi9Z6GHikN7SgtModsD9Zne+2w2CLa rdsHxgMZMW1lxjKh7tQBGfcjbMNf6qy3UvntUNO0WyOoOrW+ZkI2o4csY74vjrYTPn6Za26QZG2H o7M2XBTY5B1IR69ACVGgmRbQPqheY9gl3nCYN8uMQJKKldHqiLopPBwK/+eLbPmEu4ApFykTzmED cniKwwYTN+GoDn6oRGjT8ruzUCnJJ6lq1cTpYO0gPdOQwKGMw1qvt+OaylNPF0j/XMQN/FiqxNEr iChvOE1wlZzLAgRGvAVj/H9Ul5Bl3AfyEz07j5MgNeJEkC/vMbSUa6OCW88PzKWJeDNSYSw4hDIf 1th/GMW+OJg1B0ufsbc3ECQP5ZlBkS7wuMkRSYjzfljmyxOGv4IJ/xdv+l1HocXG58DaZVHsRxG5 2CHQ91gMqpTWQ4h6S+RK43UrsvNMl83JsLiLVa827fnnZJ/mV8quHy8x/MDfOAnG4/0myEoFbXe4 N0JWYLUH97pLXnWIidm+V4oIOuFJhiteiaA8efpSpj438jYHF2HfhsBjhMVILhh++9OKfjhSR5OW eFcWBjM94fUprP2ZOt2lcspKidQ5TU+OYgnyaXKP48JNV775qs7lwslOjlHnJ95FVMLzEqAU1cbx flfsjkuS8wIH8X/lY0dksDEEgvzVrDdURnS3qmBR+/aEgZroylWUQZ9lKaKla9guRL2LpuQjP3mu mzdE2wr+HNPn5pWT4E/nqQsJ/XuOf0yie1wt5re/8+v+pm8+ms5p3s0zdQNf6vD0aYmXcBq+MgpF 7YB1YWKK2DQ9aJN/cqwwAp531txo9fBGj320xVXL7We4f/dvHSotl+I6jr3A2f7JWDrtO+mN33+8 RLA+E/Z42CLkCj8gCbvYVNDfaFFFV0mm8cYXfcBLg63VIEMQRcGQC7s6sQ914hswnoIsTb5MAkHJ lb1A26SijcPMUAtl/CI9+TKF0pC9W4jMJbGIGHnb8hrjsXiSIibQJHdWcv6Q1K4bkDnxIz0Q3XLL kGFMj09O6g5EsLnj1XvLyOQso+SyBTqM0ZUtKbhM017xa3imNIHDIpV7oMQswDdm6bpHguy8I+X9 x3MtwOikzD4P7P7m4OHo7fI4U/j8Gc00WGcwHV5w6/mJ04dXGgvR7dvV3NDHqK4EF2l+qWTRlhCH GJ8xqxdg8/cdDa9RsLPHu3G8qAORp4j0IJbb9nrCACBvbKEuzsQwP8lEEKA0K7DKurx+Ix/3vVy7 0vmd3UmBS3/HBBFnqIBWMyNaufNLgRvWaSz2EudCev/xcRAYAQ2YQXniTrqVhO6wQgzmY0yWvtIV L28ZefXP8uvxlRsZNsVCarEMFKzpQ6NK9lIQAU2zt5dWldQ75im4X+FiFQ+jaZZ/KhB8fB+TEf3+ mHL35OYqqP5zQMAMgcfpmvotisVqOaZjnghHR9fAA+Osmjr6aAQihrv13tAL+AXavWPmgWCGzBB/ AKQzh02WHdVoaI5zxul+oWXvDOTPosUFUtP78nE1gF46B5wGKvo34hmlVfnkWFyTU34ik0otKsYm UjUrjpEyNZNWWb2WTvImlMtWGw5L+AQuYceLd9zPgRe54AmQdLM5ttHFMeUHjWGygfrLcVaODOxH kEPn+gE+wcYDA9y6XlL6zqaNsv9h1DoWhQvkj5ClMIch1nKXiJrplMeFKtS6Mj+pN46pGdnliD5y nXVsOIV4HngdsnkrUx7+Rq4ELiqN+Pwetuu10BaC40sp/7PtZdGMcXlyPv8ygRR4Z3bufOzGVjbr 1yVrx0uWIxJbJ8chfVh+mZ8Qk3eXrSWE46ZNJEB3OeGtrnRVbeDkCXBbOSeFgq7K0ZsolNyHzl57 h4IYOrHfju176j4iSdruTFXWQxGw0196rj2ok9MU1y9lac032pBGY9QagHuESBmW9QFTNQO5zfVk ywY47noGeh37evRRhVPhMoWyCq8jrdoYxEeSGpuEb/13mTOGoovT2MEOTFvmFf4lXTJleQWHB5ic zHG0AoXmkdzJmWAxYS0PzW0HF436093ZD0FdnJB0R55yzKbovxd5aUKI/aOB3koQaGNdJDwRw7WZ P3WkwAdk3eYDyUm9Xy2PIbuycWVW7v9LohigA/pLHJwe7gP/4iiD21qnxQ7p/w/H4IOPgp6LfDBn cr/HcMUqNXH47yesHtFtitlt+K60BAqRr6OvOnVI5PobE+BtQlHPcNqrmoQRCVZgKG+yBdmpXxQE +/MMDO8qYrVAfFgHCA2Ejm430/T3dZ4QK8cwIXI6rn9hXaq+7UZkKh8B2R8+2u5QXYK7hghd+1Ib 3WFU98cZLbu+Ui3LECTKe+L20q/0NcpWUNEAxR3Np9Mqzf58jhzXRmORHILP+cHT+XB6l1/LCIT8 ++MPzDcIHGJSRp9qlbfumwnWsqWXzgvFCR3PwJKTGJeQFfGFFB9elSHP6kdNnnWNWzrV4YxKsRJ3 gLHixmlom3ahEG52HSaL25axGhfmFe0SeNqjnIMw7q0omqG1FPMlsKTVAmjsYFzPVYV/hnCfl0fj dcaY01lodCJK0IO9hzTTteQJoLttPUnSf93+apdev36GDlLWmYZMxDp6l//Bgwb+PCXcMCmnGL6W upUZSBmnZdqgzOeCHCX7Pb9L1J4PjQjKHFlvdhhF+Mhbipf21aXpN6Zpd819dKZNmE02nrLXaPNK +Cy5OepP46K+jGjs+1gGvvCWDVSwutZ9ELIBLaFO9M/7XvbED8m9FarnyZis9nsDRWwwuTjNnLph 46EhCu7z2EszbtTCHu/FkqYT0rm1t7W4WyYxkllaK7hRdLlq/ERzfSx89S2/1tWSLM/gSEzudbEQ YT4swq7yzOE8XPrRQ/7R+GqwiRu17qrdT9MS7m6wQ94e5LFMQlPHZCwutPYkqlUrXWtwIzz6MdWe zL40pagQUzN6qQZFhzdNsh5YPxmX9JGhNGnsVuQWWmUa/9CiwE+0QJFDHO/GPGJsjBeNoiXxhDie KqBmwsQ2RnUODe8tufQ13DgXHhAhK2mHcO+InCnU/HPHFf5Li4Sz9xw3nCw8oHvFiYctqb5essUM 9EmUmycRd+GLn9TCc0SQVGVNuE/cZAx27X24qZw0iY9Gmn5+bniI7WVnM2f54NMf3QNai7MMS9QS WObTiDD3nF+Fh+Sm/FV6sQIhStsBDQBlVtWa375tB7dEM9nIeAKi4yH4icwxf4HawDE5gWLLvhk0 hghGDSIaCSkfm9B54UC9go9fBbXRHSX/SKKMt89sojbSyhtUpHfI/x4CAUPPlJxhKM0xzC78G8Uv qKWRgwxT5sUGqBjAhRt5/X5t8vXW5MOvdSpVJfSihkLAaEJHJUEZtRSI6X3q+/RheaY+E38YBVWf lOp0zDd/nhfad0oKP1IStDeJLpPhhUXT/YEH02m9qxuZs95/5Zjqm9SvEh3KkJxxxzaMpqJkJbvZ 2+s4ptibIE0QwB15iK1kYFYa3DUVKMrB8ueCrmwn0y5S7hJnc9imHAepI0+yCH3G4Cvt5zXAOwiF OTNVf8bPzizw5m8cfSdYYeiP7uNcUScUa3gR3yBMcQtBObZTzmz1LfFNwbLr2TzAfJBQN6s0YQZm 182VsW5t5tk5ouDzt2s0PLcc1nqlMNubDR8iZxQ7I++ALP6rB6ylJM7bgs5yQmwuMxHqadsIaqZk TN94yhDtw2qv7gKMSsEryJCASbx4b022VJ9U6542j8yJu29EoqvtHw/reKDo89D/AW1r8w/BaRtB gHgbQoxI8PUtFVhDHBj+TkqGUSqJzY3tfFyFZoNaU0Yketg3MjydVfP9yUogLQiAtwHJBoQyjsUo 6wmkOaRbQvBeDcuTZo03zX57KTdv/9Pb5GwAjPoS0Wu9PMc2vKsc7vJTPXvVKwnU916ahfAP6B89 dBKkEfJMcdTmc/4CRDqez3vnKYkJQEx8j/WHuw6ayNgp/8yPtfUwIWIQLiSf0vvUBphkQk/XlXQc VIRDnmcncG+mwe216u23zbZ2WUsRCmoQK+e4qWw+R8jwpJd8zISAjEHxOLrBDfdJ4ZomGl6HbUTk GMNd1WhsUG1HQx3Iva/IFaJ8B0cXiFdzcIfAC8rrkqyWdEdaPywJedpkK8AulFisofD2FHwQVjdU 0cfrpuSbN6THpKJ85J4O9458qOU/BmetCaK0ZnplCbpzjOEtPGvBEKb3ujaSH70Ji1wYdILpxa5w zBks3Oqy+RotEVz/SdV2bDrGrEAfYWWRvRMYaZzZklOTlfLHe0lYbyabJbE3tYJIyMh2rBZ8ql+D M0IAUK+xDhWohc6lRgkF7DxTzY7IWBEawIzX69tSxLyUp1XmI0kXdxpMNrOu8lWeE1McIQUKuinB BGr8Skzjc63UCCWKbA1Gl9udCFCkkX4FqanovCvI0gIui9vQmGYkmNAkJ/hay1pqfPwbWMfw86CN wllRPlTQ+Lg4TwSY4PUDgdZe50DqTlg+YfndRNGB4NXu04UbaO22C+ejrUZTxbilMDyXhy2maiXg fCFYJsW8Jl3NkWroQT93e340aLwMX0l4Eyl1IhQ8nVKqpJLyDpc6mKgwTHexe6g4zLFqh6JUQb+S gbFAcg/GPvZ/HHaypjjCy00lo+4TMwHYjGPJXpdUNK5ISQztrWP31EpcmvBvsDJ5T5QmMPTGWpwO j6647K0ui2Nz0byEEdPsrAwgfm+QLRAucBfxb6qZuyAArZ1H6yExOpEwgmMtWbOO+gTOx21v6HHu EDoQJ38fwK2bUjgmK4+aiQVbHHa4ze8CLeX3VeDJah0ukZsWgc/+z9i8tek69OwP9sBuWThrRksX K7Sa+deAyGhwaapzmvU75S15HNlPqbqO4ohSPj4ztZ3nf+B34ig6j/H+062x/1y2Nqg0YdmUfZLD XH9hXa/3VmNRbuNmIdpCAQgQHsNy89gjxpINiZ0m6Zv4XSooub5Lkh5X2I/UBZ1Jin7Wb0IzgqGh GeqzU9EREfF+5bmCU8a885UokDOkSKgvfMQIDJ3pVQO4xlS3TWl/GwI3yP0uH5fi3gSHCrYpi4IX JoVQeM+b6OGoDbUduYkGePsqKzPExGiO2EA50X/7nAFT/HUhasP1j0m+5gZQJxSklZzIIjkyloKA d1gi3I0iux6l1XNvI47OxNPCmwepxoaAubW6BcLmvnfriirLvqlQRdpC9Y38qjZQ0DqGZJDXH2Fa EZ/6gZV7GluM3ciMa0ujMMc32j/DR9snoJu09KgaG8X4Aik+suspDIOYU+KMMtoKRBnRGiVkmHjh uCHWkvbDJe+B1GnMRuFpDepomAqpYxsjoGTpc/SIsrX0HRYt4fE4+xIRfWHo1IdZSzSA3FaFGXEB oULWJT4q4FjbM1+Tyodl7K+hRbc/x4gNTiIRX6GDBkTMb/HB+JD5Ge5a6xdgh/63ycw7IB5kVD3V x1LxnONQavyImN6jvwKiahoI8CSNms2LxdU8jvP+mCRbnWQREiHCLlfHvcUoseVB9xcy6lS3H5G8 Pb2jROMAizlhmcc76wULgauoz3PqN2X3nQnSRU26m7pegEOdssHOuRY2KpoKRkj0jYpMsQUyvPJU utld/GAFOVY/aHd20OBogQn9D2SpfAKUUNskoDKMrBQ8TuKgsgwnNROxPhQeq7UOTeEgjvc7hfL0 702jIaBAnAsC8tuAxfIlzFnCnAzVWEMSXkqo+swHm8AqewI2tv59P1+oivp7Cj8Inf+nLPAcxEkP 6zRs3SJAEqEC7szjYPLzpZwefenDsaVdpkoVW82kgenFJvAs1IYT4l6oYnwTE35k3rJr9orSBICQ ZDdL/pCUrTSrNNdU5a8LmhhcFAJzvw9Oj5c7ENnxjS45eVR6qgG1L3kvm+nl878HtSbo/CVChFPd F5rn+/yFy+Ko6Ch1iR1w+Mf/O7mukOfVpXtGqUru3KFTwy8ZialEgvHzwQkDNj7+otRDmiIafTlr prbql9ezMdYAMEhLOOMryafzsHWcIf9If7em8th7JsAPxqI5VVWZcpBOSxKnfQqfqo9S3TLc9fCN MLfEwQz5acW4Gfpn0/WuLitppFE63eodY0Xgw8rc7PNnlnuM34rZCNB4CD7zuUVwL4T9zviAj0cF iHHSXnYHASyrtVJ83x+ox3EuyEWbtZYN0MDEAYnBpGvwK16hY9y+A+vSgtCFaIf0c1B2w/H6zioG ZzdF0KLY5hdKxkmRz2BsKG+nOMDjbFjZSjlF4vMUsQseteOWcLfu6OfHnn2o4V/SqlIKotXOL8o6 I4KRVTxBiSULSVQSsrCyQBjDLzonLhRPuj0P6LLzhhr19l4Q0ofMDCM1hkgv1WGMBj3HCVlo4eIv SeRJFhXI/Yj9LPqKhUnJ9XbOHafOPQs5HJvcc8ye3sBrTWs1EYguBRBhKgZXyoxHKp3rz3IHVJSf jzHrQyaGGkr0tTReqJB8lkBwMdDp3iD/idiqnS8RRaat7GYBeLyKwf8TWMTnrBHPlB0c9omAtM7d iw4/aRf7cw0/PSKnlN8koJkj6eVkIa5meTMHKTtr08myCkn5UWe4n7w9xurDJDIdsECmGgPYQq8J +/+hr6vndIXFLeCjhe6dmGOX+oycr8/MGYxcSnOJQhvydpOV7kagNmz1AJCJKhBjckbFHcaaQvZ9 GrKvIph/vgx+ucDnHPjMSsZPdHtUZ7lAPzMyE3Hf7NwhjNFLjadyv7TNIGVdQY6fP3GlDY9boN0X X8JjHD0/tGPZ9xg/kQR/LJPA6bwWz5sSEAeOrRUuZzG9EMi5MiJvp924TSHvZtSqsRgW6bHOp0qW kAuqmm+jFSYsnca2qtuKnZq67udscVoNhaGqlTQQSPKFKtvs+UMIZwzQZSaKG2ISt6h50yAON4LW 5L8xZjluuZaW2Z6b6y85kVmMdbDOkRy3WMidQT3Re5IGoFhJZCRzSosKy837n5bj0M1JoG5WBsVR Tw3ZvOLs7nA1xgYbDeMnY0wh0AIOf+p40562tNmboElEVUKgKUgDCcHPMIWMNcktLQM3jI/wNU+y 3tkuB8Qr0UWC7Tiq+XYFqmoodsnV0B+Q6QKil4aHxr3BjR2kDNeCsyYIeIyoz1MBv5Y4IMzT7YF6 xFfl+5iS7CF29uviBej0hY7IvX/kyEKyenYtSCNDhiqiMhPbnY1g1a9oVSSvq69HXJ6f8hMsGpqR iLwU282lRa4xaggBB7bOecnozyqzbVEVGW3rd0ySRlA7z0aRkztP3bI29whFKUjIuifHuBDiVFDp l1tEsUVc8r1MWvGfpZ7bRtCfl/v/ozFnpuOQtUFEnMBUfK+KlW6EQzWFuietIz/44OSFY2t7WnGy J5IiyDEcBXWFgGTvEGIKM7uuZs2WiA3bSxggHXbKpeoOjhghCUDhytDtWAKSS3GuNhDRRTJRqi1B r8K1gK8t8A6v2jEZfSwVoAngCA9TYg/KIycvngjY4eWC8+Nr+EW5L3v/CGbaXP0nnbgp6E2Cc58G jOEG0AcfD8hwfyZ041LnRoHvBK3hnwuxSBAkeOAMuEZ6Jpd00VP/qSr5s1hCjvmV64Jf9d9BHeTh rrO+ufETM0q6jza3aSJPD2THhvtiWTYUEzynULorsCZwXb7WSHT8YzKVeZTr0ACCGAD4hu3Xu+yg Gz2NhvQzVYX2E3YsRbMXak/j2OXj+LOMwsVkxHlWkgIUQvrMd1gyJrBhL0uIGiNlVTXDPfYB3ETx 2vjbWyz5//uzKtbHXx09JMfjhy/zTOBPgUzRUYJclJAhK8qre27sN23UWcEjQEZCJFt5mijbYXf0 I9hH56miSIfotsSnHFO7wHdQWh3Mq5JO94VXouXZL8VYCdJjdojd4+K3w2/ZluAG6ZAB9Rrtj6/v 2bgA3J307zCKlE6jcnWml6g5diCKUm030IKg1LIzc1KGKBUDjhvxUV63tlKtl5lASQUoz494Hymf kAiD33S5sHdxLxyNKNSixo5pkdIX/NN1h/v6c+q+TtJnj9e1qUWpOrYx2ROuFjxrdIigh4PpsibP adosPX6b0MypfttKg8CviITQW0B3x1J1M7zthuOwamloRnPs+uimJ2/wsdNFMkNy9qvsYVv4HToX FmdQjTXVvMx8BtVw+TWmVtzj7nDQ18M9W34y9aOlQRygKT1iXaHUGbX+s+IAZqqFfSsKkf9j5nu7 XQitn1d/2UqkFoXyjgYhgnPfbI6KxgkcmrFhyB3/wmvEgCQyDSRJyeWs7uZH8bdxe7lQW1E7BbeJ XkH3JTNbzQrqTCXrXVk1yTPeuiiRbhYfu0i/FvIBwUPGaNHiMa+eo2Z5rIbu4F89+dH4LmtXmUe5 DHmoXuT70KnzDMV01MCK45B/kCkzlSMOamTSZEWmRi/87a9hqmFsZZyR5UjqxN8ERSYnzvxqgxjl XkspO6GYjEzM16/PP2bFy7Nxmr/I0dgr91QBCchmcpjTv4q+JAcY09et/2gL2mRj96aQJADdHc6j nAZr65I4IrjCoVkL3cMT+xKgX6BsYVthwwWvq9aQ87U1n2RZnWgtu87LmfuTosuyV0e1cnYGy6Wk cRAw5FcKYHrXbJPNJMMkJMkuD8BJWLgyO/U0pat8PddSJ7WWQl2xabZ+kMOD4SBB1+fNlx4UOUwP nfkCSpyBwe9Mct182VQP3Y70b3Tzziu/8i4BB3DrGU4dKZyRl4NmmjU5RpbfJKO8Vth7ur51PprO xEy0jTzd3LjTlMzWBncLjDbxr68LgRy6WEFFlrIS4548E65wbz0jIwl6fmhj6XMkouWJ9Zxg9vau vr+kn+o5Uo7Sycp3n+wrtvnsoqLRUzsDGY/1ydxQYz85zwWpeM7ZOJt43fs77oFjf0GMs72ANlO+ eLKowPxtZXW4dNCNc75E3DYSs9iJUYM6IobiRjX2Z/3OtPGRGL/Vfz/9k8x2iKXGO2piObu8M2Qn rGUm5DfR5bx0GpstRlVf8rDlJOY26WEY1HENfcYlmgOBzD0XOEcli5/LqOFi6dj8DSK3sA4EiJCE BHRDrAwO16+OSbGX22NmpIpwh9CH+g8NRZzABNIvL4ZPXBxykth5Ro5sJaP27vxlqCk1AuGqA+iq gh8kzMGQYasSKmBREcbgyrtZLpjhcX8Y+/AhQTTvyyWcyi5rI6R/ibUQZAAQvr/t2Fnp4Q5XDSdN 71O3014iCj8/1NvwUJieSZ3K0ni7bvwzHI+/VXEQTxr/RXBUlDkdsrw3dTVD4QRbp5QhznT3tlmz ie6WM7UFR6AyJcc7p71sIcsrkU6dh0Ga40wSVGmaQ5lsz5+tFAC5wFoLmDeC5iZOYI/wSh7HmSwD g/zmcJnGSNnyEwDBsVag9aSfOZYKR35ukLT8IWHNbkfGUs4+P6+CwiHCWhYIlGX6BSamRvkIauXd IXrzIZfyxoJo26d4D8Ud6CA2rds61t9WvAtelLRL40WWGQ2/QejzfWYI0B1xRYsQVCdaAJlam9Sh wHHX9Y8RgOsW47e0DeLjwsOKiE0fVBQZ412HoDko7DbBye45qUCv0OefkRVTNCRM4aDsKdYeebyl vVYJV9QPk0ZUwbKW06jBM2grhJ9k4UFRuGyKeDT6vKMwwShipNkVs1UboQzi9zuk2wasbjmX4vVN URYIyo3NzuwZAX/aGi9qO96L5jB3NTJDAhYHDqyrJ3swsk9dfM8T3kGzxPiuqVRTD0+7U3ZWx16N QXue2r8ke0QB+o9dv6x8/wiAyX0QsonS1EbyMl1L0J18C9HKsACges8Zp52ejghvut91ACoKdauM W57iQnjvCeAp3Nz3xUd1LQqumBm7RsvlVLKhSIc9zvutRMfNPIjLoLr6pKk/SrdAQPSrHhCwYdRi h9i1F7vxhe4SKIlwSIzL0+a75U3+h2MJy/MRZ2VDwsh7SgU22TABicaz7tHVDV5mAS9uTfatljIF aAoT9cNN/kKp4cUZw3ReUzsxSQYKKLnZjpK6K+6ouvyvyhqfNK6A9B1nDolHLi98R3NR3zBMQm04 SNr1EvrS/Quoeau1xzlAYxWzKV6EFtbG14xsuLQO0DauqF0nWenrdFn4X+RNmmGJP07fv5jQYKJz t5h2HfLIl47n4WRtTDhg80pRJwPtAqWh/30XugJSgiSQvLeJz9UjzsGJETBK6qDBNQf9BIhX6n2Q FeWn7024UXN80WJmtGU7CvZjIsvQ04oKEwNAGqbTlEz+LbDSdc3I//SFR8JtliEhZ0O2pDy1J8hv 5J4xM2Iu/1Bhf9myhEJWNDOAYDMo4ADo25iO8map0HmT4tfpRtMWAxki5B7bOPH0ZR7ZUSr9tF9f S31+YgSOgFVZyANplTqVcER2JSxQ1XQndjf9b3jtgi726oNLTLa+Zi4YDLMtRMUcgf+LLs9xyw7B ZZ3XHvdjszswhiSwZtMUuksUUKScSDJRTUAgLmihXEiR6TxaDuaIMF7ecL5XZk/2I/m4wJIilBoK EgykQQIIGBiYfB6q032LwVbp5vDuONes4F7DL4touXouverUfp7Diue/KLK2MvlWv5O17X+0mb2C c50O8sc8ikuFq2ssbiJyeLyX3Sgpe7u6jn10yLV9NqZO0LwoaNxQCzf9VBCR8PLTywRPGtRCICFn cIQR6wyYmkmhJm4wNs1VLhtmUqHJjwYsl4UUPICecSTN4mVonaMQ+ZRAE2fBklRw9r7Ew+R8syBJ skevWsRFihmInbQBe6O4ga6z+7fT2qBqlFQ8sEU9TGbkUHEHQrD7xY+qQ4JflKOS86Vkj8rZSC6v 4ZCLUOFYi3xjgskmvZkzCjv+uEDYwe/eLVAycnFtsljydQOZ/5V0E9jax5vaeUNvWTGjByUGe3Y8 /87pjFepqu5GvsojcPIwbyDbsIbpbv7a1tPmtaEqR4NjTR0h4xytIsoTkxpKWxQr1XcgcLq9K+Au WD4MlLMRHcTaJMP48CeR4blU87yeDYc0K0lG3hwPKWU8mG0Aad2gd/5xsD7rUqpMjgcnjW97kMds VanIlwX4LDFLyZVdmT2k7JFvoMB0wLJGoCw6vbZmMfeTlhDBVptp6025h5xCPMAM90z6un1GoEIN ILfwRAdK8uPjqX7vpAr9RJgopafEM/ea6YNALsaV1O3dIHsg/5waDGCsYH5tNj4LQroOSNOoXSG1 LZ7dpHwbvCVPdtnH5afAIUIZxwSkf+3tU9bOAlnelB2mhh5vhlSG8uolO5AWsReLLVFMSg6a3htO sRuY4KVq7RmTmk4bWwj3skA5xXqTuFDqcDxYiOtq5G8slp8boBANt1CMqwWK3aSXK1jOUll7hBCI aGhp+iQBXXX6S8ctuE+1HMFlu91Jk382f0Z9FPE9nm0eHybteXZ4vrPzH9vdbBQ43Lgbhd4PaC06 MloCZ1g1wqyxVvQaxF3cDs7gbSjtGUPyywEbLAJL0YYGjkDTGWp+PPwPtPyXpauCn21NHiCZPKMs OqCfEFB89PdBGtqRVSVuuWBHTgCjoxV6wzWlRJiQLGatZA/nhXpJe0UCRlg/8Y4OD7PPmz3PZzce 81Cv+8pIAQg5C6tKBKAy4WL+HtNV38aXw4Tbz07mm3evSdB1Y73BS+rdAWvFbv6RK4phME6d66mY SnwLM9T/L7h9/oZofwNP/uKR9S104I3gj1f+wACyFZFt/3H43GYnq0/keCldzLpHpE7D6Sgzfug7 a0zhLFxSWNsrNIInh8/5Y15cdjsyIuLc+0YgpuejeQWUdCzMq1coRbipkHGP8iDwHzp555a5/u5U 509OUVHOxSZPxDTlzY4BklxdO7lHFWIlDI67egGS+nLWnUSfn0yhhrdgoRWrs4YR0CzmNO++wgMC uuzXekvcG/NObdLQcTy8NT3MtgJKlI8pZZlgoJNMyeby6HZ/o0MI3lZondw7qNvUGPKPbS90iNrv Yr8a6eotbTrLFcvRBUUe6U57k+ruU9AXhX03RbTfF6piuOFnW6zslCj40YxqCs5JTRBTndMSN23s VpkkHqdO33ow+hTxZ+C8bLqZNrPuRo2Ok0XxHaZGWyMzdBujthMkzteAl0K2DSFFeo0Z6XE4uqWB iF2A7Z50qEejN1Io5GmbPd9HrWpzHwI3ap0Zw2S5x1y9EWR5MjHH30jGQt9eo6fwifNJ6CegD1Da 4H4KZM8djlczNOxRVrNefuOwyXD0TS20Nn4PBgnbCeTOurf9AeN34e2sJN7SyVCMEj36t09BjMGz eKBpkxdRuzcYUDsJHJ9oe0VUUC0PxKSRJWi3Jd7AJhFV5evlINpe7kuOmDCz7uO0z+mo+n2BRClc A9wvmChJJedpiTUnvWlZo4ZtVrc5VvOfp2XWRtWYd2SF/WAkBRc4YslmRNFzZI8mfCH6eJ56C7fV tpnu3N6Qg9rRlUisPhTifXvi3Ix7NB2nSIxbnhXEPP9Noxz4GY50K2YBeSDof5QMydCFTBU8Z480 +qifxoUMWYLPYoJP75oqTn4+KATlpVcy3uN/iRjbLiOsrmqU213dlNCoR8CYGY++jz3wPfLtC9pf Xz/6VB53bKoCW+zgog3G7amk/WxLAMuE8qHwueqz86W7gEJorHxN7gCJut48zkWss2VyE0aHarFW jUkC77ToZB94NPU3ezQWdcro/FkutA+LMXbg/NarD9DK4MiqWP0z4bqPihD/nUQzFgy2o2OUeM+s cvMwhkeiRNQGLLj3lYrcT3jZHkE3gf7WwCh1eJ/LCDEPdPQ2x+ybbheWkyb8DPXKbzPpKX7tR+NR yq71NeDsfZoFUoBguaofv2vzmXROoS1nKfgDCxOb8RfDt2qx6RIrx3UMSfhFbtFUeIFa+BQoBQqm MNxMQmVWwPwR/rANN4vw3zZ26xuKaRs+EozPB3vLgS8jvLUTADHCpuRdMfBVwsLe5Df5oBuCaRxh z6f3HBqK2gjsovaY/tJK4xX7sxW/VuikAe3z6xTPOzFXF0kaup1W/SKgI4Awrn+2RAsvYJJjKIww qUoPdvw6UbkwGtM0KhDvr+cg/lOR9G1769g/zMTG/em3vyCj2eeQj++YTrwEEE/T5j1XYsXl6Q/i x+tXNELw+G2v2GLDo0V/mn4CEnUVyqmTIntuO1KvIpqDtSfsktm4la52FQzI9zx+Gl3o0kRwkoJr rDhXxuM6IE6U/lwpqEcPCVSoLUCfW4Tr9n3ailCxRlaLRcIve3wZ72PI+qoZMwlOuQZwBE2W61tl /v90s0Kfl+ZyQCOH9GGh9axb5VeDU9tSBJzLDLKaZ4MiZZ9LdvYG31FE6IhiEqicB+oB1avLGP22 1YIZ/NR2KYuR4Nkv7tCLiWk9ELcItKuNfw+/5TM63BzPce46KBjEssNUc4dvjAaop5PD17XGcYoj EM9RxwQBPxBR5nRDe0h+J1rD7reMNAG8G6jUwaOB/TAQzyfqOU1qsyLAeO4dZVcXZdz24GcLFdEl U/M5ToS33McH8Zi2+m0tDgx1Cao6uM1Y3PdFK27V9Gs3nblurcCNH3XSnVHsxHrXFWROl2hnuJRe laWv9kt/rjSCu+NFkqC7769dNZihS7na1z+tdhWTOMFFCIRmW12lS5WgrlZZ9QAG+ZtjoJqpOm0K BhK+NKMot3ANBXDEH+fhFDiO4ztbWu0wiuhPg/DPCeN0G4psTNZyDoxzKIKRDG485iDfLN4FVlzn TpVeYnD0tIPuxKqcbGiY6hDXGWD5d49KtWoGgkrLJNZFjqyT65KV212CQbVL5q+eb/K5Uk2SCdRf YvPDiGVNCCWgJw47WnwcCX7zPzp5j3rcz1Krhz5yXiCLoyAGgxtJwuN0+UEnIfdgu6doyaJV6ami 6HTZod6iY3xQn+KRGuZ38+sqZP7uYwhIUHFQdMKLxdzwOU/+LR1hA4L4jtiBd3g6FHtKr1OjrFil NE4YoKU7E3kTI5CElMLHhkxJReSmWml7pbYwYwWkD9Y4XivAkHjP/oZP1i8IWWdYFLEUJwSborKm BTXVArwp4k9J0ZhNcR6Ja7OS2hvEDeUfZLtmVoMRjbeQH/6di+wtvev92rNuLFO/Z6dAU2WjVUmZ XgR7BaQv8niAbdYTi1sWFMoWiiGT+hJCgikQGjjM2yWlfwo9r8atisae+3HmlE4F1WpUuW7awHgt bVWpguEaSK+tEsJkL/+MZZDBlQSoyLumx2+3BrpFPh0LeYV2dH/FaflmlPumE0TdVock5eWfaO6q K5RQlDyfJBDyJXrKANqm9I6q0ssvTzPZ+QB5Re4rmY3sJYEiJ+rRQ5Pf5kYtqp1iN49KGJlWTNpY dBQaqvuZJrK9jkohmwgy8LbiB4eBdSYaCLvajpA3Z1rkQTd/b+RkKjDoEO/I8VhWKpuHNezoNYMl oy4KEN/2H+ktqaDszP4j/uH7Rfwgcnp3PLcGatMHsRW+BblVHb9FyupOyQ1HySv0LgiJLiPFdJxo I3FLuso2d0YDYoVvpun4T59K2URAWOTyN/v2ESwi0eeMj08JPUlZ2kV4jgxte/TIBGDjh710+qBS Uv2VPTLN52ECVgC5GvJTuIvqsZxySsl8tCTOHoCyPLEx3o0WaqycvGB37n1uqqcAPhrskKKDKd81 Z8XPeKUwTv90Tzl1tYk1x8JqcKVwBVqX+2l6VhwwkmvonMDab6LjkZligNQ2NXCEaXXZAXnHmj4C nU6H2qlWj+XOsh5uZFi772NNXpURkeBUCdJfS18SOtcFyRgH8qHH6Z2RH5+Utrj7+B/EerZ3ourp ktcEyFDsXO7tpvaLaWr+vHLrE0Go6Sm1wJfWzdzHH1Ax/oe/BeITJw565qqZy8D9tx18IYMbkpoF igWTIl6VhbbcHbxvHpihiitk8nAfLdQnjaOfO41CWPauO3PVoUlno1pPErZMljmkSRt/wwEZdDfD n9CochcFhWQbwE77vb2lcUdYfabqplhUqU36k8lB0bVZw7oX+jmt7UOjZcrkDQSdyzKT69j1rnix wDhMH7vD7M4SjA9myynTVjoGr1o51ZLZKFMHj5/uGS+9gcmLlnb1Q6Ph0GzEJNaQYKzJkNWCHhtq /0gQ8QHYF4ada9CmHdBG71uG2Xmb62ZgbT9BOELPR66HIvio7nqHl3+6aKd2zSBpqLUfHoKv6iGP 2meRkQb0/vzNKRuALvu68yt6GvUpaM/+TUuiwvWHACje/JaRI7xGTpGx+xQjvgun46+QK8y0zfnl qrOd0U7jP5B+zrocLrxLezxVmCsk6NvtrLAaeioQ1EujCMo80S/FnTaX+AMxVYzzafZmS3wvscM8 ZJtDC72BNKjgYUYG+C58779u+LbokdW0qB5iiC1HsejpNfGiuiNE1s//75yu2AKvTy51TxTFnI9N aXWh2j/tFcgHBEGy6Ib5/ywlrZaHtuClcLAxtw0zub+iQQs08jKqD+kDXyoUhI2yNYKs6G6aKopG 3r41N6k3WxD39BsRbBWphn1z4VjjiGl3mD1iOxtql6YavU7sjBNvj5aLsovnPdEuj2vICB//tKFg /zCCUOgW41rnY6BFeE/vxd0umiO8c1spSgYxgsVNOAyVxpjnlqQlT3bAUA3q29iaUKnT4CkxMkmi LB1fWic9FhQLL2eji0iqCPZ4rfvH4yftY17T+iLhEcEvYUJn23hlDPD1U8VOmVeQCrQbuuzxGGIr SGzGlpcVRVi2jPIWyOW3oHQ7d2Izbh8U7ESAbu/Cvy2X0SceaoitLRrh6jmOEPeCnGnqHVrNfhwP q/pireniHe3eS9gNgz/MX4iuiJuTouOxPWSUhJTO+rsy6tZeiuF5cjFOI0P3o+pK8wTe6BoVoqoi 5KxTDdQhS2fTnB5DLrbVP8xBLvFQjfTsM3C11NKZpGwuWd21PyXmC4apBdYTjvctViJMIcPnSh4A 7lkyH5+CJKXJ1k/9giY42+GGZAg0vVal0jyJ0ZVznDubgsb6P4HD6/jN2c/kisz5qXXbTek6kKN9 9WAfhBiu0T7Xz9JTWy6vY+a6qSOdfGpJ8vTAjTdKqrh997zwLXXk4H3skjiJdIgMku30zRi+g80g afjU+Y6p7LEbJ6OA6iKxzu82P+5zClLN2G6VdL4Gg/Xzd7KzjHsWFrBaQjeY/YWvHf/VCyD1mslK 3NaTGaWKaEUMerIlteqJA39cJ0XL4sehD9rAwDQJV01endGkZ2dV1xnH9UamiRp426Aoj6VTopLE m9hKlRYLK7zyd/Ex6/J2Fuwovc1PNNx+Kadwq1jkx2mwCr8jxzfK2q0fkpvMk3L4LIUBGINnQDc/ pRW5aO+Imc1NPCoQThCx9PJ5nlTjvtLMS+k6MVdKHnnAGWPLW1WZnZ7Vi5Q21Aq3xRk50rHlpOg3 e29LQAFipJbRfNu976FAHQcUWf99yDqelgDh/iAAZYd+dqmjfFcy4lMN548D98fRSoomVPdeGbpB CnT80Vm5KOmSIKFVIj1z4OWnCcXPoLYiK8shvgYjoBEzV0ZvCHzhEMZJHSllbEVfL+uJJ+JylzZX UhkpkM4HMpHFJ3hHNAdGtRbSExzb7W0iQRrSu2/PmdPYMBgoarXI8Ycg4OzV+Dmq0TRHDJzjxKg7 R03ZxLC0n3ZwVq3AimyeH72FRsA28OL6uzH6xGvEIpynnMofLQ4F2Gj6eWTyV2tsz/FX/4lzaFTB IMkAJ/i8XRyPG2oahTQ6pBObr8o02QJlo5tnMmf0ULpIfJ+stgNFsJ/5tebJyOUJaZTeZquODX/u sCAhQMnOaU7YOKYkDy/y8Gp8n9dUvJl3crgtQ0ZHgIWxqkqYIx/PFM5BSC4/zRqih3OSWzV/HIsS 1Pa5XeXnIUBcDmFLOwceQGk8yjlwdmbELVfB4N0n5DuHi8tbKWTGQBakDQigZ20xDaFrOk0LGXsg dLcJaD6pVIqjyu65uhvzVmUHkyIaXiwZYIt88bm80eqGWiV2YTLl07P8HhdpRyGWQbXzHNrC8qav VJuyjnBJeKWgSLu8a5w5Mj+PG+DYvzKNaOHS4iqCXf5eAzhQqF5he/kmS0nYxPIbXEPW+yIu7YOV uuLfodEw/Ib5bXfeLcu3wN434Y6fQQipvLmzVkxROMX7N64+MJX1tp2pVROKSqYMWyyjFc/aWXYm gow5XbWPqvCDePURTzJwcZeY/3H2CO7ZS4ZMQqLlg9cy1AuZUxPCojdOqFWEcX4PAby+auiPSPsG m9wZFU8xQ+DiCn6aHAWuKWIY7uzmwjMSzBI8bqYOy7Wzw+I74Ln5xqyHGZW6RTjrV0Y8aTLDW6ys Kdu7EgS9DU2am0IOU5XtyEvDzNElQ2ObY2A1+eQ++nEDlA05eEyJdLuPR9t6/+odE7uXGAjPVLJA gUvgxAYsTuUgUFDW0e6OZOh/0j4blvUGDPlWTOtaW9vI1PczA/TYsm7SR5ptdxtiEd+6p4H+PEdZ UNzYkgj+Pi+Dk1VRKY4PuBVdGAI4MzixHK63OaY/Q7OPIsfDDyi0+f8aNWUVQl1EkAXkPuEv5+YF U7YU7tcn5FGcpALUXb0mgUPP9lGnT1aaKz3MAuJIZawPvrIA4Sij36uI4p9Rqxk0+eRRg99ah25p cAS1BH/jfAgMC2D0VfY064Fu+iExQdJ1h/clfQ29AKQztEhnc5ZJGQgdP/Bj1tc6F+3Y6/Ae8HtQ 0xm40uYn4qW3Ucx7dHZBN7sJsoXMf+IB/vcZJPlfSE/BW6xhpuWySa8Gmd1NriNpqdT2tOOt9zcY PJxL/WralIYmgPrDYJbhvIv5MSFwmWZrmkhVoQ8UHHZk9EhutkKxpJxtNdfXCYtEldzj6yebqBo7 neNU2bHgYQQEMZCUh4MA6S9aRgWfnDAUADmfOLJya17yV22upnjL/cYs4wTZO3XMdqyvUXua9kn1 k4atC1XHvAwDM8FHtNjU5Niu9Rtg+/2bFnEqwvOIR/wvsnwAQ6MHVbICb1XqExV09SX3mq7N9Wih 8zMhMrBy+WXmtSMVlVRRt1LVs1PKpl8E2al5cA0M9Nmy9to75DmQspnkIoO4raHQYM5KY9e44vDl xWiz8C+sXAQpx9cMlDWtAvHQb3a8FutJnk+4RVEC6JKJtCs8Iq85ymrPU/IVsbkZn28dCNCn8CGL qUdOyOmhbikSQtE5M2jQWEzfvzpYNAxFab9XMTOt/ejijcweIjEUTJs6FDhpJgGiGumOIQS0am6Z yo7VtGr/OrT0aW/AYQ+vI9n9q9l0488DMD+nm8Nr1iIYEWQ9p+HkfyR00UdokSX5Q/wj8i5n6tKp PjYCjcviX3okTN4w+yzMfm7b+CwL0PBKXJerlY7NmfSFl31WS96fn312LFQlm896i4nSUyOgmnXd LXNOxdrXS8vo7qkQ1MvpAqPRhe+on5zv8vLEodEPTSoyrdT9RRzeXqPYuGjKxhWQRyKN4UjwgaBm zBAGAOEJtUfWZf4BSJcQFMxPyJC8++66PvjHIKZ6/X0VSv6Xr4X4tNILxEzRefjY78+Jb9aMDFNl F2spzGlRSvNUgfL/t31AJywP3hRidAnb95pCW82N4es5dhVi7Dev3CPdBLEhpEt4FugNwztf8oAO 46mRYh7LgWzoILMBKa5E2bmZfAQbtYt13LynU9cNENGa2CUf89tjCWIx1rKztKIhnKmUExOCrHPA mcjhO+y1aCG+r14LUD2n5kGhlSXeOwA9cs2y2WKOOlGCVeflCQya7EGMDiQBbY2jCAzdpfDbDbKx nhiD4JwoZ6cWS9ynohTKycL5LSEI+r9MfMPoCAe6n9sTene1TCskUQSeg6v6Gogd4b1+D+bMLUCC LuPdU8PwA4U1EWPcp+xSj7Xrc1Z5u0U2QF4HF4OWnlmod72fuN7EirZHgnyahTqKNXzgkkzanIOf xhiORoJ6D7TG2rI2NwnX0PEzLucN3eLYSN3UKammxyQh8clCERQdmo3CnGaRBhRwmhQbxkXDXqpK 4uxH9NjsM1pTBQ/nzpQmIn/RjA1i0ybBgk8KIeTEr936qIj6Acf8jNgfyZ28Pn7aQTDOheaTq6Yr JOunIP+9EZZkMVLRiEHJ7raQVt84FOZ5ivF3S/QXYuJ9iqlwpnG7SaUWaxTFmWIPV+Cr03IXcO+Y h2S8+FLEP+gdkM1GpYQAF425yGFtLn/Df0zWeBoojGQPlTrwhrH/V7253eupden8OxNof7M3dXMB 9nQzA6dJE+XKePwglOeRvX+jW3D6grvo2vcxqZzUiRzdjE0f+tIK/tKwe59R726SECRF1hVL6ZLn z+UYM6GVXQbs/yQrS6FTkr8apDy/Go27ISMX3hIiIkDSc+AYfutyrjQ55UeP+g6xj+XOAQXBRYax Cc20/sY1xSjxGNzI6IPrYJp3RFWf6Z6DnHxWeWjZ3HJuZM33ajF5M3toufsTLvAxTnQuEOzMkGYR SAK8X90RojNweuc/NFBf3AB95x3sxJ6rRRBNq/fxjhHOsJW7KCqQKWMwH8t0Inic55fKcQ4zF1lt 3eSvzLkjKlq/5Jy8s8sWmnG5xqfh6Cb5+c9OW6q8HIEucO2z/zwXhhDe5qhqMvQaJzasymdM0TY/ WrMJctndiXw0ls2/F7wjL0DMyqT0IOs1ikzm8cs6CmeBttzbZRDNhjZhDhv31XlQOLl+jYqIF07Y xi/DWuUHXi+1bB49nG6ux8K2XpQDufS+6eT9SYlriU30Lqvfc1WUFRvWjJySnJY3jHKpOBGFQKQq GUgUc3VKTJRBx9pCvNWycodor+qzji2UwUkUXpn9JcpvzZ3iyBWkGMd4tYyaow4ZNRbn6XCM5Fh+ x4zClobwBeEHC7xox0gKCUinSX2PDjZIUNuuIZujLNxidSJ9VhI63QGuDJwYl46e/f8ZCfTCE3Na HGXGD0Wr2hbE61w3rtCpwtr51mvHD5Hhi+P1RtHbx0HiMNQJnrijjVGheqrpEnJ+aAOwTwTFcQYJ YPBCjZHcz9zb7dD/+iMHch0aOfyO51QmI7QzVCILqPrIasn5q4bNe595ZZT2RM7ovzQy0yMBpCYU CxEGuZ10kyjq+rHryhgf4BPJ2RetoH+X4dIkgSPBcnsR2ZJItKGW4D796Cxtp2ksire4dtx852Ok 9bC0BkjR9RSEmJqkD1+oKjMqa5Gt4NVUvKHy9fX85jInP3SkhFeX4Kh5GXbl6p52KTIq900OwCOu t1pGq4cSXVjMgS4bvvDdckmQfBAJi89ieHX3ZB8jOx1uDs+ffW+7HdJaWMXA262iKm9Hx4TTKjZY 8KI7DjatyzO7TQBkMtLlisG4MSyaFbE2/o6bzm6a2Vd9vo1bTQwgf5pWNGvTKk2zZEbXH2x1iIay Ug05aha/1OKdxMEMbijHbMOknp08ucAUHgLWWGd+4CnZV+sWujjWKCwboPlvGe3i93VKi6zQHtyv BF8/YKfMz2IAGul7RvdGlU/G21D+PGkOIfiJWvL2pwnDYvqg4ceQ0FgFPUC4eD5cmfon8ABsrfjq XqT2rbyCZXP28UxBnrJ4Dod5s664QRkOCpRqLmytPCKIHVScC8/ZbTrFQbN565IiW73jjogXb2Er C+ng3cJb8a2NEEp2wFC2PDSj0HqJlGzApQNaLgKZTtF7GfdWzQDOTjO7NQ/1iNV5uEVohGRGrjDD rGa1aNQjTSiwbdaO/XbW52CnZkboQfC2Y2c8NZFVkFSKtlA5TtRS3i721bN7UYV3bgVppJEk3TeC 7SwEtpem6nZlqX4+iu4PAeHito9Id9Y9X9sJ1Sw71XaUiBaxURhBUBuYZvKTdcMqpJq8zi8LW7JT XIJGicaVERaFlzaZE8i+Q/gMk65aPCxczOLRWd6YUJgp+XKT1xOC6dcWkcToBs44jSa10bWL2wwk vfpIIb2N+hIhvfW6YKC3PXEn6492cwK5ROFSBuTG76aRox0BtrzXA/ejpoykv09NajolKDlRChbB A0hw80pfUDYV5Gw/ahfaHpN2SAVSVFYzKXS178T0aQu0oRAa7FVm+UpmppbRZqkx8OyYC+oXtCyq ZSnP0xTm2XZxuxcLTb2Psie7Uu370ByQAEa7oaeisoAFlLP7rxfpLdtn4yleAOKw6SLHccfQzwBD kDb8y7UCZoZ/ZdgCSdHtCyHhQCJ706RAZ1/jzpg/WoTdngIUBIScKhLhuH7DofGPAQOkEJo98oNC TSUbE77sW58NeZg8VrNCDALwPaTTwlTCF5sZkM+u1GBxLjpNdzVFa7gb3br5gCxpIr2bn87Acc4v aKPWJ1cFucY9RgiCS6jFnVtEJsxdEzucnU6bbqi/Ier2P4ikWGfRT++yT39odxK/pK3FsnorMzsQ E/XUWxzQgYqJCD3YXusqBYysThMTEelI3ZRho1p8UCxkKghksoVqYBV4zOKtOHx3hY7wgznGKSXl ip+YRA6XGp9TihCtgue8AvgrfQQj3RdXuUFWwbUgCH25Nh1GjACdRIY7L5uhxeCSxunJaYikkDUx xIJOMWqYa91gDj6+9LlF/lQDA4Pz7PyTJxAgh4cvF/0ZIFmyfzpMOinhhagSr+vInpZTUhLhBmXI FeHXlA2pi0q9Htiz1P5OsLdfWx1mnVDi6Jvz9qz3ODZk/9aAVBvp8mFjacFUTYS0y+J/3yhvxQ== `protect end_protected
apache-2.0
8c50fc883db308d2d563ef472f520915
0.955892
1.8084
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/gen_NAND_bit.vhd
1
509
library ieee; use ieee.std_logic_1164.all; entity gen_NAND_bit is generic ( width : integer := 4 ); port ( input : std_logic_vector(width - 1 downto 0); output : out std_logic ); end gen_NAND_bit; architecture Behavior of gen_NAND_bit is begin P0 : process (input) variable result : std_logic; begin result := '0'; L1 : for n in width - 1 downto 0 loop if input(n) = '0' then result := '1'; exit L1; end if; end loop L1; output <= result; end process P0; end Behavior;
mit
b9dc571a153f940a9baeefff06297604
0.636542
2.678947
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/fpu.vhd
1
149,930
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jb9Kq6vkzkXEU1vmtD5QucKALnpq9O4QaNmDuUUk4flNfyazXcgHC/2RBOkKKQZt/G7nyAbZ+63C cAH1WISUKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MGtVysV5czGTx5mwtdnKKLxesl2+RC/7smOjTrD/+cWCp+URSdbKKZAhqVU8tD2Mfi72RD5gGbmj GTF9OzX00FbRj21qyQjz1CggJunhtLEjpAn8o+fbL91W1m6jKKLrf0kY0CkQsFUnlQpNq9OUOJ4O XevrAGUwnGocq2blLk0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FBDemj8lDGG9m/nry+i4WXC91tNWDVcrOmkXWiFAMh9a4YnS2HkP3u46jGhbVfZKLGLqyHEHp7Mc LO3FyeTYHGj+PNwIg0/Ym6fkCyt9jXATeJrkMwM9W/t6ac6HBVUQEa2Wsqcx6jl/7pTiPmwhAbQH M3sAUyHKyDIoAPC/H8gCdZQdTzxK4PIs1ZbVBrK1EDA1lQLNq3gOWX8d9FKI+9Jedv5pBjCQ82k0 0NcBXfYQSp7iXJtPPo6e+JUw45Ah2g/hgpUz+mJu7ZBuOSvGEMqo/47UrLbu33WYLRH8UeoaXzGm 7MMw5x3duISB4uv17/xP8B0wUrxl6ebDZapotQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GZo3HRn7ZZHayR9+C8pPdn4qawr78VmZZxni0OvVUpBHTARovZBs9Y6DoCsywRPrHg9wIKLOBClW sF+aFO3ksiMvMFIXXyUz7VhYBE5ke6Glf6Ev1iaTEHCt9fKoxQTNwmNmcGzxRzEd2UdjOskH4fzZ N6Y/srJUnciqPUDP0rM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wce+G9FWNljbmSjaW3/1sLcFuHrmUXA9PqChY9IBv8KzQ6GPBe5xizhEQXTGnV3MrYw3P3AdS1eL SxvnBL2WClCjYsjUy/V/Tj0diUz44ET6kU1Z4kB1dwDDRJO0XxPv6W0NHDhvVQJ80K2MR/ps4jO9 qzhGLgHN/kIutZ7RQP5IguP5dgR7XGdiUNEuJ5uQI5qzajPbUWinSUFQvo43+BH6ItXWKfHs3V6m eaRZmqj01R3XPwvYTCEuDmRl6XvP8dpvPJaeOsHWxDL3AGo90hYiK9xfqpUSsYMBZDdkhEBrHxUx UGBJr8Z+q++g7uDjfzKOaOBW+z4tCmBNWAhp8Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 109248) `protect data_block AdjMJiWEqCVZ8+RVdoyEpdXo9Ks46OGQGasrM5VHz3wPiSL85raoQUXCPUwEycNvdNTHTR6OBCNG BpbQw1E5gHB3MiZGHQw0o29laPT11TnntAVwXPCVUeVO3H0zE3oTCzZckD0mq614YmngY2OQOtaU hUqQfUwICq7FWvk4qvXr0Dvq2dCWUL572x94Zcoe2lhR07I6U3uXxw1Zdyky/InTBJQAKsOgFOBt 3Fivd7YwyywpQezpSc+4ggU9vyuEW56t8T/IES3Fy3kr8FXTP45Q9FVNy2B44crPL37iJKih4Nhs EAKS1jt/c1dykZzOlkIX/E+IZKkLepFlslP9wp2ytjvv/xRcutnv2E0yCWxtSGaBm6GGl7Sr5rhu y811CnyAhVs9mzsyubGJaOUTFf/N0A6/Eg5GsYXKmA8vV43DXefvmsCb1OlEZq3BbKvvx9XV0ne7 74WN6aG7iCAM/ZKENAPt4g0mM/4yI3ux3U181fnR5mneSVDrREn7FMc4QFr9MPUuPe3PMpWqLqk0 H7vwGCK3vKiJqRsVcwf2u5X6YwPst848M6foXH5lUeqW5cRn62dlLvpa5JULsBCRIjKt51bqtmMB lmW6xLnCNilzdPg4EuQQRTG2viIoyA8ezdTQ1Jeid7YP4XjGXTKzQM1fa9SrwtpaxsE/aHQTuwmv v5B9pVpiNyUWALam8vQthAE+IBbgfeuQ5dGVoPHZlea8GdKOGls7Lsv22GNOlLdyruGI3/URKuN4 IYFysMBg37zermsUeUjOSNIYq46YS9oGMvTj8ArbDW5uyvmKa37t9VZWs5+uUVymibmCT80+s5kw zx7w0i/vX9xb4UxEKZCGCxdYIDIAdBbw4Sz1o6/AdYeEOISITS447si6BrVhbAEOFWvib8M71lv9 6ooZi3qX/J6qAZHtuxm4Ah/1AP/3rRpuuGo4gnyFswmVsb2bpnotPmNMyIV4KZ5lO9Ic/jEUkvAv csBly9SxsxTW7YzfA3NamK4KenbxPth8uwBqXaGKVc8bYqgcKN+ek7F3f1XefX5TjYVWfRX6GnVj iLRYCNqSn6wmW9ffUDuj8iw3/3tVN9XqhW60GphM8bJWn4G+CWrGpsxoOwOYOvsuRk5zfW7iWKig j/h8nr6WQ+DKi6COcc86zc8l1K2oPPXeCNdQooK/iuBNAIKGxtyLq1XdEmb8U+mYjtRrJw/EjqLi cG+Jg2pvi8cA1I7yRhafUab2Ws5fpsC6JCJqUgeA2ibc5YtppYEIB/nco5h25SGFiH+Nn/QfZVEC REyrtj7kM6GYNh3ojanb7nFhxPocTdwJEScvrJz9XIxeEcVxgvqu5Mao5MEfx7tkP+lnM7LUfgL2 A02TjXZuamdW17tv9lTWEzo1wc247jZWYofcIE5kCYSHLStKMNFgXFFJVAfd2Me8SRsrTw035Gqp FkRkJ8P/JXdLrJ4QuGsutN+6wOs9unccysC9ZD2engZT3zI3s4m25bc8OmO8E3BRCGXDaV+rjyKG xeUJsZuUKFX40fAUuuVGyvpeiPpwYeDgk/2nJfJl6PdRjv+9EWvKxzLKIql4//Hgav3GHzkHvp2s SDhnGtw/BJNtRWFCT7QLLk2DqfeyDxVBc55cplsya+NkP1DB94LtlFqLVapmQVPtd2P9rMo/XhDf QTV68YB2ULMmJP8FF8rXoYE7XsI5pUO7hh84FajqU6guQvhP4tnEl/82clBwUY2hlV5gfi31Pmu7 RR/+XkeI6bXA+HMapXhWMsZ0b495uczoYsz9A9VKiNxDkH4/zWp2PFNGDRLCUSTTSUNL1OYYdVUb GWPqox0c5lN9fGg8NrGaB5NmNHhmzhWEUM3QnHfzKaqnl+Wx76Y1fyTYQUJbJApQsqM/lb9S0r41 2Bg0X9U/h42jX/MewUUC47qbxam8wTa1kir17GYPmiU0oGkKfLscstlG51RuMOWKJNHprlQ+T/WC YX7VO9SXO45ZkHABWH8/kI6U9gvBJzMyzvksWxG/NoWWJtZv6SvJYM/pVEO5pSsRy6tD278iud4K MXskemXB705NVy3PZw5d4BhJxzoXN7j7Az4Gr/lqYhlv3UvPjx4UDRDv3xpmudf0W+4LTQb+TgiZ UFmMULCkJiHS5o33+kgpMRF0IV+ocuRtzOt0bmLt/tAVWPmbK+cMwjCZt4Tz99zLKMBSMivFb/+k S15gW2b+M49NDe67G9BcXDm3/OG9LPnd612ZHu//FdZ8LM206/GoX3Ct47XpAkd1DoPNmnnqw60e 9LjAR1EUVlGvZXSNNfMu0PKe1CxfeIqbRW+dX/T4DCD8N+yER7AIo4HI9+FYn8F3TGE3uhREm3SS khMdco2kHKwH4zFvI7Nhyn9lLfVrpAUaNFKrr7LFhUT+Gt0SgZWJxnO97udsmJZbQp9IY9zV7pWm 4vPcU+Cua+jBjS8Pk/z4TnW8JqeO0e0qyaZoIoXiADS33R5VADXMnC19BClBGgrMV8iEQj5hpEMX t8R7WstnZRhRqKr+LMhswSHHwdKTdnI4+7fLKZxCUMFr1UN0b/BXs4aCbhO4YxSNddlE/YbcbcY1 5n/dzcnIjkhyz3Y2w4S3vaPrdVQg6pUfPskRRN37sAE2GhTqjcpSddATvzYWVKWYUhOqUlBw+TMr 3xF6vjwZ6T7fUUYaxAwBGbvDP5GG5+DNAiRdg/PrKPprt3Y66GB4FuXvXPKw2cXOGnXDTfXACBfI WURGfPWthNqafhx2KUbiy2iYj3/iDHJ0P/Za1LQShb5n61TWz3acxTWQ3FzOvGtZ5aNwKWgZyUyv nXL3qZMUr7BtGTSPrmb8u/bOi/6gfoYRT98iyS4t5pUqWqK4lIhQdmhJfKOr6W072AJ4KY/CYwQQ oKbsSpsAhhXlI8CM6i3eG5W3P7Kopm5KCKgDgI7Ot5e2EjtxteJQ+hKPPyjOwD8aX/PO277J7luS ufQ0FWbInD7aastfS5R9cByK22ZKaPDX17jwgrb5jq/wM/52pB7xiLu1r+BcK05FyxvZTxXrOVPF C/FHyjFU2MDCcQzNtHTWlaqA8C5AgLEXqkBbAHvZrJbcrZK6IZ5Gwrtd4mdCmIrHFz8fHXWnhVqJ XaFSUxvK4hocydXSIXoNhug45SFc6pSfxmSDbhg6zXgkEk7RfiQJjRr60qxHUtSiKSS318iogT47 wNYyLgMiLXraso0Z3nMlussE8P/NGko0g/2oYxVSmKn2/zjo13y/tg+/LHYFBz1ivJhs12e8sqti L7dDyPVeegA9eVbIDuHLYChFzod/j52VezDO0x/JO+4JQ4x05uFneOka1k/FSNoOKrm8poPvZVrx 9CTROzuZ+ALQFuKuzqCW13BmsqT6moOHUZkagT0ApOqFN/khAoMKxb7DxY57Kjwbde1FiI1pW5Ez j6/ASbZccXXPb9BXle7vbGWggLfDhUV6I6N69ygWW1/s7A8oKTzzAvyh1XV+A400m8whpRBDzQQY vTeX3nkkvliEW3s7FWw0W2ZKt2N0MqGlL3PCkk78l7DMoO3hoBUYtXgSgoeaa0NImYVFK3qSTRIe J8wEj4lHqZG/5zx68fldaCVwi3wRjOBNJ6zlQo+p/2NOcaxnVEe/bk9eFdcLIGSYnUItW2DksXwP oS7EP5z95iEdnNjJ2FB8OTV1FCeAU/eQcrqMP7kWQzlrwRs8+mz0McgkBAxGDut8ocslIaITzzeL jJ8D7ULqQj7UdciMnMSNTBMtTs7r7Q7qp4d/Etr7744vKRrNZhV6NX0vx5/zGGz+W68t++Z4Nh3g nEb0blrbXhB8zjcABD0vE0j8JmTAHx14rarw+MQoTr16P6zOhdhFcJZuLPQzHDEjltv0a6U+yqij KKwq0GVj3CvnOhIAJpJ4Lsq3HAHNdNQ6JAScZyGff9yMGiaaZU3uY9AwIQt0vZFjzfkRuD9hXB+y 5chNyyDB/6EfinTNtBYI0Grmu4B9Wlyicule9bb9DFXNI1i5hK7fdXXE0kJ6jg9mz1ktqsm18/Jm ulIVsEgzGuOfCHbk4rF5aWnFGjGwlpiK1zvTF2Qaf3E8hmTdzuaQ9iHZtRqiCuPw1LIaXwA5miEK 5N44+zPJDqrkaBL3VDUwsRxz0hXaWVgNCxU6vsgk1RokYsSGuTLWAcRXqFCnOWR5Xq2+HngTEHaZ iAbddRmNMJrDdB53y7m5thrhuyIa7G0mXmfUn9hNyOdr5H2ZAiX5HoYFZa7c1z9FFAIDG4wiP5V0 kwH/oqNmtkYFItUBIrHqaV4zUFw19N3BIJ9yLYNqeD7S9trFi18/atGFOE5RYpVBkMYwbuz/+Sb4 BwPE/QFY2qCGG8aeJnk8ruA+pLo1VcVbnS1EdkFTOn+TIegbBnt9ezg465HbXeGYUywJj+vZ90E3 z2O8JRa+PbFcUYtiRdzjWYSqB/5uljj9XhlhkE4qnqM7q1+E09G9pj5oOswBZcFoCAP1tZn4jOav wysC2yt30YfIX5khjW3NRGb4e8UMjRLSz5dwSpk3FwZQgzDU6L5+ztw1LRArgClLgRISe9WIcjMZ A+inmsm5WAjcR2HOR8AHuCGNegg0DJVxE6n1WHq2FIxsfF7WMxqlZVnVKfvnSqWAHmG5tcIwKYJT RnnPXi616n2+L7McLxcQ0rclwanXHeKn9Ba4GexXyvL9a4KXy4QXrA6IuvXcMJGhmfDVrnlzQ5TD IP5z+hpHJGpXCUjStgazlcq3bmlrlPMD0bWb/kPXAVPSDpAmR5NQGNUd36pT4pV97pMR2x4YICIV 8UoMfnhYQUcU+lIJOC1BvzjMHkRntJohwfe7j/tQPApbtUodN2enJS6s51WOcWfjGIaVNce4yI91 wgeFeHsF4gpsnjfojQsw6JYRaQBCJEakN00lwSzuChQbNKwLFeaXMzX8FOordOKlmSW3dqLCQ/E6 jsXdtW3p7yLA1WyGHcz3cEsswq/J0Slv6HiaxFZCyBpTRsS06kXLoj+cspqik4igURxh0GAlrTi3 0om70QaR+dRrfAtjZMiDMt6WcxRx5q8B61VWm9YD9hYHYiWDWuoHX8tILpdHpltrArRMAkY1Ywum cw7SjT7qyOYe+BU8+lrlkCaYVBL3jdKK+884qdUZfTyg8ya0SV43ghZe+BnYwzLyy/g6jJjxjThq QXKsvGFbmYxLAVD/sIL0jLpxXW2Phv3VEpsFowivxmn8fR0jQu5xe7eBN5gPjrz6+yPAuyH+pcPn 9P9Pk/3m0G2hxr9S/TMuv3ECckXgKyCCZaxI6xBXoXOkzwSu1qIRvMRXtmf4IcTtawD6/KvtdJkb v8ZA/3+1np5mnFveKq0paKEgDZu20uWU9juUT48KTusjOzslaKF0pb28yDRLBHamlZuk9h43TBF8 OIu01L46LuErWRaIL3RLbnzIVrTrKt3zCNS2MmhIM+xJWCMIHAmDgrq/aqWUAYI3Pr8xqtBZo+up OJKiScWkDbmNSyHKkPIr3J49/qOGyESNAgu37bRsZSn5GVJo0lONKZl3O308SugzR4fbOJ4Vk+aj x0flSICoD+1/kcUIIAtTVwcNLXDu3KdKBWC9DniMBGdLk0RFPCPz83ZPbMHpZfYTGnPyrdHEQVyw Ub7nCYAI2Cfzfd6+jM/foQBgXdL/33nR+qB6T83s7aOyzZiJsN8abRa/N4Q9fZSkzXNvQmOOPRIA L/tnsmryUA+lAqB6bKEItjVe6202XVSh3QWL8M9usukwsD8iy22e1EuNf8LrMbzhH0VA9LiRgKi5 ZtYvMqN4v0Pt+B8EecXD4m3wmlKqsKHTzpCIAYQxYC5viQebzhkfHlYtwnB3VS1CIT4v2Gkj6Y3t WRSdXKSrYnycCeiTDRr0iO1ynkcEElSeKdfBA21IdiWAfzUj3C0pXP6Keau8xpUAconOpAHtaPgz tGE3BqVD7mNXlw/ESCc4+H5YmdvUAJo8DomLFivvZhSGcZOW+P7Ohs+d+eek0ldsu+SKWCcD1V/3 QkWZgKUlUUNgDD6oDXm5rbUxB6LBGy8SHx309ldRSeYPFP2lQ6pqxvfg3yG+TC4eGOXn5M1S/0sj CtaMEctckLOIGUv1+9526rgLmXjLqtj4tPC7JtzQnfCY66ys1c8XJZcftMR4iOuQtnNLMjYrZcGv olkQBh4H+vAaW2EglGRN2iMroRkgP0cTtWJO9VrffpKNSCGDw5Bi6kJIUniALr030yJbZ+3ZhMIh pNSDsO4qT4aXSD4NY41pTW0N6luM0gFMdOc+DHQTRCgJ/z69w+rp4wW5MnlJUXP/rAqoEb92CcEo hT5zlDdHpL8KHMQuMQ183cAT39WSXTJuo3bz1VaE0sEdxnDNNpIhp1fgENUuipPUvpCqSBE+duz8 7sXqlcYqmNnVS6I+U/JJDMz1K6z7hDmKyjO4zSQQxCciqduTkViBJviHpM3aCYkwu1WzZK/bNaEV V5FBVF0lkp9aR4CoWumyhKQ0krsCyXys95JZiZHYfAk6jRRIpLe/jbwgYLvvUnE1Qc/1m/pKyAEO yiXPEkRgFL2yCH8H5i6S7BKpKkJwf10IA4wPVx3xi8XAzE8nWpGtAJElXSx22JTNP4sTgBwLXdcz gOhaJL6wzDM/rWHNPoZ0f7FZQdOkYZcr+zFnwaRxzlWpY5H8eiJfdMxGpZmeH0cr0v1qH8SRS1ES B8Xtd5XQcNch1wyBAXzXWw4jP4QmD/oMzwGB+ezgr3xTxTTj2airHghrTDbuWe+8z+nsJjIb+dj1 gjdMBUoWYGqqKfH1ABsjo3/5lYPnomyq26DGC6b3qQjTNAn88OWta33KDBVlzPuy6LdW/E9HFGeY egX7ttaTp+TDW7vkTz/V9qaAzAD85ozWVTu9LFcTb6ndT23cjc1/qSF+Jgz9uMMURXxmEVPlm5Ra fk6sS6l8PFUzLVWjsj0kYOxSNo9nkxH21bFi71qy+vR3dXNjj2IvsvvVagD3rJF1lFT7qKCXIrTS Bh7yGlDE5VXf4Q2ovT05xz4seXxS7qf56yle93ZmotBl2P9qNlMwnyMI5vGazSfMqn0/MDXboaLj mAP70Rqw0Z3CXNWL+h2RsKgYe33ZQtFy0R8s+KNU7o/+Hj3HTLuiNGNWfEuC+4vOBfTo/SHmBAv5 mjCP6El63GMkwg7MVnULbLZvY1wsAb5+VhSDNt9eIfbmWvZ2ikdWgrh3BRe+HIS0oMTrxQhZmujB uAQVCvNWySf2LU5b96q2uR5G2TfjF1hvZs4LLOiUMno6wfY97YNSLp8W1v6tbGZ54Cf73mVJCfO4 r3mZryj5UvWpVeTCA/SRgq3Y1pzwA7BD8Pgl+16qgs4NAwS1aR6F0tog5npBmpT2396GbUQoWhTF f/dbGIzKoNKsegwoIsxPvobFo+0cxDDPwYAbo/ONS5vPvj67MZ2LiunF/743AGtkcLuX22SRG2Cd CYpD6x5QCFicEitOp7C1OPTyDpidP0iy790BoqwuAsUdHmDgyiQfmC+D2dFia9C666tJvBpgRHUZ cGcTrtmrXHRYUXcK8gjGq86zxipao4jkYp40FHmZ1G+UZcWBMSjQrB0qJhyaV0JmMZUPT7nFmx+r R50q0FR5Wz4PWKH3RqdKBa/SUQp4tDLV9TQCPPKkjSsOQqQKpD+65Z+tOOLT9WcpQTfCxqFGRRXr aXaI7V/tO8vSx8W0xPGuo6YJNS+0Fqxoq1XNhZCZnz2la/CvJsOkKG3yzCEAUTTsaK3Rbxp/D9vP L4AmWv9NH98GnJUk4LqpZ83y6Jxj3gfzwrIrSDrF74okJrQQBPpWh3pH8pMeoMZb/GB59xQ0WU7v 97stsPZEIPl5Ij93ySi3j6PzG8BebHxi+pkFxuFgbyvNLSuzCRio4pboB+5K5QZY4mJf1+GsLE+7 mR0g7pR5qw7wvjH8SOIxMDio9JvvoNa7lJFBHbn+8v6IzbZHGWpLlEiDZhyH/+6pSe++uFVw7x59 WDV7oWGiv/3J9xR67UN+8y/OwqaBmgvM7PvTWpzp8GtnLXibdok+cmTJT4dWLpevau8elnC4M4lR 1M7VwWrblrE0AFzmLu/MEOeCjHeKFuS2CyLJadEotnY//G4IUk6vVyOiit9xvTlra2ybfuf/Namw ATE+/E0YzryCUJew+KveeGhlQHiK7WBsa5BS6Bcf1fm3/lev2AjFkeHoB4UKGeUv/lQ1lCHQjn22 8icuFkRIvuvkPeTM2ka/6nihz06+WvMTR8akgufmvaef6+tN1L4XcChEszwaakJ33+4SyU7+4utr xZOoGWCYGRsbhDEgDISgRjsb1qm9qZMR9rsxYez5aNC7W+Zux8pxpWZJJG/ZzxDxw970z+uBn1sZ nI4Qwyvj2nOgElHh5XjE8fBbkh2JPoRGghYm56jFjnQCaj35q3LEAy+dcQ4FkAxv9DZZFYfEQb3r 6Bd3w+/vVirfAJmwwc+53zUAmZJu3HPDkk2lxugpotcWlr4rXCI3tyyGkj8vX62OFD1t38rgZ8w4 BjCK9zIH0r1QNohipaKuiHuDOL3OIdxLBy08lwQ1EUPeBS3jIHYZ2iVTBb9wxkSNqMaR+ClGbHVX sB2vEnVhqN0pOf+Tqa+RzmyZEUVm1Rj3VPNkvmzAdO9neI31eFkmnvH2Qh8Lzq5pzYzA8/P7wuml rx2Y2+YG057+FQhnr5MlHF3YRmDtORPfQS8EdUS0dYGp4XjQf8sPXz6BLqIgemPjnuTT6ImpeZrx gIBiQP7Ne1zNI1J0fZOY3FyE6jj/5Qt6jbM9bHQF51DZTp81FNBtJCEnD7+SQqf0PmAIi1nThG+C HsCjEak/8DA+hGNmnvDUNZuCUFlNVkQApC7y0KdLCZzsR6LYQ7habvRLLdRqiwl6LVgeApkGrCPZ CJ99YaYnE45cge4iuY7W9l4b5RGEZdh8/fp29TJPPkdA94eTNrAMb+gZsIanM93NZJuiIimKuZrO 4NJXw5RKLTAW5cex9yynplDihYj7e7f9oAMT6UyR6Ce3ifbXLQ8KasWUwfvXT0IOLJ0MTQwl+boZ SLWSwZiA6AM0mxbOaVHv8E+OJwywEiRqhmQx20sc5bzm9XavYc3dxp3BN2mk4GkMc6WZL3ooihC2 wQpk1mgoS21BWQ0fyjT222aKF7RwGThkABEIN8KH9vw5ZYAmN2rsz8Ay602Yab+3yuKc/8cv1WC6 qBcJrt2u7wBIeZgK/XZtsMYPZifQjqWIeDBeH8a/i9uYYNDd4EXB7F7fw5IDKUT8afZNrwkUUNEw yA4f33ZndGgDrZT+dz2FBs1rZ3XFS05pWhDVDZ54JlEb7jphPgONd+q8OqEZD3RmsvQaz7qCoGm9 A5vKt0XBE8jFRPFOXbFiPgLNeV/e39rhiJQXvnGrBeelCN8VrndoRQ8djZEeTyesUZMUSqMxiH1f 2mFF6z1TD+uel0VaHZbCEAZ3nEKB2cpXVuEHypULM2j0wGmPGe+XTBrJYH+q6J7nUZgzlylgYlRS lvAursqrYM9a7NIiPtmOSClbhsEI0LGv5sL2PIW84/p84b+Rm0VIatIkoNruaOEkXoJSTtXR7Qo+ eJ1W5FDvQFbpyL4SWe6EN050s2mIh+kuaRYjvaGnuFFO0ImBazGpXw+bQgd104QqUPsMEXyECj2Y d5Ji+XMJj6SiOQtO+jvEkQnqr996DhfAtG7UqTp2ZepAX3E8QMXpuK4KniS3l+ignfEWMWNPOfb/ Fk7ZeEtBrZD0ovSxi/jDUpig+ovlEuIEHlX7h9IgPTtZEH66uvqmtWmW8THmrS609WSS0azL7GCC WgfZhkKOGnYIViDAX8WnzYx+mvDpL2jnJ87KvXvXadStqPFYs1vGW2MIAtNypsyBIw/tYxfDAhJt r2+kcOJchApOkfanku92nGmNAQaaMgbIm0V366lj2X9xhsQxleKxsq+cwyBI+fCR9Gk8REefL1/O OdbXQLa5x7rEgKZ3EPNf+OKJIu/IY3r4xFahQY4FDm95QvFTOHIIl4xFjlXIUPNC/+owjpDSG0Ze b7lC4ukmKjxVKK9baZ/BsXUlgiK7JV+WZuMIhWgoRiAUb1kYjDWqCOYl/Mc+tWKCo99nMes9Idzn RWOlrWvb5z6VTx2QuS70UmQTdxtsO3vEDHZdu4jK1P8VPj+5f61Tw/DETwtlhTGvhzZTEOtZMhjL 5uf+H3n6rc4W69AxKJ9p6jaIFP0q/CKJdDXiZ/hpr908wEDx9PvtM+qpGuTcX+no4CJ9mc1BeGq1 gcIXQ5DZIiFvZqu9mo4B8PGwIlLbJ2etjEC7stQt5t38CgKHNlT5MtZu9IdpWAFlqrIZeO1Twwm6 iA/j1rluX1yhO/ylHaunMngSL8Grq8orNOi/7Y+sDq58sOOWznq9bTJN2h0bBCaGkaA1ZyAEpB1h imZP4PjnpfmIMLxYIFmFNWznzNZpvQxOKtsQNLt2mAc6iBUVMn6ZwFPk8oKqfBdNjmIT0R7fL0+v PoKWUBXeJUlHUHfVNcsxp9iGCSxtv5z2WDGpCGiddIZQ/4tABHGfxXKoLa6xmC6epbMTEMGedkn4 dZlgxhH9aWM7vQRWZ1ysRXg8oK8YhmXsHrte5MrqOI4h23WgVpAnHdzJGUTW04g+08c7V1YrdFlD jsgOcgay9cK5SwOeJruNVrQlfB9z1o2hIQFJ7kVvTlvS4VxMgspGyRN47CcMi5RBbpDANLA6dqnI LfRY52zFlUaUS+O3XvEFAeLAmOoIR4DYskrC9sspF0G2H2F4OjVRMPwOqsX4bHDmIXM+M1NHWVLr oG6929CpPs8QP8vboQ+xumcy+LROQmJ9c7IDpp/a3LO7wBZxAjQ5jnbqd7eNYpxmOpcogq1fOuPj gkhM7+Fql92DQvwPcV894z+kZRVAdqIt3PZn0iE+o8Z1gxTNmNbVkn+Ey34lqzmM+ua5b4cjVkcU I+NBjdmXXYNjopLBt3dJvo2/qVjvqgt/0qFKZQQ8cxcUBpNod3CyLx6bXHuCmhgHxj7hq0mgzYFD gT4U5E9y53alxs3a/lgIxHw5UVQ5LgmJ+POKND8vVzbNvipy0hCd+/LbJqk5Q3nxdhYC2C5kTTSO 26Nt42X3lRYZBWX5b1shT2w4d8TuQcMye5JlotXvnCr7oGwWFIDxYzm7EkQUhGIwevA+cqbcQbwX 7Ml3DazgtDTe64MtnUKbqlQQDtVbH0Ek/uLSZXRw0dwGl9sTCcycYAivJEs0IhNa66G2A4nrwrqv 3zSaCP75pSrSRHlTYxdkGPYUAOTLUO+2cO5wmXIL9tUGhtbVR3Y6AXmlVuhGi2lwSP7fe+5H97AA 3JzvGbZNXUgDyqUFs6FEg0Uzh0ikMmRmGJ7x0kvw1zgBKeodRV5dzmKn3Djacs21aYnudO54Gkp1 fR16Pl7qjIuovEbIIwk2bsYqySetzkB7T+eNqOdON0e1DE1G7mbhAjBYXcMOy8bYEVYGGd0xJwBA G2K8ZPZsXhuljcMAJEs1PKI5zTH3BrYBCPOOvtrt+grv1JMe0302+a8a1BG7eE8Pan8hJPup09l2 Gg9bjOSYIDJ0iNo+NEa8vfWCFvpcdu/LCyMzaW8SssF1KSZF6j8bP4BHVB4tCz/kC0AIDA3O10ah OikNereE15tzlWQX8DaVhgvrYiFuk+RIQRtnAQsVWdfOIuI4GC6hPZwCyktJ0/YyklW0ZT6Idn57 1zhsBrDZQ8MUYoBP2Q9HBiUWzr4hbYkj1S0yFJo3dYd9J8fNoxTZQqGgwhzXrIKPsUMJnZtHutqz G0kcjkjn1QJcQlJKhkqCuJ5CD9/IqFQm4nPFe0v1+fmX8hitlTkZ+yz0a6dl1bbXITS/GyxPgtLE k2z/zQrQIIqtTfEFG5dwRys+CfFX56JqjQz/rncqHY10Xiau8Bt5BAVhIM0eNW4VQj0iGiQhyuaS kubaZwLJxL4dYC87LPFABBr/Vj4dQiPc22eH6vHAkfZvr0jKMtUGx3bN/gbKhSC/8DzuAzq7H/qi hCrDDlk4SoL8EESEgRckkrxHaBYGN7UsjrpQDv4MECTGq2Sjay/gZuTIACShwswuMLZjLvVtiJVX LTpAPXk7gFIEnOuLuIbXC3+CKwW84csE7l10sqz7SS1a1fMtX1uDjy8zx+ZiaN0zegtCpDNvyOo5 El45GA8rMnY9w9Oudvsn8usZkH3h+Xz4wJqq0pKI6czucQxGn4+/yu/koyKdqJ6WSLGSLSlDcyNG ZHCXjVKR1XcOQnO33+ABWteCfsmp0vGdzrnfJt3XqiJM7rjdxvHGlG56PDv014o6mDtoAgSM64V+ D1cl2h3CSU1L9gFSgZqTv3c/YmkE+yIGxIMavU8RLsgkEDa/gd2ZFbdnX4tTFFJuErLPCd7i9mwB zHcZ/84oh/svD+jl9F+IJNv7av5ujbuU8Ghg5zDfb8kRrMq7bwjT5iJ9DFpA3KT8pnbi252Tv6ze pzipu6iWo9nHGM3a6S/FEfgS/i7QQpzTmyWhYzXsH/nX7H0SK6OewTSRqILBgdtsoAPcDTPGRc+i xzVaWTUfJ3+tUJikyei1WIvERv9u/LRDlFn+7AMJ9yZ/uNbedIKFe9yllVZA8Jg3W/f4qT4lo8mV 5ZFyIHlgbsJrcqmBZti+I33Tj2GF/SlccPlT07e2TypOaozDwEtUzGAqFEKCMejXEsEBw/NfrZgz YszfzQsEtND941sFhz5Qe8sa6uLdyKOsm2zrARv/m0yiH2bbpDu7N9FDp48B2EujFkY0gXMFyvaf ZmvWvCJ4cl9M5d/dchhtx0fwHpFDIPhyCWMdIOJFa2APEIpeW8tjna5GPEsqXgwcjZVe8ZRtxLeQ Szrtjy2x5xO5feuFGXSqAg7h2z0lMOFPL9BHJoqJqQhjoGjYdU5GmtyPb16OpOEKeH+tCwelntr8 D6BP77bvrouKBPH6wL50taz3P+DZiXwDce3LMzRSOxEQHttJHPHxJ650dzpuiFNvnkgXXEyzo/s2 DPy+yl8vtjDqBpH9OZjL+iLWJbrFvIWWrVmZesSb9FJxrLofaf+SIX+3sIKNtUCOmR1IHQ+QQ/AJ KWaRLAaDIVgeqfDQI7iUEzFJzg+qFM42jBzlxMnZXaIfAy5UO52O1DUlFkU2CCZV4bzXynEhgU8B C4wnCaHW3GKIsrK1C7vjgzsF6kdeeXmvAC+0Z0mQgwy5XAutXRPjMDiTk0WDkjjKJA+XtJNtgZtZ sCRk+vDJGa4bVI4OPMNfqk2gzM6//KbtfijdtfPGwXr0uGwFVg+pMX4Zl9JUW4AYwE1ncpyK42v9 gKqh4mPqfFLp2m73bxg2whhzrhNL4lZ26Ry0FjO4K9Nxde414xjjmOIT7rHgho6lXz5t65ET7x4A cqY/hw7OyBxwBaiQHh1D4d2nu8UeGcm8sW77koFNqme1cqzDKlLE61EyEqa0QiBZPcwWv2No4jfC uCKolpaTygC5BkaFVF4SKR/mZTppdv1SaEXQ44rvAWIfEOldBV+D0nPfJ3fitynCqcMwWusSzquW GWRNSQKoGrSk7WPNaxjbZei2Us6RuYr+jblZWmtMWIY8PonE09JIV6UvDcyng0LtY2gBT+0jL6K1 GKh/eD96pXKlVrpvjK+1YOgjgLpKY7RGbATSyQRWsYSiEbknCWr8DJSkrC3UyxVlp+/eTsJ4LwIy b0BOqvaqDG+W1RVhYQk3sQbQ/qS751dphHvxq41oR9i881hJaG64cTV9Z4mUrByPzXSPHfAMOzEy 4Vc2bcpHZE8+HwggALt7We6NmQ050TRqkPsTUBwrKdxtre76tbKSAxta/ZClRUl2zZjmjBpIGoZa DcrgwiC2jEFN77tApgq5+eW83GYKn/lxgGhwmiDbzmoSYtlrQVcYWSOQSXMnoLQa8tT33fp/fkhJ u4uSu/66y3JvAytSLEGcM86iu9O0zJoK3UcIxF2VgNeJR3Rg/2pXtabO+CfJgDsTnEHzg0DAmSTR LjEQuEedmSWFkRC16I0iwn8nE8ltkJAzKgxKEejPRTXDwQ+LQordmsDL1TlHhgAOF3Dbljhtcg9U VZ7lrDVrOnY1PHLOJBS9W7euNcVrXTEo2hN01xThWvTCwD1OS8Ct8uDwx/LXOaeyHoH4MEw4Riyn LoqCe7o0jOpG12hMPXBHbdyfudp/2bKDPAhSrtE3M6k0cPf9FqxiKs798iLz8ZAA/4n4kpQcbOmY yrFfgevTYLu//C+8otLDed51xyrcJ7ObeZwE2qLyePZyXzzCa+HLRRB1lVcdYDbyDg3aFdkG5oU8 YDTrn7yGKe80hXfrmFT9puCVZJdOYm+Pd70/3UDDN1M0YjPpkdVuodgmHFhqh36ltW+Obr1IzcNi 6dtOTze9kx75Wq80NuR0n9KiQHUizaAD2YXKWi3D4G6HG26wc1+ZMlziGTcAYGAdhV6itJ0Ktk0u kD9s+9wYKHj5UT5L6xWAo4LW5+ml5d18WHI8qwVGNsv/jiIgHNHseyI9ZhoUMNcRmo4ZtASTxpb4 LFB615P6afFpv9pkwrTENQGVNYws1W8xniyLgNpKlBBIaeA6VYzq781jofJzgovIu8xHeS143Xm2 OtKr2v2CgmDw27Ew6tkP3OgU39F7MY8ujet2ck9uT8/OHv88awaYNDzqAWD7qhXkxNy4sZJSA++j t6Cg3mDcX/Z13qC+JhKqMmwBOqNvGHu9c+xjjHzJai5YBWpdzFVPz10fa5ldPJzLlnfZucdZKjKd dLckh0wFL8SRvpRPmU2kanURkc1XT2wTPV4qTUpEvUzdq3vGCOKNxScymKeMBWD1qL/FLCdEqENj h/qC1h6uF5MIuyfX88NN5viSxDVz8wQrf/CT1xVYYbCtxSJcb3wiWI2OPzyBtTv+lpojqA0BjQOL bYaNcnILk+GfaBOxvkSNky/eCqZbyYwmWvBx6Oqrity2hVdF8IikjIkRh44dZHcbwYnO6gdMwm42 hi8E/Ghda26YefFirfCdLQyllUURDkfSx3NxRFKoDPPFiwCYe2RoeFO1Gob0/TmSE0ZWRTQZwj8D KHxr0gsRalClwmDCgOhMG4O8ZadoyfflCrd/XtDITW80CYavZzG8vugA1icyOWRC6NTRstWNhKTl U/9YUGegEqllrtysq0IXggVBpQpFfNEsOGMAvslCDcNrh8bugl+3xSsglw06ozaY589PKYR9lfYp o5KZ1t26MZQJCX+WDZ42c1wou1AE2CGHALk6WYpU59xRdyA3AU9pIb2/2sGsblpH8PXYU4PfqiUT t7lzXYM8qvokvS4kCdaUbod8W9wxiEwf2KMC2WVg6TO1fRDMjXaZkCcHyif8Z9QD+2aTc4CF5Aam 7AWR2f4p4dzkFukXVHptee2vphvKrGQc8DY/drxmWeaRnSkZGBlfoevEr36ENl/aD/7G+2Lv0QLI hDOLlag2mXklEeUf4xRVnD2NQkbvLi0jP2L48ESLgV9i4GjTWwujR/GgqGGEI59sXXIWsoJ5bKz4 U3FQzlToMW1QckMIs98tCzE61To0xfITNm08vLh9ush0UGr9gM9fCiKe68CdTFtg60nbBVl6oYDF UQuVqrggoOh+icW48zNmNOIzQ9OYEGxI2RNh2o8YfwVwTjnHwCJSbZx6CuJykerRefVexFZ5dELU dQL3DBL26Kd64bofpOi2jorS/dreoZm/niPk1o+5fX6V6ClP7WBgxPqhW0fSqpH0BTJrLxg/Xotg Zynref8S6onxc8VVQLfA20kQ2IDIdtewh0T0WPsLj3GBp8tTzk2vbIPyFAMJHkDPVt0/mbD3sPsV 4TPdBqcQ76xX/RrJK8dZ+o1+FHDoB/YU3jblO41wC8Hvj+YoVFSxx429aamfbj+XHhrva1w5FtUp ZMLw7OFNZbcI4FYnmWWuktpmWY3BY8ylYjsDWKSNXMke5o9OspcNb8rxyXVdiuxjrMTsIgYkLzC0 M8Rnn7opxyVMiWNKeNjgSLMTjqhN2x9ikYCb79aISpfPPeyhGR4DmEHgaNS0pH4q4RvP+iFZaTBk oJs1oqdlitnxeyvTLIoiXFDSW6lmUIFi6OcpHndtEqQLngorLk3tO7+wXkAMe64cfI534j8S8uxp HsVTt214nkK5KztOFB2G/5qKhRKtm86SQuX6SKZfIKNFO+6AGcA9w/SmF2aPUq+xD2sHJ50EjwTw 5B0ji7Mg6cFaGT4+Gx24MhnfF7xFMT8P5orXem0a3aXOoJEG4mqU4zEX6Owg5k1biaRu4eIOHuVW y9kb+CEn2XLpUoshqChlwENfzfPPRSNOKSUAcmFyNaUZHOpq6O+hAHEtowZ000hFC1OHn9oRxpvn p35AEGON/EJkdgBJiYWHprCMjrUTsoNc5ZSTkHnqd2VF+GM1nCBhSJMjRAbdxlxC1Oqtb7dHv7BQ Iv4GkQ8uAShKVB8KhDO6FDY52dozN9ZEqPTfwzfu7js24HbINV54IGW/k9x08MfT9+RVXh8+LIYT LqNxrjv8xB6NOF8Haqw0njOCBirkRwRm5E4IV8+eL6AbdlZc9c3tQOdCtMvVBG/gOUHfHUi4AKdu 5cglwvs+mFVSlEGgpd1LcOM7VN333I6ejq6i2R/vBScXr+ujIyO4tBEtwCIQtyrRZmjInpjOl3OI 1gKeBItbQhO3qAX7mtAF59idlAlE1ZxYBZtEaUidCM2+QHVBv+cTYTwNf2joiiBdYLd0NuivdHqL 7BNOrTc9gS0EFami2EOSKfyWxe52W3A8zJUgaRbU8z6wx/3n1uLWpJzqxhX0mtIPBp3CYkq0D1db jVEVnInV1YaHoVNHqd9okWZf3+iFddmj3jVDm1SsBEo8t0m0h1AfL/Lz68ETnZyzOXvmzMdDOrDP O/zzBarBWYbAnw/R8VZpj0hFsZYYhHU29lgci3gZOxk2coHKEKEVrEc8ZON9gqxyGHFzsqckCsNc KR/LNDDxTyS9UZDWkgBLb8BtTGN6AaMVnoNC3CTJmNCoYMF7bLY+/CgkFiSKOXj75o0vcZc1T0R7 Q9HPErwZg+VSgolN/siW6YvWG+5yzE+Smte7LeEb8TtCUEHlHMUD82IxeoC03RdFehgwKdsRY16v 3rGgJypiXeiP331RxY/HfuoK45C3U7VAlDiNFOKpl4ALN010nOlKhaoY9cNrakuyMdOfM4D6vMHt E3DIWh2fQSxi/2OgdISUA/Tu6axWq+KjOpKcJRHcuyci7WwCSpvuEgG6rbWTARZwBYqhs2uc2EnV yiYx1Hp7PrNO9qEFvT7EQQGyns/a6QTi09l2pVwwTioaP7ybyCWVdw6ziJbKJFqYmh3SnApuwSu6 T/JibS6YdNOJ6Lv0pMicNm9Hry/YLcZSdmEf6/P2yvble2QQhHsYmhSb/OWINc4KfbZZTVnAYd4d jLybnSO0E2b+s+gjVBhoDR4zOjTqlrYQvPWHdrEroHcf/iSJ2j7HByXR+sVX8y/TF1cbF5FtHt0/ 6ZsQ7c2eo6QVNGDcg657bQQzw/OfnmO7XScDYc3toXz/EBZ5DykGfcgAU7k4D1Ep+xwFy7r+y+Fb 2JnZ/m7mVZ2vbmLf5lJFAhgw7RHLpnQn85vEGsHkAt+EJ4Cw+YRcHVPGmYew+SbFqYlYIrYETaTH MzcKeB8Mjy8WS2wrfEVjjzSezMeLeyBSaeOV/CR0zxgiZ5Rr6jbsnIDNZ+N9nn4nSsyombANmprU 9IH8464zdMFX+9UvWlJegHlp19nucsLhQX/7HWNVDMZ6wcFznOGfEMq37jzD6mVyU3polTcpi9pP t3RShn69bqvSfrcZW/1VcPhfGeYqi48EozD3DHg2erWg3yLkhG3vKWHOExgTBjFlM/ctRjPDNblb aa/t0IHQ81CDOS7223eP3xRQc2J6HBYV6Suj9WY+pMsj6XtXDmw7Y9X06d75kxrkdjH5HL57PYPV 9xxPn336Fjd48/aCEpRBuKWqR4o5or1o65DQVGbfxASQA8vUXHgoJZbDubXD6Fkg+AHeOGZ6CvAu QiiufxmboCEx6Nm9lSRHhUco0bGeAO+eArkMwyWYnlUDrwDQ+0s42gIXo4RhiGbR2jvfRQyw1LCY EjqRsGuenGdZNmK4L5QTgk/nkGoRg/R1MmggNroUDqI8ggjXdIw4y2C2BEZS5n4yh37Z9rLHC907 zvNski4XY3Yrqk5PJLTi3f8iK0wIay4rYCrA/LA5gryAup/bpp7OHusuQDro8oAqksnpTVEJT665 vw55kgHuYq2P7neaczaBDQFp86tjyIh7mAZ7NrESjRWETMjsW6lJcJjRAX7zLvc7UDuT8LVyW2M2 QXlb3qx0AAfGuTHnP2xMryg0EvcqjtKPnzHrZldbnaIsXqMu+JGLPZCAqfFLsfQ2q2X8RoHeVunS aycALsqXE2JYdFbSA04U1De9BS2Jz6m/FnZy1CkjgrD2pugkBb450a/C7Y/eXHhLwJq3yiIla1g1 hJtd8N2d+dbGu+9QfVihIzO/WHyA36uThFHspC69HItVwUKL6KaxVOF3FeXgCXT5V9hq1qOWn0Hl 9E1Tnz+VdxailtKCQR+hdVpYmBB50aTOtJhgH/JNjxsuHErdBM0ttV0deClZ59fHRQ80fal4S6pM /WRnbHQOtFVqE/fcis66t1m2ks7kDvBeHaQn8jrRAP9yr/07F90juDYlNRD0ZS7ei8EL7HkklHi7 gEiQi1gtX+tL4UmHcX0vVRh5WCrvJMUu6HJ8+w+YV9AozGmzRWtV84EMaIjntOchig5SoT/10KnE EWocHtjiwAtEyKEVBNZyA2YKCCwtxOTMskar8qIz7T+k8jClilX9v1AjSzMXr/2nEY6paFdOh7Qf rXeZpZ+yux5Ad5RxJhdoIMWe46TJEjoOIlvjYD1QxWJq3WH6mbG3kv1qAZQOSJTTP50dO1nUKAjB VYfpxt46w8gVJR4KsMC9anApg54VBDdwT5T0Zmy6cYxtvFSoBETh/j7/2uLP19TcXF+CcVEvMQCT AzwgaZIk2LZQYyVk9fB9t/vRrAiL3xuj3yoY0nMIjuUmyFlyNNRB2ZU+HeItQaUfInIul5YUvcK6 USypztJk2mUt5K8Rn38ProChZi82wUF28sGTOmmqERvEdfCHz4rUoPcVyETeIGwh8h0BkTiMG7PQ 8ABYcKGJPLuzJnWJ8V7e9xRSqMqie3MnMYQ/48prRHySlfXv3qHVbbl0z/CaXWVkuGt46V7BZFi8 b7bVzunKCRgFQ0RW+hqky/7ugCdRYrhIEC2zE27Zlwtt0mEFKacPag3wLBmFlMFnYuFZvqDjs9I/ p60xCQCdDYHfxyyv5Q+9wPIJFkiTp6hc2I9HBLSWBhaVvpL1SGmoHXBl4LjJFi0W81RqmeS3LzxP pw0QSZWPatOT3VQbFOgffiE5SF9p2Qbqxkltjw+o4fHFUfBXrbj17NrOYRvkM/DT4XXOjge+qJc6 nokW2VmtLs6qvG/x5xlVObEWQUvxj0+6ONIl92rQmN5hBNmdP6gRpkzm/ZQ+xbqfbw+oBVFjv4An V4286E5G7pCWRy2S/grCpJbyUn1ieXXvoT6Roriirf4LgJHq76pIRCYiGBeYODLIg2y22UvVOvu2 nhLTxWEUpcBDlSaoaYaJrDySP7YvR618RIPIvU2uqKtDwx40V3Aq1OWDjrI7VO39hqq9+UDDGfZw /0D5TerW5DcYMAOQPWviA7FaX7b/dM/5MrOcBGpzfpXGjSlxTnK+ohv2k0GQDl7vBgqNrjiDhcp3 MrWQw14ezvvpfJ0LC7RJZJitzevmuSgHelv9wTHCreqRLZBXt5jBdD7pdFaZkHPCEubWaCyjr7Is FgFt4tGejXVWhNQgWuKvEaEoVKHZ93iEL3gw+5lNJvFIRaf3o9frR49gW1HyolefTZ9WS5mFxfXg Zvkg9yRNJyQDaoEHHQu2PdMhb/+f161Kr98rX5rC7ZkWI1dN6iHnpOhUbH5+Hk/IPkKOlL1lXHPM 7j0w0ufGW9FDP4qRGdqgILcS0WjnrwxrTdmyD9UbRg4pNQX5GTV7TLLIALpLDvHlkBI8ZtMYNI9I x7Fh9RZW8ESO5h9vcQicuUdPMw5wJtTjVNohfVQCfJFsaUFadZUCW+7DHC60CtEZOK3LaXzGejSK wZHxYV+1bP6862uxq2EsZpw/+Zx0J5Mmavkl78E51XMoTHyH0Rbf4jy2wSfl0yfkaH5m13mStLzv utbcpnCdz37/EB4w0o28sdv354eyj6qOJjFuFwWIQbSOxAfQxlWP9J7iaU/jVXcZqSuHR/dmUkRh Zi+/TY/h/bQwJqwe1kUIeEV0CDti66csn48MKWTN/TAMorEoHmdXlraiIZhUC9PcNlcYk+AIvGfA NEAAu7xk3eErs5nuyKhUD2AoCB0tlZLr9WOoLTAifn7qnJhLCI25xsJioI+iPUhqHs/ytM096OcW YhEJRNj5s7MOPPBMYKaXvOCti6QLFKip1vgDG2SJvbk+C24ZdqofCbV3Yo0Sr9VZGrxHUAfHXHze LtQo+5ixGQVtJFf7590ual6xx05XxmKklxQhdwmhmyRcpp43WHhLiC9uggZ3WPbOIYsX2stSB7Yr EQZsbN2kUEvvKDVJ5aXm5jIkDfMxlgfggrJZ8If6IAQTG/7mUX45ME7QZ6YS/+IhHpeqw+8oNLWa 8szTA0VZ2y1toLGOwx4HYKuGnl/uJBjzL+Dvs8xS9VUkTocmulR7E6mbhzuiMH+xwhB7RKpngr2b 73UX/RvnQd0GDclCwbJWFeHlCywh6G/khrsjb1saUUQwRw2HN0Q06QA7Jyo/BqZQ8USJT9q2Uls4 wq/OmdayxHZSEZofADc7tsABUGXd/DiJUkN21TkKKPKvHL+rX3Ad4FjfgfaAYke5A8E4qqVLMC+e DOvKb18PHCCTwJOiOkLCGf+gT6MqW9w2EhE7DxC3xwLc6FmI4HEI4VcTloH3rAu/rrC99zFTSb5/ /tgMfyvqnJjjH4dm/5AyZV/aurpWRy22hpIts+O+MBDw4mKyBiEQJrqyQ8Kqun/8/azGjV5U5DL8 Hvuk+pDzXFXRkn9pG8HdPTSnzVtvPoAXqC5nHIHo10TR4tQCisjshwNJ5cWIoj8wsUh1Ls+Z4ArD xRvTkNsHckuR4NmIdMdGD3XjKvkC2N1jJTEvESWtj5OSUucpxFpYoMatrUSpDUg+0ubgRnYfODln mRNyJU9h+/L8Rk/zbAH0Lezo8VTp3rjXyS2GcR837/z6nGb0FxV1/bXYsHuG/eUAkBBDvxNsvhGA JObMwyMOCRLympSZIFhcb//1eEo3gH0t0T0oeutcu6QH7Y39DtAyHqN2WtI3xs+7SimLEE6LZ6vz dJWj1NhtQOXogCgzRvPdJmQoRljFk4beh+E8ZxyzQSvNH+DK0rRQWLkFYWYgpQ/yGdsGOiAIYihd eAH01igS9rEG8S609QUgvAuCgeY9qLhlGMs08eCe9ioAIBL7geTQC2JIHLM3PVTHF5cIkX+mBWg6 qkmZEaA1a15rU9BKDK139iqTqhH+SC0fk27oqqsfq/qXshjOBa4O4WJCHmgLbVdzhXCTUgARJIQn pnPBP5RHZISHhxcrnOWXTwmiWf0DOQejFdXa9wZiVA5P6igkfpxDGiRbLvn7LrU4MosnfjjFU0ta qhWaIqORpL0hpFJlvR4eA7lIvoJUiSq8r//6LAh9JMTc3GCMhCa5YoKsRfGgt8AJr8ObdcqE5MTc idQ5ltAoOSY41vz8GRdkZrvnBHkjYGj8f+btwLPOihiQu+5hzXrki077kESWrM/PWDbIVmvhGlD7 xS1ybZgtV+sAegb2dM1k/pviKnReXaJq3qYsIW58gyy4VRBEJGNWIVYRHjUbGvXU6Y3BbJ/pSEpa VKPRh8MzWXPz92HqzjLaRGh+aSsqzfddYxWp0mkQoKbHSg957lBQuDaZKRl7FZG0xaB6X/LA5/zf I4lq/pWxc1UcJIToWFe3Z5818zeeURfQfxRnWGfhc9NX05a0forzNdtEvgL1+DSkn9Ds+Tut85bx 5qCOdYsS9kdpWVDUFK8XvRs7XNWb46ffh21cGnoFA+uprreU7i+pkmaSnLZX8MqJDp+dFQmdKbKX dA5MzN0W3HFsEI8Z1Jhdy1Aqwi4RfOofKxSp4yicLsWW5Fvmunk7SPh6DxSHqb8/vh7pCWs2NVmT qIY+GHtOPcvweD6miN1NWbCH8Jeh4f7gOfQ+k2e36j3Tioe3yH54wznpRQBhoAw6JPkGKe0/vTc4 oHHwTzOD5PV9AU1dHD+OLz2WCgTQbhqx7ogMPbAckI+anJlenYptjd9cp3Nrat6wCNu9SIVrCsor zulMddBqKwmtK1h6SNWm0tVyjzBaL8G7T3btB+aGxPLrMQHAindq0EVS2v0AvuckHlSo4O+/HkKO RJVk/4kaVAyKu8o6aEi2OLf9CKuOkWgkvfSl0j/ot9lRVffpTSDHNNyvkcoWhYArIGY92m12oFEA WYeWsOY3Mkf+6lvSdZx8kwfDwXcsjfuZ4aDCwopmcW21t6t43V8mRkAYbf4KeIyymhMmin4gZVOX ulCUoGlikJaO0Y33iLFGSEFZr3bzEUo5oYc/myEMZumuewXvdJ75FldAwvf/oHDsD28SC7XVejmj etLMylXlhgwtYWFiJkH26wQgpfNSTrtXQs0+9UhFJ5AiKNVWCLoHG7WR8lM/r4QZQvNvb5LpGe38 kcbreVTvd9YDNGoA3WuEV1hEmCDFcka3+EA4ol+bXWAbPMSuAQEvOjpb2CA46C1SZczp6qEhmE8p Zq5eCxIMdmtXFZXz7ghUHfpy8PbXjDTo7gfhZouSyK62up1gzY4S+nnoFKbbszKhJSM+RXTqlrix evdGDtLOay9ddRfvXCurJqoNFrV/ZRkThissqoZE3Dcwn25ycIj/A+FjM71SQOz/hYe/H4JLxg2z SsyfvnSi+howa36yWtIu4XGs6FeYPId+nFx1uJPMcIWPzB6WYl/J/zYrPU+/KJIrS+h1TgSxhXbW 8xSY0umpQdcVtN/fejVRnleRSk4WtjeiDrXA+iURJG8gpuBZ34kjAvHaZ9cjRQjXKRpodGvvcxfT 1iMUEVjSoMEJ7DIgNfgQvaMWqyxUwnM4/J+L6RfzSVK1/QmW7hbpJ10S3FeT6cHT4eklf+lVLqzb JHCp2c91EDcmNbIShu+cqBgROZtPTrO0YgCrE0Pd61MFs9D94Wr82xKpHGhIjbtQQ8wM6dgTXrfN 4Bz0SruxZ2d7Q5Y600xYahCSjZcrFaWBC0Hw1ppOsS5O5uomJSUQ060wQ1JpWVY/VR4mPesxmEME IOatmGvXCvIZNPRVVzaHg7CKk1satmZe8ovHzRLhBYCMAQlgdKhqocYagH6pVT78KwfakB9b6xeC tpiJeSjhxPw8AELvdchFXJYQY/onq7uoFqge4YYFw7YKOLqwi0wjCtSdet68QI7OTfECpfZ3jBuG Gzu002NW6rVf535MxC8dCzuegxFMapRs/atQO5150+Zrjqz1zCgkugkzmAaz6lsL7aIGKDUjrjR4 YXyITiPxsATu8oe+QlrMBh/josk5YhPYflN1imRnBNm0ygc1bRdHJszV8tGkLwPaaNULbu/OQ1QB DRX010sVOGsm/12gQplKgYEF9IIaU33RArNsY4O2EdAJ59RpF/a74xrUM1nyympC0cdLyv2vO9sU WVYHvFFGFPq6fRZLw45MIynhhH84dqrRJlbstN96oiMWx8slqVOWNIakHNVfbwkD9CS8sPVojd2A Esw0zgM7t/5GRGKhvai8rOQvGtD1wU5mzg5MmeWa1HexuZ1rdUrSOY+753KgfLWqVaiVy7MUoMWz CO2eeTAovLnFXLc6uHZZkc7XEtUtRG/S72emTfgGyTdHHm96NjRTEr4kRera8j/pXl9IBTzELgRL tPy41TZtniMm1P2BJMxxFzZoH0T0z34luwC1006G6dhOmhzm9X+yvEi4n+pG02FpE46lzMMEfnsq Qp1w673ItzNohhQVLsUs7B1rp5PA1pKiJEKR09n+YWjA4yyU3HwZ5cb7oU744J3YhXgHtUPDeH5n dD5cnATKyBhg9K/OCpDFKSLVDJYO5EIVYOi1A25CDCR4e+4s7ZtI+XLuW0d5Gib7bOSSrcHp85CT rPHdGyDIRwqHRDtv7ZYURXowrQES4KbQuY8G/7bEzzt+cYs/Ikas9269S85cam+tkvgXQojdByhl ermXcyOgWtNlS7YGHFHdmA4bAWVPq4CwAMNVebwSvyn4fW5JXhLXSxWgef0cf8/HxkqgeBQqHuw1 kLhTEfbkRqvtK54h1hW7L5P7DpvkvR9u+AhQ3X2ofrHpyIlRZQj0y7TZxc9DS94fTETuEonoh4Nl jTk93Z6mT6QK6tIIeGosSHf1zzYu/GB6ULsNBgUvTGoMH4XfQ7Sz5kwFy2r2W8d1dULcfwqyhNNX Zz3MsGa51r5UOKY1F6ux22q+xB1l2KOKYpH+bXng40S36zW8Qh2XzYUCY7a5emXqim16Z0JweCOZ G4bTPTMZlroQaxD/zKaR8Rbyev9IT8PyFjVtNQFayKY4nyeRbySvnksW9LIlTPa8aR+UAZ0KyFS6 YfWbotTXuoMnN+Mf6MeidFEC7lZVjHcVsm7b8XXHJTvTcYUnwwE8GqM8yAztFnqNjyrstsyMMkMh A5tSt1JhS/FkTPEdkr5jo+/MTngU2kX1HNVXyrEG0E4MjbW1B/hv3FSzSD9PPyIpAiBlT2C8UDzY 6QeVW83BwJ1LqGHSc3d9N/IZ36lVlP5Ut8K2/8Ki/dvA3QWVoyV5OdHTxKWxQQW+8CKM0znqck3R 97bWNOfgZSyCaeaZZGbQiSjkKe4XclNBXNxDMl5geTIu5d5gAx14Z+aBEOlC7SRhm6DaHTMZCSi0 OAhQuGCWomVUBPcouIx5flkySwlpwV30EmOpw1UtH7FGnFc0+/laOICG4KrEk0BKyZO80ZS4OAFU +Aqli5qUt8AsU/zb48AFZNjDn8Ri2SIGcJ+3acOrkTEWaO7N9rZyuq+pGCXaOvs2LVntBv3VHLdw sizP/MaN3vmMbh9/JanmH6UUCZ9jl+whQEauR0zHHDT3Nax9qiNBUPzyDaKB1tljyTsdlaV3WYCO K2HHCWUCTGjWBtG+0do5HQIpHo4N+NpTcQ0d2iggekHSKSt6XkJPvebcl07pWOTrkDLsNBAhBFE2 429xggr0GLyOdIFjJg3oUml1TSHbLghPFxMDr/Xo63PsTs5ZjDiNC45jusgAgjwHtWaGR0JlBcJZ fUPZFUTWqRF9rg1NaN3RGyfyeUqw9xU24bLHJlkQwWaB/AnWkV6ouFr2DtGRMKnkyJG0DJGCc3VK 7wYLNuoICGzVuC6zxirSB93B9VbKIlaWht1hCqaqxFtx+3YNcBP7QcUjd/SU4rEZLR6UdhFXahJh rchWbI5rDa5M7S1123ff8GWTkc5s0EO7tO3iGCr0PVTMYX7MiitxYTz+rI9kVonuywBVJqF7KYl0 /zC0CF+XeIrdITI3L8D3ZKhip5g5RtJ24RLmzpdFMjOKIKJXmYioaSWDmkFWoYsTM0vtlwTxy14B 6Md90GygLELsDRaC7GNXxrVIc0nQUNGpQiVGCr7P2l4aWcwGYkl07CxKriky/g/QtQju+xZz0Zls tH59RDa/XZc0MyinyRKLQ7kQDIvQchcaVYV2Q/yyZx/K9bHWnTHzRYQTgxxEdl1EW+svZeUjHo1B hb3Wo1VZTchN1rDgcMb4tGaUfoNiFk04FiJVCBlFCsdNs1m4fDFe3dXU2AyTeP0JMQxTy+YO0s+K tFuQymAvxysvtrHwYTmn9ZgG5kcv5NQzeudkfWFuvnZbIAt8OxqTOgoIYXmVjAvvJSCZtk9+OAhg h7KqfiYCm1NtNIGxBWYgkjiZdyKh0iFw/t36gUk/O6hVJVWlxlVRLG7gffFl/DlXtrwYU4tG6m7N A+VMC/ym4ZzcjAXHNUdqwir2Q/SgRBQOQ6+k/sJbQAfwxeFrTtM5HC0kT+8N7sXt00I3eelt403B JYgAVayUL22kzS0fhsS7DPHEXmJ3d2EVKJRFoO7T83DYzsM2CORwkfe1Ger6usiwBNUMwJNJ8C3a Tcuj/q1amaNTZ3qA5OTwkYnr0XgETmu9SKZbDJgBXKIri2H1FHhq6cNSnQc90jExkSF7H7A/0nJU KnQZa7EGUjeyG4vpvI+rABYlyc3awi+kLnUVweZJcgUbwfOo44FjpIMzfxQBK21+jJJ+80uG0xRK Zq5s+4lvE6Vw1T9VSuhgp1LKp6CDIGQjOeAJxMth12NnEXNQn8Af9xobDLs+qdzVavgZbg/5/j5e tjpVr3ZbsCdVRMUGSd4BH1zVW0LxRFljGxpFoViWBrOB3jLUzCU6gTEN3KsxXGr4hCJIo0qD5g6W 5U3UdprIddudWf3ejBcynoj3mPiUsM/W7b3Q9iKGH8sK+USoQ+jwqNhZi9U4lUcX9MzusoOsfhoG 1JF0xZiJrkSqR0F6p46KHayrzWcnpTzjUhO6Rh76ukWTxEbAGXDc59naM/awZ3qNOEGITl42PCP3 udU35Yj0fcOR+w+EKA7g8TY1nSCfZIfiCEezS1Yut8OIucghkRApDK/5g8X+ozX5kn70EtuOrHsd QL21buR1jVs53Nw4KbLIn2muaMudX5cQa5bk8XG3PMF24D36Rut+LDcTh1Ozp3if/dA9wsyhixQq GCV7TCetwDy/eJMb1K29FNkQlUm5u3fFSc5++ImahgB3l+8/TNwjR+n/1EvY84YOhIkas1hCfj5P +PR/X3lT/E6DgkdgkrGx7WANe5Jp14XKfHd38sqvgc6YG31aOv6v+jYdNJeFJDUu4t5AI5F+AkGa Uji5zBprTfzcNbJVWx+8/qIJmkifN1Ofi8IvES0af6Lfyuan4sr3mmBKuKPJXECHXBS0xpL9LC4U qhB4TlcPtWBidSdc+bPWjnu0ChV+7ovS2MZ6ZpPEOVhWiUJcjW5rNqM27cQHG+5apayMWdsLJdUc XfGOwWxDR9hvkOZ5dlsMqrm69cWjetdaE0OzK4/MXXahQ1EaR/0ik3qlvVWSGEzRnXT3GvX1Y1OI VDcXgPOtD1s41GIciEcycg091+ph2JSUqWYbmYFI7AecYE2vXJgRTbXGSQCWNKcgqKtQqFs8va9u KjtHAW0HI8h9CFRR2H22vmy3i2PIVqx4OjUIDR9H79F/82vCVHrIeT+AfyQWQ1nfATGwXzuELF59 LnueWkInqz0KcG6kuaC652PEeS84xclYFIpP+7307XDZN4TwGrmcuAGRuJyVBpOfw40ImOIQbYYR fPYmW6LRAaGQArBfpGYtQjT9FTgjQSCOMOEw2fG2uATku3ji+YvZg4y3tInVlBgwNJPP5n4iBmt2 Kmfz2giIfa9RlvxEGIMAW7qv7Q2t8vl1eejG0Ad7+736p7UI38UZtTl8TVDC9CVowjO+LL2di438 ljQmOudsaKvMbHnsyBQUtYS7/6RscXx4XltSoeneEbge1UHZduGLSziLQ/tS3QcICxcqkA4P5aX5 4iSMm1KAnV1iw+IbCdyLi4+P6BCGDx0x8RFWAvHZqDpF6cyBvKHCs1OQsOD006hz0Pf4aq6R1hkX gSSXhzgGC3f7TLibsolPyJjhgAqt+QxLcy8vX714BBqGAjJl6NsSgGM6Vi/TSHYI4+Ih2vpL4Ndu KJq6K9P2MfyPNtzudrX7qN9bODusVBWwGnuOi13OXJwBcP9vmQSnhtterNinLkT++NaimqXK1F7B AZwhjLswiU9k9lMt3waExsKucHcZHU+YROkHFASnyvB1VypAFwwtutWC7+pfsKLCRPmcrC2If3wk BKJJo3yCnKwtEqNMT1WSm0sXjbk11TffPJpgHQgVRuux0nqN3ttHR1I7+uxuaguLHY+L60luZhU4 ZV9nreZL9dIdqPN0iAU54fH0dZJWMvglT6YIfrztVzbYyArrivO+sb6ZAO6lNFTU90H9cts01JCL 0P9gMdibvmBU1sY5+Uh/mHn9qMLXdgGdlgUfbZbhszHx7IdW64uaG36OiEq6zhzrwr3SJEciEMef xHisYDK9QQcU7SFI/Rl9+kpejeZW1xjFoysjaPJ1WlAPIBtslaQf3e4RwfR1TSVqWRvpWAtHNcH+ amYxXuwOfOZaNygzxvjQKIqGcMm0Gi2T2F0l6l52UQjMlKwgVEj/trx6Zvrchsg1vbREiSaZV+ch /lUzC/71yTJmWo9/d9ebvo5yqCGwqp+nHYRl6RGyf1+cSn5b/eZrGzFXudiuRJv4ZAM394zf9+0E L8IW00J5NqRklPJpa8htGqRoLcZr8+h/dm75zThUHNsJt5N1wIz6vCkiJX3eHGc4Wy1+fiXh1b/O FPfDPjQRT9SFGdV7adZgyJCY24Wn/LY05RJe8ulcwP3uTjYb8EkcGhxOoTPGeA4gwvcc6hiFUN0z 6bhQwk4X3odzqtMrPyANVX5zibpMx4F0C/hdSYhmrzPqgHXZgyrHXW+BtErZVBosdpfoSP2A/bM1 sCs/J0wPJOFUumGWbvrwyAAmoODcMiWOE7VoQ9grPgtF1PGRpOz2QGch5nE9sRy8vrYnLY5JjJS7 Q6dJENivTri90R219ZlIgp5v1NTyFhGFTzGxhIvavqK1Svlf5kYxqMtNBakuXHP4I0FbtJpPBONZ 8V9S6ZXWejMCgaWXlYAKH4m0y2HbzmtZ1RMOdug8U/ThsH0JfIeHiCIA5Wa4igHg5kES8a9n6l6w +5Uc/5PPX4/9rSSZvL3n2yqA3JwSm6EZvmGMYuc10zAK7xYALm5RjsXhIhSmelLMpOv7SyBKRpeM A3XKWbp1pxGscBPR3yhXBPh2kNZx6TvYyDoWd/5ASKnMNEwEtGbuqnhEv92pT0jfyfa/r1RasMmm T7t9+mt7Hkbn1rTbnMWi4t1kNwCdo2aj4jzasdbexEVAp5QLidN0Pz2rFrX8r2BOoe+eMf3VS5nd HWV5Aw5Op1PcnJK9NGRxEFmtogvWOdB6qWvXBy4k9CbDfKBhboc5xTvP/+DG/+ypIsj0JVVj5VvA qBVNizNWVdhGVR3czCtPv05iOiWi+kXnBU6YgCwuYo+o8tKE0hNn9quQnsJBBMV9XHYG11vXZ7Ez s6urxAuSbC8+ZgqF2SI5mDNLDD3IPx7Qfsag0OmVSglo1r1/x9Kz7aEcnkolqA3RrszxQ100A2fI L6lV6A60W+ebJ4HculeO/srm4bJwC/icYNlhgX/eGhAx5sQZlfJYjHZMC6segt63PSau2pUx186a acDXDZr1p/0SOJWhESAOwisGQzXW1egvAaH1T1wGSZnUpsYdn3+PJiLUL0jtFtfvviuwV6Pabm+C PQyrAqgfJPWFfvtD92PRiSZp+/PFdZmZppk+cA8OwufQ3rusLT9WMWIy7nIdu5DDaSNbsfXOVl4x dUMClNLdKc0ivWCl7B+YPkk0/cQny/ASa98fgToa8nN1MtUNToQnEG8tlX1T+HBkpBgCBgmBN/ef hIO04GV6BUE70Xa7+Trd/A5p6DhIY2LfvLiMmMjM/whQ41p1PZ3e4/PcbwFs/eOtU9i+s8GswIxB atf6bn9BDwz4Vo4oHhbGzxPuKi/T8THwcdHpo/exbmW+2d6dQqoHBiTZIsPI56UEDUGa0f4hVS+Y ZMPvurCzxmU7DmjXL38bMJomovfA4VAqH5E6c/z8PwHY8EkPVvHjNHKwpvX8rMZMB84DphuNU9zh Zx6on2vuENFaEIgpsPZyDDGOiRX0Erx4P2dL+NfwxVGsPBqACAqA9Ttlm18TflEzHEw0EQTtSVRv T7BjG5p/RSked+lLjKNf0YiSLO9E6wmTETUs/zFxDmrlgW3mQ/UAZmWb5C2UOP1aP4AXhP5KEhmK lr5FHedvMp/jCj6P6bO5O+tsPObLm7u8v7PokHPvWkSH9SBPnwomLRMZG3V/LaPje05SXKzRyDMQ OS5OZQ6yL4HDjA30aNZVOv5TwzQBxFLC7Gz+7WXZEsJ6GR0xyrs5DlvMSf+sC2BjFbVockmon/yd rOf2xljgga+F5xnAQAVQt5QyIsmDd60baZaMsv7b2IduI25aMOAmtnu4Zk9a+0hfQXVAdCMhep4j KHSDyDBRrXsHQNxGWE+M9LVaGJ+a0U2EHQh3LdLf/9+wrjFguJXxYuPCyJL6OVu4RfeXLTckHizR yCx4lbLofiIDsgPkXtXvY562Bdwpk6mcp82rbHqLaEDjMt1FldMA926WKZGi1AT66dkWN0JIWA8u vP8v0fqtNJEt+BMzVKZ9eCbcWBkwxHQn+8ugkU53TF21FauWEJnHE1k7wdYpeX08D3WuZOW5AMQU ATcMxiqb1EuA7D0p8RlSa9cjVd4l+atQPczjcu8w9uMfovV9A/1zfzVzp1m+KOOcQsDzW5AmTet2 BnihFA6vpNzWw69hxH9AqOP/juN2FtfRVUK+uS30gBAg7iExWQxjjMa1Vv3K5xHswe0yrF/oTXSa wGBYSrEvFEH/HrTxYm4OI4ZD/baOEYYHW/NsYffNygchObkHTUDhEOAr96fdHN7KpWzhDhbKaJqY cS4t+qNaYVE964ct8RfCKSiE5t5JxSiZQP1kiciQhekRmDdUBjJy3n2xl+cZ/GBLdzFINqo0s2iF xNTjtb7uSTKaCK1vawBmIky9jUrm68iexdxiQ6AuQyKOc8cMwmENXNhhYimBesGcasQKapCv/yLC AuBG5AFrzDDWHpsDh9NButUmHq31Czq7D4l8MnXkReFk3WuLoOb1oexSoghwbWujp/AnNaXqb1IA XjZw5xf21BfOaFYYBLkSkCHMPrNjf5frhY6PMCazVRXHGoNmlTdqlmBfP8XIhfKpzBcStbnIvzgi NOnwaKYvUEqa8J/kIpH92fMHLT5WGsRmIxvb7cSQJIcIo1YH1H2pJyfkxu+CtB6EUOnJgUQoRn7r /kyU9Vq2pEepLZtFN1eykVPMEZPtIwoQWMfgwMv460PjXb1SGXNGRrq0uE1je9Ga+PicfiObI/gu zVgX96ilpHd36gi9MazCc6AFDcV9El4WjMDWJZAysTlPOJcnqU9qhfGus9bIwPHXlPXownqmCWgN PZHG7eYvRP66+9oeP8SYmn+QH1Znc9T3ZjfTyG01o3n1wODjpL4OTCnjgJpMsKgKdbV84Ne08odL NHkpZaSj/mB7XG4somlpwtu7X2VNSUw51m3YSlg6B6+6NeW8Vo3EBhMWv5tWs5JY6XV8qqWaLLCR b3OnsCJgKybWqgMrF6tT16SfSg+hH8c1TaHk0XLuxQfbUM+kFI9PPa/whtmOyE5/BTOK7vn51DUQ qb+wVhL+7tlS66c+VtJQ/8xSEvq/OI/YZ+EK7MA0YK6LnmpdYp2kQcH+ftDdO4YNtgitfA3ljTFE Y6HepkqQUG1DoEobcf/KxgM1LqQLaB/iLb9NnsApjXYoxnSQO1tdA7irjOA5LPlhyxe4+h6jSMWB bzgLY8H1/1xK5PTJtk6ZwPwB+9Bqif7f4Mt0oXt893bih45fVKnJ/Iw2bKf2yC/ZgX7EFVM3hSxG QHhH780UNPQuMxgVG3noUnBBQbeXdfCvD1lpO7F3AUry6DmJt5gW/d+7eQJZ3yTLzCSytLxC+AHG CFF7Gx5a26LcJacq0z+yMeBGH1R568bkPICZzuiQZHQs0azcF4c1X5RLewBtFLhCpa62edmrFh5T 5cyPHZ+apNVj3w2hfnkPRXbdJBR32gyScrf8HshlU9EQGK+738AUfk9QGo+T6/71Rkfruy7DVodG NmlSm/o9VuVGvViN11GTOhgKYT9W01mi1qwldjQ37TYUh8XDDcKTEYsXJj4Otq2SnVP4pEgxE1Z4 h5fvIZP8KFMHj0M0YsLQjTZz4a9KiW4qFwdQW2vEZWYVJTUpNPWo8lXrWeKHMq3esrPmMy7Q+Z8z SjEPKXhMLCx8Yc21xrBI+kMai+koQCWRTg5LcBRpbolnLt+/5gXcqyOE+Ho7/6ayE9KxstG8FQfo fMvXLnxO37mcHSLVChFmG0xIji+wMaKvmBEl+Jg3A4xWsNKTkzGcYD+H9RbB627nuIccp7ZoSbcH NvtluBzba8ND0Gs/77J5X/XkVosO32oaRxuQoZ6RhwmEiLmZFMCvzCa8eZooP/daWLkvx7MSBt55 dOG6Rc1kHWVKzmMJCiDNaJIRx3LlrM7b8E2tso7NCpVkjfhXrLLJE0aEWuyrut6pue/OYeNrg+37 KaAIu3cnZ6v+INxmkljSZcZcLyLy3Kq7UudeP6bg5OIo5yXv6eFFKUcGu7OQX1ZDDZm1/PQGHf+1 134HRJjl3jAejuFhVXVr8gR4JVzchWcoaaF8VkJ6LTppAlGAbOajt/3Yx+AKT/3kLOd5Qf6ttbtd /y9KnAMv9tbxbhfy39YagmexlKBrjuTguPRnVUIoAxKL+Ynrayt90hHRd0e4RntHYIQe5yHbbqpU 770AISaoZgE8qea+zIpLjc3hj9eiuaNQadAr5w+Q2uiN7uFAkCu/h/2vY37yBLLhnYSUXBZW0Ro+ bF/vnWJrf1BZg8dhSscxOFnNErMAM5rbDUMTP9EGAcolKKvZJ/HOKd31Yb5zidUgrBjgdyry3iCk KV7EXDor9B2hkuhGEGK1UD0aqe2pna+3xUibwDmmEg/jX/mF0zcibDr+JKXmoH83UjZBVjM8N8d8 yku3gbuC49q1MhyVHp/SZHfItAsQzISgs5cVhEXOtq865z5z663WO+Svi6bEwJbdTvbqOCwk9JQ7 bqzrfYOsWKqPhRWzh1RhEdEsEs1mM3zB63rluLDdT/Ybx+LspMi+nMc+/i8UVlgi1oGdWF2Q944G 4NdIx3kiACJvxdsjknv7fY5sgQVRQcZWkK+N1xumGm8kFKJbt4VvVDyTScWQvvFaWJnbAFU8+V81 W3ITaaKBBZH7QFLPXr2t1vD+laEcOU3q1PkGgi6fcKqorO3oDn0w59mWluZ6iZUEzpBklCikgB4/ 5M7kUFHZj3KrbNO/iZinYtusHnkyLpW0Q51U7hWAzHPa6OKLrFJkjzPWZVQHTdP92ZH/gGSy/Kd5 MyCxhTI00TG1KiLtKLAG/fmjENoJTDeZXdiUgnBFUu8kVwSg02luzd5jrU2KDp7lkPuO3fhv3McM j6Q7zZVx18mSbQLsSo52L6kzD/hXWMT4HtIg55sP6jzEOlx5pN2ouXT1lXW4DoaJry/LkzeOyF5t QtnP/j4FZZvOHeOm2I//o4zcpY2tj/ulCuCOYjd+y9+RX/VfeVAgHBK9Soa3Pke+8FA7kAceZaJ/ czjI2/qolsZizeauBqeqNBC0YfRuUfm0x/qY30DGmGLE4dsxZ7A0ndFaWH40Fx3zdNr+j+yX7xJl LIJQdLGHJuwnK6bK76pmbM14kk09Q0Kl8Hh3ylFMGIwzNIVhSsoHiK0G1of5CYlX1InFo/InQEJH sXTY0c2gDv1sXgUyggJSh5weNtWtsc+XgSYSSSZg5gOVCgdTOHkYvMjMX1S++EQr9DiCOI1/qs+B +j4PIrcyfXk1O6r2xACjooNxg/+99m+pCbNWex8WRzkl0fwxPRs9lbKXZ/ZMeCSk0MASTj3QBDOg Vp1nWos2Qo+K4vLGNTG5av9ZZIPWmkWf9lUXqPDmAluJdVQM8WPsgKe1yKAh67Pd7+d14lwSeE4T qgphBPti305agz5hMs/Mjdexmrsz4UDoNqSxxqZ2H6XiubCGZZukoeJNNsj2vZorhW4QQhEL68Kr VhqyUaCVLNilrWr/WJ7jkDYCq8M3JqVZdVIP1fJXYANMy9qaVUIX0RXlFWrVuHUI6bCgWUdThI4u pCo68nAy/TKOtdquhL0iTfmzHouRD4WSQyCtVqyjvyxKR9mwbuemVO5e1qPieYoNu6rgcFYtjzmB 0mkC55BgX0eyrexDnoTMUSfF07jEG9n8DfGA3C0rzah8p78zwIe0Kk3exl68h0zThfBuVQotVDVr HLYx63H7GZaoiryOPvxkK7wEtX4r/tdtdUTYAOcRWrXmxK9DRhWbpizYdI2dJ00p2NZj+iKb5Ilr wCQBX4wXPzpTOjucAdHMo4TzZToS4zqOOb7biZj9/3vcojwF8hAVs1WfBB00dD9YqAHPhkvFDC0k Cw7Lfe4knVvqqKt4ML/s1Ulp1LQ+KFeTCGLPczAhIWQFqerxChFbbGGOnvZRlh6yN9P5ygIcq4RF d8BtwKoSljsYp0TunhtB34RoxoSzCBHU8oEK7We0muyDE/eZOKlSgVUNtix1fz0WO5n3aNAOJBu9 lVBDqs/LoLylDUOkPr2gOfjOtUPlINvnJon+zdiwQi7Nr9Xd4BZy65Rzj2N+CN4tSzmTSBHY0Ujp kmNmYDxY7ciglfeVEMO7ao0RcL1JYTwx0XTZg87pyP1y25UwdaDUoL6MISY4/5KvsWahJqb5oYyQ mcx6ruRm0ukZ71D0/IBEz7/TtVOp76mlRDlLfeFacptIyoSvfDkiRhjnrASiq2ID60bLTIJeb8UU EwzcuFzbv94b5ho51oX9oAuGuTtgwFRU3pwozbOt5+RMAhmZXsmcocqmo4Qz7/vZkO4AyxoYlqWR 1m14nDJwtsm4NCnup+V7jikhNY/aMgXTBp9Lp44oRRrquYWZHWb+bMqnaEVC0dkQT4WNwIPDMm3H 4jGYjFC8O/RtgjO67Nvir4TEDwdYU0xlsWn0dOoCdhSi5LdNBQIAR+DRQ3DVNxgwfUxNd0tiMHmI YRU0ImoeTSW3ib0xT0whIG2XWiP+X9STydQ3+F1SOxvO9MVJ5NLZ8QGp77o3SZYNQfZTF25Hpi5U CLJqTdCszmjt5g8VVHZGMsCXJSWsiEpQj+a+ObWS+zqVADhwqUYzCnVl6n/lAPeTutOPg46YesPC UN5RgWNQVvlsUitlDYLqvvka/US2mpmcHEtlvp5hdv+8CBckazWO3+/V2o7lm/ptC4GTnd1pLenJ ZMri3+9EdCTU9ZmdPAyEwe04/qeK0sFy0wEoMpWjLqbpH+dqat6cl7u6DeqBSmzHEjRLw2BIeviA Cx9vB36CzclOv9UVR4UWbxw46mJV0sGTa7V3YgzBX/OYVUxnWZLFKGNJYo5KJbTi9HEN32Hw/B7M 70pfSqxDB1YG1KrG3FvNvoIZRicIeG/VO8XGSfHatm7nsQD9drnve3QVraabEg4ZDdaL/c5ledXn SnZWpXDVcvCBto732B1uovDZWmP03gs97HVE2p7NZ8lwrP3aqRGsqxQ+1ZVb6OSFCDLGyx1QNttk /4WiU4r7RqDuURDmt4gc6B51XK27O3HXSWnbZSlY6g4ePkkzZ2JHmIF1jYRgb1j0GWJgy6r+T42t viluy0J94F+UPTAV++/+aMbnoEJDPoAA85eqJnisxNwE2fvhV6DDrWe4rBV1mW5UA+1rZvGDex5k K46mIIUYmYDHHB8gmXiFlIG+1WqBwwcOSQH7tgoH6TFU2v7cVfQJ9qV/MQTizi8qpQENuhKcAhkB K54t6ga8pc0IvuKhl5H+dVRvX79nwkUsSqiYKCJJrpXihyxdxIt1Vts5jya9u1F6TWwidtnSBvZk fB4jvKHXDYn0q9dW+ZqOl8KWq/KjY2Mg2tpgHiduFMiMZBpspQPGeElexIz6qXiYl3Asl3lFR7qs 26Y8a4eB/ZfAzYr3207wjtiHKFayuFvhnSi2yRIk1LX7rvSNWvPwMdvyHLulyV4jArVbHkaUxcLM eAhhXslMPevL28IMbOWPgm37bh4WIWB0LbEr1vmw8uElJoAuYH9W6yoFvd3MBUl/HiJUKwly+Td0 A6K9cxH0SjqrIAPPnlVOjfhZtsDP1NkrgUTkoZGWpJzOeNIYaaomIS+orpwHiY9Vs36Mrbvjdm1T lcDFjDBqh85p2WpyK1tqUmzZsWEvxzEWMQpXSZmsXV/f4GIlf30mBJv9qG0xmmHqRoBYMP5TT2GE qpciA3Y9GUArT6VwYD3tgTcPnmEJ9sTUFBoA04y3mQKAsueBO6nV+/txKMY9+bF3kyBpxzQnUk5s UWeNsMyzTWOLNnBXltYg0ChhBPNzVIh0TZ8HOL2GkB77YZqKmBIq+hJqD4wsV69Xp573fBNMlTea dER5rT9cD9lLFvEqzLZShjZPzRsWAR32v7STiSqDp/FHdNSH9Ey7+w4s/6SNmccWrY/+yYxzdCCp XFg00X/8HwCLM7IV5wui5ceCHcnbN1tcvGwEmjuyqlwrd1QRS+UpOg0lfLV5PeSu7MV8IgFb4BhK 1leHh7//o8UNxHk+zSWsFYAj3EmS9M3sM/qppkCRW/EhJc7M6AzBEtXu5K3xSppLqbsK2kdw7ql1 63y57hZp5cou8VE3h+11yhhgojp285fJBKrsmB0XeHrvlLrxoe2NeilFBBMAWje2GZx036ciIQ0f eIS0uZhWVgR+m0bQVZkSu1eI0PsDX2450DU0HNTW/yd/8Ja2zFYPe0pndZfNLTUEDL/YKRwBq26D wqO7eZtPSQeZ0HsI1myu+Xw5VU1AdjBJucvfDIeMBjd2EwPOvUK+QHhAPdUDWbOgR9B5FTFi60Ui lyEVdpETblPzEdYoi5UZ8TK3ZNRlykL6SwYYRwdTwgtoX3v3tCk8aE9lIuyzwDlOLbbxvNqDZUlL C4EIPF9pSxrnW/rUBhv7Ov8COK4nkMpAFVMg6rDx/u+LSfJAY4bK4f2uJX0Y94JLI9qr68d/I/aa uXsc3Jkn+VrkT9mxpppq4p7oEFmPMqsgqavjHR5iSXpjK5MWclvwBM/NzEQnZrX1Ofbd6XlFKAIA d5Zz8xV2bUEjbAapvIZN/gpuDYoA17RamIc9DxC8Gcxu/u43bwr9P8/PCwkDKanezSAx0ydf1V03 XrRR0ssnBG13m7WltFmeJbqzsVguXPWYaF8xgdCO6yXfAjkGgQ9Fj+1aA8b2velNu/KTrJIF4ryd 7btpAC8uO2lnbvbPU4eiuXpSqAdPV8cVoyUUJeWAOea29hFI+U4eISbZC0Q5ik83zqACOarHdbR/ GWwrC6RJEYIAFlXPahADhff2f5E2y82jUBjO1q6x7TRlkzCXdB19vTi1/b+wHuslBHt4nAMOAoM0 veIfC6I+0THoHpKp90PHbXhZk4Xxu8P/r4t3u5TjJymjnSBWW+NXuO8BAOuBnAwTXBDA1wpwPH46 q67YeMqbjWQF09lZOo+9QmAFP0R0Izf5U555BkQQD1rcleOOtSnWvdEJz9k9lYJoMAzLQKosUM8/ vRGPHHg40i0DlLnL2n4VplMVS2rhGC8yKxq5n/Nk+osaZWBBT9YzkIArataWV9NkTI2qhNMwW1LP 1+7jRWbJSDDb780ARfiyGo+1vD3uliQApeCXuCbaD3epDW7y+yVpuPqSgV+/3wTeTfCvP65buT5l BmjbK+GfFJ9O5Uh7OEhuwi3fPu78iybK9LLT/jSbuAZLFL8+EAWi6m3+LRrsl3fy9DvhrsP4HBGS e0QuZLvcgNIpxsRoB1OV6OMszyrDAq1+j4kWXo9uD+bzAgHlkzqIOWn1uFZfKW4D3jowMJgfzvVc a4yyPsuqagno8ZySiwCv+RjrvdAmqCaDQh/kJatOuDQyL7ecEsYZ8yJXy8kh8+ZsVo/Kr1DLBtYh JZjMWHWSz1VeUBplTgeTJ5eE+7mTaVonuSipc1tagueJhCN07hsCO/+4YCO1L2YD/k7epu9SxTn8 pxKxXat3gMmKsgxtJc+wiIaq5vB6czEQfXTvj+mEFqcYOhR8qjZcmcADukB6I/DXymhklJl556hH CvTP3Y0SSBIdcLvEGqFWPaDW4VNz1um+dSO0fZVRlySs7XoUDhOmdt6X9fq1x8NxtspLxqPKB3dD UmSCu7qvQ+QdAeOI/w/2GZAk0dZW2t31Rk/tT3KhlX7Hz9TEM0jmYy1Bih5rVxfqIIs/NX7XShn5 9t7cg3Wl/bwyStLlrxxeIw/dxWIKiC/jaHAltrtWU+JY4q2FA0aAmX8+LLGOCxR/v23HDuhofi5s hOPHSACxx2XgyT1FRRIBjDZLjoEDrHkZll7BtGfyBSieVx0ds8eltH1zybvOISZaGWm5wuFZGM6E asfwd1BYT+J4K+tO9a7B/PlvTXhnTMguM/TON8AUkKEysteUY1VTfXvKW15JLYisHBXY2X9ymt3l XB6t/H84OfKxw9OQueV3FqsRgeGmeqyLuGxL5o7JHbZPoA2woUv8ZaVjRA7uyuSY2cdS9kl7cSPB Z8LF4h9Cgfl1XokS5skFmRrjjkWN5oE2pcbOAPYDPRr7LfInr382JX15Nww/eGFDxWQM/qrgICkg d+WUH5rLs1kUamMsx82U93GtTUpG8osj5DF6XtVxktPQUQic7KlXocCmiRYZ72cPYk9e5Al7wIbr 1OLRs4fjW2JmXd7etGTsuRYcY4qV0qI1HIxBtnBLwR/nBy2h/lqCOxZJSs8r++nPuQbIR6GJdVpg VWoL+OWnkuuKkWu4kIbl7yl6a6u1iDHtbcCH1fHNPvdHY1hxN5Bj9rKaj+mT2r5zUbfIgu2HsIgW 0e9X/t3WILdcQS/qQkXklhoSK1C7YV3yTjf11igHod/IBrrl6jKoul8YMVTufljy5lX+n1GawMue C+WOZeox1O/gK7z2Qj0qMPVOBzMiBIzpvCp00h5VJfJuYdGesZbX7/vVdwVjhKMZYZy9NDQqFGAS xqkW5IFaC6X1dtgPH/wejPG6SCiHVBNcyf2ra6aiGmYqd7hR58D/rLUtXhh0umi0KWz08IdTCXbU x1s9pCYdDo8paByTuGyYB8rRev+bndqQhHiQkBOE94dWSd4HHN6V0/K295t4fi9gPjIgj4vtNCuI 6D/MNxdBcGrtr0ovM+H6f3gjaKRZxDcJhCFf240boUpObpcID7SA8XwRM4kOoaFZmPtsn+pybFLn 7ynsURRq4lRRboE0GKPVzzkJZToUizyXv8vwGwRKZfZhjFBBTk0ko78Z3Obx6y7aXkEufMzvhbk1 h584AjrmzuEG4li1e66+cnuxc0pul464/Rbj9fqx6Kqhufd3F2yK5eXiqdFD76kVH+rXp24Y7LP6 G1O54l9FGmaFv0JY/nI2aSLeCDx3U0NkC1AAoKFhKukcREXkg+jVW3tqRCx4FgL3O4AFKuUHoeOs 5AACZUmmUb64klihYWYRJIah3sbjhBZDwWmSZFc5H6FMMYTs5gVyuah/BjsKVO1lj3nUMzaQ8RkQ ROsoO16h3rrGJ/F/u8MqYcGI5x1i3L8EhQuQ+fVoMkYyFySJ93minVLWhATr6pmUfsZOiPT8yCFy 3Zv2Ak7kl0EitXCiYA4ITXaPwLf8qV8wqTANh2YIpKpoc6okbCxrJ9PkGleSsk8GRdwEpenspDv+ rJaHH5V24tv9LuZIbnQ0YZXwA7OEjEx9N98ITIxV1QPdbREVSympnxHlZd4zlLA8PfZgr02NqnYc bbKga+mIzwweVFhdJ8jG2v2uJ4E8VfoBNf/KEUibOhBepyXknMJPNkpbSWVbr/4yZKMCliDQrumV F6h236r8Gxd1T/NZpSLCzv7nBeMz01hcGMYZQXAGL6QqCLImbeIjCXHcd7KMqWcZKH8S5BTcwLmM 4pq90J8U8JI9EvNrxbd6hGJSFzw4cVFbft3+whH2H8xynhcuRNP98Dy2Tvz3Ce2zWniiD0D11A7A g9lkUHeocf0DT3wrtBLno3KszTfc30Nc8Go+qMn94wiIRs4UrU0xRc/8/pH81uMjsMu5lrtDAc/Z ogVFhOh4BBceFtVQfntFb3DmLetHQ+c54KsZArYUgoTuGZLDxxmxopnNEuZZ5k4JOSqhqLM8MOFG aKb4yh6fIbV0UA3WJtzKDoyhuoWcsGf8P2XDoWIO/NaCHUKfwRBDclZBvHySBTWa0gzKJvXVPjo/ vIZcGz+y10q/ZDoFue0YH+UM9hsoaX65MAbBrzP615/BKOYmVhGox450YwSi3xR6k6z3ORNNVeDR V9R5UvP0k7nG1x6x5qRgsqiky6mh3NaK+BOpehyhuVV6j9RtnFV6VFLiizbHmBjQFumsN1c+iW68 3sy3R8soTD+bC0Th7SubV3dvqdtXru85UaMpijng9Gk62rOv8PinNoNKX6SAJArrNjTKcPOZSaeF 0DRMB7Uq/I1/4s9agtaFKlSjwfsC8tujKYo4ffIMlmI9Az4XuTytVlPmmeEUm97pnmCsZWPuk7E6 wxgevBiwPGX8A708wRrmvabsDeodw5h1O2dd5EmtTXSdbYoE7b2PXZrs72xLJkgZsvDxkgvZOaQJ T67qSmaARI1s/WguqwiAK3+8t1Q2LOgVYAs25HAxFa/ScTx32xbtzyfcSvp8ZshrTp+WGwuilaAf Kx8pIoVvoWgbtpkQmoF8fTW14Fm+oqoNemfE30Lrrnq0kVuUCSXCRX2yirZQsri+r+2GbbofVtC0 rSlRG1b2UuggWPythHVII9O/2NF8VYD33PxUiMoSPdNj08/JBhPk9A/kS2mx9+YA15nydDQKphTU 1BLdXxs5CBBSSo+xde6NK3RwtIREGQ5xa6xNQGRkdvdkYRWgHTv84c/9cQuSGv47QPXBC6oyIXve MGLpBoq6zHHad3j6iXLmQfxgnnEoU2uDuRPD/iBdCoWLzNoKxfHpEbVP1eO1m40d2a2QGDWgPvE8 oDAvU+Uz/0LUPyq2PxJi3OqS5KRqrWI0h52LJ2+vFNBkWwhg3dX1uvmlIePQiNdJIAtb8NWMH4JZ j9d2kf0I7P5R+uT7keZ9qMG81encYVxqmchtVfCmj0I8zbEB1fjF0aKZvOkgm54pJNYkpb2dJ+pl o2fM5Tt0tBGE9TiSp947cxpxSzlik34lCYfLkZG9O1XJX2Wy1kBWplUee9VAffjOY/M7Xoh6YoCI JQSksi7/1B3YUQqGbZixzdeGH67zmKK9CNmpyduV4dNx0gbaPgSb1u/qhzUkHRLPKy5SFCVxecNA upOYXlkSAlQJVtVaLpshI7L2ep3lpsZAANhEp7lKCETWW7xFAwg5CBGU2wzH7y9CPSXfOygylLFb NF1eh9FGvE/vXN6jkDAdOrY7uexN8pNCNbSMZGDfraCcM2ruv/ozHSDlr2pO6f1Hyy4ulh0/OXCa JR5qzsI+2fOuGGmZ+jyGZ0hAM/XfaVqGGU5XtITAe1EG9wEM7me1znthIuSAyoAUnuWs8rLLydc0 akB5dlknM5KUAV3sz7IAcMjrQ22CJViaWbm5XDxyNfh8r2srLntmSpEH0863Kqi7zpe0Ye782TFB eylimy6ixcOTRq4MjjxZH1uE8G2MBlM0n/dKhfU8vQT9wEL3OUhkYEX7mHnskEpxKO1iQ1c+W4WN FOyBdMQt7C6hfR1IWj3eSg/BZl2nGZql5NZLslBuh7fkXcPxb2ToojmfMWgDyqYAeLHsTIm1lIUp YWW7YdthWgS5kS9tz6zCxdx+BCEtPDpDRNbsfMQRmuz7NFfL4vPx4f4H0E8+11EgVVDLP4Q3Q871 6mmDodoa1rK4m2bjoaoXh6ocyktgpRUXR647C8r5XhcHrrIHWj2bK0ZM+nWZxe0Y0atGAFGjyGZ8 VyTdGirToriphlNE4FhAig6Q5ATLgDbyqcPF9cNuA0zVvMnQTLgQCHLPeOIuCm0nYlEigM0tvBqU NLJkU3gMoYu24wJ+BAjSUEOICZR9SnXMN4bt4iHVMA0Cnevk8gYoM0uJjNpazAPd7XCvFKfU0QzE 17zFjCvpafhPCY4Ur0v+buYno9w7qky764fcCcuR5kd7EKE1+tRdHdZ6rmgxTr2XKWmkl87btRW/ hKqcPEWb4AR/CWK/p3KvVm/bsoKQsQ2JdJfja1rKJhdCxh+WmLVXKLgZibgkksvdgcmEIFLSRc0A 0TsKyzYiVhfC6UuOumvKqQz1olY3yOZ7VFHnpnoHs4kmh2UJ4IwzyXWjMGXZN+jOGTE09c8urvtA mnBgiZBh0xtjYfJKtkuF3ZWNPUX3h+r2xvKBPC8smclEqsUeUzhRgfd0uG7JZGMWOFocRZuD9srp Ms8PKGVwPx+f8GGRVu+blkLH8VIfnFqQPUGCikd7lXTs/W9w8M3K4qkcwfFZssof2wPkvpBkflVH +dHItGXerzgjuhKNI52HZP8mbvZk0LuJwblPMfmKqGByM3pLS8IuAR1qXIFKWVvQaNEwKLP21zyN I0CfB8qknUxJn52ATDn8nk1p/nnQf0+U0tEanTI9LvB8XJMTd5yRdgctGfn54zzsT58epIFbAhTC 7yjJg4QFk/r/qCWruuz5gIKyg3JeNyf5Je6YczCHhGgj059W5Lh0UUvUNKUa2V144gH2f/nv7V05 Id3DmB/uWcv9iWtQ9e4g2nimgondndCdf/hjcnV/CeMtON+tCTA+QeOc3qzy89QDKV4EZ35Q8tp1 4fpl1SXjzFrTxgoN2SCxPrzLbujkHrBc8AK9WfNt4PfZQCtq/1/tJtD3Ms47VPNNbi5R9vf+9MHT mxCCdKK5gUehgoosWrLOSf/DVtHm6mUA1dkEDRuaaYq275+FyVH1KpnoGsTe0WhRCl+H1L/Pdqft XDmk085quoTrM28NHcBZ1STA3ojto0SYh53PuFctENsmoaoQuhOJMsl6hbBmaurQScO8MgbcPPo8 k8UvHxRUBDCr2DGqEE/SroJwN3jY+t3GSnW58NCU9+bEa9xwZJO5jjh6nrxFy9DE5JOJ8m1Q43Io eGlwVJEavvSytupuVyFQrGuocfN9+XmI1m94FVnGMYBF3eW1Cw6/SbI/ZeQPfas0It8PMA+a2ttA HFjFyfQYrji/YKpmDLHMNFc9/3/XrW/sjCcA+uSMPk08J8RfV0k4084TKLChUD1jrREQGewIFL3G M2Q79J4EKqp18S+l/jAPr98XOfhCBLiRToI6lURVtrDUsBJipbH7+AjIeQyEOUtXmcltj5Mlaln7 1ezWVUHH/h+2SeHZ9tHnuZU0uOpw3hBQ5Dy+Fspg+QePtsVFMgCbb72FQ+o49lAzanN7FlEnsaxL zRItqK+hMAdcbJAI8RCxeGM6czpqVf5Ux/XatqlPxaZ0tIV8y8qft9ZZali7hdHliPcfza12Z38r 0zPIeDi08bQWWl+kHIDZsPBMUPA6MOApa6I+OOXjcG0iLQQuiXhq88EDVRy2yLUN8U69GX1uryML YAf0Fh7NUYvn8Dt7SzdYstENn0enHvTnashcAWptpiS7RSsZFBjl2NstmNhjJczpLoRweirm4v6R dsOVgKVSSMbxQIp+g3j1RIEPvAlzTnjEecANhp6s3QJqfQ2NrUUsldzd4NTj69o9SAYMOb7GaNLq bAd4W/TiQ8cqcqwD6O+0VXKYOMz3ImnMMjz7O+8sPYGUXjpaORuOLTNjHF/fYL/Age+SU3yw4lEa 7AaDPEwpFuIkgBTwUjGG7uN4IKkBZlNIG987b92ijyf57Bjj8D3+z8jqAOgb+NOqpAB1CNXo2AW+ oO27T0r1CLj54FgHoWkg+GFiUUR3pmQGUElg6ImfDEdGzcceeycF8wLpUGmQWvFLrgGczWYcdoxM MYSI69oCoa3woq9s5FlYbmJ1U6hMcX/V429x2EBygxmOuUyXEmYQ8DTOBTVPoA3GD9NwZCxryBX/ nDH/IVn+k+P9CIKeSl2EuR+ibpRaHci4SmZarGGnDxQx+GKqI/q0Z3xNrSBQpLsXRf/jDev21nKi aHwahotD7r2FiidQFPV0qIFOY+lQcscSfIPV3vvUE3FLhyE6NoGCZjjsGIpH7D3F7DyrrS5HfLdx iML8norom0EJm1GBgFjwwkLpi1bj0erI3lbsnn9SmwgAvDG32rrLiz1VWpe8RpQOWWcqeeslTrtD xe7dIe3kxVflYFYtdLw8c9hof8rsq+fj+Io2ixPyjCHkcKRBd2vCdmWw3DXpq3bhoookGiBG5LJR rGxCFBhJZtA7C30QJTusYC3AFZ/E5X66FZvO/OH7pQJHPcdwHc+ArY3NOVuMC2w04pOvs0ieF2Zk YW6ZBjcH8gpfELoOIi7C/SUJh4oYHWMSwf9YXEANe41J1HnPn/INQDReoqG9T5CnPIc3ER8E+tkG mizr6A2GHJJN/KER7wVQKg4u/lmYakPkUM11/vFU4zFRGtQIPF2UC/9ub3Xg/R72O3Za7Dq6sxQp Ne0Ft1cQPj40B99XD40hD9SuFwM07HQRswW+yy5oNUS8EIjPkRBhwp34oSYfn/uGTGq2hYIYpAux OVidBAT8JFJymE89/DjxMe2GY7zdtzW8OoHjUG+9F/H5RYHIeCS9tZvPqSEM74PihVfuoIrl7dPT FQDeKPyxv0Zgbn0cNtiyu6+9H3e9UubvETITeLUShsMgo4lG84vOnYJhty+jXmclAzI48ZaGesp5 sKaTEQG6Jl9zZeC6dncpYWnX3Mcwg+hYRuQea2Us4PmEGNYkzwJWMY6XOzSUt3ITXHtUNHxgLUZ3 5+l2R1XeFpAZWCVSZVkihZTrK0wOcLflMdCULjGZ232sFRRwIDSX6LmHHQ3VXrlYWCFjUwug6i9g 5H0nPvehyE4VgzTPL+y+lrqvUwRpZUPwvsbvl1nMhQAXUzGqvIlM47F9GBK7/IgCN1UTQ6CzzeHK DCXqXYehraTzXzOnufqrMCJwStJcEtNS79YmDA3WqUBzjN4kNRNmy6L6pCfnLnJ3NeQpvB0weKeO FpeJN0jXxSVZPQV9eGGNoW/J/0NsfQFB8wIUv1iRTlp8xzQXJDtWm8N7iMxAZ21+GMRkrkq0woti T2sJiIrfFnLogmpUOBSj2YDkev7QAXULjrWaM4IemYok2njLyX+K+58u5CNlTsOX4KnT+3BVz3F8 2tRE7NmSCW7ohlOxp7DIvNaYcsvziM0qcbbSenCR5xOQK39FPW3UsPuQQGQ4N5QFwVSXtdIALEfh Ge+zF8ALI5bUQmBwm9t/P/H8fNJ1kOmOCmLI/CNGzVmYHV9cr37bv94qpG52Z/jdauOcxGTbGvqM pJoAZmIjQdCZqeTcuoOyJO0pC+vM8KorCWxWh1htv6z2DgsnGMrMB1vQLwc+sirhj6BUsXLaVNhQ 2xy/h4EYhELwZ1dmEiopsSp+eSl3cQ3K88VGA4/4Vz4M04oEznsEJI26E16Tij27RePNwNjno+2h 9RCvjKzQP8s96oA7jfVNxGqtT1aUcgm9PvHVM+wb8B3jeC+xSYn9Zg/AM5wY+hjTfICIhIBGdA22 h/ddbmCU9Ncr6jO6o7QcIhtqrQxsyyRcGsR92kSl7NEUI/oahXpMeCPBtQTTC73d0Zz+e67wL9FM RZb8mlHY438ZHc3EiZLV1jvUKNZqKH2A4WXLILWHCXjDSp5dGY8oUekqU9HlfixZZYqWPNy0vrUU uy0BHPCvA8ejdQGFVZBg5AuLV4n+GmJIbo7BgoJl/bsFPhT2E/8v5/uj0VM3/2t6bZMcD+lUol5k 3mdpVdcVbX98zfuRtYqf0XoKZbpVP4+hZIhhhWX4AONtvuSOeS7F90kbf2nSPGRdF3oXjqWL9p43 UZ/PHeumCkcIdCmqD8e31yZ2yE2iGBt4F2sz/yElLjLWqULiD6nPVWeK4znhQmD+YylLy+9g45O2 XjEGQjF98dBM4jeDIuuJSjrsPpMJoaZNLDZOX1mdlX2/bJRb5apRrW3WU8aSuOBPLRfoZCFDM67O uJlZlocpJW40WtL0sW0n9Kk1JiVjWUEm5NTNfh+iSBwInLJSqQOKif7JyMaaNc+IaoP3+LKIkduo qt5jgagoarRMFsINu1ZMVCba1Exrhu4ePYct26YnfWZvBgTUyEczPGwPmIpaLIYKJAIVdIZBEyT7 R6x7CDIzBMjbEHa3ORGr22x1RnvRlpBUZclOlHy8FjVEQ2bGTuLM3rRlygtJLXuZyFAGm04yzjM9 r/tDo7VI6NfycNEFu1QYzpGFV0iayIGnHOf7cX6Hjc4piyUor405pykE0EoJsp9Ofq2XC3G5p/1H ciAd/c0hlmv3RxFpWQfE+P+tmm48D4rKz6N2E9Ou9C4g2B57wQ7x7pewFUBI9I1KPmatg+4aDNRU oKWyMdyuUTTMJ3uXhLbZX8ycNcjffrRgRTAliJzBfnzXGBFuWYy6i65Wo3yo75bQZvUwXCDXh9Y9 3N740MpRBLBRj6LOn37q/jU7RW71qVdy/N5Ua0TI9NxXvXRZOSZg5Lt91qHKx/9f8w4OpqHvxmLS JX1btM/MIPd6Tpa38Bpdhear4Htu85aQQgm+M1i9xR/+9wBUPBRFbh41q+L2bGKkzA8o1keURmUA 2V95RLYR+NrXsuz3dnB0WHYoAIKOIk+Z35IVfnWe6vUpugKHFgieryLh4QLxBgRf7m05CsIYbCxm Pl6mLgRyroZRRZxEf7LLzAWkktTHG0gxhygRwyeCt8ukTdYrTwv2CY7DgYmCL2NChDVk8pGcUfID 2+Jd3fVGLKmx+nnUxzmBC/Av5QqSGJ9waVF+zeEYivtQt1/Jj7B7DGqxdRIlGLtDothd5o83wLLw hYGHsqIiF/lTUU0UJu2c5GoomicC6oPYbB/2H+rq7QZdXYLBKb7H6roUa4LNJel8GLlu7fPqYL/I 0XAUdcdZ9uVUKyKcW7JRXr4k3yi2uxIlymkerwqnWRfWj25GmVlIJDkNRsZK3xKWjU2YLPojQl04 ovTWCviz1DXT7SN9KwcKPYR3PxAcPILbyal10U9g1JxMPNKpiZlGlo0O4vl4udm9tBMlCW/eLuDW IDKv0dtXebTeyg01fBPjQ977RO5eP844ftZ9QP2B8K8W1Gj4HsI1UI9M/AlR/uCibBmM+vieaHxu 0M+C0F0nqAJSuZzFwGj8MFN5ej2J319qyZZtG4zSBjfMjVsJM5fn2ahoryH1OnEpuqR17aU4SkcG y8wXnVPOxxW7Drkq1N60EjidV1gFhsZKhlJsJ6ntx94+1AxL5llNs1VGk9LA6n8btdixds9GLhgY YsQIhav8miKEwPx4bV9WploQ00JZI16JlhvDZS+5RPW6GIi/ICn/fcnLT0FswfSdu2EDh3SpE3Rd 8r9gym2PM1iRdsRnR7TH8KsCpnE/picy2Dg8hOILlULofjIHxK+BD/dj4uie3NuSKrSpTbPVfHMn ZkNdnnsE1/K51eCTpK4ZI5THBy0yG8DxBzfI56b8BB6ArLOOVUEE2y9CdzGhXEWvevI/HkbPVU3k lk4V+ln3kwEdz+t8k6FunhsMPB2XkniyVDp2zVfuQo7reanTr+jaWSAzFlA3gnBqjmL471rMV5oe HHqjpdvaPL1HVFirBLSX267vbpxXpPUxl0vKFzuQphK2qEStQnQ3nOD0aG5Nisade+ZD7GII4c9E GtNIR9MoFo0Ps33Qf1aru4k1RIMGw9ebmoQZRDrARemrVBtSDHOfh8B78LdXj7PXpPgZ4jA76IFH D8LKK5dS8yyt+akk5wKlG4JoaqhA4sd3wXzlUVG3QhB+aU6Q1Ek9dJ55K1ZZaaUYigS//7ObNpee OX3RCn8xtwF+OSNkv8ho/5LEn7y0lDJtxX9rC7v+gaSnf9g6/QAANz5FGJcflwI7zBlsvFDgK4Ww 9pcXeplI4W/HWfLiA8KCcBRxakmbu7TcJuY67/FaMIbLSQXBus34kQQcouKw3nYrgmdaZI9VSOQq hNYEUGUy/xrGDiTlnj+bERsOjQpLqDwXiT8xNzo7miu9i269IcroS+6LERPxrxpK9a68mEF8Pg8T 1toa8oRdLdHi2/HhqqNk9DqBAYAdZwXTM/FJTjtqzo8K4+fC3vNb98bRx3SaeHsxCLZuVkUc/lP9 uq1PgSriFB5+99KIoE4w8Eu3QmRtL1aKK+LgshSeOaol+2a2ZP/+y2vDSG/Yj8myBoDoYTd+oP2l RO34OO9Z6Xz5I1EpnGQfdmUm1F+1LVYNEZyIZVdmU81vHM1BnAay2QuHaLu05t/zSO2yoNfmvW88 Ci5n2MQKnCWIp2MhbpezyLzZVnKbA2DLPM1K7oBj0/S1ZamRIMfoECgWlDtFuK/UeVHU8bfv18Yc 2oJJ+0QUSN3NimXen048o8qqHRuyCC+Edim/8gDw/+7Iqw8dajCSKBA5bDSS0b1llQ4GqNOIw5RP 81Xoaiung3xDYGp9nGFyHWfO++RpsQWpvDqay6lgcqQwvZTy063B2Plhz/5Zoge0uYeaJ+5/0Q03 sUOYTJbeXh6Yo6g3Aj4pkcLpjKy3fKmXsXz9V4athyEYOFJNYylbOLQOT7lys5S41pOvaFeVTAmX fRk5gBAGwEo8aIcV/GoR7r7IdoBm6x8mlZET8SMZzhKeplKLclcMoBfMAzacj869U42rLbX1vznj d1icz0U/wjLbjOumWC5i24l8CYkIVzSQT2WjDAsXsqtM7vnz615urwRhBXYpDxSmZk3rebpPXZk0 gOMxsdtAyAEkyJIL4I+uTPSybyYyDu8XacHcF7J3yNPJ3lA/1W3Pe8+z3CVyLwk5B459elOX6Wpv Ms/0kuBYoD+7zARKVo1zgwQnlHxQ1/92mC/RrtB+EnRFlt4s4RzXrtsqmCliIEyo0uaLhOdXIXvr FJjhwYymJT7e6hI+2fNyRED01gUVcalPLU0nM2q0UUxoRMnxJcyv2eTzHT0ZlQOr0M5QqIrwOZNk hRF92aAvU3mY2kRyAADu0ViUf+6puXdu9RoYW7T6wWY5ZIBQsg3+CFjzxKvRN8XgMcZYZmhyv6Yd G7uV1PLQMW/cwasaQ0vHsaHvL2lm2NV5sgcEPeISWXgupKVBkU2AnA98ycoaUaeYlEo2jQgCblFI HtJgl1GzTVLIG1PD+4ORdJLnn+htBPICL3/YI6dHj+k69O7lTJSPpit/DPHND+AczdL2cJRim/yO omxThP49dsuH1id4PfxrACZ8x8dzmCBOmdtgO8L7QQEcsAF1J+++N/kd5geUp2qgcKpt24oiX9J3 iqTpMN2AyVngLuj2rS/WPAMtsd1nORRcrMSxyvKbv+VouNz5wEEi5mC7JqESonWQr//soG9hXuGo tYgVr6LcSUQizyt4hPt7YWFyG7UMDt5Hf+woTkvyASatpp7+94jnTM/NhQ+xpFJ419ddgoI1qjk2 TyuMezE4MxJZ9HiVrqhiwMoBJ54tqSQzG6ccJlm9Vg7ZwdCy3mmw+OScZ1cHGLKREqGQzBueZjOJ uqwjDxHSXUzxpU7wC/dXeL/85+Cl+kJhx4NIdFx2f/PXnjJfq7raMKISsIjC0ZWTZe2+pwpEaniu F/o2Wr5/Z2wXi4qKnW6qZw5IuvfFThFnUP6PVVPGXNmIgIB8VPffWyfUAT8HIGYeZ5iPHg73ZTBj lbJ2oSgwxV+vxOCF4OVQqCV7lL4Ws7BXHRu/UUjlYVRE+OLUm4aGVTZY98YFD35OeejrJiVb68Xg 9z4AAcPFooRGz4sl7aLHAewPCuRGRsc5XqARz99UelI3HjHu/7lQTEeLppHFznWwxTGfVPakMX5r 1jVDZE/LnHSypWcmDfWkWIBjdA9qmrmQg/02vxTK7QOZAeUOhFN0EpuZO6EcygyatRpDDSCrSz7F Qpv9+NVG+WYeU0YIxdHiHWWoL9Kyi9dJii0weJCcFIs+MubQ4vhv38WGOFdbaJKiZ+3Q+zDKIGwB T83yTz59NOMTAxxGJ1RZxEl78CG6ugXDJRV7snFPeQedvTNWFHJcodFifn+vJUMC94ibMaSG+7iG CUMwAF70nk5lsnfgwpmyWCJscohR3DNtNxpVjwbHPkcwk14VV3wAyo+fzmRtWWgZm5Ldup/PUzs8 jRy0eo5qggwYpVKoESg/KMvhhoH96Szc5RnFVfu61HzQT2AixVpWdF9E3L1EBhFZE6rPJDBpo1RW +z62YCFa7n22o3lqAuzRFaDLl/6FbiGeIi0cEAiEcKq6NDY381ZyoDXpQwlYvLmNfjPaqSe300Rk rKwGhfXu4JYJtp4WvGYw99nrB6103JuGRuZz8Imbuy2cVoZtSD35bO+FNaClUg35nKE4RDq8M0e9 l/d/AuyuzgZ6qtqQWUuFQ6SanLz9qnMe/f7lFFAbT476t6P/3myqqw7wLEQAY1cFhzCMCI7pz1Iy yCAtqRC/iLM6myvKqMX67EyUXjUWHTuPpmVZFdTJ3yPWXvKvz6ZD/s1ieke+Jf8h+zmTFvfrmOOn OWbg2K/aGRAhrKH45QoBSe1MDYD6nFx6zlnj/VMBP8K79I97jvmKKFx68NwWGv4Vd1l7tJyZ5xol PsS2ljJ5dTUjfLzwGPH1Jl0pYwyLfdZ+AEblOFSzchtmoBLUGVj8/s/Ktxqk3IEC361HmOWCoOn5 uziOh6vlZg7G0mX+BEeHNLS2ZC3EVHPdpJZ/YeYvWmc7AjqHQqqUkm3svSfNQNhdAINb1EQgmdnT 5Iw5Lml+Gq0FB7mCQMsu5/P8BYuRNydqpKe7NR6lgfWmdzo1R7qClPlJ/olwR3/ExEqYQiZIrvv1 FOG4Dm7DzDpSptYyzSFbuvVk09TwgRUOHQLUZjC+9PqQnoqMBIyVA9y3XYKkmgPe+iJvVRiBD7Q8 PURPuB4fFtNs+9yvdgLBovg5cv+hTtPhe7DOfHh/5MOwbIUeyGf91bfNUW904NjAUQI3cAyq0G4r mMMId+nup9QH5og6e9DOKBV4MEQ1IOfCVZ+No18Gg6tWz0Zy/XkuMVFUdoXqk7ndm9U5siRSC6ER iWgDSF6YOnwnwb/8KafCbAm3VI+zQz//IpUbkp6QFaXA8Xb0Zt0JcOFizLLygGcLUyPdW3eLzrnA XhQ+D8sc4OmGbTsyauHu3I24p4jv8CK+wdj2SFOKupqqCsZa/TkYGxDsHa0o7Gx26/X3eD/ZAa0/ FYjiiZUxPfZvVfgyDTVdnkFH9DpJkv6XwKh+m2Fp7q0GveVoUxskBobgBslE5SEXRuTFepLTQllu ScohtxrGqKWLUG3j6Dp/UtF+PtUKAMp43flDzLoJcYb3Kx3feP4ImNDBIe5rNrwU4LqmukYmVMy3 W45sdFb5Xztgy5Y54wMrGvklF3cO9HHhj3yygAJEKiC8z5ejaOHLStbaAFtZ1V66zepzmCWP4Yma MBtxQCX4HyGhqbvAf9jce4nOL2TKzZdppNUPvX/pxX3IesJwaK1slrkAgApNCTTpcEhZeU8EeMUj v1f44Lqk1sP69fFRsJqcw4q4+lJ+RBHhfSLY3CfO4bOJ6lmkxjlyLD+d8K31JbAJPPx5++4Q0mIs SE2r4JjZ3IVvRP/PKPFQgdQeTomfZD9v31DhWOL/7iLprXjiR6/hxw2JFLWg8iHDfhoNMPdhXnHw zxxGFZdQqAzBg6VK3cfQ3rcWkDhaSV7O/Q1AdNTVNetpFKnmNtIkck0yJDvpY6inZtjxUpjcmOG4 0nf6JLrbF+LRQVMI30C1hpGxH9YmI+i4h9CxADmuIkZBMMvYuO5x1InhTSfZxVXX7CKB/Q/FjtS5 4rno0oD7u+Y1HAiHSmSSVmJQ9Oq89GFUILXdQ5CCayVjFCLl1kle8oPr+5Qyf3Fzc4PmZosKu2KO DdVZ12I6D5qJGgJkALmnS1LZEgBaFPMmVoxAGfEWwE2TEWFRKeFpEQENhAQoGG4EGqDi2Qk3TRdV CUWQVTd6Knkk20IK2LyS5+vOelzTIy9jSQC2o40VQN/EBgDdNUysZXoLE8D1JgUfAt8CzV4Dfm33 fsViM++cZukRmoZkk9dvKWKJswaie5g4jJlgsZWSd7Rx7jj+HIB2roi5aq1bAiqy5QzVR9iU4w1S NNvUSCG06J2Y+X9RTeaOJROKX1n2xCFAT0PsGitWhl64JpfjJ1MJQ2HPCTrggJp76kuQJfqBOnFY AAW2nRkhIU3qpvBt/KewnEN2uQlJG05+fbmEw87hTh9BIY1HCBS5t4THieYGDnOY+F9HlCIcrCdv ex8LCK6vbXyx6C4UoQWbVbCUxX4f+6ekqDOLsllSHLh0vQoJQg8//5j0PPZYvX0SXamYqvFzNIPC 3WFk4iy/dmizQU5Znau6mBr1fYGc09zdYEM0mt7P5nQT4qdoEy8iDNYbAf5wia948bCLhL6W+WbA /rv+IgyefRak55nMAFbbo0zXNgFe/nv5PBTjFRoG6ZQqLk16NsZMFT8rbT2MeG61jFxsUIj8Fc6f BfqCxmkvZ20f7Tho8WLSoNs4B7chWTUyqhfGYQ+M5cUtjHguUEn9UlW697BMip4j0mXWI0WNiNUL 9tXPyGhv9o/debwava7myfzg5Eo6erm178Hk4RKL1o2PcG+Kl4fM2mHT2ToP5PTFeu8OC+KVW1vm +KyCXqtrr4ZkSYX+hEJUNATKShqdA8TQOftu7LMAsPPdTEJ2azar2QEAU2Z0otXrqSfWrjYjctWO OunuSsHusPzYFqB8Fp80BWZEqLRWTVy7AV/Arj5Wud0Z3xpp1/p1h/QT3nMn72n0k5eFi7VKBYeT rLXXQXubDKvFdNoSjb9VAh9mE0WdIxmi/vLrNYxE8mZn5Kp5OaiPQpaohzPqVn7sUJiPMv/8hGBZ 1ngCi6xhxeMoAS4UZfRG2gOhNTW9QFl+/Oksr8uyo66C4zqY/XD19NuBYalzgiSD+4p0mkM6XlgZ VthuVvTuJaeeLK8WSxuj+Rk+670gAzzTdyPkNMtJo9UClfgeDY19WjD36J5NWqM/DUZkAJ+QyBe4 MTNcGzMTePLbHdJd4iqYoI0kUySGqeBb0FmfAtC2z36w5MYAGbepkZb/mpxFjyS0NyRmDIHNK/Tq QBUckpwvgSWikxshhP78lcwE7twD7Uq3EswbAvjLzw48GgZ0NriQWbhOuXZbTj+aAKSLc/mmO+xO 9OVrTJxkp7GGvOgVeTgKygJxeAV6DudM1Bcp4mkQ8E4YWG0Gvqg7hcomTzZrxRVkqlc4hMdUOIw9 pabZjvucgvhUnrMFVV5WgChq4PggcYkz1Cwc9KtatHP13b+A/Sk+TYaB/MRaMJFVotPj0EWRnLX7 Dw9PG1u9YOqlsNPhtjq1IS9AZwvhlakx+0cL2EzOHUnc62BaV3ymNOpvsh0o6aoK0mw0Ya7j1ioF NUR6OnDiLT8Y8BxrxcBJC1ypqgUk+EzFoff3DMczWYrf4T8ereb+ND/A37srdHYEnzgTTT9fx8Zd dB/2tlM9sfolVuGo8W2MAbYwCdQ4nZ74/09ZtweraFM2oeL8syLQ+Z5vdwtFQ2t+XTWBjMnO6yry QL/iOpv8CUK0kc+fH9eVwnCSfZjrJuvXnUACjQ5UZ3yorEdaIqdSZAiJAxLvzP8uwfUdb59Ais9s 2faWKNxOUeRyHypZknHMEVbJ0MNNTTu+QbYS4RLCNmKLy1+7alpYHyo5gZgpIRlM7BHJ8QKO2rO0 O5+BxYL0NBE3bxxpspOr0eIzhUXhBcBQDnEi2ruMVXsQOeoMP9fUmgAcL0RGBmBUpNHQF/eib036 K1fj15oLGfidtMWe8e95TeioWU0+hRT5SSZ/995pm0xKpp/VAnPdtaK4z+ygBaq29m8zkhjR7KVr h8tNARIu46rd2AXTsWfK9iFxyQzhIP2DzjaBxka4PY9w0QZsSqPQZA4I/77i8sw+zvFdQMWNc5eV Gls9LzPGFTbbQPplTYZvldG8c6IHttx1uBNiLuBKJJLBk2egaPn7RyrL+13vxAWZLkYYK59cI3XV dCs7Uw7aJ0kDVGwbyLNL0UjoGSRsVKb8YjB5O5fYQM0jRJBTy0yh2ggsoB9l4asilieuYQByTMxk T0VxPFzpWB7jf7+9gnPFB4l//eE4KKyiD3mbF9RdkLEiSZtx8Samqdhr4jBq/hz5gRpF86EhN2xi BT4HHXK2FHFbvhUKWl7nL8RZ/Cx11QuXIp9hbWxhK1JiI/945v+/ScZ0qVwxNWVJJ5qz9x7DW/9V qU+uWO3AvlfR8+ZQv2GQSYFWAtzUHBppkrp4/k1EHMynYrIP3rPVzKg62vjCkLEXlWpmDrLC68UN CsBGIYMiij87ty2B0ucHylY6ZX0hSQ+27gUc3vW6NEVETfBrDBeA1NSiooBHUEARw9La28mG+13N pBVvReT0YsL4WPbSLbygznuH+x2PTpy6xmTOe9wCfKFHhWn2xuoOiaXX9GoZaTG029QOJdpuxbF8 MdBvy0HBiEeMFs1FetD0PmQOe/vydsndmwzP4kMuAy7hv3QKRx52sax7bM5ZtwFG71oVkdfhQ8EG 3cJXpmjPFkyHcgLRpzdIasK5su/qME+QcYwkWU+hzPLEu9CZB/49jEZdvoaxhjBfGa+Qqa35FFgn rsVHY7kvSPFx3rPsCuAfM4LzOZHvl9ls+wDFkMRjporBTp0aqT1x0byE6Kn9AUxb7lMrsLNVo+c7 4s23O++oaMkWtc+pqlpHvJohxlxljvSb/jQpGrPqSFa6e40+o2q/JaZVb5JqOzL+tNregP+EdOoZ BQKjpCT7ugTWCo7akaDYQh8jvLRiwjQJNCp+h+9znkIIr+m2di/JnB1v/3WswV/dBiQfmaosO5Nv QfzQpruBJAgYXCeIP9dIle4HDYZc0cfsz0sB0usmjbTLFok2WHEJdZ0ROVqK7NHrr2nQ8Am+eab7 VjFILGUQh+/Ll6yibX1waYjfOQRGileSqL9cVka/id8LG5iMIhjBpCSftuKTbSZ+AzzapNxPsCXk zUr51NcoyLVa6klSH1oo7QNNoM92nZzqQoEBWEt/keppcW87btIgVMctHmJjSqBE2M1d2T0fLUf6 reHo7ErzBhEkTdzGalglK8UXLd0wz8Kvg4/A63vYZHyxPsXj8RXktItL+4prbVu//5luXzrhOnOU 0vRCnRYCWw7y0fyaGmpAj/LS5IPHUpOTk5GSTJ0aOUngplgg6NxLRSkFX4vEh7fnQpbjQ9kVVymt NWZGcdCo7WHlNTeMr3dNKWsMVxK72hRjJ9hDN0GtxPNfvgywqMDjUNAXDgs2zSSPoaOgShaYvSSv eW2ZiWv4UINnXpQZxYs9k+8B2IGVjEGsAuxLsDiS4wk6gZPtAfFe0Qh7nVDXgQrs4izpSbAatbaq GBD2qVPxUtwTOmaEfofoIU4KpIwmW+4vrOuvCQTO50iPdPa2vLJ/9s8ni/2JmQJgIaPlKM5kWwZl 4KYpn6AxD+bDgOXYX4O4UskLT2s4mbrj0tV2PcQ3PXBtkstf7cYjXOKI0gUk4/gKu3GGzpUUhlWI c0I+rrFm9MrkAUDrAGSEThrty85de50ivBPJEiGcLVV6Jcsp2QOCWdVIH/2wrc6U0YDFzDf4UaKc grJoMKifvriQQpLcw6wnKN8H1Ja97A7JM3lBwj7B+cECd0lSF/OQhMV6SCB4qMnRDxyOJ/B6jFRs bDY1utm+YuLFX3U2qS1iY5yj8T0z/fwLsLvqrGtgI/3VHC0gN9dX9ePJX6KS67r1864s6dpKO7xf pldIeRxjqG6P3B199bTze4wLLUNbgq3BlWylwC3owBuLlBud85YvmDLNmwYH+hgex1JwwNIMrjAG sPTm8MpPHkyLUuPCBzcFLiOHhTsxEelYUE33VzbyPpdMIVFNX9UF52InF9anOq3abqw7akczpxEI hC+UX61o9aGeoM0dQGx0XIWesLRLoMAWr13lQdkRlGJo0ReBo3iEWUfHuiWdOJiMgSCpoDlmvti1 F4wFdDnnYymcF3ZtmXJ9lhkNSu70nrwonZxH7NCyAzPmpjHfGuzfvPSiNL9bhi51HByAMHhNyUBE GM9ej1CWqCezY05RXugiLuDi3GKTrk9hhhP49d9Ne+nBPHFeOAjCB2SnIwRYnVWgPVZ1agPw3TO9 C4FSAKrmV6Jha74YgHH50nVZE3xuEIl3Vhkzw9tHI1TRppQmQM3Z6+82llvvc8gkVrdZ5z9ZZg2X P6rNJgEj+tSJPKjRiJaLOyBITz2Cjs84CjxjMqkPZT6u6ATX6dMNSK5sIaJXuXlXLRR0fAJPHaBw +d3r+atw9T/axQndoGrch7MFaV/sxrUFa+azbaoGnxlJ3vSleFd2bTRLWtQx/nC2W6PVN7tqOyN7 X5N39gUxKiXEML65Is1/TCjnFxWcEYV+VcbEPS6Jhty8xPr9FAxUfOyUmpdAP2xo/2FVF0SZq3xA bcaxNs2gXD4h1swbwTbDY5lYCHYdiu4rLl0+WUvTTY2aMxWIF8vEOrXGm80NAMR/LhB9CbBPHTNx G43UdLEmLOIMojsiha0h7Zqmg6JGgaqJXKc330uq2m5W5iwSCnvxFprFBpUSUJiPyenaLc2OFP1N urhCZU0m3RJ/cENQK8WisCticNPMppEIYTog+6rpBgeHjM+froVRI+640ev/Sbp/NMWTd7HYs/9u MWx/Tjj2nqqkzuzB2L7jTl13DFnU+vI8u+C9/ZQ4fsPsCmXKbtVNMrOtLpVP68HvW1b+XRcaXeqp qwpO2QbhwOUYRrcJi1A19RMey2MmdAX8aou5UZ1o6wesRE5nYHaBct4b1ShxfctKy7y+vcPdgKR8 CXJVl9b/YNo7PbJbypGakV47N6p0FIv4gDL2JzNLdXpeUi334+XNB2Jc78NCy4PHLuuHWE7Zxj/H F/oOYRDMwT3VZD4b53zrMdsV48kHmGPbmUGL9lvS7nVFZE9NS/RRIua3f+TIT3HTOcrGdqcymcqY vgqIIPKNAq7eL8xvlHbunB+jUBM9bnHdYd7i0W1KP3aZ2sdmWHlXNcnp4j67ONR5ZTXeSZBLPyh+ zgBAwN6/XknD5pGvB94WTFImppgD8xAH8NP3/KggMOnjea+6mwZ9jWSlJm0i101x6k/MAOgIhhPl oApCtNcqbB+NcDFLjXchHhP+5x6Lxt/bP0KQNoxuNKuIorYPnyhh5PC5MVfDQbjcRTiXj4Nygbvm NYJIXw5uCD755ZFqcjcJVo0nOW6qhIPyjjh3flTtinoBSUod/Slc7k2WCC2xxDZ0aqjFzOErPBeL 0MU2U2XYa4dw/fQuz14cab1JWTeUpSrLSGjaLXd5rtoVMaqt8CXZHMjkogXFf7r27oq5NWg7GAfg 0Nu3cIS3h+5ESGBfNbZlS2+zMguFpA24R5YjlZQEbEL9HRL31d8d7iAXI8yc9nBEQpIyX18FgY/t AgQcsyUmjuO37LhnyvB2ul0nvQ4YWWY3SxOCTR48FxoIxiEh0TgifI/hHLHmmyETmwmSeae4wpiC jFpoyBYEYkCqLy5ZjHrELajLFerGuFKh0wpU/FAffUoIe0AMafZ7kTA9hf9eBSe2OaYROZb21EHZ 0tpdqO/hDQ9ObFUHMtZ2l1ggir0wbeAnBUN32wRLtE/gWq9t+lZwEjbBneDfI9IIvXk2ViBYs3eF QELf5JKGT/5amIXl9Dvz34U0KqAYJ6mhy1sWZyRQC/Q0b1R/0sHhFpGRyLkj2C9k3wHDu3ianlyH u9jR4UMF1Ee7w0cExQmdLvSSpmBi9ImhV/D8c8MBmq8MObYkzQWhbNoKzdk8KYL+LybO+T53j7XS kd0+ff8rPYG1r+VOvMzjjQPOLY0OmM/3eBy9yEGoH3+Fu3h71rZjRmUdvtepEQIWpW+ctZwu10H2 NfCWf6X32JVFCwggPUEFx83xZoKSalWCiJk0KJHPVKcgL7cBHatAzncm9YI2vGbCEOWUHG5FJgKd huDY9yXxsCGWKsxUcqypetp3AybehAbuq1E7BXh+pJJ8HWB+QDDQG4PL3PLmzTz+1RDySzWcwFkU BBNBkx3qcYGHLEai4SMwPOaTSV6fZt6ze7k3W6ru7MPTSXuTRqIisfR7vyhQ5HvmMdTRGGLiuR5I C67qKBhRWoETAvOVHA3OL+L+1vHjD6A6mmqoXVs5q41l7quQQwg0ClcdmkKv30l07yILIYwjmtg0 jePRycpuSeTtX8hlujbsKSWXHmp9A4diKXAR5fFjgNrBq+YFp0LKn3zHToswQzHFRbWg9IjlL9KB zh+L0c+YhOhMXnA5wZyQiRRa1b1tUrDFFTV3KqEOvXa6vIFf7NCUlsl0Jx9D9i4Bp1jge41GJV9x cGJ8c1AGGDZzpNo9JrwCcywV+4cBB2b6OVFI5YcWlgWrBckiqA23YpuLqC6ceHyuqm3+XAIpU6MF KMHDa7fxsMpFLQKfn3SniFuLgCMf45pOBsn/8x0Obdm/9wDQi0lHbLp/YyjHUx/lmJjbh0d9DbIL cU8nPjLNIG2s7jtm3x+WrLFj6OBJtJlfYULYLUEayXnG56bq6WpkehVnBb8yiYTWd+tXKGtD2JW4 DFlallYZrbNuujrS4B9QJyCYgkJBdBJiqXr/8ZFui0F+g/cd1GVk5XPKBSx0Fyk2W/jtj+0IgTK+ W0RG8BuFD2Kc4zoEmYYVzsRPAR1AUSUg8DQ8frJD1tijow+8jBUtS9jgmqOmBkGjzOEBmV6g+3IM CHMT0ArDjhbMU1mgZjZo6ko+up57zRzzAspumpqMms1FY0e/0edaSMLK32Q+eH3s/2zaIXv8+d7+ oUhix3rR1XiU1iu5RBfTJUpTvHQuYp2pfv68d0DEvi8/ph8NC9DSnkmfjYCuVcPvwRVUibSzpOPZ 3TOyp2FGaxdtE1ENxOvjXmxATP2QQJS5zHc93tDob/Chn1VZlxMYShbsvMiMPkbtj63YHre/M1Ub glce+6p1rN/6IDmbu48zu7quuH+WwDN6RJi81n/4EMMHI30yngueOV6DvNZBIBbWyNgqOAb1gvgT nvT44Gw/c/R9cZHNzH/UV2NlBzsMDdeK+ewbi9Jiytat1KuZxgETAD/n2yDbGgDh/lCYAOpmJpaS ZE4X6xi3eZ0dZBEOk2iF+eTo7FJgVffCp5P6Q4IJmbtA1N3e2GCvH18fKu+BU2IgxKlE6SnZYWfm i5/MyZ9Me9W+or+LmtbyT6wyIbKEJE6TvULaiXLMf0QvUHH0lpNy0NGRxoYMgplV2sAt3ZG2348+ fFdHjZKySSYgfji0VXDcHvT6CwFI/t3IyvUufGOE6nnRjaJTNSytHETbC9lXP9Sw6Q3dYjO9Hqbg JYR494LZIij9WQ7Z2kwWhXsjMZbBpRIpE1TKECk7H85/G4gFHQnCKgA2qRgm6HoheggjBHZgrwxt 0y3xl8AKuXAZP3i1XFuVJIkNzWkJ+uXVWQhmm4WjCJoLQP1OKNMaCUCKG1fhsOii5qxkwh9OkuPt fqUWaO1okPyEePPT8NFr9+FllZGsrR5opyXkQ87UEoeDXeTxUo3cN9tY5jIgM4sLh1Bo832i04aV AWaazr8piEjw9JyTajSMv/bH9Tw03iKQSpPemJxWY5usJQYRCjEL+adHyLrPJRp2K9vItO3TOFz+ qv0rWWzVi7zbvWJyyMmuGAS37BB42XAqSAlOvUSYsYI3ZtzquJ3ZKCTSoKB+tKSPUxdOmv71s6H7 X+WhvFnLwkU0rI7OzMNe/jkDIJ+fpgcPEYoX4DXj8y3+0JtdPgUVAzJYkBz4kjLFbdQsJzJk/uyo 4bQf2yFU9zlR+oNluUUP9vWzJefsAUOkCzJLMOptAH3wTjRzJKJeTeLolqJgnjwJmjLb/bAF66A6 Vei+X/GdsfWZBvEaxANhsG90bcxO2dVxtWQ95uZb8xhZRiLsNfoYvGfqGAJgnGKRni2z35YDEhdR FoTP9zcdS8XyZKck9RjiVcwaVwH4FGvgoSSyVHgr3Q4F6oQjKrI7O097b0QS1DRcSVeCdj0JEeYZ G1ZfXlzaT+HnTAxiwoQZEIzHyDpqK4vzWyw5Dp7PCmGJgr9FmSRWEEV5LZTwyHX5oIwfhW7Gapmy KjD68/UUiW5vAV/2VTu6DQujWWCIIGPKKP5lp7J5cjx+d+40ScSltj3elpHRYr+xdlyxqn+SyP7a DjcHCGcABQ5c16XlmfXiQM7eFIU9M4mjnr0X11rVNvuaGXKNauGLWARCqaG71GRNSY9WyY6OKSN8 tuBwWfibENk/AnUQh2AiV+SdNycYdbG//povO3P/uQnTCHWzkgE2Wc5naty3MSY2Tv5vm+SGoCCt JsYktT5AnDqdvnhvI5TOKQIj4ygx43HwEmhsSGwipy1zagO+X+b96Ql8EOoytTs1vomlu7Aj1XMV gZZahaWUU+moXhJj6Clgs+Ozv2IDXuZRcREjJQ8LWnD6oA6f5QYw4/63feqRT7J2Fu+SSYidLEqa 7u48R02mTEP2h+aFKNYauoBBXSY9NvKyh0eHZTfBchTQu9xyDYhAUb3FIUaBbhX6ddZ54/0tYJdT 7RBpG/gIJlOhivYWoa0zKog1A9H8gUaxuH+Y59wUcyvMvzpjeLUQm0A4Y3iQrL3QRH3nljmroMRO q6My6pAmeNS1ojzWklZbdR+f0jPfU9K1EUCfHei0O90ZjKY7sYXSEJ4R9m7Tdq8/flZe+NWALtep z8iTh0zpZOjxI+2n/zuRsNZMyiScsjltUk1W+79IrQ+IHwDCTP70Pw9CBzMpOo8WC3Tj5wYkDxut a7BiRNCsAEEOYgwgnUL9U8lfluWtRLxoHyL4jheTcIFJrdhXP1MHDFf7+n41rhm+KP9EA7CRPM7e ALeX/10BYBApq+pLt/1zM+gVfXCpbMTCJt8PZhKWM04sCgkZC6ws8Cfj9nprGA6uTWoazT+2uLbs DlpRbNeILrweea96/sHlt/IfJ/APFCJvAw0k+vrFtOCtyPNpf2z17slPQxE0erilv4P5rq+tyNSD sSn7hYx/4Oj6fp+VuKJ1MA56qcowXkHfofUfvuY0BEabo/p/N+w9QZq4AYHDDrfwx+1O0ZEP2oYG fTi0iQqPPyQJLcvdjmF43zf1HjpSL73h+1xJCD8GsHUfWUPRqpHpzRwViOpYxkuYjVpQ+q/OnA89 fUUofBSyRJ7G5G1kwmcKwxipoGBcrzKDfY3WnCmd0ReGAWDlm6gtDK24BeZJQoepzSLR06eh/y6z xjmL4KYoKKROKhmmZrQz5Y3sLYp1aoz06GEDl3MHuvKkPLMJRSxaAJsXfo/ma6qluaMdVe0s3JZ8 jGlnFjbLFlJn9KQxLhqzZI/413RCoUbe+NHjmwkqGOsWIRDuY3NcFn0/0w/YEada02r+mOjpRw1a a2Kb2si1l/FAG2yO7tLqxuNfsVep18uufF5+bglx0DRBh45bimSIOmSelDGDKTsdSVggt5ntWRIG nBqr6tQJBFOU5yPok22rbEGLPTlkW2wYay0gcnkuK6wWGq97Hid6J0WnjgCNeXlaMWAtoPE442rd vZ/ocvxTyOdOZddsGFdmJiRrl2NfyO4431ZndJkdX9wvFIONc9ElMdBjQ4p5df/aHW1NngE85W9F hkdGGh4Ks9FKTjXvNfIpWyoz1FYVrX9sSD1unft5LE3tSvtJBUyFZs7Nn74M6nsoenNdnnyCs/gq RoV8L4fT7m0byLnSw77Bc3uBc3UN7ACNZfdzN/uNPfXCz2+fyHy4javgPL72rbnTD0ApH9ZtdOZh WLh2WCKjBwzTZ+l4hcrdcNdQ7ZQkSaPLb/Y0nrXMHrajNKbkqXo+jMpaQr9D6Qrx8566LearnAtW 4srX+Qyl4cRIH6PbwpFnrqfLQuMTodIurIk8XwJpGJcz/Xv0W3hhdc3IN/Q/JtaHtQGAWx4LnXdY 7uM7vi7Zz6IJfyjS8mSZzcnaKHgjZQeOPREl3WaxKS1tv+7ikqqrNXQTQaGUC16b018U7Ey+WwhG p8KhR9oIHBqZGR8KSuBMfkgzFKA1p47u8I2mvW4lcQvmZylJTXH+WPZIbe+AuISu0A7+OPGDpQ9d F6/BUupQnZkJb2+uoQaiw9mq7GeRDJ9URpmNcTdSosMlOVPnHbhUXzvCR+6uMPygAj+e3pvcvGCu HbgahZqudBVqK5VHi2VG9GGgRxJAm8GzV+XyGnqGUTcfYzQokcAitN3FG6kgMtC0Gv+VWBWOfEz6 oSoYeHWroh+OJeY6qFsLCNUdpoFWsnW/iuRzIWQ7ctqQJRFdRpX+arwwuSWqnYn44ioTPVMV9/jt QopxZ74IW6ZE/GU++u49Qqk0GEuYXzethilfTPdPaHNuo8YAxxeSQDeyv347ZbKKICLEmmQtAFu8 e699sEAq7FfJGbJ0632nVRICQaiLMdXIguO8kAdC/qYRDopssEQcpoA72qGAsUGe7bPprh0jNowO HorN0fE2YlU9bVEq4J4X+39z/rWgJ7fS2LeptE2NGNo2leRsnydVaQQKRMVb82nQG790fUGNY8eo 2wrww6Pmz+vy/5AIjVeeAmc+xnk2P+Uy1mVjEobeyw0Lh32/i3shhyjPUOJjx/4MqJps+1VQGxFH XqrwFTyhhUh9EzHXUI6Z+g0YTb6E9fzulsy42wlDc0qtRI8YmhuFOIZ+lOoWQgnE8aE64Cg4SkcK QkTu0xx/jQ+UE8anudCpFTdczuzjMArQyNK76nDPG6Oyn5Io1CluT8K86VyX9LCQGqrvH1xr0PVq HBm22ja+q1qLnz8Xkjx6xchMUUYKlzJ2G+ub5gIB1Uu26L4EWBfZYf4lBfmnWx/n/P2xplz7KcxY mw8NW+UULuL1LFIeFzlc/QYEBEUB6UpPgh6iXVw41Wv0LAD53SqVEmULFgGpAXhD1gbjLe9pPMvY gmUJjri2XfBfdxalCgKAjEZ5kKaK/BbxC1BT0xGx0LN+YrXchvvFCJLS614biKh1Vey71u7PDRJh Da+CXb9AZRdaJ/theImErWiOo+LizkNR3i+QoLtryLnJdxV7gEf2wnScsSQby3/NY7y3M3P/Cgu/ 4b9O22A+qjV4V+PKX399BU3QfIlj/Y00cIwR+NYI45sVW7cqMWgJ7BQioiiZK7m+w+eYoVAoO1fC N+X7gWfh34G3DfJSSiaXTF0zcXvQQ0uhEGfrsbz6ZSQE0U2AhR//pw19lTQ6d6T2yFdS/lri8A1J E6DxUDE1Z0o+q4usGcFxgx5ERhmSpxyA5OncEaFAzNzseQcL/RjtkFK6mDjNqXoUEzDiNvCVH0F+ dkJEmtDOR0aEHUU+3NJWMJyLopNUtMI02m2fWBj6EkQuukm9Uo1OfRF7gAFTEO/7PDwh2cRIwRC0 P99WurLGiot51RTgawknajf7YPybE+AHgSFT7VzbOnWnDHTLqLTwoekSlWF2tbEfM4z3TWKHbeE4 KULcYOPmCHNRsfXR0t65vFjU1gtLXrqVo/2n7LQHnShIpvVCUarM7ZnhUe8Oo0zRn7zY/hgKLv87 RntTI3MmqlGY6QzvNfzQpt9ECG9hwV4z6WLpmL9hf0UdlVtCEAgt4Nn1mGA6Lh9Rcg+Q9/cx296c qheZVcGQM8+Jvmw5j6JtkioJISnoOfy75L7R4vA3FGfuA7b2hLm1tzUV6wpGYiHV+8CtPIuK0gSM J/g3Z+GCnp69w3HD0Fre7TYJhvBUM+Oejh+d6f7R90A2lcAiPsawNC0wXlZIeb+EAU99/oxJGLic lar1uUcwTX8U2XmcY31hc51ZtYSmCw/6k3JHqnbQyl/SCM8y/ttgmOo+mtE37HWG+eGIufSdWArD T5dkzJ1lJPeTTug2Cy5LAn3ptV7/+WW/AxJBqr7OSic15yJ9PCPImdAs03e4oLeU/8Xoji15p+u3 4TD8EC50bTQf2Y2+oPqZY4/JaRwK7ebFyN0ChXUSDrmgY6nFnp8ZNfnHUmvRISb9zAXxNfpKT/uu hO0pqKGQmSlIl0F1luLQdrvB1Udn8MdKK9jYChes82kmqzn2dBesByWPDrKW32zo7KeFbgMT1vQT /5Cxys3q9UoGNschTKqhP4czfQjbKsjIpqaXY7nOk+MRMA3DEUO0yWy0wCOTKM7iOAmNOoMhGbVd 1RrXEdHLNZ0RJ6SKHbrHbdnj7LQoTzeMau0VXimWIvUxYOW8XEDG4lQslIvjBMfKi5m5DoDPRLaZ oIgucsagrbnHggXQ+cMhfhuJh1THLxSDMuctjnJb2maY/zwlKjc0HSv6E0fBeje8PuaC6HQL6FVx HzgYRUYT1RE46+TI9YQusKe3GNJxN6CeminUU/aqWcnzNHQcDrB2bGf0UGqcNkWF1lnd9USOUISI ERgxgvP1ZpFqKIIhND3dwZkLKeSnv7t1DQap5iKEGoHxnDGC3yE2tyWXIbGoWd/pLZkeHcuBf7Rz RUX12T5lmfTfdMe1zHA9sdSfqPpQwsNH5N48QG94SjBuoL6QYAkT/GrrQYcGOlisLtvxN5yQkmrn eNJHlxoBl3Wcq/chDe/vgg6EO4EmBk6bwVbIuG3Ud8Dbm0mhJL4h6p7sPfS2PM7IbAI7EaitrJuO 1UMwImnfPMtuL7dpWKbzJQcbfmQ40F3zF+vqwD+as0gi2vRvPCZkjjBFeqdTU7OG9OW4cUwqWXZN XZ85ebOYSwjgcjww46Of2QUOXePQLwEQNiLfe7MrDla7DzrhPnNeqi2bbWmo1913tINqQqBApEp7 PUizK8I6BJVvwzhNAftcQFQ0pAFVBvweg/d9zy3wobCLXQBlpcEib4Zlfpu5KuLSDJHMqCo9/bdx U/dYSD7WFTeb+GChe7YK8IgBv1LYW2vEVxyo729O0r/TatjWmK6TPR58hMn604dS0fj9h1MXXwW7 HiVOadbp/Zcl8TxCaQOHlt8X/ghtYOreBkgUMbbSIkz7V+ETq8v/3Pu1s+ixbu+VPNnmDMOE3sCc ChMr/+nmURLi2VocgsupWeG3NTN+qh5qtqajZXxfVpabF8OljDKmYCV0Fwez66ZJ8eKHXTZn1Hfm ajH8p5BNHCDuuAfIhojnAZQMpopEizBhC+B/MslF8UAC4eRYeErkvEIKQkDWGg7IFbJH+D9IZZk5 szI6Svho2PCvX1yec/JZYZDJIGuigVV44hv91e1l1QMQIjemQZHniOp79QP0/fRnKF1iYjceMGK9 06V3PM/ku9FD6UhWHZL8YQ7AzGjlCnQNHs1qC2NYF2EmWcG4ejxaY0CDhsL6RWdj+SR98i4/5zzx ToI6KlokvEgQGTWxT885uEDCFoKZs1XA3sZIOyKO2wa3/QNTpB/zabgQUE4zLbNrletlJtj1rvqV +PUOwBgtEXtaeljqtQARAmmvD5ANoZFKf4dWQOELi5kHBVDwk1h8am7WWVZAn0tHYimBrd62ZXu1 EyXxRlz9W+sRypTrnx6bMPdGVg248JAWM5IPjhiShxX4kAJOEfqq/3jS6qPupfEyTRJFlPJb6eNs 3RcPZQDIIrM+coXDF5gSx1BAmZb6Eh3GpW/8WtaXcUFd5R1fEZg9PCpzjlr/mP/MKN6cRThHdR+n rqabg21BmKb+B8GAvkbVEj7aPg7Hh7QC04q2kW1csKVPlJNjkY1tAKa+yIStDu1GlhWeHGj3LLdb cTeO9wTK9BTuQgrMSM67bEymh5w3PxJARqge5LPNByCNfawY8/6WeLh42rU7KcT2s/JaBjvJil9v LokaL+nIb/Ozgtf/4FSCYLgC4gyp4uPoGNFaTBIKcFMLJse43K40YJczsOEtdK4tFcZB8PQsdZtN Uv6LpPH62q8FsRwanUq59nTyQH4meRsOfcLSPf+Qn3jax81hIkJMZFjV3Mi+N1Ek6L9tAoTj4ys9 KOX9xQUXw/cDVfznTWZLILmR/NODWRBecQFweMfqnja3eA+IEHVC6UOuSpYGrJnlJI8DZ0/R9imi TrGkuKy/Ps2VY4zL+8Xcfby4sPgJpYvy+HZKHa/wn9MWSDgQFWfPs5aNaHmET+s1zjxxkLEWv7v9 3N6qGJrPT0fCo02vRbNNqTCl5m4IPKiB3N8FEgCfF305ynPGrVOHVCdO6ScY9WxgzwI8KOezW5ig WiTCYMOvVoY7X5qEJEQpIfW/IQNz01LicoTffxH7wsJjDzlorifpe6QNNy7CcyqsPrlQ+WaOH/lP 0CwuVyJxAXPRRpjYTpCgXtQp+hq3wyYfDhmgV5TiMQICpwGVXm/waTbd3at9RN/VaYAGi6G/pXgi 9kwEMz9bx5DIUtI4nEnxuxNmHx379YLnabKEQl2GcwYw6HiWp/lqEt5ExJnn6T1SjXkb5Q6H/R1O jJbPUIlC77sulx2tFlvAYa23FJjdkKEASBpv2AJi0MPViq7xgDP93i+qQin7sidvU26QBOBS8S+Q p91cLpEp0vEreM27n/Nd0wN/t+XPNmdj7DSlQNO/XVru3pQPkLkAVrEu7ymJA8b7afkwMf8dm+9p zqxb53G+xp0kBHxxgpNev6zDqwpoYDdx7KiybnkIvmNVuNCCfE062NeoTdmZURUcN8IF+cTdfP6F uIWT1G3Q0zWYMUI49veRo4AFvennOHCEh9mioaRkkHxsBgoFYmN1TZJTrUa95xJ6/G2bBKmaPRea nQEZjeqj0XKoa3gZIlJbfwepiG9o+QkXSnIakbzjj0270xO5DcyFGmlLVKKr77UTtLbX29h2dN1i q5zMVocDkSPVehVkt62lXk269NvNuiC4wQgF+XtdkV/XJsqruLcggFnVQ7u5bdBR3tucrXogED8H Wjq9EgcYlaHh6PECOD8jrCh7m6WUg0nl9A2bKLYowXea3+QhUnR+oiXYEPO/3wSYgHUh5c2VgZxK 0gS2i8zVA7FBVNGV/L3Tv/8E9EQOY4bvZZQ/ihA6EA6ILqPQlm9QxRA6TLUe1T0WxXKm1APOjE40 SWuvmSenSxf+J2vrtdCOpfP/NRGT3JSlGnOdLUyQIpURhXT2X3bGYMH+nEaoLdY9Xc2dEEcvSGPr PE4zKNgkPJUsuRkj90u4g5aqk2IaXCrjYadv6BOuOnpwqvTlITiqzTLq/iOe7jvPQFel+5cmOlx0 1JsUwzEyFpXLuLvmxlrWsrsNBEvWb7eUaUlJpBcP2CZdtTQselQLOGR2DmYc55zWHPj/Al2Hg3Zy QZYYIVcHozAQe5I6KmTMB3z/BUF4pghCBkTCVOmHKhA2mU+Qs5+GMasgkZ13WNUcR60Fe9txSaky db76sY53vbrJ2XGPdBM51QDkeNLsMCT8Si2GRn7svZBmIODmZvrWDi7qFpouuG57CejxMRRwD5jw UVnn6hlC4EXAL0iThQ4tXdk4Ckdf683BsjCynQest2GUApdm9CYuMxfsssqPKhwWXbb93H2o4yIZ jo5nTMmPiMEMSDIdJ+Mi6efc393G6rkIrYy28jOVM3sd1Q5+uHNmzNfSvHiqSNaIDY1luBYhtzO9 AAj/EiQiDApjc2NunMnkAk0qm/+/HYyfybh7ewlWWa06bCxdCIGEzj08Blxh/NyYTIFNiNy4swre IfGdzKVeQGBhBAH+Bzc5PCwG6KMJp8NFAux1yvLW0C57/EmIzwZegNx7+L8NWkHbybEdUwdvBqqn 5IloCV4DXu2JO1veJ9w2cNpumtyOCeS+Nr+WJkfh1I2QdAqUCMWy+GyBlZILRt9Tojg7O0QS9kZ8 6tgoDFt5F67xrw+49qdhq/iDZsOh4s1GsLT8lIHLBBx5HuQGnKr/zyomy29Rb2MLi1RyPJ6hf6fI OSMYpmI9OPHKtpMORPekcDpwkw4CUwsWbYv96Ge4uJe/vqLjBHulKvdfusQEAHZWy/3r4gX8LFi5 CopHt5Pys1YQG04hFq3JJOmAbrWsEGRPqs5h+y9cTWaCqX1Qha1gZ5mqRwKscDQQy4MrcNN5tT5d d4x7RwkCoBC1mC/SvEOSXnnDH+lwc81wb8JJ7Kq1Rykt47rWGcrB5E3ZXQ02wSYHgw52fIKxtws+ 9jNrfJPkjAyea0EgYTiui8vCAktWuxMEtfhM0kz1g5opNfnB5i2ZbIaq3ktLaSseucFON/x0upSL LbmH8DECpvQTA4FyCpfAYG2qWMQ3b/jgjxDV3PZ20IokpOziydWV5rWDlhNu8PeTYBEYwx9j3WCv itAzKVO4kqHPCKJtHAJtF2fBRy4JslWni4uTfX+JndSVpdBSqTTCKZ1fAQKZQa8vorryI2+mTBw4 08iCtN/QIGTgReEIiI5ealAXai+rj0qf69VWLiuDYcIzeKj+hh6DBXS+1HWsZ+gbEpXqShcajorB XpCWBobnTlXivkwqHeriH82h+iUQE6q13zxmWXO1Ht2I8L3odpu/9rfKK5YLMtnzCXPz5488tA5E i2Z2TcLgrO9iFYjExu1J14UDSn4FpETrMDb8PD6uTBTPcEnuObl231fgveMFSx/GqXuPtHJb678o aUs3+fTNnXsEtwM1DX7LOMqyHjRw03eufqKWSusgyJ700u4v1oBhwG2XUsY+6q1k+H2ntzNjiIaY DpypM655TVFbeSONFnYX2Bm/YTR4RmOlz7DkIA2S1Q1ejLAh+albD0dld5/tbmfo1q5MxpOPeSqh Bv5zIQCDc0x/xPrfveSkFGeHQRKE+TYPCgOzE6CRpFsGSOAnLkcG2JTOymIYtFpXlItHay0i9lUd f4CCFwF4VjCR1K86RPu5HSWaf+ESgRq+K7X+uvjo+4drJJgUmzFAoaYLz4/hGale51FqMo6SzrrK 4S06AzRovw3JNk9xHbKO8rojSRCR/qX8lM9YcVggWuHfPMPMN/9U0I928oy0cVnv+BFLkna7Lnj2 hpMppS9zujkEXIv87LAB8rTl9ONIRuAXOSXgCLO9leGFNa4b5B+v+OziIxSDyLWLc3zeb2l/97ge HKuDjd7DCAlqyoY9stu0XN79tQ3xPYu0GYO7O5pzwAdaAZ3vFfYfvrjvEMdTm1vOqdrvmedroUm/ dD186VCsG0DgyIgtGS/dhASR3KRrgzaMr5K4WPyziNmzEzyIOHDNUetdUGGaP+WR1vMhc/YhZjqB Pswxu2mUopCB6alxPC/58xWCL7sYRVaiUXr1PVVtjCX9rYSMY1dPHkCsXgv3kNdhEupwU5w8vq7O Y/9lUC190eIGamd3S+YNr/FpkhRl4eqsQA5jJcIoRQvTMjnaErR+xBjgCoO4QzaODCFPUi45IaCF nWSJpmxrJKqm5PXSFzrub7rxff6fAL1BXcbBxLeUqt2EG7BkpSaKn8mlDeVR1Rz0TLEU3YhbC1rV 52duBCK4mUtFSqU0MLjOoZtj12jgMn8zRHNuVgRNknO4w0jotRLBZXAz0E3URjwxGt/kITr7h9+/ /9GIsUGUZ1InzNX3GPZNktjrFRdy17XW4sKfQ9MgKvP8XAPc6yY46gWGg25j2Ary5pa0ghx9hMjZ rF49UD7z7yVYjbkyzBD8+I+6FvDZgapJfEtb3ptE88SZKnclbqp0ekko6AeAwlTv64XYa2Ss7X9F bWLxsn3nP5akZ9n8o3LqWpXCR1SN0VP7zKPcIZNgphpzjYUZnVz/ZTG5zrYoVl4GcbOP47nx6y3T QFg9sqwCL3ypN/tQrHM1NtHyZcpMVhs8bzbifACk15VQa/uhfT87YRUiLMI1V7eJPlYWsqpMYOC+ P25CPag/lGmYya/IKus11+Uif6rn3iP7hXqHBtSqxQIN2NONvjUOHmFyOgfR7kARCkdx/PJ2thg9 9hPQEOImxurqfjKAXP1S7TUohcWqxe8qIuVOeCJnvBP5p66kN7VwjIDhsVJCCF351YFeQQmfcluW wivPZvz9ogcSpf1nk6b2se3FvcOnAaRoa//AsndPcVKJUyf18uJqqTWtOeuiNOeWCcYgZxDqqSMm TEIpIUuuSVt9xOM7LnX5dGfzKzAE97OhVWMl/hZviKONIT8GveiUr6OR2cxniPGX6o8ahwZw++2F spxiqb7LGptRKEIEq7GPNVQKizGe9rIiHiXU+1OoPKPkLMP16/psVpSW4daFCeG+SuPe/15uxtl6 amtOle/0hkczwQhw80QGy4C/e14LcZyVv0fszTk+W0U+75QpC3zIn5r5J2uhmHq4/KcPM0HsWreE 0Jd4WRiL2UIgSkAOIr1z7/TEfjfMzeqxR/4VD+N6ZVhFUnyx04JWF1DNyHbGGWXrTxkRrn6hBtwZ n4xetgDGItmoFUJ9gNgKIT9lzqpF5ACpu23Z3iUfuFejPuHKMpbw8H6kSArtpDw29P4mqSLA9HWr xuiKxligNEXJr04ymTzjl3xdoxh8lsR3dM+22qniIZlodf6RuWwOEhgkEygwLIklE9GAAHMh86Fk GaaVB9fe+tm3JypndDGNWmEQAt2eWGHFPIIclahfDDFZYfMvhduNgMUWRHvjoFqHlMrLi+xZ2KAq rrvu06CORZ3ov8tl7Ru7sCm0LAiKTuxmUDTpV/En3f6fKiluQlrT3lfLEchy6Esg1NJzmJaiIFnJ akjvu4D3OXawQ9RXSo+5JzFOO+c8xyjFCp95ZZOT7rAHajzu3s4kJr94MsylIKS/JS4IQmkd8jZj hoO5R4uFXkyDduGO4651hF9npqlfAzQwUx4QWsUKHrUxk8AP6pYgrgjdop1JcnhgSZuQUn8S7gox Gn3JgojRjNZS34x53zALxMU9twFom6xJnkYmsjgYPiqE2oJ80n3tfXD3/AwovUbS/TU22+Fb/jCh 0vgSG2MorTPoItTX6jk80kJnyyohwnhh0ioUtsKl03JQrUYoif+lFvZaWHS/nAIZV0gf0xKQ3cHH ieGGi3eWbajf5bF6MfAcS5a5yLer9KybcGo9RXLn0At49430NO+u9KxClBAm2WDCXcZyj/gDqNU5 CCu7nTIfKi+81UWXq0h5sVwsWUkJtS6B5VNSvL4ZadiBboYq9GzFgyGq26eKoiB5em9BxwLQD/W2 GXKyutAgTJrT/bDDy/0oYkZwWnpnrh1DvwbwU7nLErz0cxjQjRKh3b0xFcFkqlYaOHl7K4/w6Tao 7N85LxNpZ6S0PBKUsjF3rkYnRGLv0rrhf/VkDLTiCTEoeabktO2FH5ZCpKbgXLddTgTADSbge/Gq Cjeit7OLMcqR/qLN+GhpUNMHuDHZ7RO/HE4DJxbQaYrrAZP184docvLDioouuOujtG4zmLnhYC1r /MbaAvTEfUgjW3XR9bCJHVJ5uULjhGKaOrYVCvkqU9JYW/MMrqwJM6YAZNKzUXe0AO5FmeuwVsUa 7CfCQyvttQ8KXamGBqQG6sNUwkyfFfGs2BBlPeJrAcWFj+64/nk4fMAa+0wTVhTDvqnYiUnV38QP JG5Szr6pt7sxHjXTsaQcVw3UuuH9Ea3QgPk6uvAqzakQ5pUMp/DQ/xc+S9PXLEx5ETOsGKJntGOO Xr8rktk/b1RQhDpL1ctwjFvNVg/lsunojn3dmBjj+bOXiSC6iegbeE7xAT5punAd8MxS7w6E3sGs yWGLT5sFs3ioqC1iX0el/LOnptWP1pNzV9SrPiZ/FOFv04Bg8vV+FQ0/whE210Ba73eHAYFybtK/ XeyyRRHvcponvsTXlrrOjUNcDy7rg/A768h+qGvNxc11/HJ8OvtSNKGVuKAuGXZo3jSOoCG6fL10 dIEGVdXfwxMH2wZazNU+7phAd8tsUMOrWPNUx2fNnkw4XGTl4w2+3Nuh4QcRbyu2aivo0K63+/1S ESW/g/6oHaP1NzLMss1SuqPrkbjUNyVQeztCSUq1QlZTrokwa7/upHuxaffU9BL3d87zDmuv97e+ egP6YYE2/S6uM05nNrq9fAvAwdMfYr1CKfnVAy1yYYl+s1ChZjSJKHt6LIf97aLTAGNJ+2Asyzd2 DgBQuYOjNiczYwjyLXZw6z/SISxoFcVT5UALE4UsC6BqwWNfLzgtdP4A6ysgLI+fr8FinmrQ7rCY pNxJx7m9xButuQYrBMeF1hQcckujXKW3tLi2x71+xe7ttvFPUH3JW0pgOPIniArgvBOjeIBwZM6D 6I55RH4vwyfAAEEEAKa1f9NO15UslfiKOYU2OW2CM+tYxI0T7WsCqLUHe6eOQDP82cbbTKTIECgK MZEOa/pR8GC9ygnfbHK76W+OFHJLhdxjNtPHpY/ERPT+0JRUuX1c8i/i55+JpUO8BzSgguAokSIs Y4QjIm/YCFWnfgSoufGmGNUWvTkrNvj7vF2KBKbf+vzUMFy+Sx6c7/rkU8pkEVzJTi0qGsA1IDmd qbxy/V1GH5YrEp6eVdGzTlqD/oBm9c9N0X6bXStOnsL7pJLYRRaVtRzOpRijhi383FeuOkyCRaL3 3pa4xWu2kIHre+YXk86OH9RfMnRBmPRV2/EZjVvtRPI60E6p7nM6KwzCIJ8rfjtUR0bCoPhLafms 9yB7c4XPoO1yqxhPIbCWjEPGZtAWbpH+umBl7cY9wlU+nMK83rKrHVRLroWxZEHdPu2cNlTVKscZ OSmX80d2URW9fMfbU8XfFIvvvsrR8jqe+Ka2yS7gAtPRgh2SimevCNNFfs8JSzua1hRoRULB5atJ eXDyIkina3nZc/FbLZVZrL/U1KhIjfNdetLRLiiJOKHvZOkYuhFneQaJ6tFieTIaR/8987xJtDgU ocGUNldJSyigrVCOqqktvbxMf6XfYcocygp+BQJnGTdayQFWrpXwiWijKekrrTQX2tCBnib1Lnaa gepLjLtP93h21IlUwA97z+GdYSQngLCtV3cmxrM/za6h3ZVKT/KwSOJsd3JMZ3izZZb1w9F/cB34 FwgO2OLpIRUXsIBSHbmD3Fo3BAdL+XMPmfE41f2drwL5Jkot4H7Jng0Dcgc63a5ZRWw65aIpUP7f ozlVUgpwAiqdpaKCplyaR5ro6/QxacD/U/NG+htnDLfUH31X4SJaupy94tA3TmOxAkcu/2fQqI+3 TJmUQtKBR4nVlDdLtcBBkXwv777WcuJoN2HKgZGfI2hXM9hStAuL7kp59lPDxr35Mrq2nonbYjiu dSH+5+4g/NbycEp1EirMjFRcP+jy3yEL6mYUoHub5se93a2It57pJh0EV0CHijINsX3HEm6LjzYL 93eWqVw2XvE4r+qoTsq/RfN5HsgVw0tD+XOsoWGpmxS+sNaJOoYa0JYQhL65+6RTphpuBJdEWdYn lr5MYEE1JW+kxmCGpXOUu/HaDVWeddH2COUL51B3bBB+JaifET8SIjyL7yzh07M0gSuVDi/Nd1Uc br0DI3ARkfjW36f3LMnn9YnxS8KLebeA5NCTX5b7u3TgtDExvNBOb9WyKLKEpbaQ9RsnOreeaA+g yYOc2CN4b6MRAzO7rOP7UnfIzejz+bNCLeFiuKQ5eNCMmUvMKzIMUl+HmYUvuKtPtYQEqLSTbtIx wqc/J3HJb+wblb4rASDq40I78XIB0ZSpttTsgVGjYei77OdQHPCfyXyO8PWr0QqSjAORQpFo+va2 VvKYoNJXmGWoBoJTU+7RtDzTszf0bV3jxkUgk7CDsuOMcG/U8jENCT+JyfWt01aqVGiVIuZZJKbH zG0rb0OBHHvytci8pPt0o0qGIySMU6aFhlLxpDt9/aa/F4NhUeWLQhZIeOfxRoM/8R4mu3LG8w19 d8ED9aAnSuYzvym9nAxYfSCxA9EJbbqoQcBpO2TCPj2VV7zHDG76gXx/xiYrbUu5aeMPBOxaMQHc rSY127HeINKJ4lDFf0ecHvPMbdRa3QazIS+U54OmXI4eedBmPlSXkFcTEArxeU0hp2t0Pot+74Hj r3GxkLafH7yZC7AvbCL7cCjEc8RZQCxXKS5OvNU43KMLLp2pwZGH2hL/siIvH2Cbgvs6nB+II6f3 5WR0PIFGclIjkjUxyvt7BXcrNYRNmcJMBfOWpyifT+CSI4tXTpO1iA2EozJ4behJ3mIQirUSdzI4 GGvlMpgEfWqAmYslgZpTeSxWbwfehDqM7Rg/dVyR/8S1/TO782CtFDFr31xy4yqzzpIR2Nphh/mU yI3YZnmP7xP6p58TvyS7W8Cyq/ADJin2TZAV27vnet2AtcWBQs+zwSpAiP/g8yR4uFU3s5Pk1R8r 2dS+cw6MZzpVeAvXd9XLJaWTGifSQklmWzao97yhRXOTIz/Yuhpv/5zk2RNU5kujWT/Pbr3F/+PK 2rax24BKPs4fcOJih+Me7Rxs2anLgkONpJELjhU3ykBnURmebhMttvXCQgYt9yv2PgQBXLvegkf5 77gW9GdG57UJBTDfNy8jIDX/dm2Lc+JjNqpykUvBjEDG7zxj9mJAMuVjPwGVdmOkLoAGbLoBz13U v5LM5Htas5vZMZbx3h8Xj0M6yEoW104PoljjF62z42SWkocBu9Wv04Gq44SDaLaLqcdUsJw17+2o Xryd+eHD5Xz0AADir/VQeX+fW1gjQJm9DYW1t7dRJxOzMdxT00JFgRyDguoIr3wz1MljXM7fIPnK Qn363nMAmtRZTnC5Td6CPm0w5U+CZp3apr/z5IAFrZYgH3bPoz+O5MRRYr0FK9uGKByhcPATN7if psxgxG9tOdDVnWHhGN6xV7fM2LXiz2eZKN9FiSMZ08LiQISVWAab7xbHtIgrqoRtxIIPUy5Vy6Q8 qFZ7RsdRh05fR5M7IKyYRNTXljE1TMPgSr9aZYEHvKZSu8KSR15kBwE1I7v1C06NHt32v59tCaWw 0/6uw0EidxTu2BXbbBMR4UwQ5/oy/oJil9l3gARKm6MwdEkByx3108pzj1YTJBoxhZpoG8aUkchp PhqnkJ/0jqHHn7w5cMNVTdaM5iIEvvmdZBJ5GE115F7kfE/2n+drIeVwb/djFsxmoabCMJXx9uPo zt5gEUaWlZMJuaPjXuyedL53j37N1ZJAYpMejbbK1zcuaI1HGcvLVbB3i+jH9/rFWbrXO45ZMZ3v ZWEhPFVeaWct5Igvd/OZV3e0cfJEtQykL3jhiapgN/oNsG54h6JP5lob4Dg+tPtSckctmO1sPU65 lrgYOPkdX6QYkmgEnX5jvYmpzjlkg7ioaOVMjuGpql3D99rTp/3mh+pinScN4CVF3NsXQjy37/ao rBV0DDIKk7fsB91Yxq6nDl4Pvd347Z11JgXe2C804+VKL1i6z3s1s2mf/lhgs4kjOqhG4qZYaRHv hLY1tGGuU/HgRoEhbi8czgSzMm9V8g85w6ifK+EZLeNkCKqMKCJDzKIcVRv8PdNW76FAd8lF2kQb dknDr/fXZleJb+U3UHmBBtUo4AmqPegGaNjaWe/F/2MEaVSNdoXF7JF59SDgPJ1eUpflDhfXuJo6 IediVRNOBGwIRleiHzwYFslEB3jKHnBbYr++pSscQMSLf5l54/j6LNW9jz9/tHadse04t/irFTEf 4OzAje4qHtB3ppmmkkUjgn3MCDFDtkgtGnRgnH6S+nwKgOyhrRXcZLRgwtYNKLPCJLeyxWZbJ+Ng jrGh6EsXsWSO9Mky0zYsEKagXBjSaMzVD13Tp6Vt5LyVBLbS5dE+kQ8tP3IJ62qZ1MLnEPWksb53 J1wVRZrGyyu/bpJyB+dOmvjzIUaG+uZEGwTt9UXzrSqj7ImeyvMTf1NFWCAcruslqxxwhnQPGom6 AZZT6tJCiKsZrn9lRJ8G/E4cq1J8x5EmRPZOJQzhKKAt+DCsL6HBaOhs05qj/KkqPjb67Q2sMtOJ WT98Ry0C2jg7VuzFSmNW76QafwAISe8/RMNQcza/wpP5r2F8+ovbpwERVimmj4NNt73mRLkQ63aN 3v+VllCa1IjeGWqKBVarF7FuqlaN6+rSFPahh46rtfFVACePXkz9376R7qYWcbl7S0wT1n/Gd2st sYQG+hn0sfMF6YV7MIQ+bjwTMiNY6dUv6DrMMxpDiCr24Kr42d0ddx1ZpADRNmUkm0t0VgoiTpSL cFvdG0yUbr+/CzQXRNxaCBb26ifAn3XFySnr0xWXDiJbwFxNvGVILuipvtoPFVuNCObIhGI+KYaH o2/mCJNPsZ/q8TAWOzX0E+FkRLhaAMu7m6mEjOtFfxacUyqCI0j5Avp2EiTY/pzMjjjAt1ranHRx tPxtZequoP4KurRsRBq0jBl+zGOsc+I8WoZVbhLk6GH0nRTTwWdzNCvoxUts5dA6dBw7/TGUuMz3 HAI8PSsQy+SCSZYiCUksPdroUJMSUTLKJO4kTrjslkzk/L3YrDOS4xE/vAF58ykB6y/AZOobmDVv 7z9JauyTid0GnVLxriWTOU2vUZRZmhdE0aQuPYvuZ7SsxZlkycJr7UmWWaflJiWPfHWzeif7PWc9 XqWpmaNyDI45tdKbGSvHapCOiMvPcTjged4sGASqa7zqQ3WVVINVZsMGGbG+vRdqblFEQiNwXm+s 7u9yiT+eOvKzHU1AZGZiC3DKyDm4g1qg/1nIIFKZqC8ceBq653KIir0FFBiat4mUFkuSElYXnTTT /pDYjtnalJJUXDQmiwC3TEzwOvK6TiAzYQoF64yCbY2GGUaoCEdMH8qSMYpRz6s6ZR2tWIhB9m3N sBUbbhu1sG00ERfAfe1x4rlJxQx5Mt4b9FxdRNAM08S7WSxN/FVbm/7TGgbuvbN9GPm2yxzHtHXH mRhyhe6h2hzC8Kcl1p7n3zArKwGC0C4eZA//5LlFFIayGRhHU7BHy88mfpeGB41hU9eQLoy3SZo5 UtBnXpeWDlWqgJIPLm1jola2plxfjPSd9Q7Yn7cx+ph7jhIysYBnqaW9rOgD3O5gM7S/AGJk+yMN KHMDVBjY99LR0/D7XFBqxygLkD9d2I4sJz2cWoHWQO5N+xGpYTuMNBGiu+oNKcXqwcRPgIvgzD6y 0Tgg8p2OfciFPcW3ZGhQ0vuoDrbyJRoiNLTPoY2uVRyQWJquTXVSCc2ngySD9QsKXwvomXDuiv6D 6CJQDoNzTLdfMVxT6MUSHmDuExeTd3bRDJo5RbL0obkOMN+I3RdHRKcBv95SQaXjw894qXQOx3h+ TG03YPkMdVrZ/cb/FxVAAQinqItQltLzEgpGtAWM1hUruwajr/mjOO3OhNbjrVWBYoDr09BAm0lR 48kjHZCqULKNnz2TiHLin1GK/yN/vo55ZZL7G7Z1gtlA2VBTqI6/TpT37Il26ZTgwjyx8NpI3tg2 bsnYDhCNgSZx+jVkpuynnFKrBncaSpL9D0EpU30MkvzulcD/LlQvt3Ha1mzfgkSsgiJ0Hw8Gm9Vb gmAV2OrIwG91/Xdo61DSGSvLw5pBM5iT/hlAPlHl6MdfhE0sgrCc2nStgFMcoMRlGLZC/Vk6aCay GPhaJnRRaIWYji8sA3M+q2J2Lj+/AQwVUsUO1nHsDIgBLAFck8JrFnwUSUydtKBCaIeQonrECmhO TxZnjSyqItmiatp34QXr3DA9BqoFJCibIOBT/O9FeZesQpae2hKN4N9REwT+bUU8+xeOAteq/Foo ywUzSNeYjF7B/Vs994zXW5inWXE9JcTkikaU16N919drcRjRPRHpCC1ZuQRLVNUDSxE3F6Aq5pgU Yy+7CwRDpipGe1WXHHgOUCWCU7sKcVv8Civ4B7+mWOtiwyuiI6KGKiuo+zNpBs1mRvFHoBMfkpjG u3ewpSxtqwCzSDimGpj5HonNeJjxG1/xzsAVIhw6mgNYowXy5igeTeRLMQmkhqAB7cJiaZ0U/uF3 Onporg5qBXAnwErl+f3iXPmIKDCHjblm7lFkdI2hqXma4liMsLhEmePO4Ks5hmXUMNk0SxBH18RE Qo8hcOHtx9L1avMl742msqhmZoUOVOgzUJm9AcMZxN0tTCDvhyWkl1hFB9+oPeq+qBD4r+359TRi igHnR6/HTO580zDHY9MBY69/e/rPzo7OMTTuZkLxiftQ4uRt3ZVdsILfIh1eLkWBNL7t3JppQyOy AIuALGEEIcG7/cKxi8MkJNtrxMrtsbzQghIHGN0BNibHdpHM+bNU9WzFFMiU5eUuDzmBpuJDC96p 4Eowp2kpO6piRo6ysPNdcuKE5fQJD4smm9IlzY/XE94LNBRYtYcsfB6nNUU3Mw3HUqdg+HKQl+jy JIns+1jzVsBLHnICNHMHjl0w5PJNOBO5kaE3Q7m9Ds/Ar6EOhe5Kl9dQRIagKS08vE8Trictmv0Z nnJTfVMnzDpa4g3S2sIm+H7ZBQhbYYAxTogDrMNPWiLqvFdFXu2xpWDKNM8rMiYgmck1+Jpk+Nc2 AgMgjMd51cLW05SK2o9TKEARsHeWF40AmQQGiG3rkfdJPVlh0Jyj2BHa+7SBsR8v0PCklplj3Hnj oQkBohABU4zFe77g9+FB731KFK7wpdDFJep2AQ1JIIMB4sBL4jkykQxxgJveG6gKFkvXKW8wLR8w y8DU8jAwENK/ec+giRwqWlMkrtdxdarW0JAoQDwGOsQmA+krIU3/FUizargigP1/6VfSL2CXEsh8 onLsdVHE3S01EI+IokcDVY/vOsQQ0TSoexRQ3zsKMSlelE0zoARCoBFrV67nI68tlaf6qqijS6aS eJ75MXR4kKS2f7SvP/H8nvH5RV8IVIOg5fylp1sL54DcEiZGt5PZAKM5BL6t8cWlM93AZisiOGiZ nEF3vI7/MxeRzqvrE1+IDPn2UxtDzv/0sjbzXTkgBTU8EdvWMRxD/6jcuH8gr5iE5DkASsZnYBrt HUrrWXp2SVJ7DE6P2AO1JvQrP2vKlsjjRTtzdj45GuDflkOvVGi0GkIguDhKN3R8TQtQQZhI55kN tDrQAWlXwpLqvXwViDQlR3SxPdYGpiJDA1VLlYUnwS1qJWHmv3jApxE7l/HOtzHf9YwIZbnxr/TA mi/zrORoSN+ju/CxX8F/ePjmWzSs6kmr9imv+sVvSMZpV2YLkkFhkg8L+zQciN0knjgKToXQ+jDL usUUPQaUzmUi2unOtTBtzq+LdGJfWXiVz+SsN5j+ExWfRzVJLvdFIkkoFbesppQ0Z3NpnCT8W7S7 oZvDRQxwjtHopdfP0cUe7t6IpcjiuLawKGtsBPR6hLH4Vy8ZiqByPAZPkZKR5VSYxmx5sYZ4RftD RbiyZLkXPy+YQRXdR3rw0fAa9lr2jayTAryMqD4fNtXkO08sGyTeFcB1gPSeHbc1Hy4zgobYYOYE g6G06qPkQRWuVLjtjBymVDcgZ5CA3B/aV5fpAaouflc9gYoQQOPeuT/fl/RuDz+8tc/Y6jgppeij 7DQpOPNvbl6IXL4QA9U3eQ7uwABvorbSJnlTfvbGoSkIsgwA8n6Ok7La7XU/uMHwMzgizaRWV3Ng mUrBJCus3vkOEpcPE5R2vVzPAEYLFEFU1hlSzILUlVOAVJ4YxFAMEdcXk1coPYYWKlGqcbqwNjVU XI3jyvsuKR6xqwRrGuvRzt+lfIHznlvqjxmDKnHGwIQG8kPpPjpq0fyQW7jtxtBrHSZEbgmFGAG/ YwoVeLGQqfezPWo2Neib4g7m9xzx3HsOdbG+97edTrDi8Vf+9GQk/H7bHG6fekTdRebF2DhyNIbT +eelNrx6XURcWjheWoJ48pJF3cnDLzAj3DqSyC5H/5OnJJPyXW72aQC6h2/SX+itKmM/i5/bx3gj dEjHlASw2y9NjYIi3AM5Ce9kzaSLbwinDVnPCAGD+pXtKM5J5Q/6ELvEuWBQPrDBoqr4RGvdtTbj fkw2V8Fzyel+4btiWhK77HULnpCtpQmpqc195kb2SBl+euWm3kg2EI5j1vbXXe2an/W16wWt/oQT nciDhs2dWmIVBkalm/GGAdbmefVsGefh8+vKG17AXqBQBvyZrXVKiWmNgSEcl5HHZscZfJfw1qfG w9QGUWvYZbWRk8XWIB/UKl9nrOitcENJYIif4kcJk0XrN+IPlntaGyg6vS6UiNOIa3F/XHAGkIdc ha6gS8Y3YDhb15IKZxOVCmmfpo1J3V4X8adnMNDCBg5CEmGK7AdeAzAUqbLOX2kXMYWImA/xKY8S yxQxcJSYlcpIih135nKfztoiSf4gKwjnmuAjk7FgHx7Q4yGNG8xGQymRn0vhrUsE8rq99BKRGHYk wUiimWAT6G30SmzUazkUuKQ4TqfEFNtiGFhk1HckKeWutqm+55YYjPnnhNXhr/2fhUSlYxn3/0G/ jVZhkXPEwFr7PkO6t55O2El25oYEX+b/jElMwTYFEvsL8PbFV/fgkj6QFRFOZimp30mHHcJ0T+zB zga8NPONWRqNAw6eEyCcTaCU1ReP5OZPOk/anYfguuAQdUyXv5m4Pp3QvIAk8/X97aHCedmqXvuR P7htw4Z0XHEso7LeOjmcqPjCd1RjMU6IKv2drZx2FErqDa/sUqnoM+5Ub81Uth1Dhg75BhW+qlUJ zvluBMPZuVYhAL9CdsvzzxPslUeA76f5njBQMM3Vfmp5/QIGtKHSNazLWzCQJ121JzUE53IqcqvH AlR/49LnqCosURssXolUEalvzcSy8bnrZwu0qCKaw8MhFthaDLb9n/J9xmzKXRZuA9wRYmjQQXJe Zr5jEYD8YuT43bWeMscaVsKk9YfeZldwrqacJIR4ZKFiJoI0yb73e1Cf/8sBQIlAwGwZwdWkTBgi nbyDaK+o+ddkiekrbAVsf4aLSz+Fbgfa+2LTu5sDTffuILF8zRdXIRFqRrtYkYp69EV+lsXTc87x w+ovuzk2hHS/zJg2hcYO/arKBTfTe+sdfBZXp/EXsADziui+HobWOb7Hfmmb1Whr6xM5rjxQRtQf OwlL7exnEHuA/IqFrYaOAWWSN3EIymIOuyIC4uCXjq5T9CZno1Nz1wFIRMgunu+TGfyOTL1jNF50 Nk4gXwPEWgQnrEQElURCE2137fLRGBtv/OHASfWfkxU4vf8Is955ne6rZffkz9RAMS+ZUW/Mi9FS /k9dmYnRlGHiLrGTnLOjooBB2tygE07EhdWWeFKV8SSnl4lu5ryRzjrLXTnbytSxXGloVU5WYFxI +8V/YKPAuyvFVQtWaR26lMoqbi3TZbrzwARa+GRnGcPtAbO4+RQMEaWDzWTf5zMOZSL6PiW08x0X Wb4Dg9CLY3SpLJAYhXEHV9619jeQV3ZPDclKSFv0p0I5c2efnI2IDu1pc7I3YO97cAXM29+PqgvK sRkAHbH8JzHObv/qpiD/ntth/xXn6Ps0/XS2clTceZIBOTU+yeGlCP8saZPbsQ2jvyikg2LvoLpn b/TiNmeAOH44G116qvcMbh0vQ7SSCnjHIV7imz2EWT3RjiG1BbUpaVb+HyGYQcTFGC9OisuR0Yom TCmCDHlZDWfbLEKpz9fTi9S1piFAvYen76LDmUtqDNyH6Ow6DMaiw8F/1PA6drhBtAVLnC6ts52D Ek0miryeEomCTA7Dcx+ZD319kv3azAqA/Dsh49Os7WdaT1M7SF+bSBjYs5e4yffAnz44qNiJ2W1w vYbvQCc8tI1F/lYNcL27veQ3U9yme+OwpILi9Skkp2No5+ihon6k7iJTJEgcSi5z05D9bnNHFIpr 6PJeDikfcU28sBjRSSxl+bWhR1HzqqRQQVG58RJ8nVmQfVJqXfncNNfNVyqxhXAG307JbvimhA6Q lOvFxxWyO1Nx5nHJolwZb8BJTekowQf0KrGJ2sdqKm9qawKaNKp+27Dbp+b9OSGUN/AnJ7LNuqY4 M5dUAijI66XRNNJBvsRd9BD+8AZvWtOVE3fAkJ8BQi0m0sPkYIbogt098DUSbQhBWulSkSlb2kbH GtX0exFgTycRIDhEWBn2zmj75C2z8iDg0wvLArLgPHGkOnfgLUvpWZ3vi+9LbArXsvyJA64Qh8j2 9k+MOluIwXLDMJi2rBmINVZ5V5V4Kbfhwbz0518IzVeaRGUoB9PP82tYacw5x5/R6MkOysxK1fYb YTuKhiJH5kTLnkUnW/gBH6jDFxiUWWk+uSkvf4N2AorzTdvAZw/iu4TkV6Q1PjDGZ0lg+25rRRax R4X5rhotFxkOxZLj70hz/wkR1yHdnbc4puYYaf0Of4cdPlzkHJfK1T1JvN0H/q3fI6Q4K36ilrVR uPcOTjR9jKzPp0JW3v0alWuynJIYo9+YFzAu3+SejdgF1rhYY/TRcTrFo3eFZXD6LGPZCXCFm36V /7NDckxecokFqur8i8C9zs+6RXedhNEJw5yqcA6lbo2WiuIhNWxqY2k+kYxI6cdRgXDz6gTXlG9Q sEmv0xI9hK7NxSzqWjH52G3/fJEMdEQv6a/OhTJZFUY0PwAsiyQoUqxp3baO23mOKf7KFXIuMuUS 8UyTunF6UXAzYOpKjAXpNlpz6UgiWC85/bbz4eQf1xnlXws+KHfHJ92vMIz1UNviAhXM1ZOUKn4N zSnGl4UD/e28dKHU3T/25rZVK1L70ltpXdoU+Sfe9PUYcoXiBg6HmztYgc19e+ekGm+IgjKS/Ujj SdIALMWAgAD6aUeVyklUeHj5/ueR73iOr7z2sssy7MptBaXwznPYk0OMAFNjQltEJum1pKpmpToK 3OBd5yZ5rdRU/+jgf3UV/00P5RM30NWqC3kvFd0rVQr6dg+At656y9bzavv7WHIfGLScmZOBov1n Vw8HTDIY6m9Nl837xTD5+OAi3b4gte2OLFfcvKgbw9D08KydLjYhu2QaJFdZ2DqQ8fGczgHfiZ9w DWv8X1va9qlCBPHXYJjCvTB8xWzbmEpRkALZBIGixG/xVsfJddGNq0Ano3Y3q9kqFD6sN3w5jyQo DZn6YAIugSAxri+uG5byHhcKX8rjvBJysn3rL6pN2VgEiGBdRSRPP3UldRiKe+UhpFYTdyowwi/o AXtyyjzdMb80S4E5/jgonwwWNsWrTRJspZuIdXCIj3r4TSvBSudVfmKX5RezP2GJI8Y9Ig+hONpl EHYk8KBKBaaHdSNeKpzVdl7Z24MVT39IxFLjgCvyqtUJRrWCt7zWfdJHhSPg/FFTP0endZamK+C/ XY//dxswfIKEII+1GtxdyWA1pA6EKuMUVRWqwGSqWGJOKtP4cgMVIjKrJWgKNmAM0Eoa/VjJ+JpN VGsOlA5N5eftT1/Ga7jBHwSwUpj69x2dN9TEJ98XtmwAtINxg1V6CKPkWTnDnCkj0Zu1RQtrnl4K B2ar/xqEzB+Rul4wfhgE4ZqS2tfxMSbEFQKAcPy5BMIkAMhJ33HQfXdTTVcMVpxFdL9MPfRSqzOR UIJP12CIC4TJX6rektBlYF2wfrsS9UPjNf9iYxbff8ZF6vYDE75q2AgpJrxjQrWXrdW5+DsKU7nj 9jH53gbPflovLNjDDNzGPgqiFomBMCYgARG4CBZOL/9tFomn7nLFy23hzToczxauKA+73Cg776HR Rz1cNVYekUG5h0u6TQ/m7q2Keau4iSBN6i+Vv96jc14DIwVhfQ8foFVfpvY64Q1EWTh8St64h6VG u/d7AjeszfOoUaSIoqkTFLP+yU506ifmSY4t4iHfbHTHsfL5skIQFkYHEbordfrIUVJxY5gTrnFj R2ADl59qMJ84/jk0dS3mQUD8bDganj5jVH+rwEkPNbdW1kIW85Xve/3ukakGxWD/1zYLY2r3PzZx Y+lb2oCXX38lMwlSwHEJNZ31xqIFFOAFV1q1oOiaprx5Y2lXQ1DWpiStl0S4B/WfjrcjGvyZZQak FrkewVl3L1FMvr4FoH3yQnVBEQdIz2h/jqFJevd1WeMPrSRNwyuDrx3e0bjQP1XNVjzIc9C5Q1H5 Y62CA38bGkC04Y0SBCKW/EsewN+gF9LKlEeQL1E7tD1pHh/TcF4jp/Juw+NKSp/7BXQ38YZMhTGa Y2IvyzqrBapEQruHgSqJ3W+P+sV6gYdbpMJ6WdNwOcWBC0D5iphSkQlz8oBIecAcd8KHXlq4ipxB 4KLGe8babOjQg+RGxHjXLyN9E/QZDAtyPK1fGLznqJIdng4xt3RqrSMDqsS8IRIqTTN/M0xsLoHg WrX0uCpyrg9+G3Qu1Cc3mTFRZFE+A/CWW75yIHD2gSbIlx0B9swWId8RL1VC+Gmz24rJmVNiEiQL gRnfZIjmzyLQGgC/jKChCny0I6PggxOEU4M+3PsoupPaFaYLS5q137MzQDL75bOY92DNWqaCEnZO lRQpk6BXwZJ3bt6i36GllRpCUAdMO6dgOAdRHKxp/7eg0MvUD1AF64b9MXpE+1UYL/GxcBRxO6om leGDsL800re0PFvgXfKpwhPAGTP7OJG/wVK2hnw/wtK0/Uk9jO46PvYDzKZ1Tv1xmAAC6eSYqgJ2 UM22PqCwZBcG5CklvNEwYJOSvzkUrMrXlzCXnkOKDWL69YYt+smJTbjt/AgDGbMp3Pa1cQ+PzS8C C6sj0aZagjtilGMuHoF5PejRBiXDwkNVS/iPm1Ui6a/wdqtlC+orwZpqwAaxS6OQCZ/j94IeHKUk EMWe6jFlxDwlkVtQZbsqMdmTUTk7WAwYVldeu8jRR654490Ww+EkGUSzdys6eqPqs6+XoaS5S0iB 3XgSwvUwoCpGL/ZbmLHzzrzmMYDWE9xBZNM+fWw+SFDwg+xA3Cc9Imc1cnFovgoq0L5CeKwCcyMm t3VAyYKmZQOLwU9kGXlA3tL5zJs5QKz4jsVhSh476ZLnIGWYS20nulS5sqmPcdDyVAXBdac8A7s/ /ozTOh4dOYeamWuRvlQgHYkirUcNWYa4JdRc6+lPdChrXib5nnZFjYLW5P6jXnDNvy551LaVw0Bk dDOrURqlRRsLP13HjSB7zIsUbSc2OEqGdLHVfdVUULQ9F96C7m/OpO9I1pBg3HleDwPFwOyQaqPA VINa2MX0dclCCa4YWrXpDycDffHdr2vJO4fwDQkrDSX+VyWJWkVwd9ABzNypjWiaXRsRriwAglCg 2gU4ZdVJUWHnJ7t0eTwc31VBC9zWySPL6m9yvnFRVuP6SnJ4cWjx+ixLebQSwsWQi8Ou2Biv7zgr 7PBljpk4a1FJsoXKYediMjsOyuqU7C9NIqgr9S+Fz5cfiOyafi/Zgp/iLOw0ljF7FhdKUGQ6aeoK QhcfB98iRnRaur/qIH4Q4UY7HmclL9302W/gJpPgOi8fplyNelQa2LA1YsQPtP2uEQiACyrGORKl Z3BLYUhaJ5fGPoCBCKrwqhAIF9AnQKe/lPIQ4hntfZCL1aQ3PDNdkfQBg6Pw9/dKMlJWdyh25GGe EZ3Rwa438bCL/v5dNlG/2YGFhMFeiUhy56PVcPwtX33tAsdEeEDe91aba8NReDuaO/DYdTNGkhNa c764Fd/futxah2UalOYZIGJ0SeJ/QTR5yOitVvKyE0KRj5p7LD434ycnlPXylUXIXGd4uHgHfKqB lA8Nxm8LseE+eE6c34EZta24KXfb4ixLGio8T5UtUFSK67M/WJpLAcSW5ZHyhjtXanyGs8vUvvd2 CVtl6I4ZY38OHhW6NgyBsLwPvzix4RVjj2YhNQpB23J2H+MzVFxFyjwDJYE+OG5kyEfMfAZdqjLg 6VWzeKZehXlpQu7I4HvQJW/xPv1cmFjEoBFma1wZp+3278XnMkM7jw3JkfPLOwSv47WOHe2rPw9V 9jYs9qIjRKPzm3iFDoRRJ+4gk9cq7p2zEP4PGBPQ7Rfd1akJRtYv3VVgur+kEoz1TQU6W2WSR9Gc y8TJeOKZN5t8GDsPT91E9414PZeBupYp+exs/A7SxdNCOGgrEcNZgOGbg77GMmf/3G9IcKqelUlf tcZfLrV0JPCyPVbMOG7L6DO6/rci468C0H49zRAM++tF/P9GGcI0ZEvhYO3KAHRqYwxw0N+24SMA TDVYoPgIF7/uwEVD6SXNQpuJ1U+tlGU9Z+OMywOQe+BObyZuxyaeLA7CjAgdMkX45UY23M8Keme5 Y4y9RqjdLT+A1e4cKxrbpZw2t5koucz1FrraZqT1ZmuxWXCdsJ2ADbo9sAfAszKM/SnzGhpS91Bj q0Jv0Oiy26Mgf7qwLaEgKnj4mUOeqAbVW9E78ESnPwFcGaKM5Q+SNydGxwAw1REQ0/O3r75T6/Q5 kjlvN+3sVQuS4yvcfUHFXDB/487XOxlD0GOOLh5eqYzB/BWnqdfbGLx5nCbIyQ255imkB6+SigAx vbLfu5BtUAMy4Fxrzl2m3YdqMRoBhkpSAnIozv7Vf2ZHlphHbi+6DY8AuyQbAZM6YWH9Y0b0ltGk 0tsEZpWuCZnCz/xMUvNPlUeNxjC5gIDYJ3GRnBR68as6r88MrR3/1q5797NHnV18FwlpkbE9XIJu ZpW8G1IdiAfHpBUp4eDcyerE41fxLujlYIBh9hffYYxyYyMxrYkcVmqtpM5E0YJ/ZJjMn0xiNXtu VfAx9kThqUVbvHE4aT5NaJNoSBbJbW2qgEs3Z26NAoS+WGOTW5qvfCcWMbb612GBDNke/F4wH+lX /+NPRX+T/GhWtZzFAHJApSb860xIvf0dpq+GGrb1dSGEZekh9cpa+avZ6B0pHsN9RYTfrMRQhy6p ikmXAf7lhqU4Qbw1E2RmiUVGihmHua4vX6hyjbw2Ops/G31Vn4BWWLD8OkJ+UnQXag9820xApZZA Wuc0adB+pi+1sU9A2JkjDgO33vLFKZ9lf337eqHS5nUSfBhGO+hAzT3rAdeDzd4oDviOubo9ZimG 7f9PJIYBgApDuuWO5MUMifcnTUugCFqNYMOCWSDJsCbuaYHPD1uG16/maE0pymlyYVNt6KiQvQjv l1rRkLsHwUkupnR6RRzDFm0flS1LJfuXJJRNBPK+Vg7u8q2nEUyqQ1pltrcVkx35mlW03ohuv+PR /KFGg++FO+clY/MQazQ8dWgYuTUjZ7/zp2Bm85iC/9MTNkBg7/a8aR0BXKtn51gJDzvw3aWHfivB K345huxDkGxug00GKRXSkwsMBYQA4SWlM1B+wDqIYZp7bhub9VZlXbhvqDLsV7/J5nbIHdkZ1D4D AowS5ys6924qlrNDdcbdOfXWyUPNIuu+ffeX+zCNL1W6PdLLMIQFCPYwvuAZ+E59WUf6JQKpqEii 1rc8vDOGr1kf3lt/8u1LY+eMKd2f3YVdslvJvCtlh9z3a4Lg4upcVfsjV2bHcpBEGS+V7Cz2cusj RDFKA8AV6xa7dKbNPNJJzS1regeesjPG2uufHtTckrm5ZDw/i3u8tX06B+Zh5pomL2N+BC2Qe2mY bzikee+ygx1ZiIkhso5G/yw8jhr/xFNlCyBM+29ivvRTWvA7gfIEETp/OzkmvXPUoXo6UM5LX8gA /KRIujXwC/p0adASX6054VoQfCH55ZQImLJZZa9MHORCX41To5c3ZbxDX95+aCqaM4GK2TZNAsAj 8bOrNkjGE1yir30pCs1DmFIqBiAsqKrdKJhMcJxf/qpgKB80CV9ZFSXciQvAOa9lZIROtMEXErCZ ryYlFdU3qZbHhVMeZfp+4DmWMOYInyVHCQeUObItLX2oe6TJ3eOSDQk96sq6ZOMDZlJ6x9KAsbfT yyOvRTgygVpzFr10vIUayo1VPADHQpfII5DP7nUxmdEsnNbgWdvPPv2QZh8iRxGc0eSZKlDOefVz TcJ8zPS6Y76FXh8EDAP9wxWPomfjdoYQLdzL3OdgzOXSXyjfpUp++FgEekWpMltrVYlofV2vtNNM pv+zrN60Aq3t0gLeFj6Otfxc8Grj6qGTZh2YtKpgXvEK4XTF6sOYcqw5d4DeOBmtX7IyjJN+VlhE E6RY1q0DPDBiIhr4tbHp54qZJMlPcAZNLsAY4eoDiZn7SFi0+d4XZeLXAYccwh9xkDLzHtbNxylE wbD0fmegAxZz/BEWRe/Y9uWhkVIhWCKr9Mt4aXLUo+TCLEzKvMnnW1gKaiXdVxEKE/IjDQ3B23dg SdGbbF2is66UDd/DJfKzHmMMia9gAexSyMaL5IQXISuXfc7wdh5kxU26QmCW3eH32oNxVBRcsMSP u8n2MOxykB1+eD5AdmGDmGN82IAZn6o/7sD0Nrom6pV/mp8Ca+B8DYdQIc1tqH1yG6mBRYI4774u uXpteX3EMJEPWow2Eahmf7Zgchitm6LIKXXuzQGNYNnC0TfpWXOwmqeYaDW+tiAyhK/WIEGqANvN bQwLvwanffe9R8+sgxD3RZ59OU1u5SEkLDwam1QkOJ7wafi9NFM26GcZs4ZXZcVC+zQInIvTPKgC InYIqZo8KogsTv92kv+O1axr0TVC2tcb8hxYYYxopOdTZQBAb5ITY2hLYsYhj7aQJae8AZmeHW6h Tdd8CLSxoO5JkaCJc7ZqGK7m6aht1dqHd4eudetnLPESNKXLGRZ6WXHojGg+PiF0HE7S/gOzFOH1 hqRtKc2zCPdQVrCIQ3FUMYFqq5Y0KqU8pAoirEm3xIpsMjJqUYPDOcxhLZ2uhxjM0yqr8/yaqyYc e+/q0bt29ZQHDBQkqE/HVRCd+biUYJZC5pPJ6mv6cqj4YOoCvNwoQNRjMT5x2syJwhMjCr4tHqJ/ rEfT6v/Pe4m9V2QEADg/tiwuOFcQRhNiRzHRX3ojlv68zTOTD3m5Go4EWwFyIuzgDoz9ul1EvxUu KYV+wgT46dwV81cm7QNxFxS6AwsQGB1Auj4CL+mrn3IRe/BqI9sBkXKNmICHMq17QK4bX+cV+xss q9MBxntw5gu4qmnKo7yMG4bEi1Sc1OMdimBriobixkSj0dvQfUSHSzaVUR0S0RcSzX4gj7I59S7U x5rAHiCQ1DN+O5iDSx5B2QySRVKr7uvFR3etTB2Sr4HDinFDAq6sNGglKjJpzsL9sZ1UD6OtOnUV 7Q9/5TQ8AGpqCToVoF9SeairAGj9DPRyOC/k1g5nxlRa+/BgfPXXEyxHW7HZAOPgnTpFHV/rFNPT 7uYQSArJYz7hIYf/JgBPSfkaDcBYnyTg5+3z5UcQVn9WUYYwdPVH6766QUeja3DmWhb2Jkmum974 fBnpeDVyOoGCA+LUyjMiElknb3btUJ6uQLIHAkHuSFBOYFUGX3H5hJQ7HT+0OwEoGl4LYWuj+L/p z55P+SqvY7RIidVQpTrcOFtJMxiW/r3LdCCQ1tRlpxoiG9V4eir6vM+sKHjFV+U3KjjfyHlKUHQB NAWxR3q5Ip76opkrjDBBK/A0aERf0zF41iJNqkhv3Dz78yZ6yS6PGbYOjfWFzYRw6sIhz7qaMfR7 aYw/GtwVtb5Fu6uFShMgIV8PDcFct04N5/v1aBixS5Vf9KVW5KKbEC4Sr1VZD05KL9nPwQXnXAsd xh+SvhWZLELDQuLJgrmbQJ1/89JSRgM90bm/CyNdK2dIPSuy/9hSdbMzoZOLjnnbj2bXO14pIpbw 19sfME6EgB4MuiCU6PaGhVH71LfV2BldYs5dGF+xjNzStFTCMjxgTzqMmmHw7040zVIpktvqd69i ru8YtzHFxgpKQtB2/2a8E0JO1qWiLx6CnzHTraL3Su2BM2Be1O6w90qW5/TAUdZYuXgefzFuF9pO NwNQnc0Zvo3I6qws8RfY/pM1o5SlKkVyY2rrP1RMHNg+uKELGhIV9q4rJV02ZVmjCaVdFBg7FXmq NWbqgS2PVHLzMVCeQwTKd4ejWCnbI6LhVVMcj17U52v3uiiwU8ZGygbu3cdu8Xya0urRwPUqL1RH WgJTTZEcA7IS5OmKAEc/LihY4UAtqok7g2csF8BN4TcKixviNXZwwFpUtdROlXLaoH8TZq5eH2LS PIghGIyDoh6xTItMTzlvlD+nB7XQo82RIZQi25lY4kySAKmyeYkC/7289yoxoggrHwxnr4F2zTNb IlK/KVx8J3kC+znzzpf0hLQJapAj90d9tFNPkVR0Uf3z6KNmml7H4jsmCZsBYoj4lqQcQhx5BiUQ aoNcEMB8ni05IMFZdd/OmT9otaFHPij5kKvo620Do7zoEKc6Bhd96y/mODWGlzsZ9RPpsQK4SE14 a5gMaIZMRBYaHQSVy+vUknaztQRwfTtGtXdu+oGFZMJsLhJsgMbe7w7az42PgaL5RN0cIUJW6+ZD y5P4KTCOQ+QAlok0nB90l8MeoDLbRLp03AfFpXcl5F8oPj54skmcr+bUesEXj+9vGjd7CqViK59l 1zcgP+Z3eg6S2Ov8T3GEAcPgCL3bbi8h3keOkyHZ7+zGQSWvLeEmNan5HT0CRueCNQ8sX8Md66Au yfIxOhQ/IOraxSHQqNl0LNyP6sWJ+LV20AYJJP3AKVxlQ+oZBFyBqNd1886bjg7Vv4sqJipkZFA4 espztuew/h4akP+I8xDtwR0kNHbm7R40UGFudLjjXyss0OQ1v5LCATfRAOe16G2jvfoN+fqeJuIW TmjraC8z8K2DtmkrvP12M/yE8gcGnZ4MS3JcGbmySat7a4qXum1LvBufLp06dXQzQXhxPRFFN2Iw TQ2++Q/WuPBlnhdEusPcnzvN/WUd13jwXMR43GLrCu/ZDx9dydCCfDj+wrpzZtRZyo2EFTIoIHSu 4PIqAP9C8e4OZk9j0dPbZ829fw8xN5qX/ehX6difNOw3v1RMvCZ7+71IYF04F2/IaQEcAenUoU3y nzpgtl6ayO9wYzNEbUSoJkxxaX/NWaJZkCuKzq+ofLZXfqJw9ZJ8bn1G+VdhzPM9NsMm+eJ8Nmwd BgDEKDNtJR5P1l8O02yd2GIGQ6KCLI3eART4VxgGOGtzjDLUsBVz1L12eSj5VtFOcuPLsZTXqd3U rMM7OoaQqt2qeE7UdiTqkGlgecknh45KDuDO9RFtaQIiAupEgKkpD2ZXbvygNie/CILJTz49f05Z i+UttW1GDuMtdhdfj0s3/6fgNOXtsN2YyF+T/jWAw0/jn21lQLL1L0HJVcjyI50JyA1eY5LwFmS5 h/kTj/jtCvEGnHZbvB+hCm4XOj4cIJhhOqn6gmOUqvkeb77O/K7fztol3+vFilpBJGni2NeqOnDm qRgTuNiPbs9YAK0ho037KTmBpR6GxdwcNHQs5RizTwoBMtX9PGbyO261cEXA/OkIBWN1M/QKQpET aExLTRHXMx1psPIF4qbgXFS6OmEqAI7hl4dFzbFihIN3Pi+8y0dQUrvcprvcTXbRo3cNMlhwDj0O 47D3WN+Ckjf9uI6n5BbzoKIKCj2y0wr54r+zSyUnXTA9HvgM6v/RBLFbA3kVMhzJlMZANQx+zTpD E1Ujrtdot97iYRNP3397EaoIs8iQfbVJEoQfXYee4FNDpnNZkh9kZPxiN4HOkil39yehFIEoyn38 TQqHgZpN+fXJI/td9U6ssn8t43RiddtfiePVDupNdnQRwcZkALtGzxbcDBwehUqGEmijjFIaO1V3 s8jXrRshUD/Uus3JQzQNsRo0e5nDjVcgfbVDAiFOgsQ7gWFQAeBjfc4jw2dh15dDmg2EvSYVd2Wn JtozgGV0AHVYFxR6/Vn0gapMSp29U5j/rmXLSgp0sH3VQIUc/uM3g6BKyo7DEHfknscxUvqdOrpf fHae6rQvzhQNAXoXXHmoAekzemBuUjQddcWfX4UpFTsg/4WYx+1+plVA8Y/wSynoRrEXDD3wZfFF mAd9lHx694wl0yQMGvSyF+Pai+On9yKu1UAFKeG2B5UKdjC99XLFcG6XIMY5rAQc0/+HabUP9qds qMBhNPHE/7bBa0TMY6YhqQOZKc7JACmQ/KcKmVk81niJvbLUW+s2qzr/tjmJwV1RV65SNUkgLWFT 4h7pe5kKoeAPjvGyTNvckEg7FrEcNfZg2m022bfjqEwPnoCkccseNDCNMTxl5RzueApXesVXWz2I OsyLloTXERx0Da+Dl96waXAwHpQJTavprT+BUsb0iXUhDpMWE5ilga/LBskgV8JyxwS/MsNLibfP FGSnwDxPUpNwK4l4fof7Pl9nyPPw/yYXrbJu3icas+BKaVCAwCvWOVluv9SpvgnsfLXny6dUmCak 3DV8hBIyypjRscRWPqxQjnWtR17jwflc4nv9hDKqEo+hLbu2TUqs3/kJeqxvSWecHU5G5XJU4wNu FqRDFLzQtADZebzUPUoRcyMLnOiQduBm0DObzjfVetZ4XP1xna8uKP498pozVqmXavVu8VdR6X2z bTDLQPiiUnURYk74mkIx/rleoncWyIWd53OYS4AdPOzNP2hpfL+e/TTR5m7ozJMsbzeU+q63Do/u VgMfCeQc6CHZHXrLflLHEzwekfZs++XmBwUOELktjVScCcZ0hMWAQGL1NSXVqHpfX+rFNXgXmRz8 kIhXGU3gpx2msu8c/+rNPMMiMt9q6nL7nLtKiKezstJbXt8xBgc/t1Jc4N87twu2AjkfawItswrU g+hMriPqr9xXhj9jS1ZmlmDcg+Fp1gce9RCXT8ZKbVIDEe5rI9zx32syx6tyKvAdHAZDepYA1Fn6 5190ODoDKKZx1mcHUW9G987wf4tiYNdHi/NauI8DniEljhRULgj2OV/iEt4AMNdXBp2ZK705z81x 9AV4FCu6y7XHZG09AGnu6FBg7V4y7SWXUskoMYiyV8rw58MvQX5SsqU7IlbmPibz+AKZ88p+/Mz8 zG462/qR7Y1N1VJxkxLMAdMdYe3o53qhaYPl3QDuRWMor6vg0vjpxh8rL3y+hkNLzpoLsv+w16wy OsFMeVomJPQgBIPyGaUkrTsLVl6qLtm79rBVTp4Ac5ut/dnEeH2gH02ikGbDSr6OCv5RyIt1TQwW QU4zAp4n4wa7q9HMidmr+TzA/rMrp6Q3UwGx8qZB6M/KOaBHWpzG8yxNybASWhVyJ/D/YuADcyTi tRtyeEYyg8szplIK4ltfPkNVkOlKZgWEWUv+t4ISLa4aGZsNgfQ0wd0XnAhm2gIURn/p+rNkJjKa P3u1lG776MDIm75aILFVEZZAcbgOoENaNnBdDHnZvJYjf4SsFAZPshp+FiwvPYYZfJtW7Nx2QsB2 KDmYp9eimPZxtfygldi66k58pjFWMY5IEVHcOsu4BHTPvOezKdAXI8K2caI4xHmwuyV8p+q//zCs cQqpmPH0oSBUTlJuvOmK4LfrFkTp44RaQEK7u2w+iYQXxhkE9W02g7fpQjVuGeuorQ02IM8aKQyb GYvhdYcpGKVTmLFhYP3IA4PUNhY+yshFtvPWe0mmLhMVVMHA30pNl5lBwwqwbZBLERLVDuZbE/aL qSbFk4xJM+Y71kxVUEX1BuE69Mgf3mCQ3s9gTvHrG0grsOU7Aes6sA61NMsXRIE33W8CeKtLr1a+ GM/13N1ufKp2mkPGoLEFHYc2IJRBR/tIA4D9pVtY5cM6fQZEbWtMBbXV1A4BurUgF0C0clxubp3f oUZ+k4bQnzN/5onjQgLwairocdMWcr4VlWZy2qJUxivBYcptH7P2fmwf3VKwpsPfd32vBYK5MkoC nZ0trpZ+wnPqTtRzveInrepY00L9sBvgHdZ2opjUWZbHHn7biWai0tIixxkTTAEUgV/SZVu9sXOU lF3e8mOTqZYCn4p/GvRWlPTbm5me0igmCehdUaLnnEV/CZ9ae9uxow6rK+JoRPhfFQOOhYOl0cOs O75kbPg+o2JiYKqslTEndSGAUHZi7jjW0BEH61Z3gPu21/xKLj8nXHlRYcn2FcNEPUkuPpzRsgrg NgU2lAYGrt9HwJLTL799HdFzwvw+7bH7ucdu4qq8sjYHe6uhd1TXRuc2CbocoPzb5IQ06s3xtpbd HFZ1wVF2hSueBkVbIMoMWTRY/LezyXoUETKaTch0rabQ3fdRr4PQYtAWt4FX2/jBSI/E+i1ANU9o gzyHwCLtElA6fUMtvBcYiDAsY14D8ZIOMGBT4hKdVUTBgSqSNAVgVrIFBdXn9G9VN9VInT9+Gma1 fEl0o3pnLSac7F8Eo8rQLvm+mfpSiWNsvjnO2qulqsT0vG4MSm3N78zz9i4QbRptykuuKKQRbYs1 BOt25HPYz+dZm+rKol4crQjGc7shN3Gk+b59+CeB9sw23lR8Pk5ABXi7mr+c8VnWdK0Jo4oA6V9T Zaq58Y7f084/+v1kyLoMjZvqZSJ7quzqqtR7Hih9KLNXqs3a7WRWCP5lQyU6HOAl86c+aP6MAXsH qfjstVFNaXuqD/P2G6Ed5BP6vonVpUvle+R7hLoGuVmdyMYF1m97zfBN6rW9Vw1EIesRclA93XAa E4c5f5UAYYYEAxeQUdq7oF6clQow9IBzU9L+EVERxFQWpSn4wu/9U5HEn0n/GgGSfEpX0Q4Tet2o BAVY9SDmrXXQluRvdt/dDnwMafxzV3EusL/vvgnQ6YFGxIyZn+0H4ctsAGOE73yCZvOpCF13XW29 hX+zxbWTMdKj/FIDkiueJTvhdiCi8WUHpTW/MBjPfZ72u8Fbl+ETfMd1hVnBKcQX7H002m3L7Wfj Uej7sW4SEXWTkMz1VhqQSbwwi3DkRSVImRgxFnA+KzgnC8VbOR2Rqo39eOCKQLF4M+/Bma8V94Vz EvTjidLwZu/NRyLzlNl6dqlpW8qREwM3LJas8gzp9xFxnmC5Hu8Rb0K6BS57Fg9VUgI0aICrzLhz ebw9v6gOBQ9EJuDWskeY7CrLzTfJxADOn8cm8gwQnv/syKPPn1EZAk4iR4WAHVfxeBQTnEHMqlxn nUErX/aNhdl9vJbY+qkhMNiME/QlZkGkH1Vg+Y2we8plTeXU6J1nbc3RYqMo1rb7VJggRWnJwLfI auAU8ZiR/bkI8jKReLkVhSxgx+6QC8WER2IKC7nF4DUQD0o3h/XkniITvnP6f69Uel7AzVeiDxE8 3u3i/s9tR7+jj4IPTb7EiCaCsTcCq02XoNyURuk8kUmsLAEE0yYiJOohOCh8qVcR2zFlfr7skEXk nKRcsbI80zxFZW/+SvrJHAczM0guZiHc/GT0ISUB/pgJ12Me83eqFJOcRR5vLw/xuOZfoegK199x NBXWm2yzHA474c6sGPcSdLs0ud+yXtGl3MnttTLmhCPthZgBde7IoNEZbxGedZMIc2w78FQsUT1O 5eUTQqHkRm7VsnmJ3/EuhNGyemqWbm+Gj+SLHMkcJc22iAEaNlLQ52OgdhkSyegRTLsklGoSSzYf fBz/q4KCdeMtko0TCRPBATgJt1L/ATOsbTrOZO7MMroOzF0uISysGPVMBgZpx2LC0ob6opauenXF iqan7/pOK9z+FBaeb8LJUSKjF6t21UIhJtRTyW4QVW5pK+Gg3v4NJHfXGw4rH26ifhsfw4DtYzzf escasD++cN7h25oC/5tARb5yf8FpkUAcnvvVgeq0ZYzZJzvNCULkDmmvz857X+8psodFqEGTBYxz bOj0cRsxkucXtJKrWyqtXHjoOEnAzO3ruMazrp8MSVq4aFITAJBvksd3C4GrWq9glSnR85sZwAU5 /hqgd46b7FlaMAhocjtoydeGhwhw5dnNYvyY1aAi/5OjiG97JXTqPftD2xPfE++2EUXFKH91Xov3 wKi5HhGSPml3ZKQb++YunNnWxr5P+F4PMWEgorkSGfy7jE0X56muQHT74LhBm7NroBo99GKYQ6Qf XV3g4flc58aWidiZIsppqVMZKu61LZ/F2xHjkmWJRQCrtN1tVMzkgXcMPaUth9YZvL1HjfCYVFBR yvZXzbtp2y59U+jjS7yIjSs8LJQMw4xN9MnhCoD4Kgff8nC2/WaTsMAB7XssegbqftI0vTMY0N0R v9zKbtczG0sLM+ITf/GjCiLjSR5p2KMpgc8j6k7gr/FWfRrISxvWegOlslchnvrylm9gypo7PkP5 5nu2nhWcf8FgqlcD2TeBlJD9tjLqrV6+s1Hp3+3/1cZZhmVPG4FkI4jmSCR2tUhNkMwhSFlMYHAf uuekOZGffxubOqNBaTOLgSeXudp6m6P0J+orLUBqOtPZI1t7DkpSFOIrqyi3ns65t+HFb3pJEzEY YEmAXMgW4sPwXm0L4FQSd6wwtlE0iI5kaiItM2E9eXHOV3gHgrND3SF2Mw0KSeX4n7e/7YgJnLDw 0zLIEH+RflcgcwZDvhDeq6vK5cMvBORDavkr+1QqPMa5s+6MJTMdcLo9yQyHFvC8EYR2UG9tb0LS u0mYZU7+rDSjpCIdRtI9Pzr43Eac6gTA9BpqixD9q4ref+AfcKTt25nC/e19OJoNci27apAtVRw7 Cxha2JX48+9Mqrjjb/lBBBE2W1pUqRdpH0RoT1tPJ9LijRcoUshRYry6JBpUzI9z6/cGu+GuuSQJ G8o+KU/JpGjFqiovicj0sJJebxFL/Wq+H7WdmDsbdOKaHoGDBVS24GZRs4CQhwoA9sKOzPi0uXiR jtYMXk94JXz0D6OSnHvGXKkX0IAM7/VIGLib1lrPR9YxCE4oz1ryT9sj/ORETQN7dBO+RvklNnep P4VHlA77rhpk2fS5FBmiGuorebaxy2OK9KMfMprVqgA85q8cbS7z4/K/laUGkFthQ4eK2DP0HZDg 3+14DiJSmxFVSl+AWsen41WqRlUydWfpt8BZw/b1CTX0sY9QZy+xeoUbSFH+zSpJ345QFi3cl/p4 z4QBxjirHgp5k8QCj/LyaUDcmNN6vA+chlMg7ZwffjXHsjkGIrQdkIQhlphMcrP8kMZBEwnOaiVa 4KX+IRJ4PLUQ5+9omsL3EHn3ib2EfnD1gBkrh3jD4p8Se6JBAxRhvvgdJ0fvUqPXZqEWmcM4tcbq wMsYrk29WXplxaGoXH0ANQofbimTxIGQYXiaVVUxWyJd1ysFqe5EVNduNzJcr/nWlkt4xdSIfLtV d8AQYIvltKrxywvsga19bjjJcAiF5SjiQ+wRx0FAn5UpzyQL7a0J+mlfZ91JYrg+eluZ90ScEOCl 5fjTg304T6yVA7QMNa53OC+ETzYOqpThKJKZhq66lX2kDrCAjWMG9C6LJJergyNjLcY8R8P/w8CC 0LaN/Gx6Mk2PxZVL8ddxiUliE0Y5o8JJKSAoV5RS1eGOmrmoC1BD9DoRXdN//9DwoPWvWq2tqC8b iRG/PLl622gKNmzP+dc9a86D7hKrsNMxe14XGRb6OqTnU7S6h7dh8Jtlcbu9BenL5lgQKBbsTH4V oyTiqTO9xKLMuZqgRyzetpT61up8eFZCOJUwx14Y5r/HHsOjB4TB5+ZCBg32aMf/TWH57IVijS3w kfMoxcM89O5YPYDK7+cDdYmcAmBwl+dk8DNmdCZTreK8lGM5CNQO/la9PNPSWvjgYh4NkCAbVX1h LaqzEGo5fPFOqoV4rOnqewX7g5lslNX0IlpXeVa8EL1l21yfso+UTry4C+eycZEnAijAfJ/5tyb/ 6E9VLn4B11hZCCRjPmbWDuCL67pxdVanT2yL8bQYoyNYgHgru0EAJmH7gLwI/inW0bGMNCpXue/3 KSb43nfARZfUN0DBjuz91NMIZ3sX6R0mtcQ44N7vgl168+DqHb+ODohDX73o42s9A9bzOFz+kBnt PNQz4JJ/VVFQvprKyl/p6ggGRhR+9hPW9cY/LZ3pd/vudV6doc2qBwH+mq5KzOkvu372Cwj/Q1bi ZORTjd4ewbGd2JUkB53xXifluDGa2dgFSYpEfOgcOdJ6uNHUi5AWjM7x+yzK84sYZyBg1nYIiWjY et4nZU0flGC6U/KiYcfqrw8Me3E1V2cglnIpZdixmCagBxfCKdLLp6lY0IMHR9YtrQ/teG7QZ83R c/fmUo2hH/2w34/2LWxDqrME5F7QHeiHpBZDmo8FWN3qbzO53TiJ8tKbPqfc96SR+vMMnb9GpjY0 gg4o+I5hcBlLT5+Ctob8yFEoEMWOLTQqdIYG87QPUu9tGZYT4QUc/6wv9FdSOyH5xq3QJHja1Ive xaTqmMYDVwfqpxpGF00robOKQw7jVQhC39ujvSaRW7t7SrzGnLvs8kq2YmUpOEFfYXQWBFziZuS0 Xt+NNzrnXsG45rV2ONREOtatOWluo3HABZPoUz/ZD7aRSoptzdaX8PNXy9+8+Xe1KwoPmsnhu+11 nXa/Wa7wLHHHfoyu+v0PK5asjxZZuXQqJClPmdE/uDc9ZFXkAjcQs4bHq80Ud2ML1p7lcuq8jwgj +Ma8qNHS7XIg2gys2lCU0v6ZHgrYjmSEk2E26y34qyjwJtbKCwg2XHn5/3GM+edK7L1h2YLsijet MjxTe3ozGwBNZ7BT9+mzjXBV0bQXIItBLR7IwhdqI4DLlqZTdfOoKB9xsI+DPhP27cbgaIKjPpVd cC4L2W93OvBlN80K2SOuP2cda347scDGLU2oOxwWPQ+jp/mDWSlhcHdUjM4XpaNUlzDe+bmzK3OW +xwgxCDRZz/qY7j0OpJm2bSaOE5rMol8DTzMEjAcnHE9kvFNzmMTmTvYIfH5Ai7Dz/vBeQ6BWERY aVVrW4eSSlYCsRlyntN3oeOW28juOpqiUBM8bmrYGLpvWG4bpkN8UU0klwDIygan0fe0r39Eq+X0 Cow3l/12tF+DBCIxdnBaFU0q5qZf1SGpoHeJ1Q/Az0lNzkZPtACyX4OQM66ARlVimREK2YNenzXw 5VQCJ87Zutc+Y2rLyl/K0B3YSbH6bJ280VvnDxCerX/e5aCj+6YQs1vC+bki3Qs7WZCAEKcvsAvP kRngQUsqaNgoNJePNDWE4iSzVn+UIFvLsBifORPWFORQ8X7WV8P1vvyRTZPeNvq4JujZ8z7QBwCp r8bbKVUSCts4RtwxT0+viCcYyKm0qs8a1HnxQ80fz+s8WaMrHEr54L4rUIJVmwM+85rSxC2ARQMn TZARStLymkV/YZ9zPaoNHze9SNiQ1WQSaNEu4nNADKgDSvlW8FqVG/KKRtF5ABNoQLe0lYEZuNJD m33Sl2CijBWX9TIObxtUt5siEo7bkCMlw49L/2gyUFD7KOPiU+y7EhEcBP9rYT8sU2UBf31MOBkv dAXcWffUVYemR+NhFQVFikP9AcEXb6fhbcWVs1JDuf4I+ouY7g0IO6nS3hmAgMDd2xwsHi+USMIA cWkUjZQU88ZpN7/9Xd5NHRLj0Yf1v9bElHnLwsNrZPDdI0pGNMrtoLmuXlShhKEO7lXoE6sSggEV 2knRReHCgZ1LqH2pBjxUwnfLC8SXMd+uuOKnDsDo5h3w0u+lWpfTyGhHgvx/86NCML+HAHF9jmtY mVrQf81Fsm4l7FLdo2WeGFxHqwBUYw+QY75/0Cct9hN7xAxHQ1IsMMox1CscYsthk4/K9VGMR/cJ lesgb7xD8o9n014Y1osGcs6AafKjvPveHRSBHhwMnzRN5QO+DKxkpO8j8hEuqFvMDPZLc9GTQ5g3 KQvkoCPipvNOljHg9uknIc+In/Ws0I9WrzHuHwIxf5QRgXchbWo9UtSrMRDOk9TFdt7e4Y4N/wUV 7j7XoOLSRXErGy4d5MzQ1UhHeXe1eHrXhx7ixyj0Q3es52gPkG9cscNXSwtExPz3sLCrctZXxEPQ WOY9ahvoPovbWYnBrrkpAEyk7ZYIrHleF7drCSkKWf9+ODZtf9+GIUIWGomdQQYDg7CEVugHzfky YIM0pSnS7e6KUTCsBcfi9OrPNgRS6McUaa0ulTwnFxm7dhDA2DTQMiL/tsZxd4BaXYd8iHUAsgE2 WIMQIkq7yukpjg163lwtyIXp8TPEHC68dEbE+X+S82T60u+TYtR/ovUK8zyT3QyecuAmD9RJhA2K FvM/BSjqYWvxqqyloO+hxq6RfNtAAo/W/FI6ZOWfBgm5SvQrJPR1wd4rRutWjKpn59u7wZ5g0uUF ObzfgAV9N6LJNuSGgdrnT5yR2sDaPKDU+vYPS69qe3hpkw9XXxmo+eFKBu2LFAdf8HaiPRAtX2qo /6qh8oybKIhGvyfL9JIDT1JMiTvBMePZEE+2MJwD97R/iTf8qrtG+iQETVG02s1wCLGV8ciKockn jNNyjLCEa8Vv9Vm3Yd59oJtbWKAScsDJ5CHQdK0wmXCnK+qvXZqy2zOImpPEB9pbIgLb60B2ut3m zAERSR4PqXI3pysoe1+WnR1DJt0kXVbQ4sggbiD5ernQftbZYieWONuCd3t/ZSnHiSlIoRuNQNpn S+jELr/Mo+Z1Dfu43cXkLg4xqTEzzKc6Ie1mmC82ZwEnWB5VEMg3iZrt6WjVy8mpW8sj/JB72dKj sDkazMqmAROr/WAzrV+1yC9MLBzXPNMQo0SH8MEkYxNp8UMMBDjUmWAvGQ19E3eVFzHAXRGakDmc Mi9pwFRb1tF+IzdbmkD/kVU5t88eHblnFYMMX87jzd93ArlzU3pzmxWuaG517LYaeuQJlJd1Qw1c f5BTGSWYRPirgVzA3xxRll8XtI1M/Ioy97V+tqm+P1ADY1uiXHPgNBMZMmvIvsQ9HpyM5IYzi563 YvPBae6VUBuJJDtfF3/Er6yRWJQiE4PKSAoKRMn3ZfRnI9DlGKaGaimC1XhUSlkbJ5OQKL7hQFRI dWCnfMe6nJFFMKummBu0kyV1MuPMGF4QG5xkFw+7OMn6CNuNIdINyS11DR4dWsezoj7JfQ4tr7wq XgyTjaNyDfPClnYyMVquKLwRMx6ROduwjYlH9OcTRlU1ti8P+ZeXPFiNjiXEoYou94YIwzb6V07y fwV9xZWIni2OKky4vco8l7H/mK7/7vTR1NWSsdieHVICD+ng1nXWcgaf/KE2asrJOSQdpaHBCPWQ iHeVL8GdwusdV8LodB6cPqYYuayxdsyjUSpm5kOPto0asPpM7g9WtAcCZqMBD7j+QtVXBdfj1qCS XbRXTIHAiyqpCa6DbR1dm4XamTFJr70wh2znnfdUFSKcdvafb7VYW7GWIRhIpKafhoVks4e+IDkM c/s4GQuaPC36blkGhsu3VBtqwekcZzhM95jgzgZaB7efbhilh1S0uSmm1nXHQGtLeUpTUP74fysl BQhWxQ2jnsJ/9ZlTyIql1n4WcOh6mxFp9FL3qgoJBdC8QvRusaZELl6Kqj05o2c0GEEq2lzsJm4R hJlOJGl48+iFgXGeaRo3mtrnlBzaDAR9DrAjj3+I5au1VFCqNQ8JCP+JrZMFspVxx6KonKGZubrN bNKAs38htCp584eYDv82HvYFfO55dYcCxh9mQkuuDdVgGPX2v71dBCR+Bub6tOcDENHUhjbkuRon KkmoMKQbEx8H/J3DSaPwWnQ8kw6ubIeEwUWGNnjYxG76JfwkZ+iNYNtoe5A2t2Uex6UJX9zCzre4 OiYq0+5UukPPYlCG76zrh+fHvYOZwkJeYfgwa1BoB5yBup3PR11Cy/sadBG049tJ9k8e5zMLh12S VZP9oQbPc8XNhTFFNc7TT3v4aoJdWcdjThleq6KgUH+cNalBezlix2kNaUCqOubRIgnYl4nB/j7y T79XCbLmGaYhi3oFBkRor3ztDjcdhZcsAGhDIMgDEbe0qoU5Sv2JnOPI/TFCwoY/8IEHN4AK1aiy XfApo7ZTpLdDTPLgDPnpY6YtDUeGdq4M397o0qTlhr6qsDD5SBw6voMvA64Su4ss0ZIbTCs4dHPO vzltg0iPFEhjkQqw8OL3ck3r9v/D/4vtaxc7Q4yPbV3lXFm+YFuYRPfyHOC9ihw0GxjrJfRGpD3G hCrelyLSP/kuhRA89y5r95WxlO1idxpEkYTjE7hiTi6i5Ns2efyBiHk9YAfoVCWDODLcs7dJLuuC LN/RxnobaHfmt5r6onkCKR/AdGozmdE9DmGjpqV9VppBzRoIEwImlSPLbRd5NGBq/w8JvzTqhHDm hKRV5LrdDtUayyFENqAajqVw8do0prGS2ufmxEkDPaYVxlU+dQMnZDmM18h6IW2pnJUlKn6lfVeh 8W7X2jQ8mBDa8StPBkIzW0cPlXcUPo42u6yIZal4X0tJYARzCceAwwrLApHMQzL7SfiEoAnQjH1V dBZgj41gmhyWzs34aW6DwzTtw2w5EYOi1pMAcv6+LboqVfAz9wyS1HOaGMfUy9fqKiHxiA6N3Pcp Zq60ThwKmUtUzfgR3bj+aGaw7Su0q1pP9dInuZOrsbaJGnJkWMi8zyeSQpKPtO5XZw5vO1vRoK2E 2WiaabKV0BPBbR5yG5aOD1sQaHiKb6YecChTZ284YtqJw36MpckKQpimn3GpoCo65YYRxWKjxQFw ixUyQo/qYePO2NxZaz3xurPJy/I4qVu+KLe4gPyVpmDijz1Jr45osUNeHdSuGZhuutJ9BBBe2Cpq tEBBidu1sAUgNbiQ9DkyBT3/wJ41/9RCgBOhUPY+z1cqgsC7gAx8ALLZ/srRbsBknrcLkYDSkrRl syrmPdccrItScZrbi7oONeioyXQmlqDo0sYo8KtJLGl7nRVHM9JLuMlOFr+vbm1I8DfcwNqI1ISd J71Bpj72dgPI5mfFpSjHl37lK58PZOzptM73aXdlg5DFLkzWm2U+cF/tog6vW/05Rh31Cs6xtzuZ OT5C3D4ZwMxsusAARXpQ0fmI7x26D9JuW3S/3qTeWdzTy9M2BSUAqa/nMYBY0t9WvLcYiBJuMjvE SS7H8zSAJgzJa1YPEhB7c6MG+ccxdr7nQBcLNkR4sSazHz9nV0NZ9GFeFKJuq9nhKecMMjrfCsH8 F3trZn2g1n2zb59p7BoJPzHZ2C5wU62YjqsBSnafpsmxV8teZ2GNDZSPgc8S6/e8fJJZ/B+Te5Rc SGRRjM4awvTB1dHBpGsQgPntvvdWtZ3BOQwNZrKfEBNoLYY2V++GrNMk4p7SBEE1erFftX1/skPt ljQsIUdwXupL2uOS4hVusCECw4o0AbhhGk77alNIpBV1TCyrpiFFgsUDoU5wJHVTtvQ/ggGplPrj 8D618K2C7iBw6V2q+MJ5Ji72ypk3lM9s/O/bICGo/Wbp8yV59h62xP7Ts6S4u2k5jPyb9DVj5JoL dqmUC66Q+uK7IOgrP2CP34rBqweSx68r5gEQXd09NaxHUYxXa1ZSJJ7yVzGZMfrMUWZmQLoZdRL+ segSYsVF5T3/vJ0YaPyBE4JkGqKANbm1bXHYKOTyFL9SxqhY/Brx53ruRZw7AxnlQ6ZyvNvtveww WTIOGv3C4xHWmhNy1asR0NcE7aMYZnNq8mrSWYnc5fyAjJw7uFKM+k48xJ/enG06x+bEEgW+Pxt8 ngCPMXKHmFTFSSZimB8xWc1yby7hGQbTkKeoZXMScxptlCLbXRB5WHCO4lLsk1Z/EOALezXqejTm Z99MUsXVkfA0mczS/BhVUlJmTHKbzVBy0N9RybCem1aK1pRfubUF29svYXrwB2qN+soeeyP2vK7a X9+d77uhONIE9/+IdxLq9piORvP8xW7if/gaOsbR/Bx03aRknApuFqkLVnBQ11wXV7/zCjOA1ztf Pm3v6eoyEluf8OOipcQSCO+zbbuS9kj6cQJFzF4n4thS/EbgCO5GOv9B6knKHwmyMjOpdABYmcAl ORzxKsVMEP5bFJWHZD3lhuqZ2aWkN/VoaUmk5uhEQ5ln35FhB/8k24kTKwpjxLKWKoeGX/6rzLAN VREZXZHmEMgCcCLwla0l8D3udrFz3+8DXjU6yqVctUB6cYFrrNTy/exSFOf+ltPvK2rRmMS7F7jK 6eIwL4RfmHOTQBo0wUQYEF2TNKNtSnXlgJhzeH//+CJagounRAonViuzQmTZ8hnEWajXWWt0n6CV m9DleoRvzFGSfEC8+L5KGTF9uMMEWYtPtpNP9uISM7E0Wuxk28z8AX6cAc8Bht2omH0M2O+oMMnP mNVM74431pN2lAPjXb+DaO4e4yPuLh9UPLAQoyYuaxbys27Ah44JcyeM9ic8LYITP6PQBkEtB1iK zQtiNxBNKk0iAI1aVjSxkM+ZdLucKTuPCuvTtdBhSCrRdiUtAV98LqVTUELvyBc9+P4CUQea8++g 58Om1TYGFzGJBGXI5X4ALVlHb5gCts8A6qbXOTbFNjelWNjLDqzLwNimNuEcIItcKXitydKfn/MR pNdxyf17WphiJu3O6+Htk03UtjH67TkQh6TWAdRgiZRwYUiKgiYPA2O9OmvbKtaB35xI0e2EVbzc D4mj7Wf7YP8gY1YlsamngICLFc0hvHq1rTtkdsyaKsp4mhIiA684wmmcQ8nxjUU8IWz56CDJA8bK JWe9WOKpx33Cj4Te82q15nbwjqp/gsXGjphNKh9sJn51tWtSGcsenLzwqOaQi+97fC+yHkxgeJzv qr/w39he0LQu2uGIC7V2AjFRSCEPTNskSZAUER378pyR0d+zPn3FnHKcUtwaOqBHSG7WblTxRz/9 HJHzfe/oCW1WnYnJgKqed67/zs2BVraKORl5468opOR8RRmv5SBdS0Frp/SBs25e1TGaZ/8gEgQJ UH7Heeag399MP4MPzE8QwjmpdaDkJVN4/uswKft0+s6JVm1fu+vSUUUfBqhk1PFWC9p5Yz81CqFd 4Js/JH6+JoexAsbFDE4y9f6qB7f9/D6piXEFvGO60ZS5Cei4fEPV7gMz985IVOQKgZOIVi2t9FKd Tf8xZIxx0ZZrLm+l69g4VDjghXRQkZ123pKSsU+cP5hTeQUoIIsNg9euV0nbccivDk6NW3rehOH6 4iD8vEBT1KV4vM+XnwilRigJalrOaKWKiK3FzLDxgObSUc8GoweEyCFzTkavSLE58MWs94JG5eUQ uEmIx/NRuzWqScU2LimxBaWB8bUT3Prtpih2hhfdFr4LBU58gnbUQU3PB54vvPucTPo6vKjLcwwL tbnDpN1wUZ96p76DnsiuCk636fyq6GgbktqDyIjAIFqGQz9uH0p2QREBtgrCD+wXu8oAhH69EQeL frvkq12oKFpskSr6o24//4KO1+odShJVed6RrPhL3jsFhCnFhTZnxfxT0j9/5slQQeaqQ9Dvrhc4 Pf/WV5sfkX8PXRPhq8v7OXqw+Fs03C/SKT/KyeGM0BL1WKSBwOQqiTaODgtwl7Tr3hZ9Wh3e88D6 h9AbTajLQ2/wXhMDI48RlhzDmuXNhhMkdf+gQw6iwv98o6ItMdxbX8MlG353ImLPp32res7OdtLW cZcxaoe9rq0K+4Uexvii5LIs6wIzxsJaIrBgdHrQymCLjaWcHPi9FRE+sP6P03EBZCW6EMQAk6w0 Xplt4DbcGS7sI9b1ddx7AftjfJGpIuViQfzQEvZ/V2piG8aCIlFsRQ1h6NHmhjHB0mvy3D1QpBWK j/+ycXr/ReqQDD9EKbAKKzmpVr87M+M1rezT0zLvlhn5rCcAoot308vJWLazaRxOzpWlAipsu6ho H4ni63oiBYnZCtQQGJPvd6ff7GPkHqU85VARA/cAj1OYVY4IKhiT3OKgpX2+D/DN8trKcrEXM/jl LBZktI1jqGlG/MhpuHwAktoSw90UdD9C4bWCjpSjTfWSfK997BvX26UmroxrPuuTzuyW4dd6WorE epijRXIEZLI/+t/uZl6/k3SZEiMLIlM7RSSEv6owL1xg4F0gaE/Uq2ueW+HWrUZwU0n+HJERTrjZ wu91vtMS4Rvyqlbu6p3c7sS5r+pN4aViX1kg7k7otWjcjmVC8LcSMziRYHxi33cPCw8PsR3lhCNC bcCPSSVTHGFdsutYCveWGWn7i/2VdwN4puMvVfZjrZvp+Re6niBtyvSyGUTeIe5+xz43cq+2RWWj ygLuU/xlgJY00ci4nSXTz3A8//nwPMHaC8H4wYs7YKoUi03rTeVq9zAoEURTyNAOy7lCMFpsua7i QTrOq0jihg1tLc7AefBc/hsUXB+h9Rz57VUvuAryXN0FHbRrwshhONLwFZ9Z9jaMC6/aGqlhCE4y MGYr1D0o2SzzVSODQGHZ8cZaqWctkV8IDwBKwTplEwH98sKuLNfSPxD12/ITTNrsAO2tpGhcQ4to cKddXui5QznUR4plgdq2yW4WXNUHHGldrQPc2o8HUlEb/L5lewJKDcGNbDVHvu1RZl9f3yU6lnyQ 116dxs0z2bqn1DQLFlau4CaTtypPMQ4gZKM/ubG5HBr0bubJf57lDaPZvdan8EprEq3kgcLx30qj Lrj9IGbxd2pb+SsyV/GDoUVwLsQ/hUWdsbzLioUs1pdVee0iu3M4hdBsJCokg5iqc1HhPL81hE9Z rnatpt+lYS45ZkZNDUW/R+ayiUEtrA1ULkO3PJo7nSayp6/wxmJ3qy4pgD84XKfXS1F0IVnm/UXf cCAXwHiaYW+qa0kImqfuqmJsDQDIvVu0aa4NAkFXK+Ezlw/FKI+znbQBgdnkVovJhYlJ29zzTvuI vVJ1xG41vRNJryXD5A3K2RhoZhgTzS4kO2us4Eo4fowkW7YO26q++XHjcyc6VRKIUvr98VP2kHhW +KgKmMLUmblDxXOlkZ6CUp+Ht0l+qUwXihRvVU+YPV6p54kg4SMweFcfZO0Su1dHGh8ENanHZV6N ySxQ/h+3zCA+Fv5xjJ6cF1LnFRFqI32WnD65Vb+pN8aVrLhpsp2Z0StkQYc9jUNGksw/C8WwufPC FZLNifDjmF7nY1TljpjJ8f7m4LgoPQsdc0sz7tMf2xO6o81sfr1708P4gNWs20d1m0CVTzTDl3GN kcUrpAq6oxzv6Wm3GZGE4xWV3AAN2E3dAdhpN43q14PP0dbWzoVLNMw0mZO5zsBlUN1y8OdSPJ1O QQI93ubLnHZX79B85WQ9T/nwllngF5kSBDVz0T0xPLjUUI1TSxmp1oPoVjcqIRRjNcSGKDQzn4Y/ g2Oz5TKYH91kfw9kBFSk4ttDTToiIqIj5nWKpyiz8N7hcwnpA/gHU7H0dYsCdGyC8uPnPhXTBA91 TFEFH1T/jL2dxYdgGYe+Ib0Wh93RrOBG+zDv/VAFhipEEgRBo3SK4WYNNjHpEhekeYRMWYuEXEti wuBTiS8x/C7etKYFKom9Ht7CQpox6pKE+5+els8SP0s5Wa3UK5pwtxLCGrToRNj66lz9FcU2vghy hKLLWO+3ivhKe1ePTb6FVsf8gCgJ5YTt46kR7dMKEHrxDepkIFgTr55+oqKXLqZABGH3P27ha/qi p+afaclT9HevVWLtLMX96faTmgbl7uu8E/MIW8us6FVkvAKzwtDs+5ZVeHOBd0h0meCUYPYPuUIb sSymz1enO2/q6Br3PLk77sZb9pc+uY47P6rIZNr1bHfrwb94ZTsxYf2jLLlMxPL//++STQaIW2MD L3eLH0vK398I4I3zkdU/JWSCuvQIr+Mx4VQOJ62WhVNwhy7j1wtldlTqz4wyvdiqcI0zu74HWE6t gVuZvk60NwqPdElDABZmG0Uqpr+Geto/s9toqScXfcmlndN4I7QBBZoYL2gbEhvcb1MEHTPPJZnm Et69TsHXBSbpgotfUuKz25fkPchhJgL2LJ5TkV4SWSXlsVA2lLehGXE+OzUIzgP4CndMdWcJ9P4u ECvo5C4OKycTZzCarjz+G5dav7EGkYnRxo8T3izhae1uTrwnBVVW07eSvHuhfHBTUFZ1Q5gEIcK2 i/LhDsWNVcIUVrvidPE8WxZdEyVSNE/JKGIZtSPP5Ab6RO67ekqsNy3yD50wexttzY68JIqTceBF MGh3/Y8Mj1X/6J7xUB6omkoCmAgYwhoZoLEwvngf3692LWSqGFaE2N0sO5kvRAFSdFIjPJVcSjdM 2SNM1PMa6oi3z/iFoladpJm65IMBZ6qSuQtXxWWMrFxBuKjjGA0kNcxKR5XQrJJV8TKVYNImaTaq nd6GTl3ixNu1GBuEloRu9ggV/lpZks2Li3RX4PYVHy9kWUa5pQZpXH+HwrnAfDG/QV97hUpvdEv9 cgdgfMrhwF9ag4n2344O2YzMUjdiHHnDgRHw7fIj8JLzf4s78FVzKusk4E8q6iy302SJuUecW0Iw ADLE3qyPsAb962lMe1wWyyAocHreIRXBbgBC/lctfB1UVQ6joQKSdnwx/MJ39eZwgtDKtf/Wbvc7 9CAoSK+Sw2yR52txVX6rWguEMlX+StfwQnLMdmMBvwdVAa/XmKY1KuWUojKyey+7Py3KuNvD/+V8 uvjzzekLTG7NrRBEj6MtpVXlU4Adg1sSt7h3gaIGSUzS00jF6k+XG682rZQ5D+kEa2rtBjcP/TCc nQasyCcWpHC7h3ejmAVgLmzee7NBvBbdmsmTxgqrAAK1Zsm+bG77RdwdJHY2/A43qTdYCzB3B9oX LaTas1rRbT7IA2jgkSSOXbrkCfQWLux6TYfPwLtxBUSblpDi6ViD9apwvGDsUiOiZqQZWKaUXcT4 pETXwNzH3UrvlfT96EtpQ/dhad8icDiALlgn0qwyIIbjy0uJzzuiB7I2bLmSbf2QRDGN6FGeJ9B1 qd74FfejN5NtmWjBC5kPySfTNMQVCzPIjufz0fYR5LW1UKiFFG25KKKAaKnJk4kns5sMkgOyaqhf TI9Hrv05Z1DmCfrn6QsNpOLfPBIeugjAFypHef2ZFlCCbJxtAdqJlvFTURlfNY5IqX5/9BzwZOdR e9VnitNllzSBxoCfbpZCcfqVGMmvN0rahhw/1UHfcUJyR1YDxQ5fPLyK7DQJi9Kkx57WNQ/BAJ+H jwEIahBxgLLOTYE6nD7BYRajWq9w1cJ27vm1QJpTITV2OTJ8I3UI9MAhaONIX1XkfztAQOHlfeaY gI4nEDZOvrPubPkWPjmTRVGHBWtYz/+9VdpsCEf+nCWm9uY7aRvrXn5ARsF8UMR6MBnalW+9yz4K 0GuLPGJJyHUToTddBPYhR5YoO19nKX8ngCIV74JG2v2VF4KUAyx9duqPpnTQyqngsG+vxPYt/nCz 8HjXHaG5Fs8kLm9C+N8snd07VRrG+bublRSl395A2GYs5WM0vZVK5jDYvLNUHUKKxAJQh1Z+jsHq 3rPk7Fc7sJSGIBjn3VwJwfdAOLbmIsAmPadpUEFASCVzhPRx8SEQjwjbjlbFE9RVPPyJaDuzcXzZ eoP08buqFy8zJupRK1NJKA3MwZb35kTZNIEeUdJgXGKE5Zuz3q4yQaQ1/46NgNqkceW7NFqO98dF v/opHLqYmUbpT011Df3FCkdPTl46Goq1xkDmcpyzmrnkccNpFh2PO3hDNAtmCP8WTEmG/20X5oIf ACvLWHP2Qs0PcZiQrAN4dpXU0JjF64LqeKSNJs+/eX3SuMXOgb3ZePWM9CqSljnGForcHga8MQ4N 5iDqwD0lxVVKedoEIy3KFEEWnhsK1ZtOnXa7eXR2C16cc9w7qMRqh+q21RsRd4q3KTMnWCUNzki+ iZ0KNJk+VMmjbgu/5SgqAXxF2QqZu1yQhk32Of2aEthgrP50eFgSDn/G0+odTAtyFU1+VSFk6lHD GsUj2QitQBU6Ztv6MUJwdeFy0RMVmKaqE9Z1oALl5MgOcgAFQI3PCO7rCQJcPguv0h7cluTUPV1V f3rPA2A0lCsVHIic1gelJrJ/evw+ZspOtQnnRkg6EBpDObM1YXwVzO8uhpRu8qANNQDFIOdOy5Vi TMbA1raILvIxhVjr/in3i9gr2PFFKFgwC3lcOAxgAvTpPgiXrXwcXpDLmr8goWn/I4MNnhBGctu6 XzRJLkcJ2m6UjyGD6zfyDiRAl6dbuahMWJV7ydvHEa1Xug2X363RJM3VNY8RPrZK3NokCpCpd5jO AIKu+McQKv5N8fzp4haBbH6PavCIrCpzYFVAquBuKKeSsWagI3gQnE1D39oqMM9WgDuxNq55RPVu ZEhGBqICLf0Hxqw0trLZrTmIec5ncv6AaycmQCwWM6DhqKtCePrarq90DDUIG0xSifPkhHARcVlS oW8DJe3gbUo2OgEK1wW7KYJ2ci2ws+rLbAxSNWenGol7hA2Ji/EHeIVs0ZRaRCZVGCXvgq1SlYIg x8xgNDPzeLfw+aBtRPfFUo2gERgw7T//M8mGFvk3YyCDlb88mMtrCwFvmfyJY7q6sYR/odWfMj0P 2cJHdiygNyEKDzYQDeIWlp0eHhZ0sD+D7xfzKTRCKhUViScCGU8hwuA37oCfPxsZhniApylOJk73 xNK0FKJlwSs+TLqzm8ORq7u9zAtXO+hbWFCbRJp6761jyKLiKm5zkA2TXm8q/qj2pxaqhUqmt0bC gFgy5SA4jXMFCJ8VbxZcnFDE2cbOKdT9C5ODIGyHVzkki3EAJ/9ZjjNBXeB+dAxITdWPdAhlz1ut PvFiBOdNY3jVr9dIk0iWqtOMweMVm8+yux4Tpph3U3Ps/enziyjNdXC6ZD1+4rMQAGQqlLc7POJ4 GMxbK+u6T6nCf8yj9faSGCjlSEJK3ywJU5odyQ6NAgB9MF1oMGpULe4wk6NSOt6ILTcdXhBX2GAy IvkXq5oZZSpXz5GQl5fDtkdyeWEkBGJlftA2pAGiNsQ/wmFVCXnG+u1r4yo7orbrdHXs9GWr7znM AvYPRw/ccX6NWDVv2N9TiEoTf1hFKIbrykyS2ItlpWfF5eFuC49zlnhvDTIUYJTOCihWhdq4pi1o wJ0otoChmdqJkABYSGyZOXMbJVD4fuwHjfK6VUE61rLPo9CUY/VMoV2NHQKf35aqi73A3Fol/lFc kBDDwPZdp/3OIsUlpYFnVatWOwUkpH3ahe7gjL9pf/ZJ6Zljc29E39tH3YcNXZHE0tM1ONcRI2TK PqY3ArwBIC5AIwj0x8DILb0w3yezaE/xG5Bbg1hJ33K8NP7XnX3ZQIOgPJdUXFe6AzApzur9fE3F xmp1mLoU0OixAhLkZppO+RfH8CJ5eXQfPfx38kM+ihDnpmg8owx6sNqQzTnW5/G7VZWLypLWWCEG IaTNEdD9u7tDSeuv1EZljAf30n+yyQlVT/6+BSKf5k+1pNMQ/5loFipyF2OIuGBgnl9FycgbClGk cnLjvBkfuojepU5/brJGIFr1jWtpXfHoW8LgNbnt/kGT58rBvCTrrqNMk/YPLPdbMzgCWoQxI+z0 TptTZmLq8KIDebKmA6b1SSRcccWSybmHDUrI6kAzWd+U9tLdhnnwqJ9yJSowBvHLi9ULB+XYH5JD MyOL7NGE4mFQ9CoGuHTOdlh8qlDEztXufX6Ram+EZtDQ3gCKWh4PHwtUy30dS3WqtJCw01GihW0q 7sPlgar5NuTtRFOjpJhXadMkSyfyB0CV9jW48TWvIkhh7xBq2dEwj7Y7z/Mnixu1rlZMnO9MK7Ab xWaNLmTK3TxboJeZvMC9JyOHsRb+VFdfzoUWR9IJKWHxWFtgrwzAfBiw+LKuv1iZCGKj4wZAwNsZ WhirRUvd1b6e3wQA2cH4VsxyiViWeZSDevHPLIDUt0vqDl2wvRVvkpe/atkfYXNl2fbnhbL9LZNS hip9LWDqmqVccBvTfbVo6n1Sfpn23AmFXTr8O4AuMyKNFbAWo4FUo6sGF4e+ueNJzgdnieZZZukQ coQzqcm8HJzkeUH9xMJLpjK8T/3Wa/XGQVFO3b4bgYOXVCEHx73/jBiiY4dyNZUYa3aAKkgDnXKJ pe3xIj9kCLbuMOZm9zbdwaTmKWJ8uP3YSVBvECh11CQeMMBoRW1C7wOfD3CcTAW8HAcJZzyi2Alq U4ikh1q3YqsIJGWUvabKJpI/X8PZCbg+0pnEvTWwQxpDemm/AtXHS5Hof1W7qPc8ZjjPXbDvqE8V JwUiltpO97UepLcasXouUYanY9mxGNF6lUNBvWOz21sdl8SFS6zYehM1ESGblVDQOXxg1yQ4xU7U atUEalJK/Y+iF1L3akqCHaKueGs/uN+zfk8kvSmlSIaOaZiD0PSR8yUMiONOx3Cqydi33WCj0qxd RgP+m7TnBAGzA4wIOoTRf/IQ+wK8/bq4qkbOqdgI5UsiRab69Hzpw1B1BBsTiJAUxljdE+XRGhXK tEEZiTfyOO50BUKCX6rqVbzPNBhKlLkdJScLXYUOsc/FXiyAJ6DwYGV/DfW3IuLJReJ5ZEH4nwwK VrwFctw2FRGcl9dw+K2ApSwd39IKM8VXXIvwui6oKyM+S8MjI4Jp+Ui0ZU3VgsrOSdpTZS0L1ySZ /6/vzdwiu8N+iqnB/PARUz+a+32arUWevoHu8QLYo0J2OlJKpHma3tbIMbsEhNJlrX85CJ76BlQ6 TTM9yk1pQkP+ZuC6ONObeI+tyb9UuQZDmFXMf0aRt6PwqjyBjXpYvlmMb4Agl65wdP1uB0qEXbRR pRsNR5N5sBY7mE4jmiFSjf2HfkuQ9gbWuy5RgaZR4Q+UrruUqaAzK7znM5JOKuv+8yz3qU3OPXWi MDKAIm8IVjfPh937pQOOcTU4E3z4SfOjAtt3nxjl87kr4dKho0QSgeOdFTa6+V8J7gCfADTNJ6c0 KfGiKna04UOAPBsbTO0Q0vt0ToMjSXDoPvjavJCPAVbS+3sp/+MW4l0UclI1D/KHoy51Od0UYMLS gSgO8N1AdHLNf0JAkqDG3Fvh+32J4brXsFbdCmNbJyJOoxXO0hK0ucPpVbS5W66Yzs7RaoI11jmz kBAvIG3pTjhy2RnuYjUE+WkRjCnFGJ22kW1SnC2zFa1k42ojPT5I+I2z+y0xPL/L8uMVzRiaedI7 GLqcR3qrsON5xQmM3QZrsNuVyEnUZYVrF+SzTYFgXUk735TKn5+bVzdN+Q1XrFrQ5Ik6cT5Iju9Q rXmBwmwP1k0N2RD6ZHu5UbcffHjN+6+63Ik1Kpq4pdHPCNkdwF9uwzmdwSFYQnEiKnxL8tmIskKf seRDmmSDZIT9pLJ5xdfXE5CWfd4qmpqeJjpsevajdjZF3t0ZqzhOMlEbIlP+MhN3Sr2t4/KpgC+8 oKCVr/BzeXfBot/bPstVGEbVf+AFXT6xtQsGWgLjHtMtzhAgeyd6++QCIxP4YpR5JFjSUgea+Nmw ITZkxskW/OHHjrn6fOztDSQOXmfn8T2G7MQY2nQUXjnNuUAiYWw9DkQQd2af8/hebT7TYfn0urKa MsP3TRqPgq8+dcC30lpvCvstQs8aMleFrtb3cIi2Ry2WSk6gdRN/cx2iwVJA6g2Mxmofk/w7FWE7 X/EuKQ0CaXC1ZdPgVVTqZe9OzGN1fs8b32pxz+FqyPT2/q5ENNVzZoXExDrANBZuJPZKYrJErBTB 5U4rvbtNXNN0046qYe0Z76qFUzyEeAzMnvkHDNj/E1btWIuJcDDhVKIv6pGGdrzJoVL6/YeVjb9Y WPTSTlJsbHaJ2Fml8bCCQIsFV/Xet0prmRmqKLf1oiG5ZXObCx6d8iFR66pxRZLhOQvkRb67uYv0 gWHs9eWFvae3DxdrjyEfpvpUR18QAVsRS9GJQV15NV5Tn0f0X7smDlscNLqBKpt/Zst7XrkMQKiG Zt8ciwl2WXI1024HLIq/xjCtA8zGzxZ6LOoT9SaddJ//BofCfX3tOOTzQ51yO7LRjOQVglBfWbBI Gj2yDRJvO4/jQQEvgA4kUSKpw269a0J4/t877VYSljb9U/LmIKnrrf1hRNh8WjvLWl8xXJXQvxSR 23k3sReD6bU9GMuFrPDYrqVsS+udxEpEUHSuqpiw133qtXXrpA49HNVCB3z9BePGsfhA6vfoS3iy JEwJTFcQn1Cqb25IYbtUeVMq9YYCjeM59lOENFHOxFBzYU25/Q4ET/EGoFyzbQUDEw+VRpQypnST ilP/+uJTya1kpTtxb9eSmNBIjANmZoT7qtV+r/F8erD7xWcoEX931LKXvN2G/o3DlSuo4DwDcjxB 5sLZBL0SQVWtipNplJE8nUH9KEZpcqIftBhzQOXyDP05nbmXDSZsUC0EgQQ1iboWbNPnAnz5FyQC pxY//N1lytAYV/HIuQUaQkKR29YYI9nH3y0QpZ5//8ggpDjUu4zPU+VB2AAqMNgSeKByNgeX0LRw zdPTIHbCeaAZq9MvLVq1KXCNKRFlaLoFJ3Tp1tTkqLGaJvXqA81j0TlhSjoNt1ofN++qOoeJ5r6M H3sZr365xMl2Cy+pPI2hh/BReChlmzvTg0HwHV7IIqDKZVNQhBzaN85S/VtE1fUtnMEikGwA11pG F1bz476KZxRXLmXCl7rZyxIzDwJAoNfmrWkssdLrsYSa/iaFhr0wHf+jJXe67lukOCsCeA4D+1oU UH8qduj0plfuQodYJR9prCiKVLGHcLp4uzOPbJg0tljkCxixypiTbVVdsuXWlJC0zhHG3QDEzWS2 OqxuRgFEkKJpIVqWUOz9C4dkxhB4VekPfNTBTYkBnW6qJoA1EkYGiC+7G8gmxTg826JvsX8fZGIz x2gR7v9c00Iav7p9RyW2Zb1IgBBEfU3j48IDf52/Z4UoRp9tg0M0ydYJcx5HWcOE1iHCQY3kXmch akDoC8TBvcQk7Zu9BpU0eDFegTpNjhaUMKG1X+MSE8+blOvrxJTsDwWQA19bhCQjw+w2UTeXu51I cWw4dCDC7iuH87cbgK/F6MXOUe3vGrmMFXrmW0SXgdJbMI4u9xVVvIVrUAh6+1iZWIZOO7hHgTDU oxI2HK88GFPHBmN2kAg7KUJ/kr6eneMCiQDn96BSuQRljoG66hDQqQWQIT47LsRPPQA7zgNSnBaj DQ3PFXsI0eXIMNy5f1UdtO8e1iJlSxD1yxR75ecPUapak6rdCWhzLLgVRALuMhsgUKFN5ZIYl5l/ XVNu6b2Ydj2oMC5mJe0TG2rRyTFvdjK+FYcKdOKOaMjxMrLhDfquep3hgOp0DTwOLxh/y1Rg5Rq+ +xQmo1jVeL9VVnXp0hdpCpfpesaQYehW8DkWGSBHA527fbiRDV0+9lAkqRTSwA5H8sRbdXCHdYOM kDwKltundmI19qpqn3xJ+WI1yBblhDkMy+/lJpS9Q76Mk6dDKya5n2Ek+49ou4egsxhKDSVFul46 KdF4+tjiGt+u/5bKCBfjyiWY2ea8Dh3kpX34UPGY4tooXCX7gjFcZZSJqUymweGz0EGjMARfSMHy 4gbR3JXBxdNC1lqWTdZyTc1tEsBPKkz3Lf35VJyTh459VLkh385U6wh1BRqlEd55BLldmsNh+79p 922W2jqIu/cOz0EQKKPrCEshe0e4Awj+h1kqJwCk25A3nyynhzc+R7UiTmM72V3S2m12E8DlX2vG /Q0u0kIKNWMkT0Gws+d506LaFPThNDrnPb5Nse5gMmdIxUUZieU81xHExX/icbmYQlEdYibW0jg3 wsh4ISQctRC8mrR2+43zv+Yeo9FbIatXt/NZ1yV2Bu/VSDl/vkQiIK1V0uV7UU4NrEjjDD+cKCcV 5cd3jA2HNwmY6Gy6TW2m62VuyWck93QEe1OjIAN4HcsATiEhkCXAT5OqvrKQi+gtWPU+F+sc3SW2 jmHUcBUnK0obZJ6CQmJ86k1EVmv8PTtJin9zjSFGqllKSwDgRDKIdlttcVaPsJxLGZb2P6NLIh9m e0w70BkVxw7WnQzu3sFhJUb/FVy+aGoLqOAAGGO0gyBcsO+F8W8b0ZLNNjNQHxYSUWNulgXywoxu 0BDwC+Sbu+F5AOlNuiymMlz3Fk3SbiI3V1FwC8ANSu99ozALcdNCjWKMx7bDQvkGJiVFdD9bRc+6 o9Kf8FNuo9/st27LtOyaBXJLMbMmK6zhjHQwBLFhSDAhnQ4uizaVpatc26YgrwppxDSG76gZ8oH0 P77jzlJses3TUukTfWI7zAoQ+9RrF1pn/V8MkEZh7pNvbGdgu7X5KiuhUdP885ZJdXJthrZefb4N vdGlTd7ktOQVN0lDJXcKWbJyzguTlbDypY0wWWtiQXo9eclsnPg35QzfMbOn7f4Patftml76ZmlA /YMA3GjFtdj/4lK6e06nO7Etzch0gPsBSSh1rUy5zQG2O3Bugsxd61a6Kp7m0fmq/hftCZJjGuO2 vz7No0fER/lNENbUv9UnEi8UQTILljm57tfWby1JnTABpcuOpOGG9+EPxK2Fv4Jq0ABiu5sX6Fty iKixvRhrl+NmqaqVgqUvy8k1KCJUppaYfOLIxJaon01k1ocPdugKJYPRs51dT11X4MaNN7cIgxDE fEXMAxXkfC6TuXJzZVK8es5/GurdlOMhjV/fVZTzwOgvIJeX1BfxB/stjnMVMgHtTXr6vzv2LfeX MxoLsFPXqeY9yu5Pen8Hs28f7PkjBcCVU2LSOx/LIStY+rnAaD4J9r1p48Jsv5AcTrmVQOM2XjVF HLcpA0r9oPCMVyYVxGHilob5V71VSSn8MWCBDJmSolcmcA5c+kjVo+BF/KAKW5Fal8y1uySK1DDk eEU0UYflPrQjjAIOp2KCciVdbd9DlqGYJm6l5eZKVn9vPSMGY/xKAHCxxHs4N5qdgimdseZZ4Yl+ ErMIMEpqLtI4dd0pXIWo7w2rMF+ET5HKommgITxyDDXEgnEtYfyjmarWKWYBkTTmhssAkKa6t8qd KKd3HAGG9Kgfe/N5v7gggBaWspF7WfvbfV6Bht3BlMgFtDkQRO8QOZkpKHwDU2hz9lyXbJSvQg/l R6dmAScpUQL2DNFnViLVaYZ3VlEq/rBuMOUjqumnh3BKU31bYZBkr5ykT5oNX6fJig312M3VPzm+ iZeK1HHvZYNdchZAXu4czQmFhAGOp+KlFnVOvafrMfMkNDklmmOiUSTQEgzFXOkYu/RQIy4cHrKy SquQDPXtSm+eIlSL5bInCM78mgWw3rLWKCZ5qvWUPrNx1zBUlJL4C5tmLuQVFqFLZeJPia1YKzOj 69ivc5c5GK0wQurTahjyeyHvQ7+Xys/LAWDd2Ri9b9qpqOxZj4gXrmwrQd4XU4i0XKi9Kn1UE6jM v+2I4pIqF3kX7DxcUXfRCMYbudkNtwtB6VbbcWPJCjeUad69pHAmEnd+n95iZbUNKu+tOufss0Ya rcodI2G14fzDpetkTzMDfqF0FMYHx21TXD4ocrCz42jzkkZ6Y04c2dD+rqVlvBTyeSaRlpMylJr+ 2YatyhpEInJttjhbuGL8xmu4NvAQ8ZHJXfiRfmIVmiWyXqHuOf0VkwKpnuJTvRGdjhy6NfwKuXeY prLPUnIHYxUfErPPE02MWdEDSTGvuK3ZYZ8z9/ZpTsLGw5XMRzLj33veGIC/zydu0d0Qh5B8TZq/ mEykH5k1ZgBL77L+CTmWDWhjsW7QXJP4NYjvF25jrdp/XErvPmNbjdBqDh2etqpNTojfwgMijtgQ lhB7iwPI6ME717LT6m07MtMAUUdDQU8Jeq4FzIFUYyq06srNL49iBrZ9wBfgNoqaFbJlUOkaGmGl 2PTEVzCnFWrmnQ/j+4uhuElCfWp/9CJK439sonXrsBId31quzkrbRATAL1YBigcq6+PPIxcfa4td I0tw9RkB/SHEnVvnwxc3P0On8wTtw9URBNwaC5xM0GHdWG3kVS0nsiuZUZA6YnE7D7oC7TRZqC5P ZL5bFW0GeaFtkZ43dDaKJX80YrTlPlDfKBWUUivOB/ne+ZGnRFGFYyLn39UJUcpcyUvVSliF0Kzx bGOor7TVpdvtBn4QR+GDHunejp4X8CbJ+QOBC+25RiYUeej58JRWkqhb4jeSWF1UvbCXbQkGT9Cq BKozArSwzmpuzumSsPMMwfOhARYlg1GQknH1tbA+q4QEbk6d0DlsOusAtBVpnGgJqHeMWn2NRxWZ 0o4qUods+mr0M01nRjgQ2GWTuk4SAOCzBub79m/hIYlToquyuO25VHcXHTzlBzExuBqYofdBAu54 WILTboM2n/+0YL/+7jfcSAwNmhL1EPWqCq1BcisiKdhCNgOCwge+UjJ0hAEylGubRFliV74zOhfn 46lChaY0TBsWJ+lOJB324pLjq/z1NnasLm6m6ucKSZssELJDR7SADRUk0eCanWwr8QlIWYIVQVyA tgoYO6jFfm6FDzWGEnqIRyTBOmrPBcnvuN1JBIh3FpwB7ndOFeZGDrgbTqcgUI3cExws375Uvsv3 SaTI2mxzEuK7OYnFRfvvJn2wCC7q0uy7Dg7OlIWykY1YTTlZZUgdsNQTuOWsEP7HgDNwko/KIvEC qwYuUuTSZA2PQDQDoHLiQfVN/whG9bvHlOuPv/8tnpPlXnCtoGB1fPgksaXiQao/j7MFJN/dnMy5 +kunGflVN6lsLzh67zHHDhRIpgYtqdLBEJzBH3fBgiijFK4ViLeAYrshiwRTY9bZK0krSoTepoN7 aeVxt26xDofXqdxk2LUoeTIPkJ9CO65voc98giTw8QVbghAVjnITlzUOoMyHz6RcG0LHPWyQ+Cag +VwH/NUDZ8UwcK5a+1rnZeKbQ9s2ih9zYxtbQTAoQi2GyM203MO/FzW1o/BNFJIVRIHvhmWxDWD+ JSw8BSjqOu7kNGgOtDmbbcxfFPB3lfXSeEDbo69n9Ph5GS7gc8NdFQf0l2A2f7PFjqMk4lShPwXN MdQlBE76AZznKcvd+2SAuP3U/nREnz0duo506fMqeG9xKIUJuEykZW/TnpSdvQgid7Ch4gwnCEK6 ECtn9tMN4u2odREBC4OeOfgrMb3tRNU5Pdi1mh2rZhmi1jiBSz3404Zzr5rHzY/qljHOVOpfbYJp LA/MfZoz8shvnWSx5bWwWaB/TDUo2i5dukn3aW1Hd+Q+RJ3qoYRHBBn+m5welcPI5ipSp539N3uV ZCIFI3TXswgvwBHFXs8A+84zWJoP0SkjQ9vzy/UC4yfxEG/ZYcxzDymEa5WZufe9hOoCqqai4CwN xsuX/yDjDsw+gEbbIHwMLfy6nCJS93NvBYNQwHNz5E1x5PIzTuH4WEHZfOYF65+hjUa0mxV0XzIB g2t6RRzXbENzNDh2G5+fPgzwpi56jaW2P1Jf/l99eArX2sr9J6aFbcris4vAChv4h2BAR5/wWSvB N+YQI5tBs3sTlStuNzvvrbdmCQwRxxbEXDB4x4tA07gePXVk3T1cwTNHVSOGEJ5436JbHE+3JEN8 IUs3PY2lLEbcUqoHbAReYLfWTg1E7wmnpcI8YzKvMFtMNT8cRPKH3dWD3EpZ4CG+KmwcDvs8CpPF +Z2mUPDsD4as8eSqmj6Qf5lE0q2nLtq9el/hFb7TYItBNaS6zpF4m6i/ASdOngqOxgvLMdDpsqtX XIChEXomaGG1F1wpQ1ni0hL1jhijin679sT6+8aAob0TJAgTnzmcfTwzOtl4FhITAkeXia2Nb9yZ FI7mzFb5OEh/2sFpBSrkD8fBtyIyLW/Zigl72ENt69yRdTSsE8Vp7xCgZ8ACIVCV/r0FaUHeM3PV PqYuXZGmJKh2vN4RGVLLvlVGivoasyggi6atEAzsMKd6bomQmU5ngOrpJxjN7Y08EzG0XTO+EhAW intQ7glbP4zK1ybYzm6mh0/nvvd1j3JbzcvccH43Pc0xm/49NuSwnSFUn9QAlBzzrIs5aZs0LFVs Gj+aK0rTeX4L5FK0yJJdPSdzogy8GljUYox8krdsNTCqrOmY5R8RWCvZpo298e23U+O5Gom5ttbZ sQhWl6oi/T3HVSp5n6kMmZGmktEBWjHJRAxrR2bOmwmQ0deIHva4ZqSMg1pSzdju4xv5yLWk/pOQ qspIhbx+SroWxbl22PJvyLxwVy9egKkY2mb6j7nTow+0wCx0WeUbB5Bev/v8vyvA11urVyZ7IovZ 8QA1jDU7A0/2vMW7ur8ek8OVDjOPIoZxmXdxQK/ntKUmzy956ORQvRv5y+pr6lQ23YWp6JI2IY5/ OoJUQsNk5dZIIxUFr5vMxZobjokcB5C4fImkC4i/Iaxvxgizxk8rwwn3nU/8nyANXPNoZV3G3yXC HBLrai3zW8N6hJBuQOolinM8MT/W/HNcqEYIyxKC3RTc69lcOvPD1zWaFo/ZPK2uQ0Lh1tBMq16B C353le2KD/2358+zDp54zjyCNCkaCMzn3zrsMgXmqpjdGG7oEeah5GwrOTEIM7Ymto1KLmo78Jtg ooW0TrgKYHE9JTBxtU6/dLfHGoLOZQ+GquIqz8/QlXEM00ozLRr96GGJbUK22KyJySkoLhaz4SQt X/q981CKMzh4DElmO2ChG92o8ftejaUYEoAHROn7Wxd2M9yXB1AexbwXAwky/d9RQwLSvRbuwHcE jxuCRVFVadgu8o9lUN3ExMe2/IoFLkQj9YFAoTCM6e5qPG5KwS+Y7KPIpcroVzw0rCCeCMu50LiI DUVvj4QOrYG2cbiA7XHHCxOXxHF6RxWM6M07fIPWBy1cA8pX5vO88XRlrc+tF/1zupTNOzWcOyDa IoriGmHARxXtXH1qVY85xbU/enQiP/Oq/IkD4hC522Q5gr+58mfQrqt2oP2EYgDXPbA8HIHQnLtS UaYFwmGmssvGDPqwzwBAARrLVb/p7QqIlmx9V0sKhBGDfYJmkXHyB5q97m8XytV9VQKB6GoIkb2R cEV57KaaKbxUmvui/8a5TFdHXdtoapqE4KjvJPcjdkCEpq9kK2vu7C6uHOZdntkUpuQfhw2HssNg pOqga3zBSQZij8acalf2bkZd10usGJcKciuRmny1BT1rz/0wDPDJY4GCMc60koftUheX4TyoFcSl /hQiTuPnsMf8QvjZ3XkmDyWqOisLGdt0GVPSGNkHs+N2+1OqNYXRWmK+DPZYanbHvhmlkhgeIDgu CsTX/fRu8tEkzVDixsRN6Dkln1PEMJvbc7+Z7KEa+C4Ksqk/6GlF2gSL1x28woSZIzal0GXAh8f2 8wxj3SJVf9nKFMmskbheoDQiH9NtJuSNPRi2TM4PI7SgT4M3XtgsB71Y+68hvGpsdyA+uhKZAlG8 5Q6uNSwkthTYrL8S2J+O/ZzToe1R3LOF6tpYv+JYvmSb1fqzeySg/S5v3MnyEysxT26ZZr6uYxlm zI4G/FL5ql4wcHsDDHHQmO4z67bFXK3ySVNCrSpwUQN39HMcCkkd+on55gzTK5KLXMQbY2P8uSje 6CWRzSle+bOTiuCzfXXVBqvO/z/2+4xi4Z1IdOa1MrFFamjJtIlaHHU5nPgdOrQK2Y1DDOhSORIs J7IPpO5r75en2AIkm+L55G2rTMDZzrikl38M5bqNZmcbKTlPO8qDPHlS49CFRVod3enHvDsDlAYJ JOmiEine4l6X4V5e0JNfYzrowUqnBP/ji7ekjde1eNJ9CF2K9Vo0OI3BXeEcDlb44C9eHMifnUUi aGvAwJUX/CdpobZcsX2UTNV22Wtsdo9g+yUmtzX1B6LVBLcnJaYqP4plCLp5ZpeWiIm1NJ1bvHsC rDX1UkBOElHaQh8fvKdHH9gJw/VMh+r8aZK7/a0698qPn6FkolagfwxCtPS7Js9mVHg1GrOXU/0+ R003/on6n8CgXc8O7hyB+y1/Q72YCu3v1gxQuQENJ0QDbCun0FwKsGldBoXI8Boq1loVDrIaH7Mn hnbpydqnoS9/2yOsmrQ495tzq2+glnwExasS6jXZ8ba4wbWkVwnFofTTdLPaUoujDPkSAJynYLUx QSq+T62NfgffdtWXCfWdw/jmepw+VKJ6fJ4AsE72rJDtTSNy0PEUApgKhbaYKzr7mGKMotiiwbsi 5zSV1TJUmjb7QazqTm3lHS5DO59XPg6o449rnaTJxO/898Jr5x5elpgCJecj/MqHITThM2K68zfr e4XXJ2ra9SxLri8fGLJhA4IWFpfQ5Yo1V+amMtCcbACYkWqQnP0FxafpfSW6B5wFbKxJnJs12S99 9ZAm9t3L9m5uoGEbnAd/Ji8wPQLspS4kLvvW5HtKlZj6n1N86YEuzxMA4wZ2j6Mhtd281CJQhd7M gd2A1eIesfzSBY4LoD1+jSlwGYXAZgYdXz9eQ7tlaTtiAOV2SeZP/MP53ksgvgMVuW2iguPTII/M 6BbWYx/NsQfJFpHF6vh5e0OHwrRTYafF195tojAoY3uQoxOdjFcxQYr03rfMk8dMg5uX6w9KFoWY ozOxV7FyOdzOkfd77A2JcR+RsmCEs8lpwolaNiPFJ1AFc7tIzglQbAXYCnMT6otV3w9e2qu2vMp7 tBL6zn/Ml51d5hqLF24zg4T/ynUWGjy8beyOi5yhJrJ5DwYIZKhRjd3NialFkOuFT1MTOdoGc4hb +RLsNK2vHTJJugHWVMTjdVYAyCCNI0WiuFo5Svr9zPpYJvnM4JF5CtHCgBfn2HV782xZllqreAPM mDUmNMp9a/kMeNLnFQVSO8voirpUDTDbDn7hJFiNZnBgwjBdJU63jUae6ye8TqvEeZ2ODcxr9uDR tX82PAxIOtFhIjLsWNFtTJC5zxl427e5QNlu11cSK7yjkQAI396ZfjAYyYS4YOt6gbhMuxxKyzZD WEz1WKcwgRnDIZ9YfXpIZy06gC+0RvbB8dwdQ7BJwSr5U3nC2bS7O2Wc0XXEK+Qi5TyRtrAvDo0T L69MyTCQCpZ/WtYOE9kIg+guYAxyRbtFOF6UAzmRATEY9qfkbEz2Ok4sdNYbHX5q3siTBFdgE7PA BgwMnWy/jGecsx+I4aOAl5iSX99fZEBlA0DfTNK20Ptc7vmehBZBcqNQRxhLaPtCvQH0JrbOrgoC A3Cju6u25inUt++rxQzQHD60K1fUXR3Nf3DTG3p6Qw08F0cpDNI+9OQ8pmOZbKwiBud5AE18g6XF CfY/uHlGAF3WjFduHlOcHAweYAZo06Nk4OJDjrkduH3D7cYBdNEnP/+23FfgmxmKbORqoKcNJ1Xh dbZfJMF5LPU7UcG3NFnOhqIfUHPPJv4ycshqYLj5CpTwebTonjHz0/q0Lt20LHAyAwhSVu4/IKy4 wgdYG5KfEOJXM9ufHHJS2TqCJWk2FD0g1tEgGKNnhZiDwDkTjS97LyBHN5fEQdY5kNTUKpm9+CeI +KO3lE2NdkdVtfkIv4pIkQh0UM0lpjbG1jLFc8rjysNGKdiscUpuZjAL44z+7OlVsORTsh9KS6UL 9UjZsRgOxXZtclKBDNDEDBiy6obSCHeYEj07Y6uZhEnVOssNAeI7qSdHhGuxY4e6vwHOS6iPQUxG miecwFGWAjxySIcWV4cM4l7TxTwS3tRvR8Pn5WSgCy1Y9DN3Kr4CZYyjpIkbX+veFWLDo5/wanzE gxJ9EufRw3LXDIGCC08i5vuneO5MFhBJ374xbI1XrMr4nczRDLAz3zeg6zx4rSjbVnctrFIFQB61 UpvIGe4B/eOLbbuNSEVjKzEaC/mmbk73vbuC7zo+Rna8Ky+LazsPB+k+HsXReBp7JpKnqF/glK5y rVznf70z4g0Mlb/E1G0rDkxNiqa/nndyJFKFOWYdaNMTnWxachHcUBakX4kjFbhtHm5iz6ouVrFP GeRcW+WV6HbntU+fmLtH/hlAg1DEwmiFSTuqsub+plVk5/RFtL8BTR0xbiqxK6QLVLU21qoljPZk Q1Kr9iIOVuBt8LO6dftXQPCEMIbBGA+Y8HipJslxTvzs13R+mAletKSPKu4QKt302eouW/IO7DPs oPSePD4arzz6NeaSK7IML8ZTALvo2hF+hfgUT5Nk88MML6OmappGKkta5nY4vrabedPjEYom1dRu 3aAWfsGVF7E+A25MYl+WMcYXJ3UaN3Szu9taUMyA6Gg7GpyhJv8nC6oj82Gl1QnV3PDTU1ioYmE3 tx0VfAL02ykIk6hHv2TBL6s0yCTSstrvA1WKMKwmfw0ET/YoOHeQFBbiudKdd0dSDRFrds32LvK7 FlH4Ke8NhnjMKcCJ8kaIrDMu/h72wSUgNadG/SCibde60LOgz7h6Bfb4dydn5vxG+su9gp6YSJ4l eJZXlLSsvMEdrl7hPgp+V16NJlOxj/Ty4VwgQ+BtdSRAt3iReHIohuXS2Xw+ycn2HBIN3aqO3LE4 PG2knGQbGZynXbIY3k8d5VbD0VUMFOeXdthhFsZ6pcRUTYCpRIe8h+y9pjiHoTbtPePTujC2w2Xc NELsMfEwJNlAvQAYx3tQjuAuY8R9f3I6RcajtaaZLRhm7Y8mJtYBOheIWxluDCLWZeCLtVbBrc/n UpmbEjDAbahPV8tJDD70/OJcyBznXotdFh4s0cCsiR13c2myYZ0GfjMNOoruz2UIShMSuMYfFr9+ hFscz6doUaiZ4G9vH81yYsHQEzl3+sgIY4457koTgpDIwANYwsPgyFkuBSglmM+OUV5yh8XnNs+6 Ka5wxRqNQgd0htRXW0VA5UHFWEtSE5+yjQko/km8BlNv2CW1KxQhtk+JpqGWypktI3D2NQ/s5IDQ qDOCkrZvk7/4HH8Akhn9ua1CPJRdrZxCE7P7YN1cShUEykSGhsVGZnDK4LDPi0svhx5J+YF7JwJs MWYdKlnVQsFWidQRNOIVDfiUI7AU8lJtdHpfBLGyHy5cQHJfEC0eIe8cr0IowdxHlk+xMx87TF8W F14BRBIwtN/Gs9wKEnHLIO4QpmKQRLJZLCq0/4xuKeYEL3vkDUtc0cSmHlxuV4LSkxIuSH2gPYMs Xjx7SnRI8EfgkgDDka0/kWUt7UjsHOnX7kLTMHeXpoClseu3a41ujMjsZa5kw+CZ8+qj8GgFkH3e AzC4iwoDMhB8S/7r5ZvALj9lrhgqJEzk7f3W8q45pr3h4gsf1x5LEUj6XPsE9jGMNwo/HPJgb/m+ yLf6Khz8lBTEU8aDENYCeTzYLrxiGJ9Fd8nwo4W4Y9yWYg4EHnMjQGWB4z1w4povDJg1V22LFnsm +0l5QswYRYn6XU+odir3aYSk2NGiwWUTMdbQl7Gw9SA+MyzY8pW4jtKxxNQlZtFKdTZLf77rBVfM rDB7xYsx4fa6fBuHPyimAPxkxyyNcLMasIHzbx6OPVdKS5NyVN4FH17bxPAfUQLIL7KB8xb9f47H gNVCFz+aOcxnambnfBBDens5Cm/qzErXiU+8opbXRxeDspmlOEtYiL2zqj9tGzDLjGB3yhrQu14o 7oFJRMn5prc/fQexQUI0tPQEqEbQisWLpaRW/LN/2SsvihQxrPC3BMwoupXdnRgFoKGgyKAOSYtX v8yMi+dldFKST9bVKsLDK3k/SW75tb1UOLqA42rbVhgDBbRdyE+kAJIanJmh5w5Ka1XjjpBp7Kte cAaL5J1Po2+Jr5TnTuC2vs54O9mfp2/BJURCXeEkr0wi2NUkjV/ZskxRUh0eDbtowWpmc4uf91Lf PhuFWI6ZbP8EctjmvFPhzh9XqhK4djdcbaYJDEOuuWcIFMyWmwhLd3PgAjijCpG3s9wkobtsduXf l5jekL2PJzTJFz07bwKPHwtNDcTZ1xtgKDE97GkPpIVjj8uRBahYDUEij0k7tie8CmMwSB/CBqbj H/YWysdYYwzbg37P8q3+vq+HVxHePoxmGhu0V670rCnGEg9rcQ7SZ9piIMOfIHYUm1cxjoNsMaf6 0ppDB4EWNADhZTwkjl+X0ZzmITpv9w/2YLyUsSywASCn9scCiNb72wUf8nkKau8J3KmWmPMhGgOk o7q3Jd6Qum8QvBErJTN8naBuGHX7EgbD1Ldt3Lx0qhlD4jPDyEfoGhei4ZtXzBKRBPIxw6zjST2F z1LSxRMxNzeOwlJoAoGRbDdWJUJHccBV/QvdocfOWo6O2m+93DUr3PhIwgROqbaT57GnKWYNp7Jd oSE5ino54wrFy+pbvXlGTtRoLbXebDZcNVcsjU17t9NKV/Q8rC0xEUzvtDWJc7zCvXg7BxvV0ymC kV03w7/6md+4+4aGzUc0OBIy9tzuisZk8c/ViA1c6z8B6LASCs2hsH+WVrs+w/zYDoIiAUjK0KPG iuNVy63Mmknz+rPQoHAiilSXu6kJyK3VgxbblVLGZWhzL2wvq1ij6UFzTKJuPGudf5oSzSjhM3QE wVU5Ne2epQZ4x9N3t7F76hAGgVsZ24Plw9Q2BrgTFqm+dP47HpeHCe2zUOPrf3H1EJWzwJZ6RxLm pGGaw1hpliRna+D+k8eqexXTEeKCy7kydYK3XxokW+4BO6sHTbwC3xZjrhxdEBKfi4xigY9eWq5I veb1x08Shtk6RAyXWy+UJ8wPZ4bSLP1IesSxRBbzf2k1aOtqLb+YQrDNpwl2V47ctjs6C3AR/9zy SCNLFDrFHhr54vsKIFOrZ4SqztZnCpRtcIoB3EIVrZFjHm4LGLgTi4G4MJCoWxo5MfoOszDkRyIz rPkeiH4V0HlNLijdUJGkbkbCBbbL7ZjQ5Qon/RyhIoft0uDFFOGocYA3NR3je4YdP6AOISB5iKUP hDRk+D09G8qor1Dfr6Bi6oush300GTng4fIdjg4DmhbQGGtzGIZNx2z0TZ3go4VRbfOGPWBTser4 6SrWL40NV1tX+ekvVRz1ScxFdFrwRW05H3N9eZuc+CKAaYagCAgJ0qlImvlGTSxln0PcPslnP7qi 6v7mqT9/LHIUB7gOl2WW2yL5HDdsl883HdSmRf+w0Ubw4RvxFeb0cFvQiao5LvUuaSXW8LuJ4DfL 6MHOu3yD/bcsu6bZVhm+qgYDqbIewV4pk7U0xEbe0WBnIZA6oqjf6KZyp9vtX9SzDrtl9AuC73DI Lqjb98+W/5D7/3RFCjFY7mq2Q4F+dyCexSmxLiEaw+4N+pEtWwdaIkWmJXDCJnhvwFNKuYTz0VSu 1J6STDrnKgWMfqKo+21jGNhR5OSLSbl9UyTFotfOXhuHYQFBre+K7h6lwjqV1JEkPAfvE7BqEI5v Tid3nfSIdpMELXhENfK9tp6MuY7MQfts1Hr0AolHZ5H/R3ElszPTX6krW2tz07DpSIQQ0Z1m2cxe SdshOWlNcN+p6pnTmOFL6h87TBI5cAiEz2E0bn58MKUXiJAOWuqAkfXsXxfwgww6GgYBjGYSnND1 2UwojP8YKeZAZl/Wi3uC9NSdsGLGt3nv1e/wBNa8AM67CcsTPuLaGIKFtLC8WoKhfTJO30liuT3v KYt6SsWRL2AK+kzTfv8i85US5H0FKLFsejDAMB03yoHBQHlW6aS/uCJDSLmZJmcvlo44nRKJpz1A JnxH4qXZDpwXB3QxeeaBmHFONn9AlWbGE+mJxwtcAPQ8brDm/BuY9pjdZtkBqjMCLO6epIq1QQhq 3l1/Qq8cXQXqydrz9cExleboAfSKwRHZCYcRzsBSMcnGGHzwwoOXfz4cOrYhwDX3yHUVG5tuQWMu ahKE7nUod5RjqCRxxew0GPCMSYfVm2IXgGbiahJn7dl5txWIhduFcrIhR4oZGMjqeLqyQPUJhskr C7NOAK4GClcGyfen/3uZI9xWul3sWEoAxngFbmVSXbVBcTdfwo6INnAWRafmky7CoaGHHz4745PB wZIYNRJHDqzzcGvfnHWEYIDeKFvLwlIYJHGfrZqvf3ZbFz4Q7/sIT9pGpKnQ73tLXbIzaBq0pDQt 7Bx90/vLSpuNx5uwfHn8LCYEYf3H3HsdVjYBb1cfyFJGHkYZdxz1C0xcbKOy4dgEQ1CdBiQmDapa liTe801Ml09+twfp9NQhhlR2m+So2KDzXCXSU3HYI0amY5btFq1OdpCTQs8ZE1+TOmo2AiiOoSZV dgL/lWY5rCG4SYAKBH4P6jcJ182NTyp25W6EtMPoqDax3sJ+JlW4rVmcNaeqYEz9O0t/sk1c2nhE /XHzmS2HdVJb263SRNA4FI6Yfx6VQzEtdT3DxBdk7pLDFThZLkWP71BKq/+t/grHi4CH8zmRA0Dh 4EBs1R9sHWI8r3wdj5DZ9RGPmRWGA/oiCZfQbHUiQOjztWTz9ABHcOsmduEgFRbpTfjlbIm/GeWJ mM95AEPbx3ICK7KexvBXZnjT19U0dyBt6K7oD+v4VX473DtmbVOIhPBEPu/1cencqk4khPh9OnhX dIFOf+/wYsDCEaTVfWbc3v4Tr1BSFCVLiECgBa1cvSaAK/Kda5CMquT96lL+FTJsp1XCZijwaYXk Pmajc+Y987QAe27l7bEG+aKNlFf+4a1wbCLHNSSCbUSL+TURT3VODTJk+IlL+K1AD5E4c9mrceNF +97bE0NaUa4ZtM7e6PAMZ1PeiUwsk1j0x6cGoOXECmJ+ez8FhPdqWqyXow6nn06I8DwC/sdksqf/ PcsUtrabQ2z5Ja31V1hx0K0/+H0FOnfU2/dOvSpB3HrtPbzUPvjs+do5Fi3gZbFWHfRqQjHpzh3v k8YgO/ujvCrMDDtRrYV5uzlcN7oyqieFxjhq2QoLh347TBcVMpenPzpRW7IS2k70geG59oEbu9Bc p3HsSYTnYNpNKOvgkUbhlZWqaQ6rkQznL2VU/ki95eDKMX4jL/fNnQQ7CDU2RXJVhkbjXffFoe+o k4GtqFxlUTCy94jNzjURsF4VDlUgWuPinItvKm9B8MTgdi46Tr6KEcQXl32a+6VfLZu4TMYJlJmQ gTLNWrQEHp9ROaNwPuAR0dXwrVC80w5Yna4ioBD7ADQIClAhCYOtWP3o/EywcIwrwMIMN5tbzSNb wo/N1P1jri4P+9f4boi84IyZIoJVs4XIl21gle6Z7iokf7XuI/CJXN104vUYNLSKka+gItl+8pHc Z6w4p3au4PFsIkxBrYnHcFUoryadhaphscfsRA++2n0Pf5Z2EBApNrDIcAj/pDi4ERXDoAM+lriJ vqnHQlPoVl3/Il6dZCvUer8C1FjJqmXIxYjC9KJ6Td08qsE0p982Tq1TNkUxBLdT45xMjWAcV1WP WDqzLABAohdCBFeII/uXL5Sf/8HZ7PduF0AdPwmj5JeQrvTL5U3IU3lNO3WNRQOTkgDpnICS4Pn+ SqbYHITuQk3uThCwoDE56qmPr0omTNkGrBJPDlHYowAakgtRo+9j/faYXPSt+S1klClOX+4NOpzy S2vIJWqeqDsJSK7uSqCRjnyAvaz9PA3DtXMsazy8FBm3KNq5CNvEIxkPMQ3hEAwwjpzcOXARRWh2 VTy2Hljg2SxJKvQdkpFxkQq5+RU9EY1oXIVtQPiFBF11MnGssmZPM8b7h/GykERQCTqjQ16OSa1j tWNtayqa5zvxJXTv0y7ZW+6Cqok86tUZThwMSDg+puiZOauGLUB2QQa5/1MPlSfTk96xPPZsi4tt B+zLNlrJE93yIJmR+IDgiNKuXbhTUd5HfaGiioH8a/jsii+JVOllHkRyYPW5nOdHL925OMU/zegw G3nRRi4UEbszSwoKZ6hmYaZwsODAJoRtber0yvnaFQ2JuDG4oha3z27fV0sc8f4rOtBDTmCC/W4+ q/asHZFAP7aQlzm5nAouAqYrefOajdEvX1JfQCj82BNFtjx4jP8wD24epAWAGn/FHcF/snvvsS01 iQtB+730KXvzQstdjN2hs/u92IhwI8HeEJau83qaY4rGNWJuxe2svOqSuwI39bkF2fkeCm9pacUe uezbDgYnqf0tw563dfKpKvNodZc7VF92wDBhD67NsOvoETHQCfxQMf8hqSteireL3v2tdzj3rvNH MjRcrbt/nQtdSWxGZtZKtKhj5VlhDPpOpcfI+xpP4haaWEiK/3RymyOWjmH6wZhnax1IQWzQ3xoa ezxLTVuReemKfw0s82A1mjZz1Cg3W1lhvA/ogQU6rhHp4QM6Gtq7z0wUB8jE5aZ4CApD/fpScMg3 2EPN5v5d44EUVzPm6gyew3C3tGirjeldgaZba1Za1NGGgINFOpsIvuguYdzxE65Tvwa5LLClFQS1 AxXp0nnvdFTe8W67BoYGAY73JRuZpm771V2bhzL7H5cHO3/AvJBesuG/Asu17i8k41hN6hkiYK8x HebFygZFLj2JcSgZhDTWF/uZBAnXF4K6rTFCFo/kY1LpAo9SRqdEkIlLuuZvYIPmZahBgo7uNibL A1fp7BNLMlgVuCB4axPWl7P8TWwckej1lZTHcFmrr5cYLaY8j2dcrNuNB9cDSQfx1iSy2mB3nxCT dOB4o7Vt5IasScJyV9e5EH0ecs7vTEh3xl2XHgN5ZS30lUSh2hyyxJqMKxFayA2i6q48O2Gwl0JI fW62wVcz7OAEXnRoADHYJWLFONjaUzWw9Wa47LiCEdS5Z6vu9FOkgQfho+du8OhWndja/mB54bMo mJA0bDxHeE6jBpaQUlk6YbOthfYm/eaUJkFVRfqhw8oF5Z8fy54qweUW8Gc3wno4Pn/Pog1RWmXE 6QPsz1QZQ5fIgNLT8+e+MX2zGkpRmK7PgsGYOk3LJqJt6OMwfQUnyB3PS3+34fUzkXRpy5kDFtw1 AYMsqwjN6qoxLs9K0tAej0W+9O6LVA1KFBMQl82y/ogx+HUzlZdw+R/4VC3ox+aUnv8+ETSTCxc6 5kF8YXKZ2K5E6nE1SQyac+5hX0rGipat5iWtAspsV6vMq/s6MglzWi2XqW7lsrhjRaglDaILo/DH JTLo+A4W0PTVlglFYuhC6gaXMyvz4xHzVIzGKJQyWU6hlEk9cVwVDrYvIH5mYQyN2DnVweyKya19 vyD6Yak86xQS2OeVGreQLa1n8TPwoBpZuu0JN/VFbtYMLYPhzLrGliVw/rP1surjDjPUXWUyeCgD MCkbAIlZyQk75lmAwaYLwbj9/UO5HwSiLzo3h3xakQMTsccn3fUfngcvDEvqZLMF2Mu373OcpEsQ TFQ3l7j44IZoT6+stR5bMolkKSc7PVdMq89If+PxcX5E/4BwmwTaSynPYmn0Zt/F1QuqH5jOmAF4 WQ0FB58orOmDLS9EjfhwJ6xhtI8u0Gsa95cqG6MtpFQonu/c9Pvg5XhUGIc9R2vhA6PYkO/hdMsf cqHm4MtolnY3M9QJwo8Z1/GiX4mzsL1Qcw5Qqc2yC+Aa8NJMZ4bFzqPuFr0cCpt8XLGaCFhacEoi uNBFYnEYYFAzrkjgECp8HP7dOl+TgI0h2K4LXYPJGk5bPAJrS8x6RMUgcFtHhDwblcm8qJwtsxtm 3t7wfRsP1lHMpaPAn7m8JQHS82ulGUZ0opbJjqleV1FXUouad3YL3TK7pSR9C/C96DR8jXMhFXNE 6LfVii2y0i5aejWvo04e8ON5q/VYUaKXry2ywKT6w+GyFju0XQS1P8k8X/Q6ERQ7oakME2DfGiDm 87+1ilpzON7vizVU5Rhrbo7uxp+ogAphe5DW6FTbPt0IgN8u+2EH/6u6L7VbEjHFJeKTRLnWCrfN Bcm+OxatLlu3VckfFDNkEfb6iMKdLbdXctNF+6SVCyY/5gvAamzF9oJJWiYOXbr4B26o9zaZgkL5 il/lAY/QdnUsAy6OQeduXcsqvXtytCoNIu/07c4zkhaeyX7QXLl9rT7/0bCNU/H8ov1B4Gn5Ak55 3x+HcD4BDSbqDkUyax+vgi01Jh0mZJWUG0tSLnDil61j5qrTynbyf0pPNPvtJ0/JxGtuWiM0wGSY u8Pr3D3puAEKyGefJ+zqFZckbbFBvce/p0DYvNl4umJsZEH3jVxPECh4CVAqoBmhyOlF6Hh5HhOg /PtbG2V8UwMl4JUkOdsSlRIjWt8gJDl4UzekPsmBUvdKCVTx2F2yHU3GJbrOPgOq0eTmgRF4IHuT sn/S+T/yl7GqAOC/TS39Lc3VaeVk+A92al/qtGEI/IierPn7OEneDpoyq/bDvnpa/Tt7S9kA+3q5 R6pB10m6A8/+Uo4iU80mtsmK5ztk4V9xRqxHg2lLEMWD9eFlrI8ajQgJ+8msPvLn5nbkIJCdD3qx NTcRFVYw/X3kGbb6dgBK0MxXgHPh0qyi5/Y2tkQI2wbFz8zKMjtVyo4xY1n2dDeQPQdnEMdw2zf/ pgINFCv64P+m81gB3xJVhFqkia/BEvmTAckx3961f3PVYgsv4y7wY3qxt/IbRjN91LkfCqYJOjQ5 LYFXnD58BC9+LvwZ8qX7JEdvs4g71AFl3jqkgov8TKZrJiQQchaTVOs6RsSpRi44dNQaKN47y80/ MDMLLpW2jVlPr6O41+axD//B+VBMhYxiuOyjIl+799utd4DDzRlCa4K3bX9kG0JLGB5YC6jYh07b TKMaR/nf55kvclfXqIhwD+whza0LFblATG6TUTLu220+wDnGqM/dFp4AR5vfsq0Up7Ty2q1lsIl+ 5NRAKaxVbpc8HZFHR875ji8rrjvntj6d+Q3fy3OexPN/0H94NUUkCaGBLKp/aVxhLHR+pt2OLoQI 6fxa3VKOedAd4pJYfXHvLvDgOHXzsCsUTdetbmcRs7/SX1s3L2PuhbHoXTf4uNnF34Uxi2s02Mpz X+b+qpDU/OlQ6H6BUDPpuqJ63W2vokqQlFyX4y8Rtjh6JthcifIj4KpBxzlaftw9bmN1mcpuhEsf K/ygzSWmCJNbUq8SJHeeYbpY40eBbdMiNLQEL75uEQq3uPbW6D//MQ42Bkoj5RepoQbmkkxd8hNJ 2M2eIKaLbmp/adtsi/GeNYEjh6lJGfGrxhQM6I7//R1BjOf+ZHv9lk4gsgJNTJ2MoBDO4WZn9kQ6 ZhGq2ZIUgrTnupctL+j/3q+kZbxF84GYoYaUzl+vCzpPRZifWVgGk7m+sCmHKj432gc1jLVKVsaX 5oKsip7ROftF46XpCrLdrwnrood0xTwgNyu6Pe0HslnpEItsDsUTxZSK8chKlc8rKB3ANqkA1aN5 isADc7VqGVYfI6DqG1N8ZGTk5yxbfeZdieZsfhm2SowZgWA8xRrWmgJfBKInl1LWbXRgMLlXkhk/ /BcvkIR3bN340kL5pxUawwMPjgpc2TnGQI31jdWNSq+lg2s2c9JDDnuc1KDfA5nj8//IVEzRoR3E UMIc7NclYeiuIX8segXgScT+ctzplk8K6L3ahx9hEjWGsN+fHCRCYcut06/yHwit/B77gUQBB2QA Q4AaNTmlzA06nri9bZ46S6+6LOR9Bvx3osnFfNxb/Zq872qyACHc1kWMO0V5a5btR9c5d8gJiiBc 6J0ajJuu746L7Qdbp9RCQiiDfO1BvOj+AIRORrdM/Ph3P3Scx2DohA8uHZFKt2wdtMxaexUzeXWT r4RTyJ4FrS6CbwHTUwZCj8h2L+x50hfbAegHQU0u3P5BDvPRBqaN71nGiJPcvsDyukOoxYzvbVyb EM6k8vCoQsADgzmlSVihSQyzyh8sSQNLihOL+k2t+NGOzjLddU6FYRnMn155VuVlxkBNJwgrdMqU jPXytKX2hXcvnkKt0uI1K7K3XtORp0yq+0mYgWBoXmyrPK8TIF2nuFBa9ZWKOcar0Tn/bJS0Yn+6 f4HbvfdZixnw9PqwlA3uaUElFwBjYCNsAdXY9fusSHxuyULDRbaK9+UhjE1DoVnLQmK7qlGw6BX9 wZ76ZggCcszCr1xmvPWttxYfIO+cylrT2KSJwVfOHb630Ybt4zLB+/midnQdU1kJWQPYFxoRT0H+ FF8KCPIHdrAjXZ30UeCC5ngKupTgypmdiCEtMOupDHEgCi6llT9H7f7BsOXqT+B/vnAUnzn6WmRi 58TocaDW8i1XZ2ujHX3J6kPSdIdZtPAXod7+BjDj4f4JMCQbpz4WGl2ZPC4zdxUasDvE223kdw3f aVYnSZ/xwmJj5oQiuB/iNz1v4E7ZAk+/hbjtA/4UAuzG1m9ziXMVoPPcXWSMsOvBByw5qdJanHD6 lA3iBjz+nI2768Uy8p0O+kUZ19fUUCmqn7pKOavvS0cqmpimFdNoaAQc40F5/AY/xbAyEqjTG2y1 YKtzDenTDUk88IiGYjIc4oPO4BWHOlfpfXvhf/xNUXRvouB549AI5g0MgkZ/shmo9be5xpL1cQdM XL2BrCzcO0mjt0QUTkzUAbtK7QybMtkEGeoJez9TpLc9pauz8KdyZE9oJAYNn+9lAW6+5Rv2d4Pl TcNwKgGV3MoU9p+39C/q4H/zFizVBD6mz+UblKjAIJAGn26gRE8hizXvovu6Ez7YPoHvUTsYtuQ7 qcNg4GKO4FtmAc8N7VBFh1injEDl/vN068jB8SxP4UVdNdUmlCeyVDbvM9BjSQjMoFpeIqdArIuO ge4H9UVquFQEprX7OAlJnDoOhYGpbZf0dZ6f4whPV1yPeIY5Nlz/bWg+9GXdL9ptwU098iNWsw9O V8byd6FjjNNVbYfz+FOCinEDhtgWzO0Q/CJh7RLI4Ml9pp4lIhnk4IvVgAi8DZTNfGM6s7oHZ/ZB j0ulIEwjA4c9DVxGNNhdcOrbc+cFEnVZ2vBTTaSv7P29bMk5bDLX7GFrcL7CzXeROdsvIDw6AuDb mZndZd7mvmDhyX8oqh2RGf6f5TgGrLrbn/cefmOEd/4tKFNGh5aMYc+djj5hT18tOdDVf9CxtJMo 7azm20ilOLwjDD/N75wuA7GteIDV4gO85XjOEAXS4QhnLmb2HaRBBqDPfgX6unQvvUbIOsu7MVjx VsUDLsZM8YTWLHwC0/a1u9TsP8nPulFxB9LsqZBnIdplXN00whu5KacFdlEpEFzZLv80+Mvy7H6x BgHcqVslmkiRvrWnpy09xbhis7wGnImOKxB60hV51ORQDDCnUbgVw+PLZMqz0muvRp+tBUK8wc0s NLtzkVSU2cNGnuCy8s4e1IuzRq8QstDjheGQ6OlnRENQpIlvyNy1TRbFiqJkMG3kEc0mWiPEJV92 MHTWBSPCr9Ijl4ABg+amcf7jV3hkJsuEdnVLANoodc9zQZomkYD+aTwNkr/Mr30joUzNyDW/WNeg hIdYWTMEQZpgQApZmk+/FqOH93Sv7HZNiDPlf2sqFw7BWpbB8fi5tSe/ZHsOfgshQBT8jgmxEcLX Xr+VNU66B5R/pGA9y3tX44AOinlwyjWLKH8HTI3J6KTv6PzKxR6J4tW024DDXaABOgh6DlZODJKb zhsT5vo7xlhiajC0keHu86WYBlWef5tfUDQKUyjnOgbwsKEshoDF5s1bcz8I17IJVYM8cO70pro6 2st4jG4wxpgNXXFMH9PPNHHLVcdhw8ae/408TX96eP+CWDgpxFBshqFk2784YzKZyy8OGTZz9M3E nWBND61sn+RdLa0123b31bxyaBxLG0N/lZiRvK0Yj4XyOKewl2zegMooKGJtD+1jopp6GzclMAn4 EC+Avgd3lCDITKyIVnS2snV4ATjQ+CPXtY7UiOpj+32NJPI83Kft/9iJo45sqv+LsCbeu+bTmjhk pF5ThBw+hESr5FrpUnFxcBiiDHSbOEuy1XiW08Illj623HaJEc7A9KBojJ+Te0Lft/qGZnCis5Iu M+hK+SlTHX9bm2bP1ZKDPKgGrBTQc4GN1KDvpIzCYoCTnnRl9Bw9pBccMn8jkHDCupUo1Gb1+tcW Pi+aDHEcUbMPW8JCqUh5lwUwLZFoE2topf/d8nXg6saS2tikzZApTXKMg1NZIfoaMlFZxx132grq c7LZkAW0yr9m7/Gpyb9mFbfGhSQib/fNyIGmvuVVwnAmDr3gAel0EWsuCX6vVNA3n2pUp5ZQ+lnk 4OxWg3ZMH1OWydT7OLpEzIeR/15vgq1c+57Un1EBOi+jWXZ4+6potVrUuz1J4gw11t8OnH73nIJy VzBV99OYPL3sXoXySYFi1mjlJiLcepXx56+VVBKi54VwwCmxg6otgIHyR7g3740ZyyBnlk0esr2g o+Q305YIlOfpxnw0Qqx2F5SyxSx3sfUuzSlrI3ETDqf0ROE2PiE8fJdxXQ5e74aH4kWgClGck8lc 7Vs+vuAfPLx92NtQAyp6Z/l/9kwkEdvsvnvqC7xLY6dDEnQRpr7dX/txo3sofiv9C2abYT0NFA/d ZWdR7Fy55tpen90pSJc/Y/jA1FZpWaEoC/NGOywrsv69q2VYU+7utuH6H3oMonnrJbuLCssZFLai dARJXEz5+qaoOPCbZnlNa/+3bOTTtM4X0BwUSj+A8zAbCrquVsOqjHz3VJNmoxkExMDBnp6dlD4/ fegPaSBhysRVN+zSLJdiWd7c+3SdFOU/79Fk/NyeUE2xg6Wk8wP7QKhQfgMndnWXI7xkUUsstiLk ltU0FYEC30+l1X/PsaM2O1IOYEr0vsbOdsCVZy2ZFVxnlkLagmdhbxRls8Ex3iz0x3TY/B0UNbpN HFEBW6yYQqmF1HoV8axP53DHTG9ZoPnXKX5k2lKYdw1x16DTQbv5/mal4YNculE1H6cPpDYtD5JT x3efe81jUK6mkxRad6g+KBAs42bJi8E8i2aSaZpqoIAG1fOAEozWjctzPDWRTyYYnJwsgUhLNQdl HZMXWM2OED05jUySQqkr/ViIluci0LQK5l+GIvpr2z6OosaDG9HTwqtJ3SFIp3Svdem4gbeTaHkh oWoodUiEaBU1x1ec10bxqnO2Hfo4CVueJ1DpgB7Pej/Cdmyrofws4jOrrlYKaiUO+eRse2vZFNcs Pm58Df9e9R5134X7k0uJUY7BF3o9YTHnDWXTWMls3yDij+ic+npyCZpGAOUArCB2Gbib1hoFly7e IMpGsXKqY0Fgqyzkiq5fxqJCpXxfrY4TFW95Vwj7nxH4vBwQDg/5PBTY3VkWaC+s9bhKSdBMVOn1 8agUnvAqdyY23X5+A9p9bhcOQc5qnt5ntV2/A9VbRGjNp74w3VnVzlFAQZjenVdvZK07SU/dgQKR njZk6ZEmB8uE3tZLvr/PRQNmeSNNOERFsutTGX0T1wT6hhJ9XYESEEix9B9CVEN38tGBOwT7+DNU 3pDIqMybcelJuX93WqAcyQsRKOJ1d42UZfjIT/nDPfGObo1shhH/vGJQWpVeh03eUBlnzKv2F9db 35J5RoYgcp75M7SJwP6TgizksqgH76kfLvwD/crA+/vGuPI30fVPnvjnJqkWBEOJ4KXp2S3baUxn 6OiVgrgiucO4BDfJcfHQGALvEpaUmta2bbOTWGH1Y3Sl8rAioRCF7YU2ubV3b+shDnVEqx9YAD9o 7kTCsr1bheHEhFart5FWBKl3fSNSUZBvZPdY3IWFj0kCgplCWMNvWjWxkCigIu/He57YrCQcQir3 rgHQkKeiRfLbelMML+kmkbJvQr5fiBeOsts854sSP3L/woGB+3kJm4QjEIA9aDJoUp2e2sKorSyJ SPYAvRsAX+POsQTxSup+cKieQv0XmNBjGo3rTGkXkfjXGad5cyo7/V++3E0Le178hsniL1ChAZ/b EEgioPQr+dTs7ygh4MGxCfH2TzHS6oLTBJPOpLRYWJe+XEzEqSp/vpkE8/S10M9YbL/zgMm0xxHk eJLfO/OB8FtJq4cx+cbZ4aCg6k9Fwl236yYDsrf4I3jlbojbox3diJ5ySfYKZbC65gvRxuE/VWUi qGTo2RgUYhHFt+GV0wt3PSvK5PPFLXkYiMgCrjYjK01cHHte9aRwzKMBGPIBubiIZstIdD1usXWQ zI1Pp0ANaGsD2no/lpeOu9KUzKVypAQqF+HhlzoaBc+NKPZ2gQXgUqVUS8mUJ8+defgrE6b/RSS0 EmBKzWLS0Y7lzYHZNHBxaOsh/ajUHgWSZxnKfzu3GoIXmws3SFNuRiXl0f2ul0/hIBSAkiBXh75n Iz9+9jGfikog4UD2W7CUlBRoodidG3qKOgtLrqnYJJaoT9lKW6KCIvVC7ToB0VJ5URgpT/R0LaEj zXEYNn/uVWINzJCq4ls6tjO3UyTjWqLkDrkJz6E0KjkDsSDkJMgsyD86WFt6X6TxhSWQg9srkDhg 5PCcWVRskDSz+A9YQyv/5HtyN2ULwUEZrOF9tHkBYWRb6z52rAj1YKnaXIZPI30CKGYYHs7yjHrV oI3uCCWKzXLFdVnTVlSK0poTJXVA9YEfAFWm0NV0r+AYSsN4r6oP/NPc/QQjZpDnyq2J0wJa7x6o FQ10GMklXTFXtBUH0oAMPAkHCwaPSqBqJRy3FyJPnbGaYBBgI4wcjqrKxu13U6dbCqwfx821Lnga g9vx5KVA6sGmvnT/nn8LALXhjUbqL8pJokBUK6UG5YcsSwLhKQALrRBJdCgaSce3AmDonQy77qFw cWfmTjTeHu1p+LcrorQYkFghvRVHyjD4OSlmkF0c3zhvp5f27Zrm9q3vC5ochI0tlU37nHP6eeoe XJCaPCsHR6h5+9ke07jedfcrUEWyDJfS6Xu+WzAHnXb+3UBA+eY2Q0vHgZBGil1x4C29ufhMOVB0 77Eq2TVDeTWlXlFMlhwuvy4HWEe+ZvurleysAUESR98GhBIQ64Kr8vSpFjavwvqx57AjIEamXhai +bhcN0ZRjaJ/Hia/uQ0r44dOs3uxhCA44RYV958w7QxxLTSPQKJUeu441pR7bopcVrpfLWAOI0pm B2vxMQa3MNeEbIFtam8CaoZn11v5QnFdHdfG0Tuh05w61B21VGGgefrN7khpr+9VdUyF1wf+ER09 ZfHYDxWlQ0uQyrHvA66S7pqk+lXaIaCXcu6bSWCKDfpDMhCD98v0mnmKtWC5v3elSxRjBvOHIBEU gYbZ6EyAbJe8b+zHcYNMz14pjpmjogytqcJPfIvLT0blW6dpGx8xn9AB5OHgVRe2pdo9kFpk26gx QImuiR2/pAzuJhHpyvdv/oeshVSueQaT38ioZn0A80T/PbiNRTudtsNwPr/pvBNFTTmVLQGhccLH jPzQE6hZhkhXf9yVpIJTidPVT9jJW56XDQCnq3k0l7Y0AAMNPWhySlpxg1i5bMfnIZrNR379rzPS 8ZNRi6DKxMP56b2utFNQfMcv/Cgog2+Y52EUUzl+XjhTv6pcWGkck7fQUzQhQD8kKOcooFJA2oez sf267rLPwZah/9wMxOB88BCT/zqZlDKBi2OEY2q/iqUfSdpFbXiEIOWC6BgAQ9uRnlsgRw3HhyHX wjX7lb7qYHJOibWbsficnKchnfp1DbcDpN16OJOI/EMgvzQl/xM7gkvxqyCkCvQcwMESaz6JGDlo tIq1yIrfOLoKc4BP3ymOb9KOb+l8nmXz/5ki6wjL851QKUjEClcEt0orq9YTGin/P/NDYLLawN/8 r9bCXTw2W+F1RxxSEwpV7BYG3h3FWT0QH8pQbhx13sAAWj75/rPtG8gk1NzfhO3hG0MjWnOEsi7q 2lPUJC5zu1HESWV0wKITSWORYVRRPpocZoNRnTX01PyAqa6hr/7yLaW0kAjuXAnJY7eRd0wm5oW6 E4fNnyi9hBI2IMt99ki59ie4wR0jUvuTOuRcuBa1Fa+StpRW/H2clkAQy6qfoPGo/WZuwdIJ1y2o DUBP2IgYWIhApH3YAW1slK3SD/sWEB2/bA6rf+7wYsuycfax7nv8mtGzmW3cVuJtNjYiw9pkvPxz LF4nNnmqpxhKbwWuVAF1j1EsfQHmfGIagE6oX1t//igUgGMo6Ny79zMvLuQeePsxl6lsTM2Nl6nu eKdVZeuTud0tJXKbGjODzRnaZIM+xjmbyFYf+0vvEvYwDNmWdIQRh/xT34+v+i+caTv8gnDRoTlf sB3X0J4zuxVHF89UaYOphOKAl3ZvX0raWnwhgaEBSiUVYqAE2JgCYSFTKw1B3qEPjxrPBTE1+PjC pQLNjznSHecA31GUeOr4leNikp9F0IyeZkOePnjvAIDkFCb/LrXF+wNbvCrk7M3cRDN3Ja8VnmWs 8/JsTIWQ4wbCJ1eNeLId653BtXVN+F1rwDDa2gbP4nccK99mBsulCil4id9j1o4lM5o6u3E1Uxka ydcOb8xCWVZOhOxdhnA4Iam1s8wG6KjFf4zuoesxOSLVC1CyHKyKcMz0Z4DwOoi07ifSSxNo7JbE QzAxchazfYTCIerF+xF4C3lb4P6IlOwDB277KICvzIKkcQ1JEtoAI6ZA9wbT8L7M3A+zwMmEWjon l8vUAjH8K7NTKU+iwPwMAJz+igc5phHNOy4voybOYvFv/2M9O3ITqN+y1ZhZxTIvUPFN87vePIcG CwZ/X0t79wV+GvmtGAH/O+avc6lOdJRkwCsIXKieCTRU7Rhr84OvSs2O30eLg7cUL2P29yltx/79 bG84s7SFlPdvlGlQffVD78f59PRf1bpKPcK5FV+btq+xjqBsny825Xjgs9pTTl7ZR1M1D+eLxJ0K cQq3Nsr3IvrBhbyS1Ta3UPi7P7VX4zhNOEoRK3FHwfiOUPZS9yP2E9SlXu1aWEuU1k1Hj9bEGPgU ImWuRua7ov8E6WkTQRaCmDg0R2973kK+4IkXV6Z5otU59yU/AyxIE283pAbLfvEjyEU2t6ecNbH1 JzIjKuwprwC0R6PrKiRf92+Ufxb1Tvjin2w20k//dp5fvGF2qluYsXmwddePHEBaQTpvrcgAJOPh 4OX0gxS8Y3EyPuYswZFoPE4KCroPYFeQNVnHqh5wONlByAI7yRxS3qnp0EvO21uAcCZIJdM+5YSw lBsgpFSBzQf5aS4iYuEsTn/fbv/4igTZyvrEkoK/Xa+C5r1rHl2ZSV4U3RPwLeoB901hgDwRjk7l vu4H+kFflbz8J1xBQIGIRiHVVA3GFnYqu6Yq14jW3tD+ScMTMQ9XlkklvWe98ONX5f9qozZRGK7L EmC0bnxgYknEm4MCTteP8kUwr8Cezeew0or9jW7x8/oxdqSJoXGfKcgbeADqC0nnUPHY9+If6E9X pF3ZiORoeXfRopUEq8AkhD2aYGFHntHTl9RhAw9VIeFdTEJq8AIkq+80Q/hUGFFdhCnew+zjSp6F IZFxn8+QqAdUIYMGzwwZtXANkK8AiVHeBqIX8+X6hH3A/wxAYf4r/PygPzUrex/Z2UXFHKmSXRTU nYTvEbwiBgwHzfxmhHTMbWk8cys/+eG96mtlXFOA8eGtJu/zH60huRqXlT8c6piqvorC+lkMyvqr I33CBjMHtsP5hZxbZhxgaaj6sb//OWykThzxPP11DM/bFrZ4mtWs6k2OxEdsMyDwok9NaSKMeK7x 4yTyFt3kwdl0M26aD/EsXZUcv/ePa2p6AjFAYSO6Y4X9CWUoED5MF++hCHueyLjeav3MozJWbKIf rHEI7a3nglc1o6iTVOyW+Ywk5YODbej+TXP5wM1JSREb5ZWRr0Jvwd5W1TTMCKU43ZBBhHmn8oyL 6x6k48p6hmOqQTSv6n8wzTjw2BaLne7/tKW/25muiLw4EFegf+tMM8rSn3RoRYl46dUaa4AN/SOB uCWFA48Lv22Y6l4mCnPk6o7UNAamhQAY5k00kK/KaAh4KiLFn5Tm2Cy1HqjuZpZXn2NK+o24AMw6 fSLfUJtgzRvq+uyXaIQQQOguYqpnm7cptBtnIHc4YTEMZIqQwx+0Flzqz6+Z/pYJHiP2lfG0Wf8Q ZfsoWVP1PAA418Xa5qZdeXz4pXwQyVsZQcdtdHnMdlv92nCsX3SexgB37Rurd12HokhECaF5gBl2 QS29llLfwam8OkyX27bfcHoJ03bj1gfwS0EEhXtVbEJJyB6XRbMFqv5Si1PQUUvx1fxwUpzF94T9 95T+gTvkvw+63lJAjShBp7YiYMSfjn1CRwAjlM5dNsTM+5Etq1DwGBpudY3kfdZ93VzRKmDD22FA tJ7QE4sOIadTjf+i1qtPZxQ58d2ZHOdAz9PuEyQEHCOKT+nox4L5h8/1iR0uHUGUbjrxjb0JQQhL FddusshdCteNIT5p9MP+PoDV8Cya74G+R3B2SIbSHhAs2803ToKA7eOvxMns6UWv1vOBIFKc7pNC nZ0N94+Uolui2xhF48cRT0vR9i8EDuf8kZS71JMftJPJb0EYRowTH2UGEXUcGEhYWYgL1CdO9ZM/ YKq+R5TTNdVE6Oa+IPaT031fxZ0eXsbRMpVOBJqE1wJwflc7I1AyEnlYGMx5vYYFWV2kiLTS31YL hfPZTry5PtTnh8+IvS4wmkfdwQZRyA6VS1Lul7IoV6NLgx+GuIkfVxvnCtT3GE2iQJgTO+Lduso0 sw2Yj4Ko2J1o/rx/vGsjDXzcqLH1Le7036x+maU/XItmyKfYpATZk31gyoKeGulmamEwNf4sk3Py Lyj0bJNXEmT7lympi1Y5PTjq+Ca4GG/NqAAc7OqHUs90KgU5Z4VkjIAbWGCXzr0UPMEd90j9X1A9 DG/6JIP7xVdb07Ir5csxmxzMeiyIB2VLbsopHUifRYvVHy7e+hxI4yy2CaYQxXoIPaXxPoet9gBN ymVQSeS5PnX6fpCGQ7n7+vmvUsgl9xMMdfCjR6a2k4lHAlkXm03nu0ePo4HhCWvMEIW2IfIegFmE KcFHxyfBA8e59iIUU9H/ECfM0BaqNjOWj++2vidk2Dky3p0zK8OZaW3z555+DXEjbUkMtu7UmUQo XMGKQ7c1A1DTXp9oNhjXKJdmSK383hIWUlM4XygmwGqzEbFQyvl1mbE/rTWbzkn5QFBsk79XiBja E4QmWnXBAtBCZYMeVElxL4V1hz308D5CKulHV3B+RYGFqJj19RUfTcqxy5KxkIfJ6wJ71phUTEb4 gAsu9OUmzKqVXwRhN1qSm8W2S76OWvBmmVHGM2r86Nyh+xkboEEBVjp8LM9PAvIsL7IWOWgc1s5F HPQAxUX6fr4eu8c5Fehzrw4YNGLAPS3u0w/nVb7GA+HZFMv43UjTcx4iKegI1pDC31nrGeehnQ48 mUDeGv79Hx0nqIOBsZ594DWrPgTAOsqCwshd+tdufikR+HlwoQUH6tBHw6NqhNUJ0uSe8u2kQVn8 UofM34k5+8zn8dVmm7UzNQYZ62PddtOo/Em8jSNmcaYQ/or2pMKXMXWD25AGa880Ebi18f+HEtZl 2bXT/wb2Phkm1qSTy6pIikIbu8uO3QUW+6ycTWyX9MuxB7WBZyZfBEiKgiFwkknXUNN7T5ebU9E5 5/M4pRf5ZAJo13UA30zmGUSzPph5NU252fMGTqrsElv4UfiTYe4bdawobkjeVm8WJLePT8kD1P1h RgWMU5avoKWuzFubhxxM7wRw8a3ucMaZ+tFzcoJL/bjRoVxnw1jYtE7DWmPrfmOVug0x3JzOLrOJ cIe1K64SKfo9ybQcxVQUSzetLvJjtIY1jNwcjj1twFtG4X6epnZ1TBM6ABbg3F0CO53jh1QghqQV DHPWd0JjADreOLhRZ/78ZBVnAavMuqznmPsWMVUDbZcNU1x7Y4TCeXhBuwR2Xej1GGRpcPg0H+WT VXGZGjG7Bp6dGSSJvJuEInkb7Fyobz5HDiGRAFWyBIRwdKHFslSxpHiBLwwtPDiHfg6ZB7kGRoap +pOqSFgc7263iEj/It4g40SUURZN2tMFidBlBaUSxxKs9HJlEq1pwMbeH2sI+BXzMhVQEdvXvdlF DlgeMFfk+rC98og9P3uFbtcza+CJYAiInCFODG/VGac9gqeWBntdQTmvNMiMPekUn8HYQJWXisaV 6nmBeDmydew04MHW+P3unMcrbh4tP2vtDOXn1Zek6+5tH9LNy4yc333lRkTrs6STdQb1xIkxYLT5 dEkUSIIbeuTQ9/JQS7Hy5NBW0hegov9XS334Q4tpPbyPVfadvjruHuqzmJA5s0yHo9FngqrnLUSZ FAgq4V79YeI03PmdxusZn8bc10P78f8hpR/olQB6NOayN3ZowqReNGOPrcueIYKj+bMkSPIqk5z/ opNhVSpn4SVJq+9UFGbKDBQSWS9EcRSuJAVx2SAIQhwhDbJHRadgE6MZbDHELcYz+MUkVCA7eKh8 Jq8ngPaPJpsntCZMc1Y+27tPCQsnNKlBEc+NYaQi3iDXSSnrsbHrfY62hh2gOl2I6Z6/zUPg6qzj Zk106KGVSNdpPultENL1MGV77PrxV7/+ynniet0Ccyx1hob84kT9dpOyM6xNmWEsvhZXL5AIZlEh H/ABZq9EQ6B7q7l3C/8rleUrxdsuPy8SwMR2FQnon7u9SRtAksJpVt1dLoEZQGTpT4wnBsGwKgUz hTMLgnIk7IBEcDhW9PG3uDnq9i1BfNzi373+yJjcn2ilbxKE7IS8cXI+D1dHL09xdBkX4h34ayMz PS3I1OjMuppCKfCXEtDV+Jm0xO1RM4VQJzeZT4XqtKiTsNwvL+tT1hv1jHvGnzNOJMj/D+gdO/hc Znfb2RLatZ/RaW4m3VpEK0G2q0xiDIN23aDKK/zKRAJ9uI0GYELV5VhTBpYGvZ0GOAstGW81eyw4 WQq/h4E59T5KzhW0mMLY3Q13MIW5oaOrnjhQ6WGizUQHs6j/dop/6RXD6t0DOTVkUxxOSU3Xdt/M vclqjGoZCJ1IuaumAxSzqn/X3qPQEQ83k1saUWGB/I+lsqJVnPsup72rZpexWJOMJw49+MCyho7v FfWElCnQBQUYOjFUFgJP/qyTQJIINVi1HTutOy9suM//HrZwedzbdJCLb2ZP1e3Dv+KEuMlA+jWM IEv7XauXjZK8G8+xZMYQoxxHj8GdMtNpV2UKcldkT7qpXd3zoqGPmu/MlSsqG8ppK4ai4bOTEjc/ qE5GrlXG9R0KFVGZgTORg48nrNKjJ847OH/yVqJ/DqmkD8W/b4hobGn5PrFH6jtQvhho6FHa+zTX zwGd3OqNcRd8cphKoPevAyyM41mSJNu2t5feMfpVY7LKgUXHSZFModBFXY/cUIW0UDD8cubyNuwV Scsf4SEtxkreTZbVjme8uEj5Q1lWFyYMMC5SGw9oYZS1LEQYWsYfaGqxJRtkHIPedA5sP0DjO5Tx rMR9SlZXqcYefTDhI8OfrtLFTbzze00M8d490SSlx66wLdFDDjvR37vCvuijoQtqUzwkkBTWv1Px bGof20avmWT2No3oF87pdydzWe4XKef6IAqsObPm9WK2UzLNMttoVnCIgEpp0TDAYkmKWeULEYcW lDvHN42uBDawBqiZ7o9x0ChuN0KVjyHaM0TSKtdvpLVSuBaqzMAEO9AZw9IeXORorJYprhgjks6L 9+g3a+MlqyZOBBShO2uiZXuM0Ex8vz74bMO6dKUZOtmu5OZLWBssLEdvW6Nb6L0R/iCcJP3yJUUt rkDQgZ/qFGUvMmlcCapGtvt4y9PdQGFz4muS5UWNHoTCpEBsK2hKjGpM8VM+REgvbybA3NJldm28 wjY/iVop4In2AALullCZJmHBAdBsvk65DLmaIzw3tex80VFU2C++wF0Ud/tnA2s55g+XHHz437Sd 4GUxlEN9JmqUrKB9f4qLx6eyRFM2y2x8XCVkfYQV2D9K9aqv8QFyIqaBYUlbz1luhVUogzZ62gxA yNXIPtaj/aoCkN3QWIbOxEGH7pJCMjL8dIPqQvsRdH+2c9tA5ovT5dEzUd5WVPfAG+DPUY8Q4EGY yOzay8hC3d9ClM59PFYLkYVaMSvzov0nk3vWe/Ym141nlW5th/dnt+qS4MdyrJM/toQB6UXThjRp vWJPvBC1gr1MPGZ93O5uGIU87jiLASiqbSITPhEkplt1AVmKrXZSJwu+YenwtK9JGHOEgEC3rLQd AF3LE7l2aTflaVLqO+Ci/0InM/Q6rjGxXBPRzkGdiEaAmx5Jmx/xLXCT0sayJ1MJYd7I2txHZK9C ysZa6sCjCaqQMm3l5Tv9Rw6lEQdD8T/KarXJA5yXk233vaQ1pjk0O4Qfu+sJrvJE42J96XfS7hH8 TODDjrXdbQVgQC/lMLi6VUeA4ks/u7yDLrxS5H3soDen09v2DrqWt4S8DttUjbnMVZinGpEN6YgQ YhMheERVrlAZ+tJQEZWkqV+mIUX2+SbnShSuQLEL1v/DpdLA9hvVhkUmnSYGoHHLu4La6ohCkNup vQxu+M1WKl0KuG8sljkcMyC17AAxsG9JtMDcm8ND2LfoIg1IM24lDXaNCeRqQ7DNQA+4X3uq/LPb QWZbQJyIFk1YHfL5+kBJahHrBA7g3cm4Lj26WDqW3Pfwom/ppNgwpH3U2s98NNSeUJJRHE4jJKG9 XF2bXoegV7DOEEF6qOJhROPaKcVPQ7WwmB6HW1SrOVY6WMbQzJkCbHmnBL6WMO9pMOMNctFuboac D9XwqoRvp5wQiUY+ip6TUkhyVnHAOXxdJj08lokDEPodGp53lAPSjLYG1i3nl87nB4KwR1Kq82J9 Vry/fskKYJ2Ly6Q88lmHu0r5lm1JTJaIt6Clmo0AFKv0S7mo86IyJkMdz3z343IqsR0BrFAO4WhP aK3PqQYHhSnwn3d/1Xf3p5XLJYo4HGfeX6bq58vVJbbvwQtjcm91Ngerc3Uf7eCE3icYi7eTKi1Y 1lff+n3uRCV7s8JBr29ScWopCCkAErOyyHNEsPyRcFH0/ZubUJoOeQ0Q3D2eWDujv1nPjmaPRaBF Do+hR+q75rMXZ+RYi4bW6Gfdlvf+5XpOpBuWD+pzEZmyQwqDMVGa1nHFZF56bmm8wAIco1e+R2tt Dqm64E/Sg6uWrNRAfw97Ktd1/soHom1PSxBsZM30JKyDpPB4oTX5am7+zCYfgHJD/so+ShXCoYpT Vzf+DND8L/iYs+oSjAN4nA0LOKak6Yvrblt/XSv6sjA1CATdUXjo+IM4XoUId9lj19NBYIaz0W9Q H1DrhdyDvEhcpHoi9e1tGXOfpi0Sal8yJfknlO8FxJOKxQ1aJKvMlYNwIqKFYBJ7Jqn1F+b40jxK Z4GJJV6ghmJdevVCtz6zB/NOIB/ca4vFTiFM/FEJxJNTw54P `protect end_protected
apache-2.0
1c66ff5c085dea8d908bbb83a725da49
0.953332
1.813662
false
false
false
false
jdryg/tis100cpu
register_file.vhd
1
1,900
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity register_file is generic (WIDTH : integer := 8); port ( I_clk : in STD_LOGIC; I_swp : in STD_LOGIC; I_enableWrite : in STD_LOGIC; I_srcAID : in STD_LOGIC_VECTOR (1 downto 0); I_srcBID : in STD_LOGIC_VECTOR (1 downto 0); I_dstID : in STD_LOGIC_VECTOR (1 downto 0); I_dstData : in STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_srcAData : out STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_srcBData : out STD_LOGIC_VECTOR (WIDTH-1 downto 0)); end register_file; architecture Behavioral of register_file is -- 4 registers (NIL, ACC, BAK, TMP) type regstype is array (0 to 3) of STD_LOGIC_VECTOR (WIDTH-1 downto 0); type regindextype is array (0 to 3) of integer range 0 to 3; signal regID: regindextype := (0, 1, 2, 3); signal regs: regstype := (X"0000", X"0000", X"0000", X"0000"); begin -- Write reg on rising edge of the clock. process(I_clk) begin if(rising_edge(I_clk)) then if(I_swp = '1') then regID(1) <= 3 - regID(1); regID(2) <= 3 - regID(2); end if; if(I_enableWrite = '1') then regs(regID(to_integer(unsigned(I_dstID)))) <= I_dstData; end if; end if; end process; -- Read 2 regs process(I_clk, I_srcAID, I_srcBID) begin -- Check for the special NIL register in order to make sure we always read 0, -- independent of the value actually written to this register. if(I_srcAID = "00") then O_srcAData <= (others => '0'); else O_srcAData <= regs(regID(to_integer(unsigned(I_srcAID)))); end if; if(I_srcBID = "00") then O_srcBData <= (others => '0'); else O_srcBData <= regs(regID(to_integer(unsigned(I_srcBID)))); end if; end process; end Behavioral;
mit
20b10e27408c72c1e6b9a532c2b39ce9
0.588421
3.109656
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/instr_mux.vhd
1
24,135
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ry804blDidmaJuR1DjoacdU8cJhu+jnSFJsP7u6yy8YC+s8cdXhq2OTNL4yyYnkU9LClhmq9WFto YY0BdzLfbQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block amJMS0bXTeMw+rZqRXd7dA93ZnuDP1AaSQKfrGh1Gd0Irpi45ndpfVC2TQT/pGLkkeolt0e2lb9L nCTcskPkx4v+rsa36q6fbqYaa/UOd5iXWXJomb8wYvHm+MRkJ8TA3y/G3EWacc73d0X26Hhg+WbE KLj+8WYZYuzNDJcc/VQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Tqirnul9JUduMKuJd0LpkjQv8xA7nZ/XfCbq3MKJyGmvEd+1nuCWehXjyRJZls6tKWFspidXnl9G AzGLWndf4Qyq5PL2IhuoC/pqhZzvpby1kcDiBkjlcYxLkQz1tnkD/K2C89Fwk1Hdm0SxBLY6GGNd qenIaPW6Jawdle82QUT/ruJ3LLcaaIA3U5hbsc0dl0v0CV7Hf7ZDMWOlYcHwAv+1MOH0F2SX4lye 4kYxMdojaPe4YNSI5yIxYHLIlRAkSNkGfcnlgu7Vmzgir5Eimd6FcMCWIUevb62G8MSvIJ3V6+Bs JvVD5xNGiELVr12238qCFHFNY6MJsXfYOOkNOA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sh8oNOorLtYhRBUXmTbV1OGKu6XAkE4tuNN8/vzdj7Rc8D3JcdT8JmnaXvbh2VGLpAEDIopEGGHC 6dEU+QQWs6iFH356BL0sa20LI5n73aIvIBX60jMxPp0mOvoQ92NltZPl2BHrId+yaquQQVQVOBh4 HRKtojkTO2zsoFZUOB4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tmqhxRVJlkYn8Y+K64gtE88AbhMtLCRvhFRZeG+c5cHY/zcFTux0yy3mdzBywkE6Niubac76fOF+ HcEMjAFxxo34CheOwET95QjHmpDc/fEBNycGoHvnOhoSHbFeqNiFYDD6UXGuwk//WqUoC2W1NHvI JKhWuKO5vOdKbzyYXZNYzsPR1kxIXryQoA0QHONL0R+hpcZXTNOHHOiEJ2VP/GDHiDz3WVg2OuDI Y+R6qXZZa0v7/U+BZxNk8awKZ1V18g2A//B3/42iJdIa7eoWfVVAmj+NStx9I4FuU3wGzMksng0r 9qv+jFnAzgWLkEGrXpZLHbGYl/HpDAe3EDQw4w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16128) `protect data_block szzGEAW8UeSGcGXU10u1vLvH7sqRH2c92VSVNY7Q6J61uO4zJJ3rB6eN6BWLBn9CfJZyTbX46czu nUHLRubvQrmC4ONGwDr2Fr6zDlPLb9aI+1tombNWlhnRK1yz2pB+AE+ZznzYTgvRLX3K84PE1OMS YdhV3qEsmL2128c9mof8M+3fKTfpFNIypvVIPyu4dvhEioEjwIgL5k/AghNIX2WVxkqA+D1wnchv vABSExfd3QBUh2gapot9McsYy3D8WVGd0BaEmtYT6UAwBV7dSAhftMezxErf6F9NYk6riczPvuif MBdld5S8RP8V47hLUyyxm+uJeNISWuxCttS0dYTat9EsShqpef05q9fLqii0igzeH7SB534+RTFp F9uDsIKxk6XsTgnSnc+yE/cBbcL3SvfEzSYOFEyHMCWVBdemaimffBj8kHuPUjfVs1eKdHJ7+UA0 ZUAKgIQuHY6QuxMTvrjXWZ+vz5SzW44XYs3oBEyUZGJYre89EUfcEG6hVhdzoGZFYerO6fnzcdQY GS1EOr27QSZ8lLjT9uPIskzASzDe/9DjOix2151k/hvvqlO+9x1+d0gcPU5IM0B8J5TurlkeVRX0 a/meKXwy5V7P1iru7xbFcSPf8S3c0WXCRGF9Pp9u1FNqgtmmcKmy4iISduazeLXAKQoLLC7Cygga TO7jNgkDQh6fE6QGYFwegl2/PQ4ek4uJM7aSqu7/K964jmwDDlT21dKAeleZLb/XW6PUXiKPKKhQ vPI4g3VAXYcOlvKMkJ++2lqZtdgSOn4uMUP9OgdnCNdKvL1FyXCyPbUFY7nFXjBv7xdmtcCtUMKP rFfcsleNGuhHtTLnMqmeucx7a7Xvv24KQ+jMzvhmTWvJZpe0Ot+EHdn8PGq4nCKGLJA6i7a/8Ivq MwrYAguJmeq1carpDXje+g08W7IO+HZEtf70cCMLvSzq/TutKc2xNfT6uo3eS8mhYHtWu8uHeGIq iefk1PgE369swKOqr5vCbHejT4rSMszmS4h/CVGgsJZZKS1UwXWRg/9DHNWlSjfx45nlnMcq+DMq PBxmxvlyNk5+vRGxLOsW9DQo0fwsBBxS8OhC+oBEWcv2mKnPbpyglVW7REv5qO1TBQyi+E0YqPsd wRzOw1gIYxEy/HCJLDBBipyJ/KrKkg3Ys+VNqoOlDHOI9oZRiM36d6x8fCmK9EYWFvp+iiixa4AL 3DAB8F0Kwc4Cr+MJAPg3/KfCMvmLb3y1yqxrdOzgkklzLbCalXHetOwOzXXG/BZmzATPS48xoHQK y4VjQtJbj4vrB9aw9XNE0wOyXSbysbhSzk00o19C/ZXe+GA+bwsFP+O/AmXMOMkAx6Efiv7A6vTQ PvZ4gvC2ZrnkekgWPowV9M3TUwvCOY8gHItFQG1wcReZKiASeYMf0cX8acxvAMaDhLar+EH100ym WJYbX2aItYmlUSStayEbPEifvk5duMixQNxOFYUcvHykLUnfnnI3cI6NcqhjaiUszAXVBdHtoN3o WHSaKbY+hf6RZPi6++e3cMaNcn5FhGCMFh9GChHKvY/nSfn0eyTh0jaTEdvuG1rX/flG2uEK66ip L5/e/Oxuqr+cq9QSm65viOc92f6enaLIuaEiXbq49Fpspu5imwcLkuvZepIgvuK/x8AeYWcJFGDF qKJeJRFhOatyNTQMbY7/nx9sUPy+yT11UY8suc/N81r2kr4kj1E7F3ZTQNOvIFY7ovjJLo8osbNN Au3sAFo5PrPe1fHbSchW5DlHn6UVJPHFoXNL1zrlkeVJLeCiMTggeRVxHiYYdluVEykaHipU7otR Yro8Xk6gyBCfjQ9CImhGNyuWeknN4Ctg5EsjZLIP7hiIiAr6ef4AQZ2khchIYW0LqHJwRr830RV/ 4a5bRKTfRmjbgoC3grRMWcdsSXL+rQetHbnW/kbQx1MFNjxYcd0BsYUOVg0BzdwT9G7fyL2TU4wc 6VnmXGHeFSml9tuOxJ4oSS1rgrOtdGHRsI+nhBBD77cCpVvoqJASe2HtNTrjWxmLdHpBxGeBlMdr CsablidP84Y0g9PUdFXuX2BEING/ryYcZahq7ItVctOzB6LGfENYUhOMMgXlJXFpg+yXBB1Tsjl2 YiUOPrFk4cQw7GKuVN8owRSuoqTrW42Csqs/84iummoagQ8qCNSE4tb3fuNP53qgkly0eHoKDuqm Vrk5iwsqEl+6wkDjuo37PqwcGjhnwEpqhzpGuF5j7gopS9Z6ZEZ35pya/RLktGfeOjo+rl5be10T wTMR+tYr+piP1zJw9EUhX9JdXRVy0xXO7S0WBPNtHjSG7lagHRvFHVd3GDbRqUhJTVgL6wg6EEMS esEwJZNmKZ+c/sgbhNMre3rIKaveccQQC1a7TP/p6k2F3AGLXd34jNO6JlxCJJWqD0obIzSp6gan vJz2v6mnaBQ3eFpoD23YR78BwKmbZBZ0yWISvQCU3jB+98m7X7Le8iSVFDeVSdG+nqe/hRCpvM2B SMSdA9d/KMQS3aMip/xREb1p1J4KjM+qqWv424NI+u9Cz3RdTyNfotdyzDKHvQ2wGuCwBiAOcBSM sMo2MO4XpIwirbFqsBWWDqqSLGuVNlMkszKXN/r1ECv+DvMNARELmfanaS15vHT99ODDaUN24ySK uF25jM+TcezvOY/aUlSBTEryOqj2NhZCCDb/0pwdLdBna0kWYvQx++HZHEWIhYSkZri55v98o8iL xt1ic/7ya+RWoBQPM5Zs1aqArEORsIsm8Ma35cHzWg+Cwf951pnBpAfw8HGefLkRNf3ZNoZU8Bvx leAgmW5+tKJejGVHmIsRsKVUWS6nZMFJL4FKe0C4qbnsJfXP0zqbFz/+76MQ7piUSTfP1GrFXWAx HMBWelIKDvb4gg5i9+a1qLLi2VrgTgDiUNvUj6Yo+pLSxFwFAArmD32jiMvhx/jbSWRAy4yqc2JW JaJdufa2BflBiq3trCc/i/jDzBUuzEG/+DISWC1eSf34RWX9GbjFIr7TZIh+q5jAviGRgcspiW70 dS+vIfFvADjveo1eiZDBw1q/rPX9cskMXVhL5vxOMx6S3TQ+pjq5CC/fk9tpLpFOsmAA81EhF0fU /yoDzcaUvYOgcqH/e6jG01+cIFSQpTe9Pvt7pWq8TTZZCOAQYT6oNtL8ez7zWKNHcqteNHwSgm5G 1idaSzE4U3pUEa4HBjK4Nt1dKynAyczxk0KrYk6OHMhIo0LAd5QfqEYpRDgRhL1PKdFWVBVFKuFO zTZR71w5jZsXuVjvapzCHj6qKtsdgDs7gP1y/8qffN1RFBxZWNTOaDSjCzBkNNJKLX4hVp0rmPwA Iq19Thwrp9Ps2YMYVuZ2kMJEuAYZe7dMnNcbAi4vXuAmI2Npi3RUtsuZ8CoqawzDa8kkANtkF7X2 LeCBmlFxXzFhX0SpOkiMGfyKnycLSnNwFmB61ANbD8yxLv37VJuNtoZutYgsnAv3Cx20d/8ihOeH xktnMCoSyV3DsB4547eWBC1QrEBYY7QYZe1XlckLrEzCN8ZWl3p4e4ZVO+c60xpqH3hgoS1T9y29 yOd6PsjQwitIQlCUSYuhpnijT42nSPtGbezEvgCqgK4blZDXzNFtcOxnHmzE5MvKvMdOTU96a/QE WRvYp8aJUzl2t6gfoZF+BOL7f4V/R+i0NPxyknAWqCPsHzegSqUGrmkXECpvNhB/g6yCT05eC9Aj kKmvGDXLhsxUDeix4k5MvjRx1xKQjcMrVYgpvlYqNI/1orfd8y2+YqBmOXgzqFqrNoKQz18oKyZ0 5ghH4lmYVXDcQxrBf9kGB4F4k3kr1lSistPfSq4C2R2NK3hekQwbmukWVGcLumtRKe/4JMyD58UX jTQ6ZjecPkIUfbsk+7cSbUmqz+YA3QC0oYZ73qCKiiYTlOONaiX5ENwNLUfMf75Ns9sTIFfeJACq k1d+s8rRyqOCTAxbcNlB9azcm8EGRfPwQ1FNcxMCESgIW5oA/9dHlNoQ/Z7A1UgQ9p5g2rEh2caf U4GXNUH+GLV8iQKupmAv4m/GsAdLoNvAEC5blTkja+MZyNkZiIP8XVk/8aNzbpqNG7VPSQ5hzQxm emj1Mvy9vNYAasu4vgOXjX36pHjhCDG7jzq1PsXd//uP5oskfm92Ghgt9D22NUcpM48Fkpc6ZV6V tGoz1GZZvXuSst1XKsb0usHTo8rH2NOa2ub5o/Jh+QKKgNKhmxSxWcz9rJK/M4FnPvJI724UnudX /ZZBfYk/76vzWM8gqQQbTgg6QGxaxj8lyke2MEimKjZEx/SEVfMYeLmsDTG8jP1AHJInHnijzC6o CzZZuGH1drYv7W8T+XAk8YFWwheCEI8+lix/fWvqZPNy5GwCBjWixRUz8B4JisyxboPifYLJ/EsL t/ZY5nZnUF54dJPdmI6naMTy6QZsgabNwNCTugKNXZD8iZeGNwYdILMy06wQZR+w0pQP3ScxIizy 7a4mckNPp3q8XiIKDCq5Po6Bwc7o4kz4Wj0VzQaRkc/QfoceeDoN42atwQtWWKPXFLI/CSAilkXk wBAmOpRS4CCqsFLaLZgcMysbNbSg8tdj6r0yhOh4h5dJSatmJGxXv4U4JfZ4GMUwfDs61tWH1Uea +yzB01T+2BkIxkl7EqMjdmGOHTWVIHksEZ34ZYVfOcUw319IqdunlX5y7bLCtNPJ3JI3GNNfr/Dr 7Ix10nLSCOv0MhtVvzZ39QpqTGXpuVC8pwVNXN/WVbjBUqrOezU5+TNEG/bpuNDSrgDqOmahAPPv LxJIT+YnFB8YpuKtZO/s/v2iHbM44q8SFqpOZqoC1xvDIxjsOeu8XqTjfbkIKCVV1EaC3qvNLw5N Tnl6lxgxIWnrArMO1zFyxPwJf6NS7oQRc1L3V2d7bVeSzGJgyAgL6Vd7O6hqWZTL0JNL/ZnAfDnQ tLSt/y/42jTjJ7Jm9mXeGffzAPA0jLZoTSWC1WuEK8DQj/H32W+7hsEHPkKdWI5PC9TSKOVJG3j+ /nuzAvJDulM6QUbGchgjmboJk3njGInLo40ltE1Qf4iOCquNJmq6AkYAGSp91C7ht+kLhVQ4Ewry uIDMBPQr3/YRa5LfJ/a2itTKpOxPYvQDQbJp+U1Pva9mpaYBeVal+osDUyt+UgzcQHxyxSt9pmA1 q+kr33fHPoy49sBMKamfSUJQR2nsa85y1S7TvWM8ii+lw7Wh/TNLglr5SiPwjc1fJWEtqzXrTLPK CJM85qLslez4jaJ9LyVfy/Id2b60nYVwXpw1ZhxjiS77cqMaQk+g48L40i8UgTG+Ancpj934S5Ve yT0L/ZHs5r8kzBg+dNpWNw7bptChiOuaJQ43UGJpOs7HZMjC5uTtikFBLEakFFJ29W8NGGZfrpqQ 8/jbBfUn/BzZM/VtAJJHKcfu0Ynrh94m1sjJ3RKZ2svrjogQnxaQPUskQ88bm71vDXrHNGC2Da5G bODx9ug9lnshDwFmfsF0LF/YNLP5qduMf5Jv4Uk32jCua0BKJr3Fl9/QgbP3kt7gg1dC+4myz2s0 8MpaM4WhLn9W51FmqblqWXycR/8sb0KW0vozE/3Tmob3NwHzWDk6qysA2XrdrPmS22DOaXHsgyRP QSAQbvVtVp1q/8qcU5wW54KpQtw5BfduXTzFiGuiSrpqbip0sO6jxxl3ymaNnCNmGr19KQeSCka/ hp8COMzIJ+moJYfMNRPsSJId0WD8ywg0yk1MltdzzPAGHJ9tJN24uO5xoIw+CqzoMnxdxE8ZZwAf HX18cRcdUuSJ42XxTWOoMiyLsNH2wZTnNqWkLyB40XcTtZ6Hp4dZU01mo5V78bwTCeIvM3sgeGpx fHsTBODn+k2FGnulIX1YvcisSHhYbdFvKaw6pd/fLOIp6HBHOp7+9b88rZNW1l/QVzsbCeOWqjCT Lym35z/PqKPgQOBXFxJc9hHTpQ/f/tD63OSJesc0VzU5MGPMX/vpAKuXeUuLTh+aKY10SRVrdqvG qrQN/nbYhFJahCJYzfNSUiihSnGYFK/dluBniMwnTw7TxFq1TMFPzLNA8XhGGjTMDB7Sr0Vjirzm ID2EgCIwbFweBIsKDmHFx205u5b9S3ARFHPoSIxuojhcJTX8rkSjNWu/T19WrhnsE9ijxLcrqUvM jB5msqboqYENqztiE250yvM9pR1b+CKCOU+MpXVFikckmbFhYwTQdKAD0ZdtCCXmOMKBHxsttc2r SyScaxj5L9IhSe4YerO9GLCsIAcERfIMHmS1dtGGP3BJPzFyiijgoKpOErh2ATLosyzq0hvO5qj+ tarpO3kaCrnOYl+fNlYzYUCc0tbr8UF2q5qorjsst20Af0qo5iHDxjlpogv3aEZUfVSQCAUg0P5K h4OCQHzafANLDR+UqLTr5YGab0NSuwVbhU3+FV7HCTtALczuvZ+UrGWp6xxswpr0sA9FuWWdSgxf drk5o6kEoqwd45X3EDneaCR/hSfz9+mxBTbwEiGvf3nn9yjGk8p9plg7h+YxopqBzBSiPj3OI28V TjSbvquLLvVivnOT5r8WWtwgOGO3d3Zht2w0MZ7CHpSdK6h7ucoeMdy1lBRYSRkxwYVjqWI9M2DL EWbRBBPu7bf0uNkJY8+yzYOdCZ345SAnoSfCSB9e7EGZEf/pSPuYM2+hezZ95zEIBelVKRLhS/RF 8V+ms+KHYP0BwB7ghB03mN6kg60qNTb/YMlwOwtQcQ+l9YLvlyABoB8vSf32DRJjNxAFPtgr3fnC BjxsQE2/tmkKnpq2HFDIUW8NDHPbHhlxDqqbuhPcxQOIPMQ27hoc5NFYjLYyACRm+c9eZ1c7ajsc 2dpdVFhqaBlVZ73tnzvz0t483u8Atp0XOX12JX3/Wihs24bZfekfk8jBZ+OYphrGhImJRBipyVEj xv3aNAcGb/5D6VZ6HuGS/r5arIJwNPQ87CaQ14SMjMlSYybSHmBw6myd3PRZVRBwB232Ac0WxUpC UK9+7tpAxFoAvt7umZ2Id3y7vtdNtUHmgwC6jTGudZO2AQqzQaQMQhLVeYQtRd1gw3lQnV9HeBXd tn7ZPws01vFCVYIROnNxP7ZSp/P9FhQ61WdYTsPh6LDzL+IumvwF6rbqfxyO43snnAD4z+aly6SK GOs6OlLR7MZrWgza2TrHRhkwBxF1elBEtaZduhPwtpTXAjrvoVClj63KJWyXd8wsx5wZ6VQQCv5X WjurORr4iWh0cgiGBaPKBet904qPlqIRJLiXYqsJIupXgLp4qgMKjZ5ReSHmFq1FBlKmu4tTv3J7 nyi3NZp9e/8130/33TpODE7cEGyzYRYxWifXSwpfINRU3xxy6NoKkreindew43VhRSOcIDy+DDw5 d33VMvJ5d+CyzbkorPY8/scIqd+Etz69Y/ZwBJKRRsZ6U55rjCKKMf2wOz5ZzLboyshv8pVftiHs XW3psO0JRDgkHjFzpVTSRIUcOVJHAo+IlQGJrg6L6fqdQKl9HLYWHNdqB/0TCel0FHNew77U5V00 /FAujWSmM2kB6UpeDex2Ra1NJJx8cF1AJ5UGzyV5O9JLgg2q9aDDXmhMlkREj4F0NpTxQSS9U3UZ fUbF8DXbwpF31yhmJVUYKsYGoJU1aow4dmzg1CzlGhA8Rw5NLNcQyP1rDHqmwRtH5dU0uivFGe+2 1y+/2eYY3s8CPJzC+fcrUtjjk2wGOnH04X3BBQGC/3EueDgZJryt70hdpof65aB1jU7V6CUw2WNl UGq8PlLC8lou+vhRg+jLOzhn1G28eeaq2+UViOaOVZZN6f2RpWst2dt9XLowMfys3eQGgNq9jHzv 65GBCxRIjp7NUX/IHxNU6QWzBkhATc3ckuqOYwdBocr3G3oJKONm4C86C6FHIZkVv/LHmSHPB3Cj QejmSpMQpCudvscA3iWN3uoROR4dVzDSzyFamlOxR2JhcQr82l3h4RgkTdYqR7iRJ2Zwd2Zt5g14 NXY/F0gKiEMHNghzxyIfFytHgUEkNaAr7XpHAk1G3fl/i1tpQ4etrZLt8VCEjo00LEgO8vt7W7wh iI4dUQDpc1NzgmQM4d93mswTzQukPhYQ5DPoHSfp3F7oo2/I1pRwrUQvwmY66FGiog+BsgJ5d0c6 7qbm+EAi8IFNEDrn6g2+zq97HtftXxkrTI8Tl6aExa/XUOcFgMvUK0HW1VfFid3fVUe++NmcEnAX okM3slzwrgx8y54h+GLjkKehkUQJG8KI//fQD6izDYCO11bdmOXXIp9gzklP2A/ZvrOG7kEzp7fF 8KxgVzier9E+1OcFVvuNbqSlGAwzOy7VNxE7440G3HYCzbFkrST/UqMsbpKGqKDY5KtmBdVXrnH4 9IBx7suE2Qp6ThPm8UmlCdluD5buqKzMKsHQCe1HesCe8PtgJU4h3aXanpz3WeV8kO7TcKER1mEI foaq2+ZSzPCNeTkuFJCH7FVz6tYmGDvhjUmuBxsAZFMWxKGR7spebbr8AqsONGjM8Uur2eXtCgEm jZcZRmKpClQtAbIBk5Oe+EIKxtMJ6f3fm19cjzEs+bH99Je1DL00x6cQBC4g8r9pJxmuPShUvgqx U1z8OHGWCoPibSsJgxGSO+QtSapXb+JzPyFzKuOnA1gV9Vv35pceC/GvY0XAuWbHNkmTpz0AFxXU fo5Rdlji/A65YeiAItGjVDXD0fWugYP0WqYPtOG+H92zfJ8OzGIKvlBJIN6vF+biaVFbsqNBQQ8Z sDo651O26uJQIVZBVweY1lpfc+8xrg2EEHT30ZoX0ofIBaBi4jom6pUVsjlAIBPv5YX2TSIgG6ps nuXPyiY1dbc9M876wEy1GAwW0w23qU+HNgAigA1Tln7D7tMF5LL4G2YGXsXzVGbF52aLj2LaXJzR JNyRxTkOT5XV/nZ56ACF9Jvqc1B6ofwKWhweoXQgU+zJTzc8wN/Nh3gzjEV45flKNegk5dG5sqSj s3mCQQEG8W6cdfy+vHe5UdGIjljXLL4N5RILh4xcY0y1DUB3sTfTma0t+Dx4A0JZcJyfV79WoJQu i44mSTS3ICQDFAgkgeLGxWA3ne3YV+xxCA5W+7jXjfFnCl94GwLA7drWsHCmRgroeRj3CC+t4aqA YUllj6ZOMlzyIt3rl7dgEukfz4POvUjf9euvun2IdL01n4O/LswdQj6gf4gld35FriLyUBKapFFz hQAYIeqkAvxbdTloUH7ONyUHYUFvDAGyqKLNUQyKpRVDkyZgojFt76U/QX2NmLjozcw9A34vtLge SANMAJ1oxX7A4B3T7BEeSle8Z9BJnwOKYXgSmo8QKHv/MaHmADFwsTkF2+WotHzENHVUeIzebaw0 f26VDAsHWwhA6IIv7b5ae741Ue+MeDX/83o+HQPzKoyHEbFTjsdNuen0XLBst1jrHcEWaGSv4pBH 73Iwiab2mkMuWo9rPtmXaNeptMUA9MYs5sOdDylJrM+AhhwasU25a+L6pKPwU2+j4Wf+gEtjT2Z/ sm38hN2PMGuiA5IOq1Mc3cYWzqfQswz2N77HMP/RUFGC4AxGoLWDLa2xiwhvgg9lFoQ5rqzrKvv2 wklYv35xlOolB0Hvda7XODmoQ6gRqQeckyD6rXItCZBwxxByHN2Tlp/3Le41TddIXo0cWmN8pDhC /W+ZQvGlgbgfG1r5lKvioQw/8M4CGgcqD5CTXErZy9fjv2v/M7onXVYBRhmVM4Z6kAlK2h8zBIpV c05B4Umqnk8ljciluKIJWqADVT3nLheDS8sB6SgCzPm5yE/keER0Y3Vy14MZi4o9oejxgBEYm9Q0 Vaco1UUi7nHWX63p9/6s9OFK07ZttuVdYRapVKd8d7XLMcdYIB2wO+r6Uk+T1pcIKsg8LElfYP4f pbfQ5SuzWCd2+hM3H9gw/DVh6TUs2SUdT/Rt/dDZctiXr2K0qWbEG6PXZTAbRphPMzAk9MMcvk6E FHrNVbVStU7PoXh/Qgg6EvsQJYhnHddkZj9fcNBGxFCdfv8LDrWVhil7HkscqjvyPhelxiOw3kox cUeFG02ITiqW6rKQDc2Ud7eC2HaromoPSH/2E0Qv1UnmwZFr7x9Me4GYS+sy/Qd9y7pqGqiTI1dF k2Nq14snV2Dq3PAFAMSvO2uH9ch0lE2nujuzIsvQ14hAsJjIEqhsyxIznri049WNJ/oBafgw6eQJ 1w0UbuoVxhQfiucy+c/n15/Xh29AP+TIdNEi/dLsJSAmaXmOt3FUpxGZRHMGZ5wfXmTvFjtQDcFR /QI7N2duuC2/ePpAyfX/d2jDWY1aoShGF+zVkUiBCUUsWUdyBN9K6D8xOdlRWAsjoiXrip+kKxzl 7j5HbihZel2fjmQm7s+idO4NfwtLJ7KFioDLsSn30jJZaJj+lhi2LcBwxC5HOtdwMAyG9La9zhC1 47d8xPXE0yeCaMxMum8QWrDf2F5AjNNNW0fiFlOXRPjuD3Keewyact3VbSipB5eowriQ4OkqAeXs gduPrgA2n7oFFFRQOC/7QJjnHRR6EL2eJmLpR8y51+vlD9uRj8O6CPjiuLGQR6XN1o/vp/OgyPsC W49MsG/6KmktyxHVQy3ORwbLvGCF1Jujc9W9uS7Bbg3hiOCWqDDnmtLFkaEBU5FFP9tczvkqfALV eERbQlYiQicOa09Gdfaada9BlHrhuaL0BcF9qVif1XH5quJZNu9uYSW98cn+ILhQ+D9c9ndezXbm yt0APaw6jScNw9k5uowW7VewU1chHEF/uvaVi+erfO9vcan5Zyb7IKlVIXY4Ap47CcLb1Zg+P5VZ wtLE2XBED8Kv6DXitVjcw0NrGqdp6GWpOnPQOJc6EV+/joRNFuu5Mgj8r0rRWuYOgnrxNYnHIG7Z d/LOI/v/muPWSZA0fCEYiO9PKpCRjzV8NRqvcbtAT4Xi+5AZ0iCuUdU4EEyCG5DnYFOSHLulIO2N m0zbcoIlS30SA6ztbYbzes8gqDXY3C8yjK0hBJsnMWXsrxMhU00amRux+GRgkKZT7KkXzTHIs5N0 MhTKIUA/amYhzoDLYY73yr0j/nPj10N3dtIxc6u0vSA7RVE8Bfb7S7+8L1pQLot3j0J+1crTRjBl dFb9Q1Zz2rR1mYZvZZ8Pzsh1Och1EYkdLwF+UkC/w5Xo1QS6IzjW8nDHYGTps41i8fE/26aUKuj3 qEFSsg5ipLGh4iOOqT+r52GxUdmWCt78vGC7t+Nt6pGSscveUZ9d51EPI8l0qqoCSHAjdLmrcoXl /B8VGHITMBGCRpmkjxqbQZoFWw2XbAPzIrrtvBoKHtkyirX5US2glggovRpmJAFfVjnPBCW9wi8h IXVaF3ZYEk9RhkT/HMkePYuDj00fi6GlRrgCH9Vx0dWw0eLG9VPXBtMjGm9aSU44bx8ZX6eW0Zxd UZFe0hOSkjQb4kVJB+oXZ/TwOcg16IWrsxyzBRA8j5U2FhCR4S7/KVWMmoSB+luIM2H3F2YRqG6v 0UtdGgmFalHuiK7a8Bw99qj7LXtKEUmHGuyKKI5EPDE7n8aimTkN3ldquLX65YSSM230kOsoFnEv lZnMlyP3YeaquDGTP02liYEvOiDP3tKDQBr2y3Xw9b+G3rZWWK5npqyp0aQ1lpDMDGsso6xg/55i YYkzJxmNsoWwrt6UN5Y4DZEzI24jrU+/lB6EeVsPTwnijgxVZXevO+5OO2zjnmtU8XdgIMoEd+s7 9Qn8AP/fPPyl2+s7f0rMYu4hgDlczuhOykXHE11JiUjDw3t7Cg7alzCOHYyLWwl/q4s8umAYjNqP nPuUqpQlZ2S7qGx6sGU/C/8f/j6yP2rZTbZ2OB9ehLWpgD/w+/6lfSJevsNOZtOItjFlmZefVfXn 7O1kXlyXHJZhWQwEfP8PnMbEcRkRkno2UKMiyUYr1v9SDUZkf+2LIG8iQc7cdxVzn5iXsikTKUqW r7ybFyW4gCPWFxIHT07dce5yyySpKt6CQzMV6LMu4SGgZlpcgBlbyDsNM7TmwmM3aKs4b/cGKps3 I3UNovp2ZjTuqG6We7ZQ1s32efJZU7wbIX+JXbmkp5tqaoqV0ttD240IuFctOMN5JbaOw5f3tqXp o3xQQ5DfUbY4QppTrdP6yupXg8it12/oJdD5cEAVyPSv3hZSgOpozqVMOmwpTzNqVGjDy8N9aR1j k/HaEE03W0LzcOKlMg0PdnL5AbrYyYKObT5+N4CkubbsomvLJsR1JlV2nnY4Je/ypa9Hb8GZerys 2JyaJWAvcEgB1m5ZB/Bzi7fiRw20uaD6Y6Q0/DleFi9dDwzmApu9A4hDe7Lxig6bdRLRYLeC61QH GkjSlVXxDefpdiY9h18vUkKVA7SVN5YTpr2CQ/oAM88ozuU5hIMiIo9n5JdV2j4yoImJX0TSQHlS 3iNNzRgpHJs6mJjOVgD4JwF/Pn6sAQK3GyVEXguwp0a3d6ZONoQWOdGmvi3XWlJk29NgGuXbmK0i FekPjTo4jX2kKmVfT8iClH7tmuLfJ+WHNGu798NFe35meDT+CKeh/pojjicV9gAyzFmcnVPLwirt XWZzfXsrkq1wHqy/vpIaguvGiCBT3+0Dzo0jE+SsmePHj1nDyklfFGIIwhGGXP2aE3iRdgPmerfV HZVoARhNgpIJSBR3F2CIkz3cR/hT+wqPy9S+hnldZzh4gYvsy0fVHe/rDMbPphQ2nzfhzDNX3q1w ZQx8V4E+9WUNXgV8NEMD521vXjSGB0rP6AaHWDphi+wk4OdQxX2/4PAX+LsIN1cr9CuYDgZbY8Wk vwhf5IJi2B1c9ZuQV8KwLqHzG68fZQ1lc17Hf7QdEK3xV3UlV8ZQ217S8odBsnLOY0seiHLTLZji 7358I+CNRzZxfxM8/09wYwOAeq/fqLsvx9aT/Zy3jtGzs51aDLfD5fUPjWuO8QrcawPhOWO3wQa+ ogf8kGeNGU6o33RPVyemMWuQAxltzlm+qFYia8Zif+xWQ+6rR3n1eeS3V5nZiFP/HPtsO2EHoE0M DjHI4xZ3iiWCmRhkQmNMuJQBOlgfCrCH9Tuu1r4HGan+0dTAY6sbiCFO9BBtnHavTQsIzdQnofid VBbOoQxFVl5rVJ8QbqWd9MdB4wDPHKofEDDKnyDpEFv0Pt/5bD48GYjDCK3Q+VcPbsDqmujmQ+5D 6xqSbbYUK3oQ6Cc6Ai2QpJVSbsF0Fl3S+58wSGagDZWcYaMqOVgN/se0dtAHupkUkA6Mo8X3sIkV B9k0TGirDoOhLRh05UtzockA3MK48xVc0G0yO8Y3mALyYB3hd8Yb8sJBekZhNU1nbXrNzY1CTlwW LfHT9lJ9IPEZvNP8+UWi5THl8bLsx1u6YELW+JT1+f9uCWAgJzf8F3u6UbnBGrU4IRoPNacERPIJ 9jvJ9jO8z50fCDnWx4BKnjQtYxSnUdM3RNBkSFmHDVFlIgnLKG4Gj1N9xojgXm+SCYZg8v5BUAsx 4IxTuB+IlqtllFYr/RwO3akz5LG1Z0IjfjtVVHC/jgTw/Zb3IeneBxzgFxEWEq3omUcZGRFpBUQb 82A1mq6i49BO+RmqvSGZl1tXEu32JP2AWYfvOtb7tTdEjvAGZkLXrxp7QN0Wihr91Q0Yxssf0pzP bRTYRCq191lb+mq5CMPfpThGwDux1IxuydDgv2acDy9Mendl3BFE19vgvAoACmWMb0jIdL7TowSG TjfbYxWQNuY3MZca/oIKNYwbRMN15xyCX1nWABJZSwbag7v5iKfL3QZTV/R0TrfXKk0VDN+6yaVu 1Ra/q8k5V2kHSZ1zqluUlOAK4Bm5PEDEDGpKCPH1VEBgbeEZu5wiCzCgcAt7x2rofsMd5fojb0es UseCjp8GNa+bbiUzaYeEYROAHDP/TxnB7SCNSGKzrrkzV20SpDDuPnnAzbs2O9z6GCN40KzW7/Gq 14xbyFFkC16SZSwnUXN4vVNtO1jCvt0imRg6Ko0+AJ0i6bLQRsq3KY7hutCDNxzMWfh/qc6EbmP8 6FncbfLQDX3t5CBExb03ZdKUmKEzS6ad6DZ6doTICONuXRpXr1exksL+XoRV+wETLIVWFFdLVDXV EzDBkv4RfzxKf2w+Z4Nad/U+VqHG6mUtIuddi6fZvOjTRkuXXV77GAewxXl8V4IxXOkKpppScgdp idYD6rX5XDNjFbDdFImXSgjMuIhywiV/fzq8oAmF2npCBpi2v1ZAce9GBrkl6h8q2VG+UuYN8aM3 cXS0AscR0MKnGMLRGqI3wBSqOklMs9LcpgJXHjkjIlK6mgApWYmPOyc+vWe/Ui+ITE5yKllF83wy 7Sk2STBCV/Xd7IkGLJj2NMk54Ni2MOh2QqCi9tJN9rDGHPKBMJH7MlFzzjmBqtwjP6vQ8t3FoOtd 2S+XgrmiT3LMS3zJe68BqgiJVEfMCkCK9zEQi9xF2i5N8mYQ+rEBGZYMYkMjz8m9vVsqdKf0ZaQV V7EF3lQYJP8yE8OjNqMyinUYU6aElsQsmEsv5SkGAsPIR5csMGFTDo8ZUvMVmxGbVgBu71A2hBnA 8OgEeexTivH13/phPASn8n4d6HBLyyhkPeVk6VT0mJMjN0BdEG2k31RWkO7edW6NTE09s0hwFWJ1 7BqEDQEZpFVB0GDiN/n+tnHp2jfSCw6QhwL5PIOR2+gPwHNJjiM86sto6SiEBDaEoXwFxj5uUiR6 oG6fVpntAFEW+Zb9xslPqhz0exAfu/AnYXbFoWeCU0O/Z167nm0QOPV5VU/N3thr1x158gwXg/wB pTy3/LXttt2pRGMJCWNstASyYw4dv/yF+2wOTBrScYbMST/S6TqqSOR+LI0XzRvarM7PO/oTOEhQ ZJv+12JRZ8yj8P3qdsmnjeH8BNb6ggR95sS+7HktZjyKHuvLZwE4REjV+m03TBE29i5hj8WCQQGD Kx+trSa+fKGRnxwGcOkdyBwhe6Mgo0EcmTWTO7cH1wXscSL8oO0XsJXFVOI75qaIJOyK1NkpG4te WC5WIByanDce28BVylAeUxTXIWn8VwubIcEj8DhVq6ADrtyn+mka0Ah9eZQWIpHcqG+sHHS9OZcm prhU9emWmQFgMMY7/aWFoE1XEjzmjereNUNUr79PBjENOnqiwU1j5P+c6APY/I7yIUNciUKP1h/T Bo7TV07ELwOD8rNYxzLro53yBuMJeUZak2I7Bz//0dp1L8SINJLKSs9oGVtadDWDdVXpUp1voFDV MH41oR1APX6CAkt65LWXZkVceTGWIgZGeoTFHIP29x3Hqw7mVLm/JBl/1eUYKFfsF/G1QyuKhxBJ eRXpJrSJE6mPasX4yaQ6wLaXsZvAIKZOp/jPaZ4liimEJhRqB5F/gS7y+BcEJQTPkP1J8WLzdLQ0 WB9iVXgZj2wcyYtYRiRcJ06NU2deeoXkM8Tc/rteTXAP0Ub4BMKMH1Xg+bxICZ0Qbso7+4ixSKeG gdAp11ga0oFdk3WtqGeq71uewG90+oMOg6RNO3KFt72n8UjL/NazB0chfqtU2oMMH53QBTLfRe+x iTsaC7/Z6oAhT6M2uwlTCNeKMEjGRMtXmmSpFilLvHjrKFXXPbAvf7TyDSCNdLuI1v+GRk1l662K y1jWD4HI+k+LsQTniPkqnfDeeE5BkQz5TowSggppu34zzZ2wlwDe0g80DJiU0NT0gHP1oDVHNvCw ol1B8xy85plZ86DhEpTD1HnsqxIS76+bCe/tnj9hFot3pl3EZv7Tru4kIyKXubcDen3lSBL1o1un zj/5mEAsAGcRsuiVllzNyqhxVRLHzlqj+TM3hYZu7YqggjPmC2Z0GfAJ35Nw1l74LXpJ3n8Jy0Ba tLstUE9kx8n29glwweUuQLQwwyIyXQgfISRcrRuTW3WhOufF3+5sob60W6BGzVuuyIvWKIuR35W9 uwPbkrFYdZ92UDRCb8ciEK1r5mpFMvG/0LGQj3D3qfmbOopYXRIFN8TtM0NM6U51rXQZmDdxoJYI gsIBAEW0CqLPsvKGt8/bV9M6IutTz+KtqEJ+cLpL8wP5p2NAdtNzS58D8vc/gojZHIb2QzbgMEkr Qr35MPUN3oj7QDtGGjad421QTu/ISSTNkfG9sNqv/AjZE5dKcuvOzQDMh6IQJhbv2V0A7cKRPieL CCfTeQkZegnC1XPEfli9FDwpIBcKRPMjEjshcOsC4BPO2GRclzbsuC3+tRBlET0eZu4U1pUl8c0s pQJz2RCrZeIUI2v473xO4TeYLKeIH94zM/xinde3Q/KhMOB40ZdZQV1kOKiOvty4aAgmmCGJVP3I XyWRJJTzxrUE+wliKw4O4nnbbrqhhBx9ukFUHyYpFQzdas1V0oXVwmNSKj4y5HF70CTAjzV3+oad aAELeettesYdZ0lSQTxV38aMWHqScwdL134uHZP29YPCH+mNCT0GwRVL9XQokl6Dn+MryzQJi8BW MwxjsD0JaesWSDqWOTHVXHUDzW+aeZWI42f/oEq9blyWGbQdiwOIko9ta3f44YTuycN42QlUc8pg 8rfO6DX8BkR9Py/UQqvSjDDXPsBCC87JDqDrZ3ZikmA55XFWzM7UB8UEUq/iligx7+d6lu14DshO uDQgyJAHYE2BUOZ6tCsLdL5Lh/38QMwbM5o5mLnp1AHkLy3pxveH6zYqyOReb/m/oGdfZGWLyssQ FSh9Ag+T2ViRr8vtf/aUyPeQ8z4I5Hidq079fuEk08AZf6l/Poei+9A8CbmjOyRud5kQIkn7MhBF JojbjgU0+slX+ACpsdmYx3GGQDlT1alAvdD7npHDrw+bNSTxenZnJI0q2Ivp147nrVXseb56UcM5 nTgLgUtpz1KKhyx4Acu527DNb2ov8Sh/EB6fI20LIbggJh9KbONmnany2fT+e/0ZlIJZyEh77Yeu y7Dgc6uXtVxImOFLpdjt71sqtOdfoyPTdFU8iuYqlGUivNpwtWqHR+ZGPS9+y/OrYz9zUKC69uo3 FppGoTlu9F9f/KSmcOu8iiyH/UG9qq/xfAu1nrjjSIWaBIm/XoRYJUWqM8IH1TJeOyqmuFqDV+BQ xG5ULKu7dDl/Z7JMGjU2m+7Xk2etJVRS5XzoT/56ZCEeUeJBTl+Ua6dOs6cs/yImt6w8S5CimLHE K/s8JY7MAwSGO96krT76uF82v8BW/nCOGuQSt9yZMyw9TDvrjn87O3GGVaeyCMV1l7ang10H4s3g NCjJQYYHN8N8ynoHAIsgzJTMLd9UwweXAI928SKXf0R3quUvT95uPZdxTR8Z2MRVFXXOBYy4c5ok iKT1PiJsiHrD/XKebmVwdu4f9T3TOA4YLXfcO3Py9vmvpVs/EJsgSgbo6IRcNHP0UdjwbzU62dYU hh6XVI+LbgpQY3pP0R5h0/GcghJrkged5e43K4OXRMZaSIJh5lEGvfrLro1WoyloFAGp2ckkfGF1 L9XCuQMSvyB/0Cnosq2apZ+CCni5lRm1PD460O1qowsQVV4gKvihJcxl6BPJlLjZr0wzMLuDObma 8A9RaEam8cvW066TAep6oeRczbiNwBFW+EeKKRHSIoN6eP4HljpjrfZnZgSLl1KmgIDGnFsmZ242 vrJJaR9HeiLME+fDvutY2WcA2DOPmHnMjOTVuGe8wsITUA0DD2H3/ovTjP2OY2ehoh6e077ToKba 57CIG3TAVJPXr+GSr9LMnSVeVbKDA0ygPpHrb/3f+nEu9DCUNg4tvVDVCpyUfBbysOV/+D179Rlr Cj77JqcZQlCypo8Joio1L9rzLZ5xrC99KKsSXICB/cGfOaoXyBPhVD0rKO1GPZu4fuM/Aj1mbnjJ Qh9W8W6dFuQCTVIBBrKjTIjCkR7fI8g0tumDfJHzG7vsUhuCyF+gtjZpm9RoyjqBSoU4R+DQZ6O2 qiKmzlox3TveUjX4LJUH5/uAPSyOU8R/Se0IP6skK2f5IaTjxE1+Nf4+BK9UUpshaIe6GaXpocZ0 2GHAc7xGLXNhlfLWR0wBHtt/+VS+u+KEs602hnV6PUcDmyJuMfQDRpUvkT38dQhD5UTjWicYFkRr 0tM6zKh4EbtHm4GZHReIloQk4HB/4q8wM6jGoSLKEeOhtNHwFjW28+Z/s8BH/MdHS5S7m0jdFMu9 fUAHXDuSQdkKVaOEN+28PiJLtKzPJSo6JftOH/2R9joUUycpldSdeeHs1UhS/IuqJIcPjEh+yjZO xWxoaQjhJzIaAw19tzjihzy1nPwzZ3HaDrcHgudHvlFNcr//wPKXZn+ybnR2ZHY/dqQSQRe7jDYa iXTYvrxAe1ZbW3JnecvonY0ABTZwRGbQxh2h2RthlDhrdFtiu/18RzvC8uoCQZkiLd0Ncj5K4xBu E1ZA+Guc27JvyuM1ljVY26RkIKfxmO8yhBsjniBmUKUkcpJUdvjaq3b6oWHzKb3wdPsF/eOg6WhX LCYIToDbFkttYeTiFNNHLLvQhJBP+YoMqq3zpHzelgIqb6PQDLuP6H2nNsZsCKcXr3gukzZNbFa3 SDPI6KRVdiY7tgDDvnheS3U1fBml8ET9/FS5f/YQF1iY0sgDJ08DWIfhg0A9voQnnbrOtPls2eEY /g0yRsDu7/q2MppwiB1sj7f9cQOPIcvlCMYT2+HjDdzCJoLZLi31ruR2LcK+ChYZfzlzLYFxN/oR z9KSG52wdwXOtg8nIsm6kMAd6lPOM/S//ipVcqKcYmN7UFRZ7gvKxZYxxm7pk0y5cnA1i1hGiLXF 217JRckUnlobIc4yhk7dTK5m9eW/0xofvi6R4lvG7/2nIskpo0fcM36jOI/pxx5NRuWrFB5eAk8n c2XwKB8YZA6bC4kghpAl+bFCfa/amzumuBzZzYEjYAi/hPU6/KQNh8vc6yfDlshyiaPHakNdhqDR pqh+c6DcpLJra00zVDUi4gdGDdKYrA+zzKwXROotB9AvJxMD/v520SFN7YqlS4rroqk8mteEQ/83 CxKJL/pp6j04EVckpj/2CrqrHdgArYMvef2aNdL19526EOOq3fuewYobYh3Tr4xButLMTQMhTYz0 jVWty2X7Y/CeGzNUp7sxVjj6Gp+LZDoSld7OPV8h5lOj85oxnDPSO86aI/Pnm7YgF2NLeu90LiIG ws84X70HeN1E3NpOBnnmTfGuCjsYwJ/t5bwh/zGxm/KRW+ELur/FDZLX6x6Tl2B75g1dFXX12jee 0GIkLT6pe6x8viQIJ4FiHjdPNIoAAu/Cg4dn0Rw7+Ne2iWv7oFjji14Ym4vJxvtQIhy6bWdhYeYm YP0dGel0vwfPe4dbt20XVnETXpVH+pIbEAwYXx0pEQrOzjIUR3Kl9XUWXowmhyV4Q7ObTbEPSWG+ SdpSXGOz9j8uIyXRoF4HiDFFEJmvw/cryxJYEE120mbB9xieZgrCjRfwloN6Uswov3WELtLfHgpX eIH2iCdJiC8GVL+7d5/7vdBE4YqqNuDXoUZephz8ct9hfclaPPn7LEzIH6E9vy55uvNAbSJwk4hq JuSmdoQEJunGgZ2J7qZ9Eb7NQfO0kZQ3uAVjEPHZd+WXQUaaC0cjv2orew71CoY0UqFeJAfrd4wA joYTl2G+AiE8L7JKbskY98TqA6KWL1og4F0O0H+Ye/vNMqmm3iZm2pBk9FgOLdf0EgDDRUMYB6Gk 1Hiz6OS2zNjHdBiSx4i4l24hljeguqSbRYOE22pGu33V+zhTofWyf+oLa4P6d88CGq87okK3+wJB HMSx2HtR5ZY5ShAPdo/24Jg0z5RdqOVqOqRfN/mAG0nsGggE9s3d8Gv99Hy89IKA844QAB/gTM/i tPKSdN+qUkAHvpOmBkD63fpcwMZh3z5QoIc8opS9J2+Eq5y1p15XLS2lhYMUoodeItRPIragARWR f+25PhLWBVCXZ6Z59SUJOk4AN6QvSlHNtGrSsb2sBtjSt2GbsepE5dgEE2jZ4ZxN+rVdDRkM73rb hpP/z/0i6Vv382NspKKNMvh1xWdGPVEY8vKlPvcHgrr4UekWNX6p2evyap2WwtfTHzOk8QFcu/vC HBKR5Rwj8eiCMrykizvfZWjnMin0Rizw8zR5VuzPOvloI+jgyYLd8091zkGjdJygl9qrytACV5a2 qU9nY5n9LYgPfF0q4MQcO3QsnrFVVUw9QcHhwJb6uIU5gy253cQtFJq2piB6xvR6PxE2xuFPmMjX cnfV5fo8+Fp3naYB0BEskj5imKE8y3i3ij0qCvAfSU1qmPQEskKKVUejNYP4xA1ow8HSpWjIYQ// euY9s0ndkNWp037OKiLKYWNambRnfjoOx0Qy2qVjYbmGjxopUMbnGYcqtAAQLyZQ3Odmiz/Iu1Rb mATqEYFEgP9K4nv1RIN9u/nEdG1dq4jerkIOtv7De0phQ5h6JeYj8DJyaup7rPYyBtRR4jRgKHe3 I4wNPNIlQN80schQAJ5cQBEBLIkWPM31VasUzE+gVTANpjT+jFHx10Qo/sPFVb1e+h/mSTbwn7KU QyUHQJy842S26EnznD0/Wrvf5Se5W+SfRGSXY6dWw1C6wN5d2K8us2FTZ2p1+xA2vsvjsVt9k/w5 9zb2qdG0sWNLncPTV10JhilxGzcnCRRkmVbW17JYUVKY8ljFrrxK0ybQYNyHPN91VrHSz9NndCXI fkuV5TzNdjbm93FyPcZtNaH4qPk1ldEjVh6dCFolFAJ7dojI02fWtPRHFQ+2fdcYriy533fSRLbN hlYFPSyFERdNMsd66KMHgsyD+NNul5H6x+Rv8BphxjG6DV9DlWNsi5tDsknMUYPWmcPhPH206sr5 Jfs0HRw3fkvMa+5G+gkToO2TQIobkP31f9PJgp7S6ZoAYaUH6gk5YZJHvph/bG01aWFG/SCkIJbb AEUvgo3yfMd7Z1BuYxfVs8Mt5HjEb4w5Zeti5Q16SPKwC0e9A0nu2AbQOt0mQkN5/OXKTdGnyeYg 5/+QaHZJTYrJbEkub2bdrVcY7Uzb9RImNkmNbpppqhh8MSIhEuTVmqffyz9NnGOqD63dv0kEtKSq zdYNzcrQySJCBfDaJZXQ65MPNVzMC1vcGMmLPNwGI085Hc7j/vtXbjYtkzftcdHFRbYgC39exvIC bP/QhFWOKeEoDaECr7vj2IPrv/7OPhuqv+H4VAU1z1uF4HQaJn5E7Del7wCBi7/YnzVrkguK/Tsv Z/1pQkYa8BbIXZXayKiwBi6SKIbXuwPGNpTSRAhMY3wiSpIdPzMHoRMh8JXS0VtPQP5RXhXz64rP mm5aGlQSjr6h9OTJEa01XuSYo1HkpNI1SnVP27pSJAVgUQWrL2A5iwbg2v5d6DyMgu0Tvc1K8Sxp wRmvZyaRby8Y+L0doOwHUBcop+7Mrn9LPWod/qsaid4ngVwJL0hPrLF1NJGl9eBuUiKallZyBZ50 rn/MtNjbg98LpFzhz4WEAVuUCdtjy7bRbofnQqvTU0pDIe/EoXLcdqzd/8ZUxg3BpmjMwGnp9HdF p9ACEHIrMLUKGMQGizwQr9dkb80Tfb0+8QbXUQDRohvvrkLWWLs3uzCUfYWewjADAcWKE90z `protect end_protected
apache-2.0
316d10a5e1d470fed45464d237208705
0.942822
1.842226
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/gen_NOR_bit.vhd
1
507
library ieee; use ieee.std_logic_1164.all; entity gen_NOR_bit is generic ( width : integer := 4 ); port ( input : std_logic_vector(width - 1 downto 0); output : out std_logic ); end gen_NOR_bit; architecture Behavior of gen_NOR_bit is begin P0 : process (input) variable result : std_logic; begin result := '1'; L1 : for n in width - 1 downto 0 loop if input(n) = '1' then result := '0'; exit L1; end if; end loop L1; output <= result; end process P0; end Behavior;
mit
2526d2a6b3276a8f80ff003e2db80487
0.633136
2.640625
false
false
false
false