repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
fabioperez/space-invaders-vhdl
spaceinvaders.vhd
1
14,384
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; library lib; use lib.controller.all; use lib.general.all; use lib.io.all; entity spaceinvaders is generic ( rx : integer := 160; -- H resolution ry : integer := 120; -- W resolution cpu_num : integer := ALIENS_PER_LINE; -- aliens per line (set in io.vhd) cpu_lines : integer := ALIEN_LINES; -- number of lines (set in io.vhd) py : integer := 110; -- alien_w : integer := 11; -- enemy width alien_h : integer := 8; -- enemy height player_w : integer := 13; -- player width player_h : integer := 6 -- player height ); port ( ------------------------ Clock Input ------------------------ CLOCK_24 : in STD_LOGIC_VECTOR (1 downto 0); -- 24 MHz CLOCK_50 : in STD_LOGIC; -- 50 MHz CLOCK_27 : in STD_LOGIC; -- 27 MHz ------------------------ Push Button ------------------------ KEY : in STD_LOGIC_VECTOR (3 downto 0); -- Pushbutton[3:0] ------------------------ 7-SEG Display ------------------------ HEX0 : out STD_LOGIC_VECTOR (6 downto 0); HEX1 : out STD_LOGIC_VECTOR (6 downto 0); HEX2 : out STD_LOGIC_VECTOR (6 downto 0); HEX3 : out STD_LOGIC_VECTOR (6 downto 0); ---------------------------- LED ---------------------------- LEDG : out STD_LOGIC_VECTOR (7 downto 0); -- LED Green[7:0] ------------------------ PS2 -------------------------------- PS2_DAT : inout STD_LOGIC; -- PS2 Data PS2_CLK : inout STD_LOGIC; -- PS2 Clock ------------------------ VGA -------------------------------- VGA_R, VGA_G, VGA_B : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); VGA_HS, VGA_VS : OUT STD_LOGIC ); end entity; architecture Behavior of spaceinvaders is --------------------------- CLK/RESET ------------------------------ signal clock_s,reset_s,reset: std_logic; SIGNAL state : GAME_STATE; ------------------------ PLAYER ---------------------------- signal move_s,shot_s,shot_e_s: std_logic; signal controls: std_logic_vector(2 downto 0); signal position_x_s: integer range 0 to rx; signal position_y_s: integer range 0 to ry; signal shot_y_s: integer range 0 to ry; signal shot_x_s: integer range 0 to rx; signal shot_r_s: std_logic; ------------------------ CPU -------------------------------- signal cpu_arr_x: pos_arr_xt; signal cpu_arr_y: pos_arr_yt; signal cpu_arr_e: std_logic_vector(cpu_num*cpu_lines-1 downto 0); signal cpu_arr_c: std_logic_vector(cpu_num*cpu_lines-1 downto 0); signal cpu_arr_m: std_logic_vector(cpu_num*cpu_lines-1 downto 0); signal cpu_arr_d: std_logic_vector(cpu_num*cpu_lines-1 downto 0); signal cpu_game_over: std_logic_vector(cpu_num*cpu_lines-1 downto 0); signal turn: std_logic; signal shot_enemy_y_s: integer range 0 to ry; signal shot_enemy_x_s: integer range 0 to rx; signal shot_enemy_e_s: std_logic; signal shot_enemy_r_s: std_logic; signal enemy_shooting: std_logic; signal clk_enemy_shoot: std_logic; signal player_death, player_reset : std_logic; signal player_death_by_alien : std_logic; signal player_exploding,pc_dead_delay : std_logic; type PC_STATE_TYPE is (ALIVE, EXPLODING, DEAD); signal pc_state : PC_STATE_TYPE; signal game_over,game_over_by_lives : std_logic; signal game_win : std_logic; ------------------------ HEX -------------------------------- signal hex_s: std_logic_vector(27 downto 0); signal rnd_s,cmb_s: integer; signal choosen_enemy : integer; type hex_arr_t is array(cpu_num-1 downto 0) of std_logic_vector(6 downto 0); signal hex0_arr,hex1_arr,hex2_arr,hex3_arr: hex_arr_t; signal lives : natural range 0 to 4 := 4; begin ---------------------------------------------------------------- -- Game reset ---------------------------------------------------------------- reset <= not(KEY(0)); -- Push Button 0 ---------------------------------------------------------------- ---------------------------------------------------------------- -- Keyboard control ---------------------------------------------------------------- control: kbd_input port map ( CLOCK_24(0), not(reset_s), KEY(1), PS2_DAT, PS2_CLK, SHOT_S, MOVE_S, CONTROLS ); ---------------------------------------------------------------- ---------------------------------------------------------------- -- VGA ---------------------------------------------------------------- vga: vga_module generic map ( rx, ry, cpu_num*cpu_lines ) port map ( CLOCK_27, NOT(reset), state, POSITION_X_S, POSITION_Y_S, player_exploding, SHOT_X_S, SHOT_Y_S, shot_enemy_x_s, shot_enemy_y_s, cpu_arr_e, cpu_arr_d, cpu_arr_x, cpu_arr_y, VGA_R, VGA_G, VGA_B, VGA_HS, VGA_VS ); ---------------------------------------------------------------- ---------------------------------------------------------------- -- Player ---------------------------------------------------------------- -- Player controller player: pc generic map ( clock_div => 1000000, res_x=>rx, res_y=>ry, aux_x=>player_w, aux_y=>player_h, pos_y=>py ) port map ( reset_s OR player_reset, move_s and not(player_exploding), controls(0), CLOCK_50, clock_s, position_x_s, position_y_s); -- Player shot pc_shooter: shot generic map ( clock_div => 500000, res_x=>rx, res_y=>ry, aux_x=>player_w, aux_y=>player_h ) port map (CLOCK_50, reset_s or shot_r_s, shot_s and not(player_exploding), position_x_s, position_y_s,shot_e_s,shot_x_s,shot_y_s); -- Player dead sprite pc_delay: clock_counter generic map ( 18000000 ) port map ( CLOCK_27, pc_dead_delay ); process (pc_dead_delay) begin if reset_s = '1' or player_reset = '1' then player_exploding <= '0'; player_reset <= '0'; pc_state <= ALIVE; elsif player_death = '1' then player_exploding <= '1'; pc_state <= EXPLODING; elsif rising_edge(pc_dead_delay) then case pc_state is when ALIVE => when EXPLODING => pc_state <= DEAD; when DEAD => player_reset <= '1'; player_exploding <= '0'; end case; end if; end process; ---------------------------------------------------------------- ---------------------------------------------------------------- -- Aliens generator ---------------------------------------------------------------- -- Verify if any enemy reached one of the sides turn <= '0' when cpu_arr_m = (cpu_arr_m'range => '0') else '1'; -- Generate enemies generate_cpu: for i in 0 to (cpu_num*cpu_lines-1) generate cpu_x: cpu generic map ( res_x => rx, res_y => ry, pos_x => 15+(18*(i mod cpu_num)), pos_y => 15+10*(i/cpu_num), aux_x => alien_w, aux_y => alien_h, clock_div => 18000000 -- 18000000 ) port map (reset_s,cpu_arr_c(i),CLOCK_50,turn,cpu_arr_m(i),cpu_arr_e(i),cpu_arr_x(i),cpu_arr_y(i),cpu_arr_d(i),cpu_game_over(i)); collision_x: collisor generic map ( res_x=>rx, res_y=>ry, w=>alien_w, h=>alien_h, clock_div=>100 ) port map (CLOCK_27, cpu_arr_e(i) and shot_e_s, shot_x_s,cpu_arr_x(i),shot_y_s,cpu_arr_y(i),cpu_arr_c(i)); end generate; ---------------------------------------------------------------- ---------------------------------------------------------------- -- ALIEN SHOOTER ---------------------------------------------------------------- enemy_shot_clock: clock_counter generic map ( 27000000 ) port map ( CLOCK_27, clk_enemy_shoot ); -- Randomly select an alive enemy to shoot PROCESS (clk_enemy_shoot) BEGIN if rising_edge(clk_enemy_shoot) then choosen_enemy <= rnd_s; enemy_shooting <= cpu_arr_e(choosen_enemy); end if; end process; cpu_x_shooter: shot generic map ( clock_div => 1000000, -- 2500000 res_x=>rx, res_y=>ry, aux_x=>alien_w, aux_y=>0, flag_up=>'0' ) port map (CLOCK_50, reset_s OR shot_enemy_r_s, enemy_shooting, cpu_arr_x(choosen_enemy), cpu_arr_y(choosen_enemy),shot_enemy_e_s,shot_enemy_x_s,shot_enemy_y_s); -- ALIEN SHOOT COLLISION WITH PLAYER collision_x: collisor generic map ( res_x=>rx, res_y=>ry, w=>player_w, h=>player_h, clock_div=>100 ) port map (CLOCK_27, shot_enemy_e_s, shot_enemy_x_s, position_x_s, shot_enemy_y_s, position_y_s, shot_enemy_r_s); shot_r_s <= '0' when cpu_arr_c = (cpu_arr_c'range => '0') else '1'; ---------------------------------------------------------------- ---------------------------------------------------------------- -- GAME STATE MACHINE ---------------------------------------------------------------- spaceinvaders_fsm: PROCESS (reset,CLOCK_27) BEGIN IF reset = '1' THEN reset_s <= '1'; state <= START; ELSIF rising_edge(CLOCK_27) THEN CASE state IS WHEN START => reset_s <= '0'; IF controls(1) = '1' THEN reset_s <= '1'; state <= PLAYING; END IF; WHEN PLAYING => reset_s <= '0'; IF game_over = '1' THEN state <= GAME_OVER_STATE; ELSIF game_win = '1' THEN state <= WIN; END IF; WHEN GAME_OVER_STATE | WIN => IF controls(1) = '1' THEN reset_s <= '1'; state <= PLAYING; END IF; END CASE; END IF; END PROCESS; ---------------------------------------------------------------- ---------------------------------------------------------------- -- Live system ---------------------------------------------------------------- -- Death verification player_death_by_alien <= '0' when cpu_game_over = (cpu_game_over'range => '0') else '1'; player_death <= shot_enemy_r_s; -- lives: asynchronous reverse counter process (player_death, reset_s) begin if reset_s = '1' then lives <= 4; elsif rising_edge(player_death) then lives <= lives - 1; end if; end process; -- Game win verification game_win <= '1' when cpu_arr_e = (cpu_arr_e'range => '0') else '0'; -- Game over verification game_over_by_lives <= '1' when lives = 0 else '0'; -- game over when lives = 0 game_over <= (player_death_by_alien OR game_over_by_lives) and not (player_exploding); -- game over when aliens reach player -- Lives counter (shown in LEDS) with lives select LEDG(0) <= '0' when 0 | 1, '1' when others; with lives select LEDG(1) <= '0' when 0 | 1 | 2, '1' when others; with lives select LEDG(2) <= '1' when 4, '0' when others; ---------------------------------------------------------------- ---------------------------------------------------------------- -- Random number generator ---------------------------------------------------------------- rnd: random_gen generic map ( cpu_num*cpu_lines ) port map ( clk_enemy_shoot, clock_50, rnd_s); ---------------------------------------------------------------- -- Score system ---------------------------------------------------------------- show_score: score port map(shot_r_s, reset_s, hex_s); -- shot_r_s as clock HEX0 <= hex_s(6 downto 0); HEX1 <= hex_s(13 downto 7); HEX2 <= hex_s(20 downto 14); HEX3 <= hex_s(27 downto 21); ---------------------------------------------------------------- end architecture;
mit
ea47b45e430fc3961707bd9b13088af6
0.374166
4.406863
false
false
false
false
PsiStarPsi/firmware-ethernet
Ethernet/General/sim/IPv4Test.vhd
1
12,282
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 00:36:20 08/28/2015 -- Design Name: -- Module Name: C:/Users/Kurtis/Google Drive/mTC/svn/src/Ethernet/General/sim/IPv4Test.vhd -- Project Name: ethernet -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: IPv4Tx -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.UtilityPkg.all; use work.GigabitEthPkg.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY IPv4Test IS END IPv4Test; ARCHITECTURE behavior OF IPv4Test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT IPv4Tx PORT( ethTxClk : IN std_logic; ethTxRst : IN std_logic; ipPacketLength : IN std_logic_vector(15 downto 0); ipPacketId : IN std_logic_vector(15 downto 0); ipMoreFragments : IN std_logic; ipFragOffset : IN std_logic_vector(12 downto 0); ipProtocol : IN std_logic_vector(7 downto 0); ipSrcAddr : IN IpAddrType; ipDstAddr : IN IpAddrType; ipData : IN std_logic_vector(31 downto 0); ipDataValid : IN std_logic; ipDataReady : OUT std_logic; ethTxDataIn : OUT std_logic_vector(7 downto 0); ethTxDataValid : OUT std_logic; ethTxDataLastByte : OUT std_logic; ethTxDataReady : IN std_logic ); END COMPONENT; --Inputs signal ethTxClk : std_logic := '0'; signal ethTxRst : std_logic := '0'; signal ipPacketLength : std_logic_vector(15 downto 0) := x"0020"; signal ipPacketId : std_logic_vector(15 downto 0) := x"A5B6"; signal ipMoreFragments : std_logic := '0'; signal ipFragOffset : std_logic_vector(12 downto 0) := (others => '0'); signal ipProtocol : std_logic_vector(7 downto 0) := IPV4_PROTO_UDP_C; signal ipSrcAddr : IpAddrType := IP_ADDR_DEFAULT_C; signal ipDstAddr : IpAddrType := (3 => x"c0", 2 => x"a8", 1 => x"01", 0 => x"02"); signal ipData : std_logic_vector(31 downto 0) := (others => '0'); signal ipDataValid : std_logic := '0'; signal ethTxDataReady : std_logic := '1'; --Outputs signal ipDataReady : std_logic; signal ethTxDataIn : std_logic_vector(7 downto 0); signal ethTxDataValid : std_logic; signal ethTxDataLastByte : std_logic; -- UDP signals signal udpData : slv(31 downto 0); signal udpDataValid : sl; signal udpDataReady : sl; signal udpLength : slv(15 downto 0); signal udpReq : sl; signal udpAck : sl; -- Signals for ARP interfacing signal arpTxSenderMac : MacAddrType; signal arpTxSenderIp : IpAddrType; signal arpTxTargetMac : MacAddrType; signal arpTxTargetIp : IpAddrType; signal arpTxOp : slv(15 downto 0); signal arpTxReq : sl; signal arpTxAck : sl; signal arpRxOp : slv(15 downto 0) := x"0001"; signal arpRxSenderMac : MacAddrType := (5 => x"08", 4 => x"00", 3 => x"27", 2 => x"C9", 1 => x"88", 0 => x"19"); signal arpRxSenderIp : IpAddrType := (3 => x"C0", 2 => x"A8", 1 => x"01", 0 => x"02"); signal arpRxTargetMac : MacAddrType := MAC_ADDR_DEFAULT_C; signal arpRxTargetIp : IpAddrType := IP_ADDR_DEFAULT_C; signal arpRxValid : sl; signal macTxData : EthMacDataType; -- User Data signals signal userData : slv(31 downto 0); signal userDataValid : sl; signal userDataLast : sl := '0'; signal userDataReady : sl; -- Clock period definitions constant ethTxClk_period : time := 8 ns; BEGIN U_EthTx : entity work.EthTx port map ( -- 125 MHz clock and reset ethClk => ethTxClk, ethRst => ethTxRst, -- Addressing macAddr => MAC_ADDR_DEFAULT_C, -- Connection to GT macData => macTxData, -- Connection to upper level ARP arpTxSenderMac => arpTxSenderMac, arpTxSenderIp => arpTxSenderIp, arpTxTargetMac => arpTxTargetMac, arpTxTargetIp => arpTxTargetIp, arpTxOp => arpTxOp, arpTxReq => arpTxReq, arpTxAck => arpTxAck, -- Connection to IPv4 interface ipTxData => ethTxDataIn, ipTxDataValid => ethTxDataValid, ipTxDataLastByte => ethTxDataLastByte, ipTxDataReady => ethTxDataReady ); -- ARP requester ---------------------------- -- Higher level protocols -- ---------------------------- -- ARP : respond to ARP requests based on our IPs U_ArpResponder : entity work.ArpResponder port map ( -- 125 MHz ethernet clock in ethClk => ethTxClk, ethRst => ethTxRst, -- Local MAC/IP settings macAddress => MAC_ADDR_DEFAULT_C, ipAddresses => (others => IP_ADDR_DEFAULT_C), -- Connection to ARP RX arpRxOp => arpRxOp, arpRxSenderMac => arpRxSenderMac, arpRxSenderIp => arpRxSenderIp, arpRxTargetMac => arpRxTargetMac, arpRxTargetIp => arpRxTargetIp, arpRxValid => arpRxValid, -- Connection to ARP TX arpTxSenderMac => arpTxSenderMac, arpTxSenderIp => arpTxSenderIp, arpTxTargetMac => arpTxTargetMac, arpTxTargetIp => arpTxTargetIp, arpTxOp => arpTxOp, arpTxReq => arpTxReq, arpTxAck => arpTxAck ); -- Instantiate the Unit Under Test (UUT) U_IPV4TX : IPv4Tx port map ( ethTxClk => ethTxClk, ethTxRst => ethTxRst, ipPacketLength => ipPacketLength, ipPacketId => ipPacketId, ipMoreFragments => ipMoreFragments, ipFragOffset => ipFragOffset, ipProtocol => ipProtocol, ipSrcAddr => ipSrcAddr, ipDstAddr => ipDstAddr, ipData => ipData, ipDataValid => ipDataValid, ipDataReady => ipDataReady, ethTxDataIn => ethTxDataIn, ethTxDataValid => ethTxDataValid, ethTxDataLastByte => ethTxDataLastByte, ethTxDataReady => ethTxDataReady ); U_UdpTxFragmenter : entity work.UdpTxFragmenter port map ( -- 125 MHz ethernet clock in ethTxClk => ethTxClk, ethTxRst => ethTxRst, -- Header data ipPacketLength => ipPacketLength, ipPacketId => ipPacketId, ipMoreFragments => ipMoreFragments, ipFragOffset => ipFragOffset, ipProtocol => ipProtocol, -- User data to be sent udpData => udpData, udpDataValid => udpDataValid, udpDataReady => udpDataReady, udpLength => udpLength, udpReq => udpReq, udpAck => udpAck, -- Interface to IPv4 frame block ipData => ipData, ipDataValid => ipDataValid, ipDataReady => ipDataReady ); U_UdpBufferTx : entity work.UdpBufferTx port map ( -- User clock and reset (for writes to FIFO) userClk => ethTxClk, userRst => ethTxRst, -- 125 MHz clock and reset (for reads from FIFO, interface to Eth blocks) ethTxClk => ethTxClk, ethTxRst => ethTxRst, -- User data interfaces userData => userData, userDataValid => userDataValid, userDataLast => userDataLast, userDataReady => userDataReady, -- UDP settings udpSrcPort => x"B00B", udpDstPort => x"ABBA", -- Inputs for calculating checksums ipSrcAddr => arpRxTargetIp, ipDstAddr => arpRxSenderIp, -- UDP fragmenter interfaces udpData => udpData, udpDataValid => udpDataValid, udpDataReady => udpDataReady, udpLength => udpLength, udpReq => udpReq, udpAck => udpAck ); U_TpGenTx : entity work.TpGenTx generic map ( NUM_WORDS_G => 1000 ) port map ( -- User clock and reset userClk => ethTxClk, userRst => ethTxRst, -- Connection to user logic userTxData => userData, userTxDataValid => userDataValid, userTxDataLast => userDataLast, userTxDataReady => userDataReady ); -- Clock process definitions ethTxClk_process : process begin ethTxClk <= '0'; wait for ethTxClk_period/2; ethTxClk <= '1'; wait for ethTxClk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. ethTxRst <= '1'; wait for 100 ns; ethTxRst <= '0'; wait for ethTxClk_period*10; -- insert stimulus here wait; end process; process(ethTxClk) variable arpCount : slv(31 downto 0) := x"00000000"; variable count : slv(31 downto 0) := x"00000000"; variable done : sl := '0'; begin if rising_edge(ethTxClk) then if ethTxRst = '1' then -- userData <= (others => '0') after 1 ns; -- userDataValid <= '0' after 1 ns; arpRxValid <= '0' after 1 ns; done := '0'; else if (arpCount = 10 or arpCount = 400) then arpRxValid <= '1' after 1 ns; else arpRxValid <= '0' after 1 ns; end if; arpCount := arpCount + 1; -- userDataLast <= '0' after 1 ns; if arpCount > 100 then if count < 1000 then if count = 999 then -- userDataLast <= '1' after 1 ns; end if; if userDataReady = '1' then count := count + 1; end if; else done := '1'; end if; -- userDataValid <= not(done) after 1 ns; -- userData <= count after 1 ns; else -- userDataValid <= '0'; end if; end if; end if; end process; -- process(ethTxClk) -- variable count : slv(31 downto 0) := x"00000000"; -- variable done : sl := '0'; -- begin -- if rising_edge(ethTxClk) then -- if ethTxRst = '1' then -- udpData <= (others => '0'); -- udpDataValid <= '0'; -- udpLength <= conv_std_logic_vector(8000,udpLength'length); -- arpRxValid <= '0'; -- done := '0'; -- else -- if (count = 2) then -- arpRxValid <= '1'; -- else -- arpRxValid <= '0'; -- end if; -- udpData <= count; -- udpDataValid <= not(done); -- udpReq <= not(done); -- if udpDataReady = '1' then -- count := count + 1; -- end if; -- if (udpAck = '1') then -- done := '1'; -- end if; -- end if; -- end if; -- end process; END;
lgpl-2.1
194305eb0ab3cf7e3cf9d14827bc6361
0.527194
4.788304
false
false
false
false
fabioperez/space-invaders-vhdl
lib/controllers/collisor.vhd
1
1,494
library ieee; use ieee.std_logic_1164.all; library lib; use lib.general.all; entity collisor is generic ( res_x : integer := 15; -- res_y : integer := 15; -- w : integer := 13; -- h : integer := 6; -- clock_div : integer := 100 ); port ( clock : in std_logic; enable_i : in std_logic; position_x1, position_x2 : in integer range 0 to res_x; position_y1, position_y2 : in integer range 0 to res_y; collision_o : out std_logic ); end entity; architecture behavior of collisor is signal clock_slow : std_logic; begin -- Divisor de clock clock_division: clock_counter generic map ( clock_div ) port map ( clock, clock_slow ); process(clock_slow, enable_i, position_x1, position_y1, position_x2, position_y2) begin if enable_i = '0' then collision_o <= '0'; elsif rising_edge(clock_slow) then collision_o <= '0'; if (position_x1 >= position_x2) and (position_x1 < position_x2 + w) and (position_y1 >= position_y2) and (position_y1 <= position_y2 + h) then collision_o <= '1'; end if; end if; end process; end architecture;
mit
8a60ce4966810eab5ad0ac5b7b2450ce
0.472557
3.782278
false
false
false
false
schelleg/pynq_tutorial
Pynq-Z1/vivado/pynq_tutorial/ip/trace_cntrl_1_2/hdl/vhdl/trace_cntrl_mul_32s_32s_32_7.vhd
4
3,069
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity trace_cntrl_mul_32s_32s_32_7_MulnS_0 is port ( clk: in std_logic; ce: in std_logic; a: in std_logic_vector(32 - 1 downto 0); b: in std_logic_vector(32 - 1 downto 0); p: out std_logic_vector(32 - 1 downto 0)); end entity; architecture behav of trace_cntrl_mul_32s_32s_32_7_MulnS_0 is signal tmp_product : std_logic_vector(32 - 1 downto 0); signal a_i : std_logic_vector(32 - 1 downto 0); signal b_i : std_logic_vector(32 - 1 downto 0); signal p_tmp : std_logic_vector(32 - 1 downto 0); signal a_reg0 : std_logic_vector(32 - 1 downto 0); signal b_reg0 : std_logic_vector(32 - 1 downto 0); attribute keep : string; attribute keep of a_i : signal is "true"; attribute keep of b_i : signal is "true"; signal buff0 : std_logic_vector(32 - 1 downto 0); signal buff1 : std_logic_vector(32 - 1 downto 0); signal buff2 : std_logic_vector(32 - 1 downto 0); signal buff3 : std_logic_vector(32 - 1 downto 0); signal buff4 : std_logic_vector(32 - 1 downto 0); begin a_i <= a; b_i <= b; p <= p_tmp; p_tmp <= buff4; tmp_product <= std_logic_vector(resize(unsigned(std_logic_vector(signed(a_reg0) * signed(b_reg0))), 32)); process(clk) begin if (clk'event and clk = '1') then if (ce = '1') then a_reg0 <= a_i; b_reg0 <= b_i; buff0 <= tmp_product; buff1 <= buff0; buff2 <= buff1; buff3 <= buff2; buff4 <= buff3; end if; end if; end process; end architecture; Library IEEE; use IEEE.std_logic_1164.all; entity trace_cntrl_mul_32s_32s_32_7 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of trace_cntrl_mul_32s_32s_32_7 is component trace_cntrl_mul_32s_32s_32_7_MulnS_0 is port ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; p : OUT STD_LOGIC_VECTOR); end component; begin trace_cntrl_mul_32s_32s_32_7_MulnS_0_U : component trace_cntrl_mul_32s_32s_32_7_MulnS_0 port map ( clk => clk, ce => ce, a => din0, b => din1, p => dout); end architecture;
bsd-3-clause
945dbb8f7da6a691986cc9c124b89177
0.548387
3.264894
false
false
false
false
schelleg/pynq_tutorial
Pynq-Z1/vivado/pynq_tutorial/ip/dvi2rgb_v1_6/src/dvi2rgb.vhd
3
11,118
------------------------------------------------------------------------------- -- -- File: dvi2rgb.vhd -- Author: Elod Gyorgy -- Original Project: HDMI input on 7-series Xilinx FPGA -- Date: 24 July 2015 -- ------------------------------------------------------------------------------- -- (c) 2015 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Purpose: -- This module connects to a top level DVI 1.0 sink interface comprised of three -- TMDS data channels and one TMDS clock channel. It includes the necessary -- clock infrastructure, deserialization, phase alignment, channel deskew and -- decode logic. It outputs 24-bit RGB video data along with pixel clock and -- synchronization signals. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.DVI_Constants.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity dvi2rgb is Generic ( kEmulateDDC : boolean := true; --will emulate a DDC EEPROM with basic EDID, if set to yes kRstActiveHigh : boolean := true; --true, if active-high; false, if active-low kAddBUFG : boolean := true; --true, if PixelClk should be re-buffered with BUFG kClkRange : natural := 2; -- MULT_F = kClkRange*5 (choose >=120MHz=1, >=60MHz=2, >=40MHz=3) kEdidFileName : string := "900p_edid.txt"; -- Select EDID file to use -- 7-series specific kIDLY_TapValuePs : natural := 78; --delay in ps per tap kIDLY_TapWidth : natural := 5); --number of bits for IDELAYE2 tap counter Port ( -- DVI 1.0 TMDS video interface TMDS_Clk_p : in std_logic; TMDS_Clk_n : in std_logic; TMDS_Data_p : in std_logic_vector(2 downto 0); TMDS_Data_n : in std_logic_vector(2 downto 0); -- Auxiliary signals RefClk : in std_logic; --200 MHz reference clock for IDELAYCTRL, reset, lock monitoring etc. aRst : in std_logic; --asynchronous reset; must be reset when RefClk is not within spec aRst_n : in std_logic; --asynchronous reset; must be reset when RefClk is not within spec -- Video out vid_pData : out std_logic_vector(23 downto 0); vid_pVDE : out std_logic; vid_pHSync : out std_logic; vid_pVSync : out std_logic; PixelClk : out std_logic; --pixel-clock recovered from the DVI interface SerialClk : out std_logic; -- advanced use only; 5x PixelClk aPixelClkLckd : out std_logic; -- advanced use only; PixelClk and SerialClk stable -- Optional DDC port DDC_SDA_I : in std_logic; DDC_SDA_O : out std_logic; DDC_SDA_T : out std_logic; DDC_SCL_I : in std_logic; DDC_SCL_O : out std_logic; DDC_SCL_T : out std_logic; pRst : in std_logic; -- synchronous reset; will restart locking procedure pRst_n : in std_logic -- synchronous reset; will restart locking procedure ); end dvi2rgb; architecture Behavioral of dvi2rgb is type dataIn_t is array (2 downto 0) of std_logic_vector(7 downto 0); type eyeSize_t is array (2 downto 0) of std_logic_vector(kIDLY_TapWidth-1 downto 0); signal aLocked, SerialClk_int, PixelClk_int, pLockLostRst: std_logic; signal pRdy, pVld, pDE, pAlignErr, pC0, pC1 : std_logic_vector(2 downto 0); signal pDataIn : dataIn_t; signal pEyeSize : eyeSize_t; signal aRst_int, pRst_int : std_logic; signal pData : std_logic_vector(23 downto 0); signal pVDE, pHSync, pVSync : std_logic; begin ResetActiveLow: if not kRstActiveHigh generate aRst_int <= not aRst_n; pRst_int <= not pRst_n; end generate ResetActiveLow; ResetActiveHigh: if kRstActiveHigh generate aRst_int <= aRst; pRst_int <= pRst; end generate ResetActiveHigh; -- Clocking infrastructure to obtain a usable fast serial clock and a slow parallel clock TMDS_ClockingX: entity work.TMDS_Clocking generic map ( kClkRange => kClkRange) port map ( aRst => aRst_int, RefClk => RefClk, TMDS_Clk_p => TMDS_Clk_p, TMDS_Clk_n => TMDS_Clk_n, aLocked => aLocked, PixelClk => PixelClk_int, -- slow parallel clock SerialClk => SerialClk_int -- fast serial clock ); -- We need a reset bridge to use the asynchronous aLocked signal to reset our circuitry -- and decrease the chance of metastability. The signal pLockLostRst can be used as -- asynchronous reset for any flip-flop in the PixelClk domain, since it will be de-asserted -- synchronously. LockLostReset: entity work.ResetBridge generic map ( kPolarity => '1') port map ( aRst => not aLocked, OutClk => PixelClk_int, oRst => pLockLostRst); -- Three data channel decoders DataDecoders: for iCh in 2 downto 0 generate DecoderX: entity work.TMDS_Decoder generic map ( kCtlTknCount => kMinTknCntForBlank, --how many subsequent control tokens make a valid blank detection (DVI spec) kTimeoutMs => kBlankTimeoutMs, --what is the maximum time interval for a blank to be detected (DVI spec) kRefClkFrqMHz => 200, --what is the RefClk frequency kIDLY_TapValuePs => kIDLY_TapValuePs, --delay in ps per tap kIDLY_TapWidth => kIDLY_TapWidth) --number of bits for IDELAYE2 tap counter port map ( aRst => pLockLostRst, PixelClk => PixelClk_int, SerialClk => SerialClk_int, RefClk => RefClk, pRst => pRst_int, sDataIn_p => TMDS_Data_p(iCh), sDataIn_n => TMDS_Data_n(iCh), pOtherChRdy(1 downto 0) => pRdy((iCh+1) mod 3) & pRdy((iCh+2) mod 3), -- tie channels together for channel de-skew pOtherChVld(1 downto 0) => pVld((iCh+1) mod 3) & pVld((iCh+2) mod 3), -- tie channels together for channel de-skew pAlignErr => pAlignErr(iCh), pC0 => pC0(iCh), pC1 => pC1(iCh), pMeRdy => pRdy(iCh), pMeVld => pVld(iCh), pVde => pDE(iCh), pDataIn(7 downto 0) => pDataIn(iCh), pEyeSize => pEyeSize(iCh) ); end generate DataDecoders; -- RGB Output conform DVI 1.0 -- except that it sends blank pixel during blanking -- for some reason video_data uses RBG packing pData(23 downto 16) <= pDataIn(2); -- red is channel 2 pData(7 downto 0) <= pDataIn(0); -- green is channel 1 pData(15 downto 8) <= pDataIn(1); -- blue is channel 0 pHSync <= pC0(0); -- channel 0 carries control signals too pVSync <= pC1(0); -- channel 0 carries control signals too pVDE <= pDE(0); -- since channels are aligned, all of them are either active or blanking at once -- Clock outputs SerialClk <= SerialClk_int; -- fast 5x pixel clock for advanced use only aPixelClkLckd <= aLocked; ---------------------------------------------------------------------------------- -- Re-buffer PixelClk with a BUFG so that it can reach the whole device, unlike -- through a BUFR. Since BUFG introduces a delay on the clock path, pixel data is -- re-registered here. ---------------------------------------------------------------------------------- GenerateBUFG: if kAddBUFG generate ResyncToBUFG_X: entity work.ResyncToBUFG port map ( -- Video in piData => pData, piVDE => pVDE, piHSync => pHSync, piVSync => pVSync, PixelClkIn => PixelClk_int, -- Video out poData => vid_pData, poVDE => vid_pVDE, poHSync => vid_pHSync, poVSync => vid_pVSync, PixelClkOut => PixelClk ); end generate GenerateBUFG; DontGenerateBUFG: if not kAddBUFG generate vid_pData <= pData; vid_pVDE <= pVDE; vid_pHSync <= pHSync; vid_pVSync <= pVSync; PixelClk <= PixelClk_int; end generate DontGenerateBUFG; ---------------------------------------------------------------------------------- -- Optional DDC EEPROM Display Data Channel - Bi-directional (DDC2B) -- The EDID will be loaded from the file specified below in kInitFileName. ---------------------------------------------------------------------------------- GenerateDDC: if kEmulateDDC generate DDC_EEPROM: entity work.EEPROM_8b generic map ( kSampleClkFreqInMHz => 200, kSlaveAddress => "1010000", kAddrBits => 7, -- 128 byte EDID 1.x data kWritable => false, kInitFileName => kEdidFileName) -- name of file containing init values port map( SampleClk => RefClk, sRst => '0', aSDA_I => DDC_SDA_I, aSDA_O => DDC_SDA_O, aSDA_T => DDC_SDA_T, aSCL_I => DDC_SCL_I, aSCL_O => DDC_SCL_O, aSCL_T => DDC_SCL_T); end generate GenerateDDC; end Behavioral;
bsd-3-clause
a2fc1529ffd83d57e0394b352091700d
0.606584
4.552826
false
false
false
false
fabioperez/space-invaders-vhdl
lib/io/kbd_input.vhd
1
3,269
LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY lib; USE lib.io.all; entity kbd_input is port ( clock_i : in std_logic; reset_i : in std_logic; hold_i : in std_logic; PS2_DAT : inout STD_LOGIC; -- PS2 Data PS2_CLK : inout STD_LOGIC; -- PS2 Clock shot_o : buffer std_logic; move_o : buffer std_logic; control_o : buffer std_logic_vector(2 downto 0) ); end; architecture struct of kbd_input is component kbdex_ctrl generic( clkfreq : integer ); port( ps2_data : inout std_logic; ps2_clk : inout std_logic; clk : in std_logic; en : in std_logic; resetn : in std_logic; lights : in std_logic_vector(2 downto 0); -- lights(Caps, Nun, Scroll) key_on : out std_logic_vector(2 downto 0); key_code : out std_logic_vector(47 downto 0) ); end component; signal CLOCKHZ, resetn : std_logic; signal keys : std_logic_vector(31 downto 0); signal control_s1, control_s2 : std_logic_vector(2 downto 0); signal lights : std_logic_vector(2 downto 0); begin resetn <= reset_i; kbd_ctrl : kbdex_ctrl generic map(24000) port map( PS2_DAT, PS2_CLK, clock_i, hold_i, resetn, lights, open, key_code(31 downto 0) => keys ); -- Clock divider process(clock_i) constant F_HZ : integer := 5; constant DIVIDER : integer := 24000000/F_HZ; variable count : integer range 0 to DIVIDER := 0; begin if rising_edge(clock_i) then if count < DIVIDER / 2 then CLOCKHZ <= '1'; else CLOCKHZ <= '0'; end if; if count = DIVIDER then count := 0; end if; count := count + 1; end if; end process; --------------------------------------------- -- MUX FOR KEYBOARD CONTROL -- -- NUMERIC KEYPAD: -- 4: Move left -- 5: Shoot -- 6: Move right -- SPACE: Shoot -- This component can handle two commands at -- the same time, such as a movement key and -- a shooting key. --------------------------------------------- -- MUX for the first pressed key with keys(15 downto 0) select control_s1 <= "001" when "0000000001110100", -- Right "010" when "0000000001110011", -- Shoot "010" when "0000000000101001", -- Shoot "100" when "0000000001101011", -- Left "000" when others; -- MUX for the second pressed key with keys(31 downto 16) select control_s2 <= "001" when "0000000001110100", -- Right "010" when "0000000001110011", -- Shoot "010" when "0000000000101001", -- Shoot "100" when "0000000001101011", -- Left "000" when others; shot_o <= control_s1(1) or control_s2(1); -- Shot move_o <= (control_s1(2) or control_s1(0)) xor (control_s2(2) or control_s2(0)); -- Movement control_o <= control_s1 xor control_s2; -- Controls end struct;
mit
5260562335c73822eb2afcb400ef181e
0.510248
3.624169
false
false
false
false
SLongofono/Senior_Design_Capstone
Demo/Ram2DdrXadc_RefComp/ipcore_dir/ddr/user_design/rtl/phy/mig_7series_v4_0_ddr_phy_top.vhd
1
111,054
--***************************************************************************** -- (c) Copyright 2008 - 2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 1.5 -- \ \ Application : MIG -- / / Filename : ddr_phy_top.vhd -- /___/ /\ Date Last Modified : $date$ -- \ \ / \ Date Created : Jan 31 2012 -- \___\/\___\ -- --Device : 7 Series --Design Name : DDR3 SDRAM --Purpose : Top level memory interface block. Instantiates a clock -- and reset generator, the memory controller, the phy and -- the user interface blocks. --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mig_7series_v4_0_ddr_phy_top is generic ( TCQ : integer := 100; -- Register delay (simulation only) DDR3_VDD_OP_VOLT : string := "135"; -- Voltage mode used for DDR3 AL : string := "0"; -- Additive Latency option BANK_WIDTH : integer := 3; -- # of bank bits BURST_MODE : string := "8"; -- Burst length BURST_TYPE : string := "SEQ"; -- Burst type CA_MIRROR : string := "OFF"; -- C/A mirror opt for DDR3 dual rank CK_WIDTH : integer := 1; -- # of CK/CK# outputs to memory CL : integer := 5; COL_WIDTH : integer := 12; -- column address width CS_WIDTH : integer := 1; -- # of unique CS outputs CKE_WIDTH : integer := 1; -- # of cke outputs CWL : integer := 5; DM_WIDTH : integer := 8; -- # of DM (data mask) DQ_WIDTH : integer := 64; -- # of DQ (data) DQS_CNT_WIDTH : integer := 3; -- = ceil(log2(DQS_WIDTH)) DQS_WIDTH : integer := 8; -- # of DQS (strobe) DRAM_TYPE : string := "DDR3"; DRAM_WIDTH : integer := 8; -- # of DQ per DQS MASTER_PHY_CTL : integer := 0; -- The bank number where master PHY_CONTROL resides LP_DDR_CK_WIDTH : integer := 2; -- Hard PHY parameters PHYCTL_CMD_FIFO : string := "FALSE"; -- five fields, one per possible I/O bank, 4 bits in each field, -- 1 per lane data=1/ctl=0 DATA_CTL_B0 : std_logic_vector(3 downto 0) := X"c"; DATA_CTL_B1 : std_logic_vector(3 downto 0) := X"f"; DATA_CTL_B2 : std_logic_vector(3 downto 0) := X"f"; DATA_CTL_B3 : std_logic_vector(3 downto 0) := X"f"; DATA_CTL_B4 : std_logic_vector(3 downto 0) := X"f"; -- defines the byte lanes in I/O banks being used in the interface -- 1- Used, 0- Unused BYTE_LANES_B0 : std_logic_vector(3 downto 0) := "1111"; BYTE_LANES_B1 : std_logic_vector(3 downto 0) := "0000"; BYTE_LANES_B2 : std_logic_vector(3 downto 0) := "0000"; BYTE_LANES_B3 : std_logic_vector(3 downto 0) := "0000"; BYTE_LANES_B4 : std_logic_vector(3 downto 0) := "0000"; -- defines the bit lanes in I/O banks being used in the interface. Each -- = 1 I/O bank = 4 byte lanes = 48 bit lanes. 1-Used, 0-Unused PHY_0_BITLANES : std_logic_vector(47 downto 0) := X"000000000000"; PHY_1_BITLANES : std_logic_vector(47 downto 0) := X"000000000000"; PHY_2_BITLANES : std_logic_vector(47 downto 0) := X"000000000000"; -- control/address/data pin mapping parameters CK_BYTE_MAP : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; ADDR_MAP : std_logic_vector(191 downto 0) := X"000000000000000000000000000000000000000000000000"; BANK_MAP : std_logic_vector(35 downto 0) := X"000000000"; CAS_MAP : std_logic_vector(11 downto 0) := X"000"; CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0) := X"00"; CKE_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; ODT_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; CKE_ODT_AUX : string := "FALSE"; CS_MAP : std_logic_vector(119 downto 0) := X"000000000000000000000000000000"; PARITY_MAP : std_logic_vector(11 downto 0) := X"000"; RAS_MAP : std_logic_vector(11 downto 0) := X"000"; WE_MAP : std_logic_vector(11 downto 0) := X"000"; DQS_BYTE_MAP : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; DATA0_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA1_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA2_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA3_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA4_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA5_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA6_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA7_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA8_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA9_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA10_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA11_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA12_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA13_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA14_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA15_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA16_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA17_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; MASK0_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000000000"; MASK1_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000000000"; -- This parameter must be set based on memory clock frequency -- It must be set to 4 for frequencies above 533 MHz?? (undecided) -- and set to 2 for 533 MHz and below PRE_REV3ES : string := "OFF"; -- Delay O/Ps using Phaser_Out fine dly nCK_PER_CLK : integer := 2; -- # of memory CKs per fabric CLK nCS_PER_RANK : integer := 1; -- # of unique CS outputs per rank ADDR_CMD_MODE : string := "1T"; -- ADDR/CTRL timing: "2T", "1T" BANK_TYPE : string := "HP_IO"; -- # = "HP_LP", "HR_LP", "DEFAULT" DATA_IO_PRIM_TYPE : string := "DEFAULT"; -- # = "HP_LP", "HR_LP", "DEFAULT" DATA_IO_IDLE_PWRDWN : string := "ON"; -- # = "ON" or "OFF" IODELAY_GRP : string := "IODELAY_MIG"; FPGA_SPEED_GRADE : integer := 1; IBUF_LPWR_MODE : string := "OFF"; -- input buffer low power option OUTPUT_DRV : string := "HIGH"; -- to calib_top REG_CTRL : string := "OFF"; -- to calib_top RTT_NOM : string := "60"; -- to calib_top RTT_WR : string := "120"; -- to calib_top tCK : integer := 2500; -- pS tRFC : integer := 110000; -- pS tREFI : integer := 7800000; -- pS DDR2_DQSN_ENABLE : string := "YES"; -- Enable differential DQS for DDR2 WRLVL : string := "OFF"; -- to calib_top DEBUG_PORT : string := "OFF"; -- to calib_top RANKS : integer := 4; ODT_WIDTH : integer := 1; ROW_WIDTH : integer := 16; -- DRAM address bus width SLOT_1_CONFIG : std_logic_vector(7 downto 0) := "00000000"; -- calibration Address. The address given below will be used for calibration -- read and write operations. CALIB_ROW_ADD : std_logic_vector(15 downto 0) := X"0000"; -- Calibration row address CALIB_COL_ADD : std_logic_vector(11 downto 0) := X"000"; -- Calibration column address CALIB_BA_ADD : std_logic_vector(2 downto 0) := "000"; -- Calibration bank address -- Simulation /debug options SIM_BYPASS_INIT_CAL : string := "OFF"; -- Parameter used to force skipping -- or abbreviation of initialization -- and calibration. Overrides -- SIM_INIT_OPTION, SIM_CAL_OPTION, -- and disables various other blocks --parameter SIM_INIT_OPTION = "SKIP_PU_DLY", -- Skip various init steps --parameter SIM_CAL_OPTION = "NONE", -- Skip various calib steps REFCLK_FREQ : real := 200.0; -- IODELAY ref clock freq (MHz) USE_CS_PORT : integer := 1; -- Support chip select output USE_DM_PORT : integer := 1; -- Support data mask output USE_ODT_PORT : integer := 1; -- Support ODT output RD_PATH_REG : integer := 0; -- optional registers in the read path -- to MC for timing improvement. -- =1 enabled, = 0 disabled IDELAY_ADJ : string := "ON"; -- ON: IDELAY-1, OFF: No change FINE_PER_BIT : string := "ON"; -- ON: Use per bit calib for complex rdlvl CENTER_COMP_MODE : string := "ON"; -- ON: use PI stg2 tap compensation PI_VAL_ADJ : string := "ON"; -- ON: PI stg2 tap -1 for centering TAPSPERKCLK : integer := 56; SKIP_CALIB : string := "FALSE"; -- skip calibration define POC_USE_METASTABLE_SAMP : string := "FALSE"; FPGA_VOLT_TYPE : string := "N" ); port ( clk : in std_logic; -- Fabric logic clock -- To MC, calib_top, hard PHY clk_div2 : in std_logic; -- mem_refclk divided by 2 for PI indec rst_div2 : in std_logic; -- reset in clk_div2 domain clk_ref : in std_logic; -- Idelay_ctrl reference clock -- To hard PHY (external source) freq_refclk : in std_logic; -- To hard PHY for Phasers mem_refclk : in std_logic; -- Memory clock to hard PHY pll_lock : in std_logic; -- System PLL lock signal sync_pulse : in std_logic; -- 1/N sync pulse used to -- synchronize all PHASERS mmcm_ps_clk : in std_logic; poc_sample_pd : in std_logic; error : in std_logic; -- Support for TG error detect rst_tg_mc : out std_logic; -- Support for TG error detect device_temp : in std_logic_vector(11 downto 0); tempmon_sample_en : in std_logic; dbg_sel_pi_incdec : in std_logic; dbg_sel_po_incdec : in std_logic; dbg_byte_sel : in std_logic_vector(DQS_CNT_WIDTH downto 0); dbg_pi_f_inc : in std_logic; dbg_pi_f_dec : in std_logic; dbg_po_f_inc : in std_logic; dbg_po_f_stg23_sel : in std_logic; dbg_po_f_dec : in std_logic; dbg_idel_down_all : in std_logic; dbg_idel_down_cpt : in std_logic; dbg_idel_up_all : in std_logic; dbg_idel_up_cpt : in std_logic; dbg_sel_all_idel_cpt : in std_logic; dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH-1 downto 0); rst : in std_logic; iddr_rst : in std_logic; slot_0_present : in std_logic_vector(7 downto 0); slot_1_present : in std_logic_vector(7 downto 0); -- From MC mc_ras_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mc_cas_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mc_we_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mc_address : in std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); mc_bank : in std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); mc_cs_n : in std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); mc_reset_n : in std_logic; mc_odt : in std_logic_vector(1 downto 0); mc_cke : in std_logic_vector(nCK_PER_CLK-1 downto 0); -- AUX - For ODT and CKE assertion during reads and writes mc_aux_out0 : in std_logic_vector(3 downto 0); mc_aux_out1 : in std_logic_vector(3 downto 0); mc_cmd_wren : in std_logic; mc_ctl_wren : in std_logic; mc_cmd : in std_logic_vector(2 downto 0); mc_cas_slot : in std_logic_vector(1 downto 0); mc_data_offset : in std_logic_vector(5 downto 0); mc_data_offset_1 : in std_logic_vector(5 downto 0); mc_data_offset_2 : in std_logic_vector(5 downto 0); mc_rank_cnt : in std_logic_vector(1 downto 0); -- Write mc_wrdata_en : in std_logic; mc_wrdata : in std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); mc_wrdata_mask : in std_logic_vector((2*nCK_PER_CLK*(DQ_WIDTH/8))-1 downto 0); idle : in std_logic; -- DDR bus signals ddr_addr : out std_logic_vector(ROW_WIDTH-1 downto 0); ddr_ba : out std_logic_vector(BANK_WIDTH-1 downto 0); ddr_cas_n : out std_logic; ddr_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0); ddr_ck : out std_logic_vector(CK_WIDTH-1 downto 0); ddr_cke : out std_logic_vector(CKE_WIDTH-1 downto 0); ddr_cs_n : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0); ddr_dm : out std_logic_vector(DM_WIDTH-1 downto 0); ddr_odt : out std_logic_vector(ODT_WIDTH-1 downto 0); ddr_ras_n : out std_logic; ddr_reset_n : out std_logic; ddr_parity : out std_logic; ddr_we_n : out std_logic; ddr_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0); ddr_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr_dqs : inout std_logic_vector(DQS_WIDTH-1 downto 0); psen : out std_logic; psincdec : out std_logic; psdone : in std_logic; calib_tap_req : out std_logic; calib_tap_load : in std_logic; calib_tap_addr : in std_logic_vector(6 downto 0); calib_tap_val : in std_logic_vector(7 downto 0); calib_tap_load_done : in std_logic; dbg_calib_top : out std_logic_vector(255 downto 0); dbg_cpt_first_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_cpt_second_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_cpt_tap_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_dq_idelay_tap_cnt : out std_logic_vector(5*DQS_WIDTH*RANKS-1 downto 0); dbg_phy_rdlvl : out std_logic_vector(255 downto 0); dbg_phy_wrcal : out std_logic_vector(99 downto 0); dbg_final_po_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0); dbg_final_po_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0); dbg_rd_data_edge_detect : out std_logic_vector(DQS_WIDTH-1 downto 0); dbg_rddata : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); dbg_rddata_valid : out std_logic; dbg_rdlvl_done : out std_logic_vector(1 downto 0); dbg_rdlvl_err : out std_logic_vector(1 downto 0); dbg_rdlvl_start : out std_logic_vector(1 downto 0); dbg_tap_cnt_during_wrlvl : out std_logic_vector(5 downto 0); dbg_wl_edge_detect_valid : out std_logic; dbg_wrlvl_done : out std_logic; dbg_wrlvl_err : out std_logic; dbg_wrlvl_start : out std_logic; dbg_wrlvl_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0); dbg_wrlvl_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0); dbg_phy_wrlvl : out std_logic_vector(255 downto 0); dbg_pi_phaselock_start : out std_logic; dbg_pi_phaselocked_done : out std_logic; dbg_pi_phaselock_err : out std_logic; dbg_pi_phase_locked_phy4lanes : out std_logic_vector(11 downto 0); dbg_pi_dqsfound_start : out std_logic; dbg_pi_dqsfound_done : out std_logic; dbg_pi_dqsfound_err : out std_logic; dbg_pi_dqs_found_lanes_phy4lanes : out std_logic_vector(11 downto 0); dbg_wrcal_start : out std_logic; dbg_wrcal_done : out std_logic; dbg_wrcal_err : out std_logic; dbg_poc : out std_logic_vector(1023 downto 0); -- FIFO status flags phy_mc_ctl_full : out std_logic; phy_mc_cmd_full : out std_logic; phy_mc_data_full : out std_logic; -- Calibration status and resultant outputs init_calib_complete : out std_logic; init_wrcal_complete : out std_logic; calib_rd_data_offset_0 : out std_logic_vector(6*RANKS-1 downto 0); calib_rd_data_offset_1 : out std_logic_vector(6*RANKS-1 downto 0); calib_rd_data_offset_2 : out std_logic_vector(6*RANKS-1 downto 0); phy_rddata_valid : out std_logic; phy_rd_data : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); ref_dll_lock : out std_logic; rst_phaser_ref : in std_logic; dbg_rd_data_offset : out std_logic_vector(6*RANKS-1 downto 0); dbg_phy_init : out std_logic_vector(255 downto 0); dbg_prbs_rdlvl : out std_logic_vector(255 downto 0); dbg_dqs_found_cal : out std_logic_vector(255 downto 0); dbg_pi_counter_read_val : out std_logic_vector(5 downto 0); dbg_po_counter_read_val : out std_logic_vector(8 downto 0); dbg_oclkdelay_calib_start : out std_logic; dbg_oclkdelay_calib_done : out std_logic; dbg_phy_oclkdelay_cal : out std_logic_vector(255 downto 0); dbg_oclkdelay_rd_data : out std_logic_vector(DRAM_WIDTH*16-1 downto 0); prbs_final_dqs_tap_cnt_r : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_prbs_first_edge_taps : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_prbs_second_edge_taps : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0) ); end entity; architecture arch_ddr_phy_top of mig_7series_v4_0_ddr_phy_top is -- function to OR the bits in a vectored signal function OR_BR (inp_var: std_logic_vector) return std_logic is variable temp: std_logic := '0'; begin for idx in inp_var'range loop temp := temp or inp_var(idx); end loop; return temp; end function; -- Calculate number of slots in the system function CALC_nSLOTS return integer is begin if (OR_BR(SLOT_1_CONFIG) = '1') then return (2); else return (1); end if; end function; function SIM_INIT_OPTION_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("SKIP_INIT"); elsif (SIM_BYPASS_INIT_CAL = "FAST" or SIM_BYPASS_INIT_CAL = "SIM_FULL") then return ("SKIP_PU_DLY"); else return ("NONE"); end if; end function; function SIM_CAL_OPTION_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("SKIP_CAL"); elsif (SIM_BYPASS_INIT_CAL = "FAST") then return ("FAST_CAL"); elsif (SIM_BYPASS_INIT_CAL = "SIM_FULL" or SIM_BYPASS_INIT_CAL = "SIM_INIT_CAL_FULL") then return ("FAST_WIN_DETECT"); else return ("NONE"); end if; end function; function CALC_WRLVL_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("OFF"); else return (WRLVL); end if; end function; function HIGHEST_BANK_W return integer is begin if (BYTE_LANES_B4 /= "0000") then return (5); elsif (BYTE_LANES_B3 /= "0000") then return (4); elsif (BYTE_LANES_B2 /= "0000") then return (3); elsif (BYTE_LANES_B1 /= "0000") then return (2); else return (1); end if; end function; function HIGHEST_LANE_B0_W return integer is begin if (BYTE_LANES_B0(3) = '1') then return (4); elsif (BYTE_LANES_B0(2) = '1') then return (3); elsif (BYTE_LANES_B0(1) = '1') then return (2); elsif (BYTE_LANES_B0(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_B1_W return integer is begin if (BYTE_LANES_B1(3) = '1') then return (4); elsif (BYTE_LANES_B1(2) = '1') then return (3); elsif (BYTE_LANES_B1(1) = '1') then return (2); elsif (BYTE_LANES_B1(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_B2_W return integer is begin if (BYTE_LANES_B2(3) = '1') then return (4); elsif (BYTE_LANES_B2(2) = '1') then return (3); elsif (BYTE_LANES_B2(1) = '1') then return (2); elsif (BYTE_LANES_B2(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_B3_W return integer is begin if (BYTE_LANES_B3(3) = '1') then return (4); elsif (BYTE_LANES_B3(2) = '1') then return (3); elsif (BYTE_LANES_B3(1) = '1') then return (2); elsif (BYTE_LANES_B3(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_B4_W return integer is begin if (BYTE_LANES_B4(3) = '1') then return (4); elsif (BYTE_LANES_B4(2) = '1') then return (3); elsif (BYTE_LANES_B4(1) = '1') then return (2); elsif (BYTE_LANES_B4(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_W return integer is begin if (HIGHEST_LANE_B4_W /= 0) then return (HIGHEST_LANE_B4_W+16); elsif (HIGHEST_LANE_B3_W /= 0) then return (HIGHEST_LANE_B3_W+12); elsif (HIGHEST_LANE_B2_W /= 0) then return (HIGHEST_LANE_B2_W+8); elsif (HIGHEST_LANE_B1_W /= 0) then return (HIGHEST_LANE_B1_W+4); else return (HIGHEST_LANE_B0_W); end if; end function; function N_CTL_LANES_B0 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B0(idx)) = '1' and BYTE_LANES_B0(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function N_CTL_LANES_B1 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B1(idx)) = '1' and BYTE_LANES_B1(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function N_CTL_LANES_B2 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B2(idx)) = '1' and BYTE_LANES_B2(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function N_CTL_LANES_B3 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B3(idx)) = '1' and BYTE_LANES_B3(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function N_CTL_LANES_B4 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B4(idx)) = '1' and BYTE_LANES_B4(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function CTL_BANK_B0 return std_logic is begin if ((not(DATA_CTL_B0(0)) = '1' and BYTE_LANES_B0(0) = '1') or (not(DATA_CTL_B0(1)) = '1' and BYTE_LANES_B0(1) = '1') or (not(DATA_CTL_B0(2)) = '1' and BYTE_LANES_B0(2) = '1') or (not(DATA_CTL_B0(3)) = '1' and BYTE_LANES_B0(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_B1 return std_logic is begin if ((not(DATA_CTL_B1(0)) = '1' and BYTE_LANES_B1(0) = '1') or (not(DATA_CTL_B1(1)) = '1' and BYTE_LANES_B1(1) = '1') or (not(DATA_CTL_B1(2)) = '1' and BYTE_LANES_B1(2) = '1') or (not(DATA_CTL_B1(3)) = '1' and BYTE_LANES_B1(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_B2 return std_logic is begin if ((not(DATA_CTL_B2(0)) = '1' and BYTE_LANES_B2(0) = '1') or (not(DATA_CTL_B2(1)) = '1' and BYTE_LANES_B2(1) = '1') or (not(DATA_CTL_B2(2)) = '1' and BYTE_LANES_B2(2) = '1') or (not(DATA_CTL_B2(3)) = '1' and BYTE_LANES_B2(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_B3 return std_logic is begin if ((not(DATA_CTL_B3(0)) = '1' and BYTE_LANES_B3(0) = '1') or (not(DATA_CTL_B3(1)) = '1' and BYTE_LANES_B3(1) = '1') or (not(DATA_CTL_B3(2)) = '1' and BYTE_LANES_B3(2) = '1') or (not(DATA_CTL_B3(3)) = '1' and BYTE_LANES_B3(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_B4 return std_logic is begin if ((not(DATA_CTL_B4(0)) = '1' and BYTE_LANES_B4(0) = '1') or (not(DATA_CTL_B4(1)) = '1' and BYTE_LANES_B4(1) = '1') or (not(DATA_CTL_B4(2)) = '1' and BYTE_LANES_B4(2) = '1') or (not(DATA_CTL_B4(3)) = '1' and BYTE_LANES_B4(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_W return std_logic_vector is variable ctl_bank_var : std_logic_vector(2 downto 0); begin if (CTL_BANK_B0 = '1') then ctl_bank_var := "000"; elsif (CTL_BANK_B1 = '1') then ctl_bank_var := "001"; elsif (CTL_BANK_B2 = '1') then ctl_bank_var := "010"; elsif (CTL_BANK_B3 = '1') then ctl_bank_var := "011"; elsif (CTL_BANK_B4 = '1') then ctl_bank_var := "100"; else ctl_bank_var := "000"; end if; return (ctl_bank_var); end function; function ODD_PARITY (inp_var : std_logic_vector) return std_logic is variable tmp : std_logic := '0'; begin for idx in inp_var'range loop tmp := tmp XOR inp_var(idx); end loop; return tmp; end ODD_PARITY; -- Calculate number of slots in the system constant nSLOTS : integer := CALC_nSLOTS; constant CLK_PERIOD : integer := tCK * nCK_PER_CLK; -- Parameter used to force skipping or abbreviation of initialization -- and calibration. Overrides SIM_INIT_OPTION, SIM_CAL_OPTION, and -- disables various other blocks depending on the option selected -- This option should only be used during simulation. In the case of -- the "SKIP" option, the testbench used should also not be modeling -- propagation delays. -- Allowable options = {"NONE", "SIM_FULL", "SKIP", "FAST"} -- "NONE" = options determined by the individual parameter settings -- "SIM_FULL" = skip power-up delay. FULL calibration performed without -- averaging algorithm turned ON during window detection. -- "SKIP" = skip power-up delay. Skip calibration not yet supported. -- "FAST" = skip power-up delay, and calibrate (read leveling, write -- leveling, and phase detector) only using one DQS group, and -- apply the results to all other DQS groups. constant SIM_INIT_OPTION : string := SIM_INIT_OPTION_W; constant SIM_CAL_OPTION : string := SIM_CAL_OPTION_W; constant WRLVL_W : string := CALC_WRLVL_W; constant HIGHEST_BANK : integer := HIGHEST_BANK_W; -- constant HIGHEST_LANE_B0 = HIGHEST_LANE_B0_W; -- constant HIGHEST_LANE_B1 = HIGHEST_LANE_B1_W; -- constant HIGHEST_LANE_B2 = HIGHEST_LANE_B2_W; -- constant HIGHEST_LANE_B3 = HIGHEST_LANE_B3_W; -- constant HIGHEST_LANE_B4 = HIGHEST_LANE_B4_W; constant HIGHEST_LANE : integer := HIGHEST_LANE_W; constant N_CTL_LANES : integer := N_CTL_LANES_B0 + N_CTL_LANES_B1 + N_CTL_LANES_B2 + N_CTL_LANES_B3 + N_CTL_LANES_B4; -- Assuming Ck/Addr/Cmd and Control are placed in a single IO Bank -- This should be the case since the PLL should be placed adjacent -- to the same IO Bank as Ck/Addr/Cmd and Control constant CTL_BANK : std_logic_vector(2 downto 0):= CTL_BANK_W; function CTL_BYTE_LANE_W return std_logic_vector is variable ctl_byte_lane_var: std_logic_vector(7 downto 0); begin if (N_CTL_LANES = 4) then ctl_byte_lane_var := "11100100"; elsif (N_CTL_LANES = 3 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1'))) then ctl_byte_lane_var := "00100100"; elsif (N_CTL_LANES = 3 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00110100"; elsif (N_CTL_LANES = 3 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00111000"; elsif (N_CTL_LANES = 3 and (((not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00111001"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1'))) then ctl_byte_lane_var := "00000100"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00001100"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00001110"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1') or ((not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1') or ((not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1') or ((not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1') or ((not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1'))) then ctl_byte_lane_var := "00001001"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00001101"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1'))) then ctl_byte_lane_var := "00001000"; else ctl_byte_lane_var := "11100100"; end if; return (ctl_byte_lane_var); end function; constant CTL_BYTE_LANE : std_logic_vector(7 downto 0):= CTL_BYTE_LANE_W; function PI_DIV2_INCDEC_FUN return string is begin if (DRAM_TYPE = "DDR2") then return ("FALSE"); elsif ((FPGA_VOLT_TYPE = "L") and (nCK_PER_CLK = 4)) then return ("TRUE"); else return ("FALSE"); end if; end function; constant PI_DIV2_INCDEC : string := PI_DIV2_INCDEC_FUN; component mig_7series_v4_0_ddr_mc_phy_wrapper is generic ( TCQ : integer; tCK : integer; BANK_TYPE : string; DATA_IO_PRIM_TYPE : string; DATA_IO_IDLE_PWRDWN :string; IODELAY_GRP : string; FPGA_SPEED_GRADE : integer; nCK_PER_CLK : integer; nCS_PER_RANK : integer; BANK_WIDTH : integer; CKE_WIDTH : integer; CS_WIDTH : integer; CK_WIDTH : integer; CWL : integer; DDR2_DQSN_ENABLE : string; DM_WIDTH : integer; DQ_WIDTH : integer; DQS_CNT_WIDTH : integer; DQS_WIDTH : integer; DRAM_TYPE : string; RANKS : integer; ODT_WIDTH : integer; REG_CTRL : string; ROW_WIDTH : integer; USE_CS_PORT : integer; USE_DM_PORT : integer; USE_ODT_PORT : integer; IBUF_LPWR_MODE : string; LP_DDR_CK_WIDTH : integer; PHYCTL_CMD_FIFO : string; DATA_CTL_B0 : std_logic_vector(3 downto 0); DATA_CTL_B1 : std_logic_vector(3 downto 0); DATA_CTL_B2 : std_logic_vector(3 downto 0); DATA_CTL_B3 : std_logic_vector(3 downto 0); DATA_CTL_B4 : std_logic_vector(3 downto 0); BYTE_LANES_B0 : std_logic_vector(3 downto 0); BYTE_LANES_B1 : std_logic_vector(3 downto 0); BYTE_LANES_B2 : std_logic_vector(3 downto 0); BYTE_LANES_B3 : std_logic_vector(3 downto 0); BYTE_LANES_B4 : std_logic_vector(3 downto 0); PHY_0_BITLANES : std_logic_vector(47 downto 0); PHY_1_BITLANES : std_logic_vector(47 downto 0); PHY_2_BITLANES : std_logic_vector(47 downto 0); HIGHEST_BANK : integer; HIGHEST_LANE : integer; CK_BYTE_MAP : std_logic_vector(143 downto 0); ADDR_MAP : std_logic_vector(191 downto 0); BANK_MAP : std_logic_vector(35 downto 0); CAS_MAP : std_logic_vector(11 downto 0); CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0); CKE_MAP : std_logic_vector(95 downto 0); ODT_MAP : std_logic_vector(95 downto 0); CKE_ODT_AUX : string; CS_MAP : std_logic_vector(119 downto 0); PARITY_MAP : std_logic_vector(11 downto 0); RAS_MAP : std_logic_vector(11 downto 0); WE_MAP : std_logic_vector(11 downto 0); DQS_BYTE_MAP : std_logic_vector(143 downto 0); DATA0_MAP : std_logic_vector(95 downto 0); DATA1_MAP : std_logic_vector(95 downto 0); DATA2_MAP : std_logic_vector(95 downto 0); DATA3_MAP : std_logic_vector(95 downto 0); DATA4_MAP : std_logic_vector(95 downto 0); DATA5_MAP : std_logic_vector(95 downto 0); DATA6_MAP : std_logic_vector(95 downto 0); DATA7_MAP : std_logic_vector(95 downto 0); DATA8_MAP : std_logic_vector(95 downto 0); DATA9_MAP : std_logic_vector(95 downto 0); DATA10_MAP : std_logic_vector(95 downto 0); DATA11_MAP : std_logic_vector(95 downto 0); DATA12_MAP : std_logic_vector(95 downto 0); DATA13_MAP : std_logic_vector(95 downto 0); DATA14_MAP : std_logic_vector(95 downto 0); DATA15_MAP : std_logic_vector(95 downto 0); DATA16_MAP : std_logic_vector(95 downto 0); DATA17_MAP : std_logic_vector(95 downto 0); MASK0_MAP : std_logic_vector(107 downto 0); MASK1_MAP : std_logic_vector(107 downto 0); SIM_CAL_OPTION : string; MASTER_PHY_CTL : integer; DRAM_WIDTH : integer; POC_USE_METASTABLE_SAMP : string; PI_DIV2_INCDEC : string ); port ( rst : in std_logic; iddr_rst : in std_logic; clk : in std_logic; clk_div2 : in std_logic; freq_refclk : in std_logic; mem_refclk : in std_logic; pll_lock : in std_logic; sync_pulse : in std_logic; mmcm_ps_clk : in std_logic; idelayctrl_refclk : in std_logic; phy_cmd_wr_en : in std_logic; phy_data_wr_en : in std_logic; phy_ctl_wd : in std_logic_vector(31 downto 0); phy_ctl_wr : in std_logic; phy_if_empty_def : in std_logic; phy_if_reset : in std_logic; data_offset_1 : in std_logic_vector(5 downto 0); data_offset_2 : in std_logic_vector(5 downto 0); aux_in_1 : in std_logic_vector(3 downto 0); aux_in_2 : in std_logic_vector(3 downto 0); idelaye2_init_val : out std_logic_vector(4 downto 0); oclkdelay_init_val : out std_logic_vector(5 downto 0); if_empty : out std_logic; phy_ctl_full : out std_logic; phy_cmd_full : out std_logic; phy_data_full : out std_logic; phy_pre_data_a_full : out std_logic; ddr_clk : out std_logic_vector(CK_WIDTH*LP_DDR_CK_WIDTH-1 downto 0); phy_mc_go : out std_logic; phy_write_calib : in std_logic; phy_read_calib : in std_logic; calib_in_common : in std_logic; calib_sel : in std_logic_vector(5 downto 0); calib_zero_inputs : in std_logic_vector(HIGHEST_BANK-1 downto 0); calib_zero_ctrl : in std_logic_vector(HIGHEST_BANK-1 downto 0); po_fine_enable : in std_logic_vector(2 downto 0); po_coarse_enable : in std_logic_vector(2 downto 0); po_fine_inc : in std_logic_vector(2 downto 0); po_coarse_inc : in std_logic_vector(2 downto 0); po_counter_load_en : in std_logic; po_counter_read_en : in std_logic; po_sel_fine_oclk_delay : in std_logic_vector(2 downto 0); po_counter_load_val : in std_logic_vector(8 downto 0); po_counter_read_val : out std_logic_vector(8 downto 0); pi_counter_read_val : out std_logic_vector(5 downto 0); pi_rst_dqs_find : in std_logic_vector(HIGHEST_BANK-1 downto 0); pi_fine_enable : in std_logic; pi_fine_inc : in std_logic; pi_counter_load_en : in std_logic; pi_counter_load_val : in std_logic_vector(5 downto 0); idelay_ce : in std_logic; idelay_inc : in std_logic; idelay_ld : in std_logic; idle : in std_logic; pi_phase_locked : out std_logic; pi_phase_locked_all : out std_logic; pi_dqs_found : out std_logic; pi_dqs_found_all : out std_logic; pi_dqs_out_of_range : out std_logic; phy_init_data_sel : in std_logic; mux_address : in std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); mux_bank : in std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); mux_cas_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mux_cs_n : in std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); mux_ras_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mux_odt : in std_logic_vector(1 downto 0); mux_cke : in std_logic_vector(nCK_PER_CLK-1 downto 0); mux_we_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); parity_in : in std_logic_vector(nCK_PER_CLK-1 downto 0); mux_wrdata : in std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); mux_wrdata_mask : in std_logic_vector(2*nCK_PER_CLK*(DQ_WIDTH/8)-1 downto 0); mux_reset_n : in std_logic; rd_data : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); ddr_addr : out std_logic_vector(ROW_WIDTH-1 downto 0); ddr_ba : out std_logic_vector(BANK_WIDTH-1 downto 0); ddr_cas_n : out std_logic; ddr_cke : out std_logic_vector(CKE_WIDTH-1 downto 0); ddr_cs_n : out std_logic_vector(CS_WIDTH*nCS_PER_RANK-1 downto 0); ddr_dm : out std_logic_vector(DM_WIDTH-1 downto 0); ddr_odt : out std_logic_vector(ODT_WIDTH-1 downto 0); ddr_parity : out std_logic; ddr_ras_n : out std_logic; ddr_we_n : out std_logic; ddr_reset_n : out std_logic; ddr_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0); ddr_dqs : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0); dbg_pi_counter_read_en : in std_logic; ref_dll_lock : out std_logic; rst_phaser_ref : in std_logic; dbg_pi_phase_locked_phy4lanes : out std_logic_vector(11 downto 0); dbg_pi_dqs_found_lanes_phy4lanes : out std_logic_vector(11 downto 0); byte_sel_cnt : in std_logic_vector(DQS_CNT_WIDTH downto 0); fine_delay_incdec_pb : in std_logic_vector(DRAM_WIDTH-1 downto 0); fine_delay_sel : in std_logic; pd_out : out std_logic ); end component mig_7series_v4_0_ddr_mc_phy_wrapper; component mig_7series_v4_0_ddr_calib_top is generic ( TCQ : integer; nCK_PER_CLK : integer; tCK : integer; DDR3_VDD_OP_VOLT : string ; CLK_PERIOD : integer; N_CTL_LANES : integer; DRAM_TYPE : string; PRBS_WIDTH : integer; HIGHEST_LANE : integer; HIGHEST_BANK : integer; BANK_TYPE : string; DATA_CTL_B0 : std_logic_vector(3 downto 0); DATA_CTL_B1 : std_logic_vector(3 downto 0); DATA_CTL_B2 : std_logic_vector(3 downto 0); DATA_CTL_B3 : std_logic_vector(3 downto 0); DATA_CTL_B4 : std_logic_vector(3 downto 0); BYTE_LANES_B0 : std_logic_vector(3 downto 0); BYTE_LANES_B1 : std_logic_vector(3 downto 0); BYTE_LANES_B2 : std_logic_vector(3 downto 0); BYTE_LANES_B3 : std_logic_vector(3 downto 0); BYTE_LANES_B4 : std_logic_vector(3 downto 0); DQS_BYTE_MAP : std_logic_vector(143 downto 0); CTL_BYTE_LANE : std_logic_vector(7 downto 0); CTL_BANK : std_logic_vector(2 downto 0); SLOT_1_CONFIG : std_logic_vector(7 downto 0); BANK_WIDTH : integer; CA_MIRROR : string; COL_WIDTH : integer; nCS_PER_RANK : integer; DQ_WIDTH : integer; DQS_CNT_WIDTH : integer; DQS_WIDTH : integer; DRAM_WIDTH : integer; ROW_WIDTH : integer; RANKS : integer; CS_WIDTH : integer; CKE_WIDTH : integer; DDR2_DQSN_ENABLE : string; PER_BIT_DESKEW : string; NUM_DQSFOUND_CAL : integer := 1020; CALIB_ROW_ADD : std_logic_vector(15 downto 0); CALIB_COL_ADD : std_logic_vector(11 downto 0); CALIB_BA_ADD : std_logic_vector(2 downto 0); AL : string; TEST_AL : string := "0"; ADDR_CMD_MODE : string; BURST_MODE : string; BURST_TYPE : string; nCL : integer; nCWL : integer; tRFC : integer; tREFI : integer; OUTPUT_DRV : string; REG_CTRL : string; RTT_NOM : string; RTT_WR : string; USE_ODT_PORT : integer; WRLVL : string; PRE_REV3ES : string; SIM_INIT_OPTION : string; SIM_CAL_OPTION : string; CKE_ODT_AUX : string; IDELAY_ADJ : string; FINE_PER_BIT : string; CENTER_COMP_MODE : string; PI_VAL_ADJ : string; TAPSPERKCLK : integer; DEBUG_PORT : string; SKIP_CALIB : string; POC_USE_METASTABLE_SAMP : string; PI_DIV2_INCDEC : string ); port ( clk : in std_logic; rst : in std_logic; slot_0_present : in std_logic_vector(7 downto 0); slot_1_present : in std_logic_vector(7 downto 0); phy_ctl_ready : in std_logic; phy_ctl_full : in std_logic; phy_cmd_full : in std_logic; phy_data_full : in std_logic; write_calib : out std_logic; read_calib : out std_logic; calib_ctl_wren : out std_logic; calib_cmd_wren : out std_logic; calib_seq : out std_logic_vector(1 downto 0); calib_aux_out : out std_logic_vector(3 downto 0); calib_cke : out std_logic_vector(nCK_PER_CLK-1 downto 0); calib_odt : out std_logic_vector(1 downto 0); calib_cmd : out std_logic_vector(2 downto 0); calib_wrdata_en : out std_logic; calib_rank_cnt : out std_logic_vector(1 downto 0); calib_cas_slot : out std_logic_vector(1 downto 0); calib_data_offset_0 : out std_logic_vector(5 downto 0); calib_data_offset_1 : out std_logic_vector(5 downto 0); calib_data_offset_2 : out std_logic_vector(5 downto 0); phy_address : out std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); phy_bank : out std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); phy_cs_n : out std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); phy_ras_n : out std_logic_vector(nCK_PER_CLK-1 downto 0); phy_cas_n : out std_logic_vector(nCK_PER_CLK-1 downto 0); phy_we_n : out std_logic_vector(nCK_PER_CLK-1 downto 0); phy_reset_n : out std_logic; calib_sel : out std_logic_vector(5 downto 0); calib_in_common : out std_logic; calib_zero_inputs : out std_logic_vector(HIGHEST_BANK-1 downto 0); calib_zero_ctrl : out std_logic_vector(HIGHEST_BANK-1 downto 0); phy_if_empty_def : out std_logic; phy_if_reset : out std_logic; pi_phaselocked : in std_logic; pi_phase_locked_all : in std_logic; pi_found_dqs : in std_logic; pi_dqs_found_all : in std_logic; pi_dqs_found_lanes : in std_logic_vector(HIGHEST_LANE-1 downto 0); pi_counter_read_val : in std_logic_vector(5 downto 0); pi_rst_stg1_cal : out std_logic_vector(HIGHEST_BANK-1 downto 0); pi_en_stg2_f : out std_logic; pi_stg2_f_incdec : out std_logic; pi_stg2_load : out std_logic; pi_stg2_reg_l : out std_logic_vector(5 downto 0); idelay_ce : out std_logic; idelay_inc : out std_logic; idelay_ld : out std_logic; po_sel_stg2stg3 : out std_logic_vector(2 downto 0); po_stg2_c_incdec : out std_logic_vector(2 downto 0); po_en_stg2_c : out std_logic_vector(2 downto 0); po_stg2_f_incdec : out std_logic_vector(2 downto 0); po_en_stg2_f : out std_logic_vector(2 downto 0); po_counter_load_en : out std_logic; po_counter_read_val : in std_logic_vector(8 downto 0); device_temp : in std_logic_vector(11 downto 0); tempmon_sample_en : in std_logic; phy_if_empty : in std_logic; idelaye2_init_val : in std_logic_vector(4 downto 0); oclkdelay_init_val : in std_logic_vector(5 downto 0); tg_err : in std_logic; rst_tg_mc : out std_logic; phy_wrdata : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); dlyval_dq : out std_logic_vector(5*RANKS*DQ_WIDTH-1 downto 0); phy_rddata : in std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); calib_rd_data_offset_0 : out std_logic_vector(6*RANKS-1 downto 0); calib_rd_data_offset_1 : out std_logic_vector(6*RANKS-1 downto 0); calib_rd_data_offset_2 : out std_logic_vector(6*RANKS-1 downto 0); phy_rddata_valid : out std_logic; calib_writes : out std_logic; init_calib_complete : out std_logic; init_wrcal_complete : out std_logic; pi_phase_locked_err : out std_logic; pi_dqsfound_err : out std_logic; wrcal_err : out std_logic; psen : out std_logic; psincdec : out std_logic; psdone : in std_logic; poc_sample_pd : in std_logic; calib_tap_req : out std_logic; calib_tap_load : in std_logic; calib_tap_addr : in std_logic_vector(6 downto 0); calib_tap_val : in std_logic_vector(7 downto 0); calib_tap_load_done : in std_logic; dbg_pi_phaselock_start : out std_logic; dbg_pi_dqsfound_start : out std_logic; dbg_pi_dqsfound_done : out std_logic; dbg_wrcal_start : out std_logic; dbg_wrcal_done : out std_logic; dbg_wrlvl_start : out std_logic; dbg_wrlvl_done : out std_logic; dbg_wrlvl_err : out std_logic; dbg_wrlvl_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0); dbg_wrlvl_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0); dbg_phy_wrlvl : out std_logic_vector(255 downto 0); dbg_tap_cnt_during_wrlvl : out std_logic_vector(5 downto 0); dbg_wl_edge_detect_valid : out std_logic; dbg_rd_data_edge_detect : out std_logic_vector(DQS_WIDTH-1 downto 0); dbg_final_po_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0); dbg_final_po_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0); dbg_phy_wrcal : out std_logic_vector(99 downto 0); dbg_rdlvl_start : out std_logic_vector(1 downto 0); dbg_rdlvl_done : out std_logic_vector(1 downto 0); dbg_rdlvl_err : out std_logic_vector(1 downto 0); dbg_cpt_first_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_cpt_second_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_cpt_tap_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_dq_idelay_tap_cnt : out std_logic_vector(5*DQS_WIDTH*RANKS-1 downto 0); dbg_sel_pi_incdec : in std_logic; dbg_sel_po_incdec : in std_logic; dbg_byte_sel : in std_logic_vector(DQS_CNT_WIDTH downto 0); dbg_pi_f_inc : in std_logic; dbg_pi_f_dec : in std_logic; dbg_po_f_inc : in std_logic; dbg_po_f_stg23_sel : in std_logic; dbg_po_f_dec : in std_logic; dbg_idel_up_all : in std_logic; dbg_idel_down_all : in std_logic; dbg_idel_up_cpt : in std_logic; dbg_idel_down_cpt : in std_logic; dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH-1 downto 0); dbg_sel_all_idel_cpt : in std_logic; dbg_phy_rdlvl : out std_logic_vector(255 downto 0); dbg_calib_top : out std_logic_vector(255 downto 0); dbg_phy_init : out std_logic_vector(255 downto 0); dbg_prbs_rdlvl : out std_logic_vector(255 downto 0); dbg_dqs_found_cal : out std_logic_vector(255 downto 0); dbg_phy_oclkdelay_cal : out std_logic_vector(255 downto 0); dbg_oclkdelay_rd_data : out std_logic_vector(DRAM_WIDTH*16-1 downto 0); dbg_oclkdelay_calib_start : out std_logic; dbg_oclkdelay_calib_done : out std_logic; dbg_poc : out std_logic_vector(1023 downto 0); prbs_final_dqs_tap_cnt_r : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_prbs_first_edge_taps : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_prbs_second_edge_taps : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); byte_sel_cnt : out std_logic_vector(DQS_CNT_WIDTH downto 0); fine_delay_incdec_pb : out std_logic_vector(DRAM_WIDTH-1 downto 0); fine_delay_sel : out std_logic; pd_out : in std_logic ); end component mig_7series_v4_0_ddr_calib_top; signal phy_din : std_logic_vector(HIGHEST_LANE*80-1 downto 0); signal phy_dout : std_logic_vector(HIGHEST_LANE*80-1 downto 0); signal ddr_cmd_ctl_data : std_logic_vector(HIGHEST_LANE*12-1 downto 0); signal aux_out : std_logic_vector((((HIGHEST_LANE+3)/4)*4)-1 downto 0); signal ddr_clk : std_logic_vector(CK_WIDTH * LP_DDR_CK_WIDTH-1 downto 0); signal phy_mc_go : std_logic; signal phy_ctl_full : std_logic; signal phy_cmd_full : std_logic; signal phy_data_full : std_logic; signal phy_pre_data_a_full : std_logic; signal if_empty : std_logic; signal phy_write_calib : std_logic; signal phy_read_calib : std_logic; signal rst_stg1_cal : std_logic_vector(HIGHEST_BANK-1 downto 0); signal calib_sel : std_logic_vector(5 downto 0); signal calib_in_common : std_logic; signal calib_zero_inputs : std_logic_vector(HIGHEST_BANK-1 downto 0); signal calib_zero_ctrl : std_logic_vector(HIGHEST_BANK-1 downto 0); signal pi_phase_locked : std_logic; signal pi_phase_locked_all : std_logic; signal pi_found_dqs : std_logic; signal pi_dqs_found_all : std_logic; signal pi_dqs_out_of_range : std_logic; signal pi_enstg2_f : std_logic; signal pi_stg2_fincdec : std_logic; signal pi_stg2_load : std_logic; signal pi_stg2_reg_l : std_logic_vector(5 downto 0); signal idelay_ce : std_logic; signal idelay_inc : std_logic; signal idelay_ld : std_logic; signal po_sel_stg2stg3 : std_logic_vector(2 downto 0); signal po_stg2_cincdec : std_logic_vector(2 downto 0); signal po_enstg2_c : std_logic_vector(2 downto 0); signal po_stg2_fincdec : std_logic_vector(2 downto 0); signal po_enstg2_f : std_logic_vector(2 downto 0); signal po_counter_read_val : std_logic_vector(8 downto 0); signal pi_counter_read_val : std_logic_vector(5 downto 0); signal phy_wrdata : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); signal parity : std_logic_vector(nCK_PER_CLK-1 downto 0); signal phy_address : std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); signal phy_bank : std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); signal phy_cs_n : std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); signal phy_ras_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal phy_cas_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal phy_we_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal phy_reset_n : std_logic; signal calib_aux_out : std_logic_vector(3 downto 0); signal calib_cke : std_logic_vector(nCK_PER_CLK-1 downto 0); signal calib_odt : std_logic_vector(1 downto 0); signal calib_ctl_wren : std_logic; signal calib_cmd_wren : std_logic; signal calib_wrdata_en : std_logic; signal calib_cmd : std_logic_vector(2 downto 0); signal calib_seq : std_logic_vector(1 downto 0); signal calib_data_offset_0 : std_logic_vector(5 downto 0); signal calib_data_offset_1 : std_logic_vector(5 downto 0); signal calib_data_offset_2 : std_logic_vector(5 downto 0); signal calib_rank_cnt : std_logic_vector(1 downto 0); signal calib_cas_slot : std_logic_vector(1 downto 0); signal mux_address : std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); signal mux_aux_out : std_logic_vector(3 downto 0); signal aux_out_map : std_logic_vector(3 downto 0); signal mux_bank : std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); signal mux_cmd : std_logic_vector(2 downto 0); signal mux_cmd_wren : std_logic; signal mux_cs_n : std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); signal mux_ctl_wren : std_logic; signal mux_cas_slot : std_logic_vector(1 downto 0); signal mux_data_offset : std_logic_vector(5 downto 0); signal mux_data_offset_1 : std_logic_vector(5 downto 0); signal mux_data_offset_2 : std_logic_vector(5 downto 0); signal mux_ras_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal mux_cas_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal mux_rank_cnt : std_logic_vector(1 downto 0); signal mux_reset_n : std_logic; signal mux_we_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal mux_wrdata : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); signal mux_wrdata_mask : std_logic_vector(2*nCK_PER_CLK*(DQ_WIDTH/8)-1 downto 0); signal mux_wrdata_en : std_logic; signal mux_cke : std_logic_vector(nCK_PER_CLK-1 downto 0); signal mux_odt : std_logic_vector(1 downto 0); signal phy_if_empty_def : std_logic; signal phy_if_reset : std_logic; signal phy_init_data_sel : std_logic; signal rd_data_map : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); signal phy_rddata_valid_w : std_logic; signal rddata_valid_reg : std_logic; signal rd_data_reg : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); signal idelaye2_init_val : std_logic_vector(4 downto 0); signal oclkdelay_init_val : std_logic_vector(5 downto 0); signal mc_cs_n_temp : std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); signal calib_rd_data_offset_i0 : std_logic_vector(6*RANKS-1 downto 0); signal init_wrcal_complete_i : std_logic; signal phy_ctl_wd_i : std_logic_vector(31 downto 0); signal po_counter_load_en : std_logic; signal parity_0_wire : std_logic_vector((ROW_WIDTH+BANK_WIDTH+3)-1 downto 0); signal parity_1_wire : std_logic_vector((ROW_WIDTH+BANK_WIDTH+3)-1 downto 0); signal parity_2_wire : std_logic_vector((ROW_WIDTH+BANK_WIDTH+3)-1 downto 0); signal parity_3_wire : std_logic_vector((ROW_WIDTH+BANK_WIDTH+3)-1 downto 0); signal dbg_pi_dqs_found_lanes_phy4lanes_i : std_logic_vector(11 downto 0); signal all_zeros : std_logic_vector(8 downto 0):= (others => '0'); signal byte_sel_cnt : std_logic_vector(DQS_CNT_WIDTH downto 0); signal fine_delay_incdec_pb : std_logic_vector(DRAM_WIDTH-1 downto 0); signal fine_delay_sel : std_logic; signal pd_out : std_logic; -- 3-stage synchronizer registers signal pi_fine_enable : std_logic; signal pi_fine_inc : std_logic; signal pi_counter_load_en : std_logic; signal pi_counter_load_val : std_logic_vector(5 downto 0); signal pi_rst_dqs_find : std_logic_vector(HIGHEST_BANK-1 downto 0); signal pi_enstg2_f_div2r1 : std_logic; signal pi_enstg2_f_div2r2 : std_logic; signal pi_enstg2_f_div2r3 : std_logic; signal pi_stg2_fincdec_div2r1 : std_logic; signal pi_stg2_fincdec_div2r2 : std_logic; signal pi_stg2_fincdec_div2r3 : std_logic; signal pi_stg2_load_div2r1 : std_logic; signal pi_stg2_load_div2r2 : std_logic; signal pi_stg2_load_div2r3 : std_logic; signal rst_stg1_cal_div2r1 : std_logic_vector(HIGHEST_BANK-1 downto 0); signal rst_stg1_cal_div2r2 : std_logic_vector(HIGHEST_BANK-1 downto 0); signal pi_stg2_reg_l_div2r1 : std_logic_vector(5 downto 0); signal pi_stg2_reg_l_div2r2 : std_logic_vector(5 downto 0); signal pi_stg2_reg_l_div2r3 : std_logic_vector(5 downto 0); signal pi_dqs_find_rst : std_logic_vector(HIGHEST_BANK-1 downto 0); attribute ASYNC_REG : string; attribute ASYNC_REG of pi_fine_enable : signal is "TRUE"; attribute ASYNC_REG of pi_fine_inc : signal is "TRUE"; attribute ASYNC_REG of pi_counter_load_en : signal is "TRUE"; attribute ASYNC_REG of pi_counter_load_val : signal is "TRUE"; attribute ASYNC_REG of pi_rst_dqs_find : signal is "TRUE"; attribute ASYNC_REG of pi_enstg2_f_div2r1 : signal is "TRUE"; attribute ASYNC_REG of pi_enstg2_f_div2r2 : signal is "TRUE"; attribute ASYNC_REG of pi_enstg2_f_div2r3 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_fincdec_div2r1 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_fincdec_div2r2 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_fincdec_div2r3 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_load_div2r1 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_load_div2r2 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_load_div2r3 : signal is "TRUE"; attribute ASYNC_REG of rst_stg1_cal_div2r1 : signal is "TRUE"; attribute ASYNC_REG of rst_stg1_cal_div2r2 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_reg_l_div2r1 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_reg_l_div2r2 : signal is "TRUE"; attribute ASYNC_REG of pi_stg2_reg_l_div2r3 : signal is "TRUE"; attribute ASYNC_REG of pi_dqs_find_rst : signal is "TRUE"; signal pi_stg2_fine_enable, pi_stg2_fine_enable_r1 : std_logic; signal pi_stg2_fine_inc, pi_stg2_fine_inc_r1 : std_logic; signal pi_stg2_load_en, pi_stg2_load_en_r1 : std_logic; signal pi_stg2_load_val : std_logic_vector(5 downto 0); begin --*************************************************************************** dbg_rddata_valid <= rddata_valid_reg; dbg_rddata <= rd_data_reg; dbg_rd_data_offset <= calib_rd_data_offset_i0; calib_rd_data_offset_0 <= calib_rd_data_offset_i0; dbg_pi_phaselocked_done <= pi_phase_locked_all; dbg_po_counter_read_val <= po_counter_read_val; dbg_pi_counter_read_val <= pi_counter_read_val; dbg_pi_dqs_found_lanes_phy4lanes <= dbg_pi_dqs_found_lanes_phy4lanes_i; init_wrcal_complete <= init_wrcal_complete_i; --*************************************************************************** --*************************************************************************** -- Clock domain crossing from DIV4 to DIV2 for Phaser_In stage2 incdec --*************************************************************************** div2_incdec : if (PI_DIV2_INCDEC = "TRUE") generate -- 3-stage synchronizer process (clk_div2) begin if (rising_edge(clk_div2)) then -- Phaser_In fine enable pi_enstg2_f_div2r1 <= pi_enstg2_f after (TCQ) * 1 ps; pi_enstg2_f_div2r2 <= pi_enstg2_f_div2r1 after (TCQ) * 1 ps; pi_enstg2_f_div2r3 <= pi_enstg2_f_div2r2 after (TCQ) * 1 ps; -- Phaser_In fine incdec pi_stg2_fincdec_div2r1 <= pi_stg2_fincdec after (TCQ) * 1 ps; pi_stg2_fincdec_div2r2 <= pi_stg2_fincdec_div2r1 after (TCQ) * 1 ps; pi_stg2_fincdec_div2r3 <= pi_stg2_fincdec_div2r2 after (TCQ) * 1 ps; -- Phaser_In stage2 load pi_stg2_load_div2r1 <= pi_stg2_load after (TCQ) * 1 ps; pi_stg2_load_div2r2 <= pi_stg2_load_div2r1 after (TCQ) * 1 ps; pi_stg2_load_div2r3 <= pi_stg2_load_div2r2 after (TCQ) * 1 ps; -- Phaser_In stage2 load value pi_stg2_reg_l_div2r1 <= pi_stg2_reg_l after (TCQ) * 1 ps; pi_stg2_reg_l_div2r2 <= pi_stg2_reg_l_div2r1 after (TCQ) * 1 ps; pi_stg2_reg_l_div2r3 <= pi_stg2_reg_l_div2r2 after (TCQ) * 1 ps; -- Phaser_In reset DQSFOUND rst_stg1_cal_div2r1 <= rst_stg1_cal after (TCQ) * 1 ps; rst_stg1_cal_div2r2 <= rst_stg1_cal_div2r1 after (TCQ) * 1 ps; pi_dqs_find_rst <= rst_stg1_cal_div2r2 after (TCQ) * 1 ps; end if; end process; process (clk_div2) begin if (rising_edge(clk_div2)) then pi_stg2_fine_enable_r1 <= pi_stg2_fine_enable after (TCQ) * 1 ps; pi_stg2_fine_inc_r1 <= pi_stg2_fine_inc after (TCQ) * 1 ps; pi_stg2_load_en_r1 <= pi_stg2_load_en after (TCQ) * 1 ps; end if; end process; process (clk_div2) begin if (rising_edge(clk_div2)) then if ((rst_div2 = '1') or (pi_stg2_fine_enable = '1') or (pi_stg2_fine_enable_r1 = '1')) then pi_stg2_fine_enable <= '0' after (TCQ) * 1 ps; elsif (pi_enstg2_f_div2r3 = '1') then pi_stg2_fine_enable <= '1' after (TCQ) * 1 ps; end if; end if; end process; process (clk_div2) begin if (rising_edge(clk_div2)) then if ((rst_div2 = '1') or (pi_stg2_fine_inc = '1') or (pi_stg2_fine_inc_r1 = '1')) then pi_stg2_fine_inc <= '0' after (TCQ) * 1 ps; elsif (pi_stg2_fincdec_div2r3 = '1') then pi_stg2_fine_inc <= '1' after (TCQ) * 1 ps; end if; end if; end process; process (clk_div2) begin if (rising_edge(clk_div2)) then if ((rst_div2 = '1') or (pi_stg2_load_en = '1') or (pi_stg2_load_en_r1 = '1')) then pi_stg2_load_en <= '0' after (TCQ) * 1 ps; elsif (pi_stg2_load_div2r3 = '1') then pi_stg2_load_en <= '1' after (TCQ) * 1 ps; end if; end if; end process; process (clk_div2) begin if (rising_edge(clk_div2)) then if ((rst_div2 = '1') or (pi_stg2_load_en = '1') or (pi_stg2_load_en_r1 = '1')) then pi_stg2_load_val <= (others => '0') after (TCQ) * 1 ps; elsif (pi_stg2_load_div2r3 = '1') then pi_stg2_load_val <= pi_stg2_reg_l_div2r3 after (TCQ) * 1 ps; end if; end if; end process; pi_fine_enable <= pi_stg2_fine_enable; pi_fine_inc <= pi_stg2_fine_inc; pi_counter_load_en <= pi_stg2_load_en; pi_counter_load_val <= pi_stg2_load_val; pi_rst_dqs_find <= pi_dqs_find_rst; end generate div2_incdec; div4_incdec : if (PI_DIV2_INCDEC = "FALSE") generate pi_fine_enable <= pi_enstg2_f; pi_fine_inc <= pi_stg2_fincdec; pi_counter_load_en <= pi_stg2_load; pi_counter_load_val <= pi_stg2_reg_l; pi_rst_dqs_find <= rst_stg1_cal; end generate div4_incdec; --*************************************************************************** clock_gen : for i in 0 to (CK_WIDTH-1) generate ddr_ck(i) <= ddr_clk(LP_DDR_CK_WIDTH * i); ddr_ck_n(i) <= ddr_clk((LP_DDR_CK_WIDTH * i) + 1); end generate; --*************************************************************************** -- During memory initialization and calibration the calibration logic drives -- the memory signals. After calibration is complete the memory controller -- drives the memory signals. -- Do not expect timing issues in 4:1 mode at 800 MHz/1600 Mbps --*************************************************************************** cs_rdimm : if((REG_CTRL = "ON") and (DRAM_TYPE = "DDR3") and (RANKS = 1) and (nCS_PER_RANK = 2)) generate cs_rdimm_gen: for v in 0 to (CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK)-1 generate cs_rdimm_gen_i : if((v mod (CS_WIDTH*nCS_PER_RANK)) = 0) generate mc_cs_n_temp(v) <= mc_cs_n(v) ; end generate; cs_rdimm_gen_j : if(not((v mod (CS_WIDTH*nCS_PER_RANK)) = 0)) generate mc_cs_n_temp(v) <= '1' ; end generate; end generate; end generate; cs_others : if(not(REG_CTRL = "ON") or not(DRAM_TYPE = "DDR3") or not(RANKS = 1) or not(nCS_PER_RANK = 2)) generate mc_cs_n_temp <= mc_cs_n ; end generate; mux_wrdata <= mc_wrdata when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_wrdata; mux_wrdata_mask <= mc_wrdata_mask when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else (others => '0'); mux_address <= mc_address when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_address; mux_bank <= mc_bank when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_bank; mux_cs_n <= mc_cs_n_temp when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_cs_n; mux_ras_n <= mc_ras_n when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_ras_n; mux_cas_n <= mc_cas_n when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_cas_n; mux_we_n <= mc_we_n when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_we_n; mux_reset_n <= mc_reset_n when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_reset_n; mux_aux_out <= mc_aux_out0 when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_aux_out; mux_odt <= mc_odt when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_odt; mux_cke <= mc_cke when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_cke; mux_cmd_wren <= mc_cmd_wren when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_cmd_wren; mux_ctl_wren <= mc_ctl_wren when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_ctl_wren; mux_wrdata_en <= mc_wrdata_en when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_wrdata_en; mux_cmd <= mc_cmd when (phy_init_data_sel ='1' or init_wrcal_complete_i ='1') else calib_cmd; mux_cas_slot <= mc_cas_slot when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_cas_slot; mux_data_offset <= mc_data_offset when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_data_offset_0; mux_data_offset_1 <= mc_data_offset_1 when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_data_offset_1; mux_data_offset_2 <= mc_data_offset_2 when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_data_offset_2; -- Reserved field. Hard coded to 2'b00 irrespective of the number of ranks. CR 643601 mux_rank_cnt <= "00"; -- Assigning cke & odt for DDR2 & DDR3 -- No changes for DDR3 & DDR2 dual rank -- DDR2 single rank systems might potentially need 3 odt signals. -- Aux_out[2] will have the odt toggled by phy and controller -- wiring aux_out[2] to 0 & 3. Depending upon the odt parameter -- all of the three odt bits or some of them might be used. -- mapping done in mc_phy_wrapper module aux_out_gen : if(CKE_ODT_AUX = "TRUE") generate aux_out_map <= (mux_aux_out(1) & mux_aux_out(1) & mux_aux_out(1) & mux_aux_out(0)) when ((DRAM_TYPE = "DDR2") and (RANKS = 1)) else mux_aux_out; end generate; wo_aux_out_gen : if(not(CKE_ODT_AUX = "TRUE")) generate aux_out_map <= "0000"; end generate; init_calib_complete <= phy_init_data_sel; phy_mc_ctl_full <= phy_ctl_full; phy_mc_cmd_full <= phy_cmd_full; phy_mc_data_full <= phy_pre_data_a_full; --*************************************************************************** -- Generate parity for DDR3 RDIMM. --*************************************************************************** gen_ddr3_parity : if ((DRAM_TYPE = "DDR3") and (REG_CTRL = "ON")) generate gen_ddr3_parity_4by1: if (nCK_PER_CLK = 4) generate parity_0_wire <= (mux_address((ROW_WIDTH*4)-1 downto ROW_WIDTH*3) & mux_bank((BANK_WIDTH*4)-1 downto BANK_WIDTH*3) & mux_cas_n(3) & mux_ras_n(3) & mux_we_n(3)); parity_1_wire <= (mux_address(ROW_WIDTH-1 downto 0) & mux_bank(BANK_WIDTH-1 downto 0) & mux_cas_n(0) & mux_ras_n(0) & mux_we_n(0)); parity_2_wire <= (mux_address((ROW_WIDTH*2)-1 downto ROW_WIDTH) & mux_bank((BANK_WIDTH*2)-1 downto BANK_WIDTH) & mux_cas_n(1) & mux_ras_n(1) & mux_we_n(1)); parity_3_wire <= (mux_address((ROW_WIDTH*3)-1 downto ROW_WIDTH*2) & mux_bank((BANK_WIDTH*3)-1 downto BANK_WIDTH*2) & mux_cas_n(2) & mux_ras_n(2) & mux_we_n(2)); process (clk) begin if (clk'event and clk = '1') then parity(0) <= ODD_PARITY(parity_0_wire) after (TCQ) * 1 ps; end if; end process; process (mux_address, mux_bank, mux_cas_n, mux_ras_n, mux_we_n) begin parity(1) <= ODD_PARITY(parity_1_wire) after (TCQ) * 1 ps; parity(2) <= ODD_PARITY(parity_2_wire) after (TCQ) * 1 ps; parity(3) <= ODD_PARITY(parity_3_wire) after (TCQ) * 1 ps; end process; end generate; gen_ddr3_parity_2by1: if ( not(nCK_PER_CLK = 4)) generate parity_1_wire <= (mux_address(ROW_WIDTH-1 downto 0) & mux_bank(BANK_WIDTH-1 downto 0) & mux_cas_n(0) & mux_ras_n(0) & mux_we_n(0)); parity_2_wire <= (mux_address((ROW_WIDTH*2)-1 downto ROW_WIDTH) & mux_bank((BANK_WIDTH*2)-1 downto BANK_WIDTH) & mux_cas_n(1) & mux_ras_n(1) & mux_we_n(1)); process (clk) begin if (clk'event and clk='1') then parity(0) <= ODD_PARITY(parity_2_wire) after (TCQ) * 1 ps; end if; end process; process(mux_address, mux_bank, mux_cas_n, mux_ras_n, mux_we_n) begin parity(1) <= ODD_PARITY(parity_1_wire) after (TCQ) * 1 ps; end process; end generate; end generate; gen_ddr3_noparity : if (not(DRAM_TYPE = "DDR3") or not(REG_CTRL = "ON")) generate gen_ddr3_noparity_4by1 : if (nCK_PER_CLK = 4) generate process (clk) begin if (clk'event and clk='1') then parity(0) <= '0' after (TCQ)*1 ps; parity(1) <= '0' after (TCQ)*1 ps; parity(2) <= '0' after (TCQ)*1 ps; parity(3) <= '0' after (TCQ)*1 ps; end if; end process; end generate; gen_ddr3_noparity_2by1 : if (not(nCK_PER_CLK = 4)) generate process (clk) begin if (clk'event and clk='1') then parity(0) <= '0' after (TCQ)*1 ps; parity(1) <= '0' after (TCQ)*1 ps; end if; end process; end generate; end generate; --*************************************************************************** -- Code for optional register stage in read path to MC for timing --*************************************************************************** RD_REG_TIMING : if(RD_PATH_REG = 1) generate process (clk) begin if (clk'event and clk='1') then rddata_valid_reg <= phy_rddata_valid_w after (TCQ)*1 ps; rd_data_reg <= rd_data_map after (TCQ)*1 ps; end if; end process; end generate; RD_REG_NO_TIMING : if( not(RD_PATH_REG = 1)) generate process (phy_rddata_valid_w, rd_data_map) begin rddata_valid_reg <= phy_rddata_valid_w; rd_data_reg <= rd_data_map; end process; end generate; phy_rddata_valid <= rddata_valid_reg; phy_rd_data <= rd_data_reg; --*************************************************************************** -- Hard PHY and accompanying bit mapping logic --*************************************************************************** phy_ctl_wd_i <= ("00000" & mux_cas_slot & calib_seq & mux_data_offset & mux_rank_cnt & "000" & aux_out_map & "00000" & mux_cmd); u_ddr_mc_phy_wrapper : mig_7series_v4_0_ddr_mc_phy_wrapper generic map ( TCQ => TCQ, tCK => tCK, BANK_TYPE => BANK_TYPE, DATA_IO_PRIM_TYPE => DATA_IO_PRIM_TYPE, IODELAY_GRP => IODELAY_GRP, FPGA_SPEED_GRADE => FPGA_SPEED_GRADE, DATA_IO_IDLE_PWRDWN=> DATA_IO_IDLE_PWRDWN, nCK_PER_CLK => nCK_PER_CLK, nCS_PER_RANK => nCS_PER_RANK, BANK_WIDTH => BANK_WIDTH, CKE_WIDTH => CKE_WIDTH, CS_WIDTH => CS_WIDTH, CK_WIDTH => CK_WIDTH, CWL => CWL, DDR2_DQSN_ENABLE => DDR2_DQSN_ENABLE, DM_WIDTH => DM_WIDTH, DQ_WIDTH => DQ_WIDTH, DQS_CNT_WIDTH => DQS_CNT_WIDTH, DQS_WIDTH => DQS_WIDTH, DRAM_TYPE => DRAM_TYPE, RANKS => RANKS, ODT_WIDTH => ODT_WIDTH, REG_CTRL => REG_CTRL, ROW_WIDTH => ROW_WIDTH, USE_CS_PORT => USE_CS_PORT, USE_DM_PORT => USE_DM_PORT, USE_ODT_PORT => USE_ODT_PORT, IBUF_LPWR_MODE => IBUF_LPWR_MODE, LP_DDR_CK_WIDTH => LP_DDR_CK_WIDTH, PHYCTL_CMD_FIFO => PHYCTL_CMD_FIFO, DATA_CTL_B0 => DATA_CTL_B0, DATA_CTL_B1 => DATA_CTL_B1, DATA_CTL_B2 => DATA_CTL_B2, DATA_CTL_B3 => DATA_CTL_B3, DATA_CTL_B4 => DATA_CTL_B4, BYTE_LANES_B0 => BYTE_LANES_B0, BYTE_LANES_B1 => BYTE_LANES_B1, BYTE_LANES_B2 => BYTE_LANES_B2, BYTE_LANES_B3 => BYTE_LANES_B3, BYTE_LANES_B4 => BYTE_LANES_B4, PHY_0_BITLANES => PHY_0_BITLANES, PHY_1_BITLANES => PHY_1_BITLANES, PHY_2_BITLANES => PHY_2_BITLANES, HIGHEST_BANK => HIGHEST_BANK, HIGHEST_LANE => HIGHEST_LANE, CK_BYTE_MAP => CK_BYTE_MAP, ADDR_MAP => ADDR_MAP, BANK_MAP => BANK_MAP, CAS_MAP => CAS_MAP, CKE_ODT_BYTE_MAP => CKE_ODT_BYTE_MAP, CKE_MAP => CKE_MAP, ODT_MAP => ODT_MAP, CKE_ODT_AUX => CKE_ODT_AUX, CS_MAP => CS_MAP, PARITY_MAP => PARITY_MAP, RAS_MAP => RAS_MAP, WE_MAP => WE_MAP, DQS_BYTE_MAP => DQS_BYTE_MAP, DATA0_MAP => DATA0_MAP, DATA1_MAP => DATA1_MAP, DATA2_MAP => DATA2_MAP, DATA3_MAP => DATA3_MAP, DATA4_MAP => DATA4_MAP, DATA5_MAP => DATA5_MAP, DATA6_MAP => DATA6_MAP, DATA7_MAP => DATA7_MAP, DATA8_MAP => DATA8_MAP, DATA9_MAP => DATA9_MAP, DATA10_MAP => DATA10_MAP, DATA11_MAP => DATA11_MAP, DATA12_MAP => DATA12_MAP, DATA13_MAP => DATA13_MAP, DATA14_MAP => DATA14_MAP, DATA15_MAP => DATA15_MAP, DATA16_MAP => DATA16_MAP, DATA17_MAP => DATA17_MAP, MASK0_MAP => MASK0_MAP, MASK1_MAP => MASK1_MAP, SIM_CAL_OPTION => SIM_CAL_OPTION, MASTER_PHY_CTL => MASTER_PHY_CTL, DRAM_WIDTH => DRAM_WIDTH, POC_USE_METASTABLE_SAMP => POC_USE_METASTABLE_SAMP, PI_DIV2_INCDEC => PI_DIV2_INCDEC ) port map ( rst => rst, iddr_rst => iddr_rst, clk => clk, clk_div2 => clk_div2, -- For memory frequencies between 400~1066 MHz freq_refclk = mem_refclk -- For memory frequencies below 400 MHz mem_refclk = mem_refclk and -- freq_refclk = 2x or 4x mem_refclk such that it remains in the -- 400~1066 MHz range freq_refclk => freq_refclk, mem_refclk => mem_refclk, pll_lock => pll_lock, sync_pulse => sync_pulse, mmcm_ps_clk => mmcm_ps_clk, idelayctrl_refclk => clk_ref, phy_cmd_wr_en => mux_cmd_wren, phy_data_wr_en => mux_wrdata_en, -- phy_ctl_wd = {ACTPRE[31:30],EventDelay[29:25],seq[24:23], -- DataOffset[22:17],HiIndex[16:15],LowIndex[14:12], -- AuxOut[11:8],ControlOffset[7:3],PHYCmd[2:0]} -- The fields ACTPRE, and BankCount are only used -- when the hard PHY counters are used by the MC. phy_ctl_wd => phy_ctl_wd_i, phy_ctl_wr => mux_ctl_wren, phy_if_empty_def => phy_if_empty_def, phy_if_reset => phy_if_reset, data_offset_1 => mux_data_offset_1, data_offset_2 => mux_data_offset_2, aux_in_1 => aux_out_map, aux_in_2 => aux_out_map, idelaye2_init_val => idelaye2_init_val, oclkdelay_init_val => oclkdelay_init_val, if_empty => if_empty, phy_ctl_full => phy_ctl_full, phy_cmd_full => phy_cmd_full, phy_data_full => phy_data_full, phy_pre_data_a_full => phy_pre_data_a_full, ddr_clk => ddr_clk, phy_mc_go => phy_mc_go, phy_write_calib => phy_write_calib, phy_read_calib => phy_read_calib, calib_in_common => calib_in_common, calib_sel => calib_sel, calib_zero_inputs => calib_zero_inputs, calib_zero_ctrl => calib_zero_ctrl, po_fine_enable => po_enstg2_f, po_coarse_enable => po_enstg2_c, po_fine_inc => po_stg2_fincdec, po_coarse_inc => po_stg2_cincdec, po_counter_load_en => po_counter_load_en, po_counter_read_en => '1', po_sel_fine_oclk_delay => po_sel_stg2stg3, po_counter_load_val => all_zeros, po_counter_read_val => po_counter_read_val, pi_counter_read_val => pi_counter_read_val, pi_rst_dqs_find => pi_rst_dqs_find, pi_fine_enable => pi_fine_enable, pi_fine_inc => pi_fine_inc, pi_counter_load_en => pi_counter_load_en, pi_counter_load_val => pi_counter_load_val, idelay_ce => idelay_ce, idelay_inc => idelay_inc, idelay_ld => idelay_ld, idle => idle, pi_phase_locked => pi_phase_locked, pi_phase_locked_all => pi_phase_locked_all, pi_dqs_found => pi_found_dqs, pi_dqs_found_all => pi_dqs_found_all, -- Currently not being used. May be used in future if periodic reads -- become a requirement. This output could also be used to signal a -- catastrophic failure in read capture and the need for re-cal pi_dqs_out_of_range => pi_dqs_out_of_range, phy_init_data_sel => phy_init_data_sel, mux_address => mux_address, mux_bank => mux_bank, mux_cas_n => mux_cas_n, mux_cs_n => mux_cs_n, mux_ras_n => mux_ras_n, mux_odt => mux_odt, mux_cke => mux_cke, mux_we_n => mux_we_n, parity_in => parity, mux_wrdata => mux_wrdata, mux_wrdata_mask => mux_wrdata_mask, mux_reset_n => mux_reset_n, rd_data => rd_data_map, ddr_addr => ddr_addr, ddr_ba => ddr_ba, ddr_cas_n => ddr_cas_n, ddr_cke => ddr_cke, ddr_cs_n => ddr_cs_n, ddr_dm => ddr_dm, ddr_odt => ddr_odt, ddr_parity => ddr_parity, ddr_ras_n => ddr_ras_n, ddr_we_n => ddr_we_n, ddr_reset_n => ddr_reset_n, ddr_dq => ddr_dq, ddr_dqs => ddr_dqs, ddr_dqs_n => ddr_dqs_n, dbg_pi_counter_read_en => '1', ref_dll_lock => ref_dll_lock, rst_phaser_ref => rst_phaser_ref, dbg_pi_phase_locked_phy4lanes => dbg_pi_phase_locked_phy4lanes, dbg_pi_dqs_found_lanes_phy4lanes => dbg_pi_dqs_found_lanes_phy4lanes_i, byte_sel_cnt => byte_sel_cnt, fine_delay_incdec_pb => fine_delay_incdec_pb, fine_delay_sel => fine_delay_sel, pd_out => pd_out ); --*************************************************************************** -- Soft memory initialization and calibration logic --*************************************************************************** u_ddr_calib_top : mig_7series_v4_0_ddr_calib_top generic map ( TCQ => TCQ, DDR3_VDD_OP_VOLT => DDR3_VDD_OP_VOLT, nCK_PER_CLK => nCK_PER_CLK, tCK => tCK, CLK_PERIOD => CLK_PERIOD, N_CTL_LANES => N_CTL_LANES, DRAM_TYPE => DRAM_TYPE, PRBS_WIDTH => 8, HIGHEST_LANE => HIGHEST_LANE, HIGHEST_BANK => HIGHEST_BANK, BANK_TYPE => BANK_TYPE, BYTE_LANES_B0 => BYTE_LANES_B0, BYTE_LANES_B1 => BYTE_LANES_B1, BYTE_LANES_B2 => BYTE_LANES_B2, BYTE_LANES_B3 => BYTE_LANES_B3, BYTE_LANES_B4 => BYTE_LANES_B4, DATA_CTL_B0 => DATA_CTL_B0, DATA_CTL_B1 => DATA_CTL_B1, DATA_CTL_B2 => DATA_CTL_B2, DATA_CTL_B3 => DATA_CTL_B3, DATA_CTL_B4 => DATA_CTL_B4, DQS_BYTE_MAP => DQS_BYTE_MAP, CTL_BYTE_LANE => CTL_BYTE_LANE, CTL_BANK => CTL_BANK, SLOT_1_CONFIG => SLOT_1_CONFIG, BANK_WIDTH => BANK_WIDTH, CA_MIRROR => CA_MIRROR, COL_WIDTH => COL_WIDTH, nCS_PER_RANK => nCS_PER_RANK, DQ_WIDTH => DQ_WIDTH, DQS_CNT_WIDTH => DQS_CNT_WIDTH, DQS_WIDTH => DQS_WIDTH, DRAM_WIDTH => DRAM_WIDTH, ROW_WIDTH => ROW_WIDTH, RANKS => RANKS, CS_WIDTH => CS_WIDTH, CKE_WIDTH => CKE_WIDTH, DDR2_DQSN_ENABLE => DDR2_DQSN_ENABLE, PER_BIT_DESKEW => "OFF", CALIB_ROW_ADD => CALIB_ROW_ADD, CALIB_COL_ADD => CALIB_COL_ADD, CALIB_BA_ADD => CALIB_BA_ADD, AL => AL, ADDR_CMD_MODE => ADDR_CMD_MODE, BURST_MODE => BURST_MODE, BURST_TYPE => BURST_TYPE, nCL => CL, nCWL => CWL, tRFC => tRFC, tREFI => tREFI, OUTPUT_DRV => OUTPUT_DRV, REG_CTRL => REG_CTRL, RTT_NOM => RTT_NOM, RTT_WR => RTT_WR, USE_ODT_PORT => USE_ODT_PORT, WRLVL => WRLVL_W, PRE_REV3ES => PRE_REV3ES, SIM_INIT_OPTION => SIM_INIT_OPTION, SIM_CAL_OPTION => SIM_CAL_OPTION, CKE_ODT_AUX => CKE_ODT_AUX, DEBUG_PORT => DEBUG_PORT, IDELAY_ADJ => IDELAY_ADJ, FINE_PER_BIT => FINE_PER_BIT, CENTER_COMP_MODE => CENTER_COMP_MODE, PI_VAL_ADJ => PI_VAL_ADJ, TAPSPERKCLK => TAPSPERKCLK, SKIP_CALIB => SKIP_CALIB, POC_USE_METASTABLE_SAMP => POC_USE_METASTABLE_SAMP, PI_DIV2_INCDEC => PI_DIV2_INCDEC ) port map ( clk => clk, rst => rst, slot_0_present => slot_0_present, slot_1_present => slot_1_present, -- PHY Control Block and IN_FIFO status phy_ctl_ready => phy_mc_go, phy_ctl_full => '0', phy_cmd_full => '0', phy_data_full => '0', -- hard PHY calibration modes write_calib => phy_write_calib, read_calib => phy_read_calib, -- Signals from calib logic to be MUXED with MC -- signals before sending to hard PHY calib_ctl_wren => calib_ctl_wren, calib_cmd_wren => calib_cmd_wren, calib_seq => calib_seq, calib_aux_out => calib_aux_out, calib_odt => calib_odt, calib_cke => calib_cke, calib_cmd => calib_cmd, calib_wrdata_en => calib_wrdata_en, calib_rank_cnt => calib_rank_cnt, calib_cas_slot => calib_cas_slot, calib_data_offset_0 => calib_data_offset_0, calib_data_offset_1 => calib_data_offset_1, calib_data_offset_2 => calib_data_offset_2, phy_address => phy_address, phy_bank => phy_bank, phy_cs_n => phy_cs_n, phy_ras_n => phy_ras_n, phy_cas_n => phy_cas_n, phy_we_n => phy_we_n, phy_reset_n => phy_reset_n, -- DQS count and ck/addr/cmd to be mapped to calib_sel -- based on parameter that defines placement of ctl lanes -- and DQS byte groups in each bank. When phy_write_calib -- is de-asserted calib_sel should select CK/addr/cmd/ctl. calib_sel => calib_sel, calib_in_common => calib_in_common, calib_zero_inputs => calib_zero_inputs, calib_zero_ctrl => calib_zero_ctrl, phy_if_empty_def => phy_if_empty_def, phy_if_reset => phy_if_reset, -- DQS Phaser_IN calibration/status signals pi_phaselocked => pi_phase_locked, pi_phase_locked_all => pi_phase_locked_all, pi_found_dqs => pi_found_dqs, pi_dqs_found_all => pi_dqs_found_all, pi_dqs_found_lanes => dbg_pi_dqs_found_lanes_phy4lanes_i(HIGHEST_LANE-1 downto 0), pi_rst_stg1_cal => rst_stg1_cal, pi_en_stg2_f => pi_enstg2_f, pi_stg2_f_incdec => pi_stg2_fincdec, pi_stg2_load => pi_stg2_load, pi_stg2_reg_l => pi_stg2_reg_l, pi_counter_read_val => pi_counter_read_val, device_temp => device_temp, tempmon_sample_en => tempmon_sample_en, -- IDELAY tap enable and inc signals idelay_ce => idelay_ce, idelay_inc => idelay_inc, idelay_ld => idelay_ld, -- DQS Phaser_OUT calibration/status signals po_sel_stg2stg3 => po_sel_stg2stg3, po_stg2_c_incdec => po_stg2_cincdec, po_en_stg2_c => po_enstg2_c, po_stg2_f_incdec => po_stg2_fincdec, po_en_stg2_f => po_enstg2_f, po_counter_load_en => po_counter_load_en, po_counter_read_val => po_counter_read_val, phy_if_empty => if_empty, idelaye2_init_val => idelaye2_init_val, oclkdelay_init_val => oclkdelay_init_val, tg_err => error, rst_tg_mc => rst_tg_mc, phy_wrdata => phy_wrdata, -- From calib logic To data IN_FIFO -- DQ IDELAY tap value from Calib logic -- port to be added to mc_phy by Gary dlyval_dq => open, -- From data IN_FIFO To Calib logic and MC/UI phy_rddata => rd_data_map, -- From calib logic To MC phy_rddata_valid => phy_rddata_valid_w, calib_rd_data_offset_0 => calib_rd_data_offset_i0, calib_rd_data_offset_1 => calib_rd_data_offset_1, calib_rd_data_offset_2 => calib_rd_data_offset_2, calib_writes => open, -- Mem Init and Calibration status To MC init_calib_complete => phy_init_data_sel, init_wrcal_complete => init_wrcal_complete_i, -- Debug Error signals pi_phase_locked_err => dbg_pi_phaselock_err, pi_dqsfound_err => dbg_pi_dqsfound_err, wrcal_err => dbg_wrcal_err, -- MMCM phase shift clock control psen => psen, psincdec => psincdec, psdone => psdone, poc_sample_pd => poc_sample_pd, -- skip calibration calib_tap_req => calib_tap_req, calib_tap_load => calib_tap_load, calib_tap_addr => calib_tap_addr, calib_tap_val => calib_tap_val, calib_tap_load_done => calib_tap_load_done, -- Debug Signals dbg_pi_phaselock_start => dbg_pi_phaselock_start, dbg_pi_dqsfound_start => dbg_pi_dqsfound_start, dbg_pi_dqsfound_done => dbg_pi_dqsfound_done, dbg_wrcal_start => dbg_wrcal_start, dbg_wrcal_done => dbg_wrcal_done, dbg_wrlvl_start => dbg_wrlvl_start, dbg_wrlvl_done => dbg_wrlvl_done, dbg_wrlvl_err => dbg_wrlvl_err, dbg_wrlvl_fine_tap_cnt => dbg_wrlvl_fine_tap_cnt, dbg_wrlvl_coarse_tap_cnt => dbg_wrlvl_coarse_tap_cnt, dbg_phy_wrlvl => dbg_phy_wrlvl, dbg_tap_cnt_during_wrlvl => dbg_tap_cnt_during_wrlvl, dbg_wl_edge_detect_valid => dbg_wl_edge_detect_valid, dbg_rd_data_edge_detect => dbg_rd_data_edge_detect, dbg_final_po_fine_tap_cnt => dbg_final_po_fine_tap_cnt, dbg_final_po_coarse_tap_cnt => dbg_final_po_coarse_tap_cnt, dbg_phy_wrcal => dbg_phy_wrcal, dbg_rdlvl_start => dbg_rdlvl_start, dbg_rdlvl_done => dbg_rdlvl_done, dbg_rdlvl_err => dbg_rdlvl_err, dbg_cpt_first_edge_cnt => dbg_cpt_first_edge_cnt, dbg_cpt_second_edge_cnt => dbg_cpt_second_edge_cnt, dbg_cpt_tap_cnt => dbg_cpt_tap_cnt, dbg_dq_idelay_tap_cnt => dbg_dq_idelay_tap_cnt, dbg_sel_pi_incdec => dbg_sel_pi_incdec, dbg_sel_po_incdec => dbg_sel_po_incdec, dbg_byte_sel => dbg_byte_sel, dbg_pi_f_inc => dbg_pi_f_inc, dbg_pi_f_dec => dbg_pi_f_dec, dbg_po_f_inc => dbg_po_f_inc, dbg_po_f_stg23_sel => dbg_po_f_stg23_sel, dbg_po_f_dec => dbg_po_f_dec, dbg_idel_up_all => dbg_idel_up_all, dbg_idel_down_all => dbg_idel_down_all, dbg_idel_up_cpt => dbg_idel_up_cpt, dbg_idel_down_cpt => dbg_idel_down_cpt, dbg_sel_idel_cpt => dbg_sel_idel_cpt, dbg_sel_all_idel_cpt => dbg_sel_all_idel_cpt, dbg_phy_rdlvl => dbg_phy_rdlvl, dbg_calib_top => dbg_calib_top, dbg_phy_init => dbg_phy_init, dbg_prbs_rdlvl => dbg_prbs_rdlvl, dbg_dqs_found_cal => dbg_dqs_found_cal, dbg_phy_oclkdelay_cal => dbg_phy_oclkdelay_cal, dbg_oclkdelay_rd_data => dbg_oclkdelay_rd_data, dbg_oclkdelay_calib_start => dbg_oclkdelay_calib_start, dbg_oclkdelay_calib_done => dbg_oclkdelay_calib_done, dbg_poc => dbg_poc, prbs_final_dqs_tap_cnt_r => prbs_final_dqs_tap_cnt_r, dbg_prbs_first_edge_taps => dbg_prbs_first_edge_taps, dbg_prbs_second_edge_taps => dbg_prbs_second_edge_taps, byte_sel_cnt => byte_sel_cnt, fine_delay_incdec_pb => fine_delay_incdec_pb, fine_delay_sel => fine_delay_sel, pd_out => pd_out ); end architecture arch_ddr_phy_top;
mit
d496056d5d4b8835722fb2b9cf849720
0.511931
3.29205
false
false
false
false
fumyuun/tasty
src/platform/sim/tb.vhd
1
1,908
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.snes_lib.all; entity tb is end entity; architecture tb_arch of tb is signal clk_s : std_logic; signal rst_s : std_logic; signal btn_s : std_logic_vector(12 downto 0); signal js_clock_s : std_logic := '0'; signal js_latch_s : std_logic := '0'; signal js_data_s : std_logic; signal clock_active_s : std_logic := '0'; signal snes_js_btn_s : snes_js_btn_r; signal snes_js_bus_i_s : snes_js_bus_i_r; signal snes_js_bus_o_s : snes_js_bus_o_r; begin -- up & b btn_s <= "0000000100001"; snes_js_btn_s.up <= btn_s(0); snes_js_btn_s.down <= btn_s(1); snes_js_btn_s.left <= btn_s(2); snes_js_btn_s.right <= btn_s(3); snes_js_btn_s.a <= btn_s(4); snes_js_btn_s.b <= btn_s(5); snes_js_btn_s.x <= btn_s(6); snes_js_btn_s.y <= btn_s(7); snes_js_btn_s.l <= btn_s(8); snes_js_btn_s.r <= btn_s(9); snes_js_btn_s.start <= btn_s(10); snes_js_btn_s.sel <= btn_s(11); snes_js_bus_i_s.clock <= js_clock_s; snes_js_bus_i_s.latch <= js_latch_s; js_data_s <= snes_js_bus_o_s.data; clk_s <= '0', not clk_s after 10 ns; rst_s <= '1', '0' after 1 us; tasty: entity work.tasty_snes port map ( clk_i => clk_s, snes_js_btn_i => snes_js_btn_s, snes_js_bus_i => snes_js_bus_i_s, snes_js_bus_o => snes_js_bus_o_s, debug_enabled_i => '1', btnreg_o => open ); test_proc: process begin clock_active_s <= '0'; wait for 10 us; js_latch_s <= '1'; wait for 12 us; js_latch_s <= '0'; clock_active_s <= '1'; wait for 16*12 us; clock_active_s <= '0'; end process; js_clock_s <= '1' when clock_active_s = '0' else not js_clock_s after 6 us; end architecture tb_arch;
mit
b8c564f6903d84def0a3ebdf56b2206e
0.533019
2.550802
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/950a27d1/hdl/src/vhdl/axi_sg_ftch_queue.vhd
1
41,467
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; --use axi_sg_v4_1.axi_sg_afifo_autord.all; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_queue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data width C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_INCLUDE_MM2S : integer range 0 to 1 := 0; C_INCLUDE_S2MM : integer range 0 to 1 := 0; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; C_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_primary_aclk : in std_logic ; m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; ch2_sg_idle : in std_logic ; -- Channel Control -- desc1_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; desc2_flush : in std_logic ; -- ftch1_active : in std_logic ; -- ftch2_active : in std_logic ; -- ftch1_queue_empty : out std_logic ; -- ftch2_queue_empty : out std_logic ; -- ftch1_queue_full : out std_logic ; -- ftch2_queue_full : out std_logic ; -- ftch1_pause : out std_logic ; -- ftch2_pause : out std_logic ; -- -- writing_nxtdesc_in : in std_logic ; -- writing1_curdesc_out : out std_logic ; -- writing2_curdesc_out : out std_logic ; -- -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- sof_ftch_desc : in std_logic ; m_axis1_mm2s_tready : out std_logic ; -- m_axis2_mm2s_tready : out std_logic ; -- -- data_concat_64 : in std_logic_vector -- (31 downto 0) ; -- data_concat_64_cdma : in std_logic_vector -- (31 downto 0) ; -- data_concat : in std_logic_vector -- (95 downto 0) ; -- data_concat_mcdma : in std_logic_vector -- (63 downto 0) ; -- data_concat_tlast : in std_logic ; -- next_bd : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); data_concat_valid : in std_logic ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ftch_aclk : in std_logic ; -- m_axis_ftch1_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch1_tvalid : out std_logic ; -- m_axis_ftch1_tready : in std_logic ; -- m_axis_ftch1_tlast : out std_logic ; -- m_axis_ftch1_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch1_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch1_tvalid_new : out std_logic ; -- m_axis_ftch1_desc_available : out std_logic ; m_axis_ftch2_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch2_tvalid : out std_logic ; -- m_axis_ftch2_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch2_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch2_tvalid_new : out std_logic ; -- m_axis_ftch2_desc_available : out std_logic ; m_axis_ftch2_tready : in std_logic ; -- m_axis_ftch2_tlast : out std_logic ; -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_ftch_queue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_queue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; -- Number of words deep fifo needs to be -- 6 is subtracted as BD address are always 16 word aligned constant FIFO_WIDTH : integer := (128*C_ENABLE_CDMA + 97*(1-C_ENABLE_CDMA) -6); constant C_SG_WORDS_TO_FETCH1 : integer := C_SG_WORDS_TO_FETCH + 2*C_ENABLE_MULTI_CHANNEL; --constant FETCH_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE -- * C_SG_WORDS_TO_FETCH1)); constant FETCH_QUEUE_DEPTH : integer := 16; -- Select between BRAM or Logic Memory Type constant MEMORY_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG_WORDS_TO_FETCH1 > 16); constant FETCH_QUEUE_CNT_WIDTH : integer := clog2(FETCH_QUEUE_DEPTH+1); constant DCNT_LO_INDEX : integer := max2(1,clog2(C_SG_WORDS_TO_FETCH1)) - 1; constant DCNT_HI_INDEX : integer := FETCH_QUEUE_CNT_WIDTH-1; -- CR616461 constant C_SG2_WORDS_TO_FETCH1 : integer := C_SG2_WORDS_TO_FETCH; constant FETCH2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1)); -- Select between BRAM or Logic Memory Type constant MEMORY2_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1 > 16); constant FETCH2_QUEUE_CNT_WIDTH : integer := clog2(FETCH2_QUEUE_DEPTH+1); constant DCNT2_LO_INDEX : integer := max2(1,clog2(C_SG2_WORDS_TO_FETCH1)) - 1; constant DCNT2_HI_INDEX : integer := FETCH2_QUEUE_CNT_WIDTH-1; -- CR616461 -- Width of fifo rd and wr counts - only used for proper fifo operation constant DESC2QUEUE_VECT_WIDTH : integer := 4; --constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 --constant DCNT_HI_INDEX : integer := (DCNT_LO_INDEX + DESC2QUEUE_VECT_WIDTH) - 1; -- CR616461 constant ZERO_COUNT : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); constant ZERO_COUNT1 : std_logic_vector(FETCH2_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Internal signals signal curdesc_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_tvalid : std_logic := '0'; signal ftch_tvalid : std_logic := '0'; signal ftch_tvalid_new : std_logic := '0'; signal ftch_tdata : std_logic_vector (31 downto 0) := (others => '0'); signal ftch_tdata_new, reg1, reg2 : std_logic_vector (FIFO_WIDTH-1 downto 0) := (others => '0'); signal ftch_tdata_new_64, reg1_64, reg2_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal ftch_tdata_new_bd, reg2_bd_64, reg1_bd_64 : std_logic_vector (31 downto 0) := (others => '0'); attribute mark_debug of ftch_tdata_new : signal is "true"; signal ftch_tlast : std_logic := '0'; signal ftch_tlast_new : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal ftch_tready_ch1 : std_logic := '0'; signal ftch_tready_ch2 : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal writing_nxtdesc : std_logic := '0'; signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal writing_lsb : std_logic := '0'; signal writing_msb : std_logic := '0'; -- FIFO signals signal queue_rden2 : std_logic := '0'; signal queue_rden2_new : std_logic := '0'; signal queue_wren2 : std_logic := '0'; signal queue_wren2_new : std_logic := '0'; signal queue_empty2 : std_logic := '0'; signal queue_empty2_new : std_logic := '0'; signal queue_rden : std_logic := '0'; signal queue_rden_new : std_logic := '0'; signal queue_wren : std_logic := '0'; signal queue_wren_new : std_logic := '0'; signal queue_empty : std_logic := '0'; signal queue_empty_new : std_logic := '0'; signal queue_dout_valid : std_logic := '0'; signal queue_dout2_valid : std_logic := '0'; attribute mark_debug of queue_dout_valid : signal is "true"; attribute mark_debug of queue_dout2_valid : signal is "true"; signal queue_full_new : std_logic := '0'; signal queue_full2_new : std_logic := '0'; signal queue_full, queue_full2 : std_logic := '0'; signal queue_din_new : std_logic_vector (127 downto 0) := (others => '0'); signal queue_dout_new_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal queue_dout_new_bd : std_logic_vector (31 downto 0) := (others => '0'); signal queue_dout_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); signal queue_dout_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_dout2_new_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal queue_dout2_new_bd : std_logic_vector (31 downto 0) := (others => '0'); signal queue_dout2_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); attribute mark_debug of queue_dout_new : signal is "true"; attribute mark_debug of queue_dout2_new : signal is "true"; signal queue_dout2_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_din : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout2 : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_sinit : std_logic := '0'; signal queue_sinit2 : std_logic := '0'; signal queue_dcount_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal queue_dcount2_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal ftch_no_room : std_logic; signal ftch_active : std_logic := '0'; attribute mark_debug of ftch_active : signal is "true"; signal ftch_tvalid_mult : std_logic := '0'; signal ftch_tdata_mult : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast_mult : std_logic := '0'; signal counter : std_logic_vector (3 downto 0) := (others => '0'); signal wr_cntl : std_logic := '0'; signal sof_ftch_desc_del : std_logic; signal sof_ftch_desc_del1 : std_logic; signal sof_ftch_desc_pulse : std_logic; signal current_bd : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal xfer_in_progress : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin SOF_DEL_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_ftch_desc_del <= '0'; else sof_ftch_desc_del <= sof_ftch_desc; end if; end if; end process SOF_DEL_PROCESS; SOF_DEL1_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or (m_axis_mm2s_tlast = '1' and m_axis_mm2s_tvalid = '1'))then sof_ftch_desc_del1 <= '0'; elsif (m_axis_mm2s_tvalid = '1') then sof_ftch_desc_del1 <= sof_ftch_desc; end if; end if; end process SOF_DEL1_PROCESS; sof_ftch_desc_pulse <= sof_ftch_desc and (not sof_ftch_desc_del1); ftch_active <= ftch1_active or ftch2_active; --------------------------------------------------------------------------- -- Write current descriptor to FIFO or out channel port --------------------------------------------------------------------------- CURRENT_BD_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(32+DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; end generate CURRENT_BD_64; CURRENT_BD_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; end generate CURRENT_BD_32; GEN_MULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 1 generate begin ftch_tvalid_mult <= m_axis_mm2s_tvalid; ftch_tdata_mult <= m_axis_mm2s_tdata; ftch_tlast_mult <= m_axis_mm2s_tlast; wr_cntl <= m_axis_mm2s_tvalid; end generate GEN_MULT_CHANNEL; GEN_NOMULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 0 generate begin ftch_tvalid_mult <= '0'; --m_axis_mm2s_tvalid; ftch_tdata_mult <= (others => '0'); --m_axis_mm2s_tdata; ftch_tlast_mult <= '0'; --m_axis_mm2s_tlast; m_axis_ftch1_tdata_mcdma_new <= (others => '0'); m_axis_ftch2_tdata_mcdma_new <= (others => '0'); COUNTER_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or m_axis_mm2s_tlast = '1')then counter <= (others => '0'); elsif (m_axis_mm2s_tvalid = '1') then counter <= std_logic_vector(unsigned(counter) + 1); end if; end if; end process COUNTER_PROCESS; end generate GEN_NOMULT_CHANNEL; --------------------------------------------------------------------------- -- TVALID MUX -- MUX tvalid out channel port --------------------------------------------------------------------------- CDMA_FIELDS : if C_ENABLE_CDMA = 1 generate begin CDMA_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 (63 downto 0) <= data_concat_64_cdma & data_concat_64; ftch_tdata_new_bd (31 downto 0) <= current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate CDMA_FIELDS_64; ftch_tdata_new (95 downto 0) <= data_concat; -- BD is always 16 word aligned ftch_tdata_new (121 downto 96) <= current_bd (31 downto 6); end generate CDMA_FIELDS; DMA_FIELDS : if C_ENABLE_CDMA = 0 generate begin DMA_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 (31 downto 0) <= data_concat_64; ftch_tdata_new_bd (31 downto 0) <= current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate DMA_FIELDS_64; ftch_tdata_new (64 downto 0) <= data_concat (95) & data_concat (63 downto 0);-- when (ftch_active = '1') else (others =>'0'); -- BD is always 16 word aligned ftch_tdata_new (90 downto 65) <= current_bd (31 downto 6); end generate DMA_FIELDS; ftch_tvalid_new <= data_concat_valid and ftch_active; ftch_tlast_new <= data_concat_tlast and ftch_active; GEN_MM2S : if C_INCLUDE_MM2S = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_rden_new = '1') then queue_empty_new <= '1'; queue_full_new <= '0'; elsif (queue_wren_new = '1') then queue_empty_new <= '0'; queue_full_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then reg1 <= (others => '0'); reg1_64 <= (others => '0'); reg1_bd_64 <= (others => '0'); elsif (queue_wren_new = '1') then reg1 <= ftch_tdata_new; reg1_64 <= ftch_tdata_new_64; reg1_bd_64 <= ftch_tdata_new_bd; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then queue_dout_new <= (others => '0'); queue_dout_new_64 <= (others => '0'); queue_dout_new_bd <= (others => '0'); elsif (queue_rden_new = '1') then queue_dout_new <= reg1; queue_dout_new_64 <= reg1_64; queue_dout_new_bd <= reg1_bd_64; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_dout_valid = '1') then queue_dout_valid <= '0'; elsif (queue_rden_new = '1') then queue_dout_valid <= '1'; end if; end if; end process; MCDMA_MM2S : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH1_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren_new , Rd_en => queue_rden_new , Dout => queue_dout_mcdma_new , Full => open, --queue_full_new , Empty => open, --queue_empty_new , Almost_full => open , Data_count => open, --queue_dcount_new , Rd_ack => open, --queue_dout_valid, --open , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch1_tdata_mcdma_new <= queue_dout_mcdma_new; end generate MCDMA_MM2S; CONTROL_STREAM : if C_SG_WORDS_TO_FETCH = 13 generate begin I_MM2S_CNTRL_STREAM : entity axi_sg_v4_1.axi_sg_cntrl_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_ASYNC , C_PRMY_CMDFIFO_DEPTH => FETCH_QUEUE_DEPTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Secondary clock / reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Primary clock / reset axi_prmry_aclk => m_axi_primary_aclk , p_reset_n => p_reset_n , -- MM2S Error mm2s_stop => ch1_cntrl_strm_stop , -- Control Stream input cntrlstrm_fifo_wren => queue_wren , cntrlstrm_fifo_full => queue_full , cntrlstrm_fifo_din => queue_din , -- Memory Map to Stream Control Stream Interface m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); end generate CONTROL_STREAM; end generate GEN_MM2S; GEN_S2MM : if C_INCLUDE_S2MM = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_rden2_new = '1') then queue_empty2_new <= '1'; queue_full2_new <= '0'; elsif (queue_wren2_new = '1') then queue_empty2_new <= '0'; queue_full2_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then reg2 <= (others => '0'); reg2_64 <= (others => '0'); reg2_bd_64 <= (others => '0'); elsif (queue_wren2_new = '1') then reg2 <= ftch_tdata_new; reg2_64 <= ftch_tdata_new_64; reg2_bd_64 <= ftch_tdata_new_bd; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then queue_dout2_new <= (others => '0'); queue_dout2_new_64 <= (others => '0'); queue_dout2_new_bd <= (others => '0'); elsif (queue_rden2_new = '1') then queue_dout2_new <= reg2; queue_dout2_new_64 <= reg2_64; queue_dout2_new_bd <= reg2_bd_64; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_dout2_valid = '1') then queue_dout2_valid <= '0'; elsif (queue_rden2_new = '1') then queue_dout2_valid <= '1'; end if; end if; end process; MCDMA_S2MM : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH2_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit2 , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren2_new , Rd_en => queue_rden2_new , Dout => queue_dout2_new , Full => open, --queue_full2_new , Empty => open, --queue_empty2_new , Almost_full => open , Data_count => queue_dcount2_new , Rd_ack => open, --queue_dout2_valid , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch2_tdata_mcdma_new <= queue_dcount2_new; end generate MCDMA_S2MM; end generate GEN_S2MM; ----------------------------------------------------------------------- -- Internal Side ----------------------------------------------------------------------- -- Drive tready with fifo not full ftch_tready <= ftch_tready_ch1 or ftch_tready_ch2; -- Following is the APP data that goes into APP FIFO queue_din(C_M_AXIS_SG_TDATA_WIDTH) <= m_axis_mm2s_tlast; queue_din(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) <= x"A0000000" when (sof_ftch_desc_pulse = '1') else m_axis_mm2s_tdata; GEN_CH1_CTRL : if C_INCLUDE_MM2S =1 generate begin --queue_full_new <= '1' when (queue_dcount_new = "00100") else '0'; queue_sinit <= desc1_flush or not m_axi_sg_aresetn; ftch_tready_ch1 <= (not queue_full and ftch1_active); m_axis1_mm2s_tready <= ftch_tready_ch1; -- Wr_en to APP FIFO. Data is written only when BD with SOF is fetched. queue_wren <= not queue_full and sof_ftch_desc and m_axis_mm2s_tvalid and ftch1_active; -- Wr_en of BD FIFO queue_wren_new <= not queue_full_new and ftch_tvalid_new and ftch1_active; ftch1_queue_empty <= queue_empty_new; ftch1_queue_full <= queue_full_new; ftch1_pause <= queue_full_new; -- RD_en of APP FIFO based on empty and tready -- RD_EN of BD FIFO based on empty and tready queue_rden_new <= not queue_empty_new and m_axis_ftch1_tready; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; m_axis_ftch1_tvalid_new <= queue_dout_valid; --not queue_empty_new and (not ch2_sg_idle); -- below signal triggers the fetch of BD in MM2S Mngr m_axis_ftch1_desc_available <= not queue_empty_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); FTCH_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin m_axis_ftch1_tdata_new <= queue_dout_new_bd & queue_dout_new_64 & queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_64; FTCH_FIELDS_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin m_axis_ftch1_tdata_new <= queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_32; writing1_curdesc_out <= writing_curdesc and ftch1_active; NOCONTROL_STREAM_ASST : if C_SG_WORDS_TO_FETCH = 8 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate NOCONTROL_STREAM_ASST; end generate GEN_CH1_CTRL; GEN_NO_CH1_CTRL : if C_INCLUDE_MM2S =0 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; ftch_tready_ch1 <= '0'; m_axis1_mm2s_tready <= '0'; -- Write to fifo if it is not full and data is valid queue_wren <= '0'; ftch1_queue_empty <= '0'; ftch1_queue_full <= '0'; ftch1_pause <= '0'; queue_rden <= '0'; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); writing1_curdesc_out <= '0'; m_axis_ftch1_tdata_new <= (others => '0'); m_axis_ftch1_tvalid_new <= '0'; m_axis_ftch1_desc_available <= '0'; end generate GEN_NO_CH1_CTRL; GEN_CH2_CTRL : if C_INCLUDE_S2MM =1 generate begin queue_sinit2 <= desc2_flush or not m_axi_sg_aresetn; ftch_tready_ch2 <= (not queue_full2_new and ftch2_active); m_axis2_mm2s_tready <= ftch_tready_ch2; queue_wren2 <= '0'; -- Wr_en for S2MM BD FIFO queue_wren2_new <= not queue_full2_new and ftch_tvalid_new and ftch2_active; --queue_full2_new <= '1' when (queue_dcount2_new = "00100") else '0'; -- Pass fifo status back to fetch sm for channel IDLE determination ftch2_queue_empty <= queue_empty2_new; ftch2_queue_full <= queue_full2_new; ftch2_pause <= queue_full2_new; queue_rden2 <= '0'; -- Rd_en for S2MM BD FIFO queue_rden2_new <= not queue_empty2_new and m_axis_ftch2_tready; m_axis_ftch2_tvalid <= '0'; m_axis_ftch2_tvalid_new <= queue_dout2_valid; -- not queue_empty2_new and (not ch2_sg_idle); m_axis_ftch2_desc_available <= not queue_empty2_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); FTCH_FIELDS_64_2 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate m_axis_ftch2_tdata_new <= queue_dout2_new_bd & queue_dout2_new_64 & queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_64_2; FTCH_FIELDS_32_2 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate m_axis_ftch2_tdata_new <= queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_32_2; writing2_curdesc_out <= writing_curdesc and ftch2_active; end generate GEN_CH2_CTRL; GEN_NO_CH2_CTRL : if C_INCLUDE_S2MM =0 generate begin ftch_tready_ch2 <= '0'; m_axis2_mm2s_tready <= '0'; queue_wren2 <= '0'; -- Pass fifo status back to fetch sm for channel IDLE determination --ftch_queue_empty <= queue_empty; CR 621600 ftch2_queue_empty <= '0'; ftch2_queue_full <= '0'; ftch2_pause <= '0'; queue_rden2 <= '0'; m_axis_ftch2_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); m_axis_ftch2_tdata_new <= (others => '0'); m_axis_ftch2_tvalid_new <= '0'; writing2_curdesc_out <= '0'; m_axis_ftch2_desc_available <= '0'; end generate GEN_NO_CH2_CTRL; -- If writing curdesc out then flag for proper mux selection writing_curdesc <= curdesc_tvalid; -- Map intnal signal to port -- Map port to internal signal writing_nxtdesc <= writing_nxtdesc_in; end implementation;
mit
059eb78e1a2ee3b3716fa9e9ff5af60e
0.478718
3.683336
false
false
false
false
Kalugy/Procesadorarquitectura
Segmentado/Writeback.vhd
1
1,774
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:37:36 11/11/2017 -- Design Name: -- Module Name: Writeback - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Writeback is Port ( datatomenin : in STD_LOGIC_VECTOR (31 downto 0); aluresultin : in STD_LOGIC_VECTOR (31 downto 0); pc : in STD_LOGIC_VECTOR (31 downto 0); rfsourcein : in STD_LOGIC_VECTOR (1 downto 0); datatoreg : out STD_LOGIC_VECTOR (31 downto 0)); end Writeback; architecture Behavioral of Writeback is COMPONENT MuxDM PORT( DataMem : in STD_LOGIC_VECTOR (31 downto 0); AluResult : in STD_LOGIC_VECTOR (31 downto 0); PC : in STD_LOGIC_VECTOR (31 downto 0); RFSC : in STD_LOGIC_VECTOR (1 downto 0); DWR : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; signal a999: std_logic_vector(31 downto 0); begin ints_muxdatamemory: MuxDM PORT MAP( DataMem => datatomenin, AluResult => aluresultin, PC => pc, RFSC => rfsourcein, DWR => a999 ); datatoreg<=a999; end Behavioral;
gpl-3.0
0edc4b9a42b07a25fd2beb71bf64dfa9
0.574972
4.022676
false
false
false
false
hhuang25/uwaterloo_ece224
Lab1Good/ece324_pulse_generator.vhd
2
1,058
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ece324_pulse_generator is port( clk, oneStep : in std_logic; duty_cycle : in std_logic_vector(3 downto 0); reset : in std_logic; enable : in std_logic; pulse : out std_logic ); end ece324_pulse_generator; architecture Behavioral of ece324_pulse_generator is signal count : std_logic_vector(3 downto 0); signal generatedSignal : std_logic; begin pulsar: process(clk) begin if(clk'EVENT and clk = '1') then if(reset = '1') then count <= "1111"; elsif(enable = '1') then if(count = "1111") then count <= "0000"; else count <= count + 1; end if; end if; if(count < duty_cycle) then generatedSignal <= '1'; else generatedSignal <= '0'; end if; end if; end process; -- clocked multiplexer Mux: process(clk) begin if(clk'EVENT and clk = '1') then if(duty_cycle = "0000") then pulse <= oneStep; else pulse <= generatedSignal; end if; end if; end process; end Behavioral;
mit
03555d7d00926c3ef864006a22b01885
0.638941
2.875
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_3/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_3_tb.vhd
3
6,190
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_3_pkg.ALL; ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_3_tb IS END ENTITY; ARCHITECTURE system_axi_vdma_0_wrapper_fifo_generator_v9_3_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_3_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 200 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 2100 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from system_axi_vdma_0_wrapper_fifo_generator_v9_3_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(3) = '1') THEN assert false report "Almost Empty flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Test Completed Successfully" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 400 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of system_axi_vdma_0_wrapper_fifo_generator_v9_3_synth system_axi_vdma_0_wrapper_fifo_generator_v9_3_synth_inst:system_axi_vdma_0_wrapper_fifo_generator_v9_3_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 70 ) PORT MAP( CLK => wr_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
bsd-3-clause
c481ec0f707939bc116f5c6c0d5ebd29
0.627141
4.048398
false
false
false
false
qynvi/rtl-2dtimer
2dtimer.vhd
1
1,608
-- William Fan -- 2/19/2011 -- 2 Digit Timer RTL entity 2dtimer is generic (fclk: integer := 50_000_000); --50MHz port (ena, clk, rst: in bit; ssdL, ssdR: out bit_vector(6 downto 0)); end entity; architecture tdt of 2dtimer is begin process (clk, rst, ena) variable ncounter: natural range 0 to fclk := 0; variable counterR: natural range 0 to 10 := 0; variable counterL: natural range 0 to 6 := 0; begin --- timer counter if (rst='1') then ncounter := 0; counterR := 0; counterL := 0; elsif (rst='0') then if (clk'event and clk='1') then if (ena='1' and counterL<6) then ncounter := ncounter + 1; if (ncounter=fclk) then ncounter := 0; counterR := counterR + 1; end if; if (counterR=10) then counterR := 0; counterL := counterL + 1; end if; end if; end if; end if; --- LCD driver case counterR is when 0 => ssdR<="0000001"; when 1 => ssdR<="1001111"; when 2 => ssdR<="0010010"; when 3 => ssdR<="0000110"; when 4 => ssdR<="1001100"; when 5 => ssdR<="0100100"; when 6 => ssdR<="0100000"; when 7 => ssdR<="0001111"; when 8 => ssdR<="0000000"; when 9 => ssdR<="0000100"; when others => ssdR<="0110000"; end case; case counterL is when 0 => ssdL<="0000001"; when 1 => ssdL<="1001111"; when 2 => ssdL<="0010010"; when 3 => ssdL<="0000110"; when 4 => ssdL<="1001100"; when 5 => ssdL<="0100100"; when 6 => ssdL<="0100000"; when others => ssdL<="0110000"; end case; end process; end architecture;
mit
1145327f40751890acea6e3a6c507d8b
0.56592
3.196819
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2/simulation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_rng.vhd
3
4,028
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_rng.vhd -- -- Description: -- Used for generation of pseudo random numbers -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; ENTITY system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_rng IS GENERIC ( WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); END ENTITY; ARCHITECTURE rg_arch OF system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_rng IS BEGIN PROCESS (CLK,RESET) VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); VARIABLE temp : STD_LOGIC := '0'; BEGIN IF(RESET = '1') THEN rand_temp := conv_std_logic_vector(SEED,width); temp := '0'; ELSIF (CLK'event AND CLK = '1') THEN IF (ENABLE = '1') THEN temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); rand_temp(0) := temp; END IF; END IF; RANDOM_NUM <= rand_temp; END PROCESS; END ARCHITECTURE;
bsd-3-clause
6345e82575cb7c0b7260d877ac2c5ad5
0.643992
4.266949
false
false
false
false
davewebb8211/ghdl
libraries/vital95/vital_timing.vhdl
6
46,973
------------------------------------------------------------------------------- -- Title : Standard VITAL TIMING Package -- : $Revision$ -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4 -- : -- Purpose : This packages defines standard types, attributes, constants, -- : functions and procedures for use in developing ASIC models. -- : -- Known Errors : -- : -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the objects (types, subtypes, constants, functions, -- : procedures ... etc.) that can be used by a user. The package -- : body shall be considered the formal definition of the -- : semantics of this package. Tool developers may choose to -- : implement the package body in the most efficient manner -- : available to them. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Acknowledgments: -- This code was originally developed under the "VHDL Initiative Toward ASIC -- Libraries" (VITAL), an industry sponsored initiative. Technical -- Director: William Billowitch, VHDL Technology Group; U.S. Coordinator: -- Steve Schultz; Steering Committee Members: Victor Berman, Cadence Design -- Systems; Oz Levia, Synopsys Inc.; Ray Ryan, Ryan & Ryan; Herman van Beek, -- Texas Instruments; Victor Martin, Hewlett-Packard Company. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Modification History : -- ---------------------------------------------------------------------------- -- Version No:|Auth:| Mod.Date:| Changes Made: -- v95.0 A | | 06/02/95 | Initial ballot draft 1995 -- v95.1 | | 08/31/95 | #203 - Timing violations at time 0 -- #204 - Output mapping prior to glitch detection -- ---------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.Std_Logic_1164.ALL; PACKAGE VITAL_Timing IS TYPE VitalTransitionType IS ( tr01, tr10, tr0z, trz1, tr1z, trz0, tr0X, trx1, tr1x, trx0, trxz, trzx); SUBTYPE VitalDelayType IS TIME; TYPE VitalDelayType01 IS ARRAY (VitalTransitionType RANGE tr01 to tr10) OF TIME; TYPE VitalDelayType01Z IS ARRAY (VitalTransitionType RANGE tr01 to trz0) OF TIME; TYPE VitalDelayType01ZX IS ARRAY (VitalTransitionType RANGE tr01 to trzx) OF TIME; TYPE VitalDelayArrayType IS ARRAY (NATURAL RANGE <>) OF VitalDelayType; TYPE VitalDelayArrayType01 IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01; TYPE VitalDelayArrayType01Z IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01Z; TYPE VitalDelayArrayType01ZX IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01ZX; -- ---------------------------------------------------------------------- -- ********************************************************************** -- ---------------------------------------------------------------------- CONSTANT VitalZeroDelay : VitalDelayType := 0 ns; CONSTANT VitalZeroDelay01 : VitalDelayType01 := ( 0 ns, 0 ns ); CONSTANT VitalZeroDelay01Z : VitalDelayType01Z := ( OTHERS => 0 ns ); CONSTANT VitalZeroDelay01ZX : VitalDelayType01ZX := ( OTHERS => 0 ns ); --------------------------------------------------------------------------- -- examples of usage: --------------------------------------------------------------------------- -- tpd_CLK_Q : VitalDelayType := 5 ns; -- tpd_CLK_Q : VitalDelayType01 := (tr01 => 2 ns, tr10 => 3 ns); -- tpd_CLK_Q : VitalDelayType01Z := ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns ); -- tpd_CLK_Q : VitalDelayArrayType(0 to 1) -- := (0 => 5 ns, 1 => 6 ns); -- tpd_CLK_Q : VitalDelayArrayType01(0 to 1) -- := (0 => (tr01 => 2 ns, tr10 => 3 ns), -- 1 => (tr01 => 2 ns, tr10 => 3 ns)); -- tpd_CLK_Q : VitalDelayArrayType01Z(0 to 1) -- := (0 => ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns ), -- 1 => ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns )); --------------------------------------------------------------------------- -- TRUE if the model is LEVEL0 | LEVEL1 compliant ATTRIBUTE VITAL_Level0 : BOOLEAN; ATTRIBUTE VITAL_Level1 : BOOLEAN; SUBTYPE std_logic_vector2 IS std_logic_vector(1 DOWNTO 0); SUBTYPE std_logic_vector3 IS std_logic_vector(2 DOWNTO 0); SUBTYPE std_logic_vector4 IS std_logic_vector(3 DOWNTO 0); SUBTYPE std_logic_vector8 IS std_logic_vector(7 DOWNTO 0); -- Types for strength mapping of outputs TYPE VitalOutputMapType IS ARRAY ( std_ulogic ) OF std_ulogic; TYPE VitalResultMapType IS ARRAY ( UX01 ) OF std_ulogic; TYPE VitalResultZMapType IS ARRAY ( UX01Z ) OF std_ulogic; CONSTANT VitalDefaultOutputMap : VitalOutputMapType := "UX01ZWLH-"; CONSTANT VitalDefaultResultMap : VitalResultMapType := ( 'U', 'X', '0', '1' ); CONSTANT VitalDefaultResultZMap : VitalResultZMapType := ( 'U', 'X', '0', '1', 'Z' ); -- Types for fields of VitalTimingDataType TYPE VitalTimeArrayT IS ARRAY (INTEGER RANGE <>) OF TIME; TYPE VitalTimeArrayPT IS ACCESS VitalTimeArrayT; TYPE VitalBoolArrayT IS ARRAY (INTEGER RANGE <>) OF BOOLEAN; TYPE VitalBoolArrayPT IS ACCESS VitalBoolArrayT; TYPE VitalLogicArrayPT IS ACCESS std_logic_vector; TYPE VitalTimingDataType IS RECORD NotFirstFlag : BOOLEAN; RefLast : X01; RefTime : TIME; HoldEn : BOOLEAN; TestLast : std_ulogic; TestTime : TIME; SetupEn : BOOLEAN; TestLastA : VitalLogicArrayPT; TestTimeA : VitalTimeArrayPT; HoldEnA : VitalBoolArrayPT; SetupEnA : VitalBoolArrayPT; END RECORD; FUNCTION VitalTimingDataInit RETURN VitalTimingDataType; -- type for internal data of VitalPeriodPulseCheck TYPE VitalPeriodDataType IS RECORD Last : X01; Rise : TIME; Fall : TIME; NotFirstFlag : BOOLEAN; END RECORD; CONSTANT VitalPeriodDataInit : VitalPeriodDataType := ('X', 0 ns, 0 ns, FALSE ); -- Type for specifying the kind of Glitch handling to use TYPE VitalGlitchKindType IS (OnEvent, OnDetect, VitalInertial, VitalTransport); TYPE VitalGlitchDataType IS RECORD SchedTime : TIME; GlitchTime : TIME; SchedValue : std_ulogic; LastValue : std_ulogic; END RECORD; TYPE VitalGlitchDataArrayType IS ARRAY (NATURAL RANGE <>) OF VitalGlitchDataType; -- PathTypes: for handling simple PathDelay info TYPE VitalPathType IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType; -- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; TYPE VitalPath01Type IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType01; -- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; TYPE VitalPath01ZType IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType01Z;-- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; -- For representing multiple paths to an output TYPE VitalPathArrayType IS ARRAY (NATURAL RANGE <> ) OF VitalPathType; TYPE VitalPathArray01Type IS ARRAY (NATURAL RANGE <> ) OF VitalPath01Type; TYPE VitalPathArray01ZType IS ARRAY (NATURAL RANGE <> ) OF VitalPath01ZType; TYPE VitalTableSymbolType IS ( '/', -- 0 -> 1 '\', -- 1 -> 0 'P', -- Union of '/' and '^' (any edge to 1) 'N', -- Union of '\' and 'v' (any edge to 0) 'r', -- 0 -> X 'f', -- 1 -> X 'p', -- Union of '/' and 'r' (any edge from 0) 'n', -- Union of '\' and 'f' (any edge from 1) 'R', -- Union of '^' and 'p' (any possible rising edge) 'F', -- Union of 'v' and 'n' (any possible falling edge) '^', -- X -> 1 'v', -- X -> 0 'E', -- Union of 'v' and '^' (any edge from X) 'A', -- Union of 'r' and '^' (rising edge to or from 'X') 'D', -- Union of 'f' and 'v' (falling edge to or from 'X') '*', -- Union of 'R' and 'F' (any edge) 'X', -- Unknown level '0', -- low level '1', -- high level '-', -- don't care 'B', -- 0 or 1 'Z', -- High Impedance 'S' -- steady value ); SUBTYPE VitalEdgeSymbolType IS VitalTableSymbolType RANGE '/' TO '*'; -- ------------------------------------------------------------------------ -- -- Function Name: VitalExtendToFillDelay -- -- Description: A six element array of delay values of type -- VitalDelayType01Z is returned when a 1, 2 or 6 -- element array is given. This function will convert -- VitalDelayType and VitalDelayType01 delay values into -- a VitalDelayType01Z type following these rules: -- -- When a VitalDelayType is passed, all six transition -- values are assigned the input value. When a -- VitalDelayType01 is passed, the 01 transitions are -- assigned to the 01, 0Z and Z1 transitions and the 10 -- transitions are assigned to 10, 1Z and Z0 transition -- values. When a VitalDelayType01Z is passed, the values -- are kept as is. -- -- The function is overloaded based on input type. -- -- There is no function to fill a 12 value delay -- type. -- -- Arguments: -- -- IN Type Description -- Delay A one, two or six delay value Vital- -- DelayType is passed and a six delay, -- VitalDelayType01Z, item is returned. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- VitalDelayType01Z -- -- ------------------------------------------------------------------------- FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType ) RETURN VitalDelayType01Z; FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType01 ) RETURN VitalDelayType01Z; FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType01Z ) RETURN VitalDelayType01Z; -- ------------------------------------------------------------------------ -- -- Function Name: VitalCalcDelay -- -- Description: This function accepts a 1, 2 or 6 value delay and -- chooses the correct delay time to delay the NewVal -- signal. This function is overloaded based on the -- delay type passed. The function returns a single value -- of time. -- -- This function is provided for Level 0 models in order -- to calculate the delay which should be applied -- for the passed signal. The delay selection is performed -- using the OldVal and the NewVal to determine the -- transition to select. The default value of OldVal is X. -- -- This function cannot be used in a Level 1 model since -- the VitalPathDelay routines perform the delay path -- selection and output driving function. -- -- Arguments: -- -- IN Type Description -- NewVal New value of the signal to be -- assigned -- OldVal Previous value of the signal. -- Default value is 'X' -- Delay The delay structure from which to -- select the appropriate delay. The -- function overload is based on the -- type of delay passed. In the case of -- the single delay, VitalDelayType, no -- selection is performed, since there -- is only one value to choose from. -- For the other cases, the transition -- from the old value to the new value -- decide the value returned. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- Time The time value selected from the -- Delay INPUT is returned. -- -- ------------------------------------------------------------------------- FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType ) RETURN TIME; FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType01 ) RETURN TIME; FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType01Z ) RETURN TIME; -- ------------------------------------------------------------------------ -- -- Function Name: VitalPathDelay -- -- Description: VitalPathDelay is the Level 1 routine used to select -- the propagation delay path and schedule a new output -- value. -- -- For single and dual delay values, VitalDelayType and -- VitalDelayType01 are used. The output value is -- scheduled with a calculated delay without strength -- modification. -- -- For the six delay value, VitalDelayType01Z, the output -- value is scheduled with a calculated delay. The drive -- strength can be modified to handle weak signal strengths -- to model tri-state devices, pull-ups and pull-downs as -- an example. -- -- The correspondence between the delay type and the -- path delay function is as follows: -- -- Delay Type Path Type -- -- VitalDelayType VitalPathDelay -- VitalDelayType01 VitalPathDelay01 -- VitalDelayType01Z VitalPathDelay01Z -- -- For each of these routines, the following capabilities -- is provided: -- -- o Transition dependent path delay selection -- o User controlled glitch detection with the ability -- to generate "X" on output and report the violation -- o Control of the severity level for message generation -- o Scheduling of the computed values on the specified -- signal. -- -- Selection of the appropriate path delay begins with the -- candidate paths. The candidate paths are selected by -- identifying the paths for which the PathCondition is -- true. If there is a single candidate path, then that -- delay is selected. If there is more than one candidate -- path, then the shortest delay is selected using -- transition dependent delay selection. If there is no -- candidate paths, then the delay specified by the -- DefaultDelay parameter to the path delay is used. -- -- Once the delay is known, the output signal is then -- scheduled with that delay. In the case of -- VitalPathDelay01Z, an additional result mapping of -- the output value is performed before scheduling. The -- result mapping is performed after transition dependent -- delay selection but before scheduling the final output. -- -- In order to perform glitch detection, the user is -- obligated to provide a variable of VitalGlitchDataType -- for the propagation delay functions to use. The user -- cannot modify or use this information. -- -- Arguments: -- -- IN Type Description -- OutSignalName string The name of the output signal -- OutTemp std_logic The new output value to be driven -- Paths VitalPathArrayType A list of paths of VitalPathArray -- VitalPathArrayType01 type. The VitalPathDelay routine -- VitalPathArrayType01Z is overloaded based on the type -- of constant passed in. With -- VitalPathArrayType01Z, the -- resulting output strengths can be -- mapped. -- DefaultDelay VitalDelayType The default delay can be changed -- VitalDelayType01 from zero-delay to another set of -- VitalDelayType01Z values. -- Mode VitalGlitchKindType The value of this constant -- selects the type of glitch -- detection. -- OnEvent Glitch on transition event -- | OnDetect Glitch immediate on detection -- | VitalInertial No glitch, use INERTIAL -- assignment -- | VitalTransport No glitch, use TRANSPORT -- assignment -- XOn BOOLEAN Control for generation of 'X' on -- glitch. When TRUE, 'X's are -- scheduled for glitches, otherwise -- no are generated. -- MsgOn BOOLEAN Control for message generation on -- glitch detect. When TRUE, -- glitches are reported, otherwise -- they are not reported. -- MsgSeverity SEVERITY_LEVEL The level at which the message, -- or assertion, will be reported. -- OutputMap VitalOutputMapType For VitalPathDelay01Z, the output -- can be mapped to alternate -- strengths to model tri-state -- devices, pull-ups and pull-downs. -- -- INOUT -- GlitchData VitalGlitchDataType The internal data storage -- variable required to detect -- glitches. -- -- OUT -- OutSignal std_logic The output signal to be driven -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalPathDelay ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArrayType; CONSTANT DefaultDelay : IN VitalDelayType := VitalZeroDelay; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); PROCEDURE VitalPathDelay01 ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArray01Type; CONSTANT DefaultDelay : IN VitalDelayType01 := VitalZeroDelay01; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); PROCEDURE VitalPathDelay01Z ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArray01ZType; CONSTANT DefaultDelay : IN VitalDelayType01Z := VitalZeroDelay01Z; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalWireDelay -- -- Description: VitalWireDelay is used to delay an input signal. -- The delay is selected from the input parameter passed. -- The function is useful for back annotation of actual -- net delays. -- -- The function is overloaded to permit passing a delay -- value for twire for VitalDelayType, VitalDelayType01 -- and VitalDelayType01Z. twire is a generic which can -- be back annotated and must be constructed to follow -- the SDF to generic mapping rules. -- -- Arguments: -- -- IN Type Description -- InSig std_ulogic The input signal (port) to be -- delayed. -- twire VitalDelayType The delay value for which the input -- VitalDelayType01 signal should be delayed. For Vital- -- VitalDelayType01Z DelayType, the value is single value -- passed. For VitalDelayType01 and -- VitalDelayType01Z, the appropriate -- delay value is selected by VitalCalc- -- Delay. -- -- INOUT -- none -- -- OUT -- OutSig std_ulogic The internal delayed signal -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType ); PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType01 ); PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType01Z ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalSignalDelay -- -- Description: The VitalSignalDelay procedure is called in a signal -- delay block in the architecture to delay the -- appropriate test or reference signal in order to -- accommodate negative constraint checks. -- -- The amount of delay is of type TIME and is a constant. -- -- Arguments: -- -- IN Type Description -- InSig std_ulogic The signal to be delayed. -- dly TIME The amount of time the signal is -- delayed. -- -- INOUT -- none -- -- OUT -- OutSig std_ulogic The delayed signal -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalSignalDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT dly : IN TIME ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalSetupHoldCheck -- -- Description: The VitalSetupHoldCheck procedure detects a setup or a -- hold violation on the input test signal with respect -- to the corresponding input reference signal. The timing -- constraints are specified through parameters -- representing the high and low values for the setup and -- hold values for the setup and hold times. This -- procedure assumes non-negative values for setup and hold -- timing constraints. -- -- It is assumed that negative timing constraints -- are handled by internally delaying the test or -- reference signals. Negative setup times result in -- a delayed reference signal. Negative hold times -- result in a delayed test signal. Furthermore, the -- delays and constraints associated with these and -- other signals may need to be appropriately -- adjusted so that all constraint intervals overlap -- the delayed reference signals and all constraint -- values (with respect to the delayed signals) are -- non-negative. -- -- This function is overloaded based on the input -- TestSignal. A vector and scalar form are provided. -- -- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX -- : -- : -->| error region |<-- -- : -- _______________________________ -- RefSignal \______________________________ -- : | | | -- : | -->| |<-- thold -- : -->| tsetup |<-- -- -- Arguments: -- -- IN Type Description -- TestSignal std_ulogic Value of test signal -- std_logic_vector -- TestSignalName STRING Name of test signal -- TestDelay TIME Model's internal delay associated -- with TestSignal -- RefSignal std_ulogic Value of reference signal -- RefSignalName STRING Name of reference signal -- RefDelay TIME Model's internal delay associated -- with RefSignal -- SetupHigh TIME Absolute minimum time duration before -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "1" state without -- causing a setup violation. -- SetupLow TIME Absolute minimum time duration before -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "0" state without -- causing a setup violation. -- HoldHigh TIME Absolute minimum time duration after -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "1" state without -- causing a hold violation. -- HoldLow TIME Absolute minimum time duration after -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "0" state without -- causing a hold violation. -- CheckEnabled BOOLEAN Check performed if TRUE. -- RefTransition VitalEdgeSymbolType -- Reference edge specified. Events on -- the RefSignal which match the edge -- spec. are used as reference edges. -- HeaderMsg STRING String that will accompany any -- assertion messages produced. -- XOn BOOLEAN If TRUE, Violation output parameter -- is set to "X". Otherwise, Violation -- is always set to "0." -- MsgOn BOOLEAN If TRUE, set and hold violation -- message will be generated. -- Otherwise, no messages are generated, -- even upon violations. -- MsgSeverity SEVERITY_LEVEL Severity level for the assertion. -- -- INOUT -- TimingData VitalTimingDataType -- VitalSetupHoldCheck information -- storage area. This is used -- internally to detect reference edges -- and record the time of the last edge. -- -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalSetupHoldCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN TIME := 0 ns; CONSTANT SetupLow : IN TIME := 0 ns; CONSTANT HoldHigh : IN TIME := 0 ns; CONSTANT HoldLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); PROCEDURE VitalSetupHoldCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN TIME := 0 ns; CONSTANT SetupLow : IN TIME := 0 ns; CONSTANT HoldHigh : IN TIME := 0 ns; CONSTANT HoldLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalRecoveryRemovalCheck -- -- Description: The VitalRecoveryRemovalCheck detects the presence of -- a recovery or removal violation on the input test -- signal with respect to the corresponding input reference -- signal. It assumes non-negative values of setup and -- hold timing constraints. The timing constraint is -- specified through parameters representing the recovery -- and removal times associated with a reference edge of -- the reference signal. A flag indicates whether a test -- signal is asserted when it is high or when it is low. -- -- It is assumed that negative timing constraints -- are handled by internally delaying the test or -- reference signals. Negative recovery times result in -- a delayed reference signal. Negative removal times -- result in a delayed test signal. Furthermore, the -- delays and constraints associated with these and -- other signals may need to be appropriately -- adjusted so that all constraint intervals overlap -- the delayed reference signals and all constraint -- values (with respect to the delayed signals) are -- non-negative. -- -- Arguments: -- -- IN Type Description -- TestSignal std_ulogic Value of TestSignal. The routine is -- TestSignalName STRING Name of TestSignal -- TestDelay TIME Model internal delay associated with -- the TestSignal -- RefSignal std_ulogic Value of RefSignal -- RefSignalName STRING Name of RefSignal -- RefDelay TIME Model internal delay associated with -- the RefSignal -- Recovery TIME A change to an unasserted value on -- the asynchronous TestSignal must -- precede reference edge (on RefSignal) -- by at least this time. -- Removal TIME An asserted condition must be present -- on the asynchronous TestSignal for at -- least the removal time following a -- reference edge on RefSignal. -- ActiveLow BOOLEAN A flag which indicates if TestSignal -- is asserted when it is low - "0." -- FALSE indicate that TestSignal is -- asserted when it has a value "1." -- CheckEnabled BOOLEAN The check in enabled when the value -- is TRUE, otherwise the constraints -- are not checked. -- RefTransition VitalEdgeSymbolType -- Reference edge specifier. Events on -- RefSignal will match the edge -- specified. -- HeaderMsg STRING A header message that will accompany -- any assertion message. -- XOn BOOLEAN When TRUE, the output Violation is -- set to "X." When FALSE, it is always -- "0." -- MsgOn BOOLEAN When TRUE, violation messages are -- output. When FALSE, no messages are -- generated. -- MsgSeverity SEVERITY_LEVEL Severity level of the asserted -- message. -- -- INOUT -- TimingData VitalTimingDataType -- VitalRecoveryRemovalCheck information -- storage area. This is used -- internally to detect reference edges -- and record the time of the last edge. -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalRecoveryRemovalCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT Recovery : IN TIME := 0 ns; CONSTANT Removal : IN TIME := 0 ns; CONSTANT ActiveLow : IN BOOLEAN := TRUE; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalPeriodPulseCheck -- -- Description: VitalPeriodPulseCheck checks for minimum and maximum -- periodicity and pulse width for "1" and "0" values of -- the input test signal. The timing constraint is -- specified through parameters representing the minimal -- period between successive rising and falling edges of -- the input test signal and the minimum pulse widths -- associated with high and low values. -- -- VitalPeriodCheck's accepts rising and falling edges -- from 1 and 0 as well as transitions to and from 'X.' -- -- _______________ __________ -- ____________| |_______| -- -- |<--- pw_hi --->| -- |<-------- period ----->| -- -->| pw_lo |<-- -- -- Arguments: -- IN Type Description -- TestSignal std_ulogic Value of test signal -- TestSignalName STRING Name of the test signal -- TestDelay TIME Model's internal delay associated -- with TestSignal -- Period TIME Minimum period allowed between -- consecutive rising ('P') or -- falling ('F') transitions. -- PulseWidthHigh TIME Minimum time allowed for a high -- pulse ('1' or 'H') -- PulseWidthLow TIME Minimum time allowed for a low -- pulse ('0' or 'L') -- CheckEnabled BOOLEAN Check performed if TRUE. -- HeaderMsg STRING String that will accompany any -- assertion messages produced. -- XOn BOOLEAN If TRUE, Violation output parameter -- is set to "X". Otherwise, Violation -- is always set to "0." -- MsgOn BOOLEAN If TRUE, period/pulse violation -- message will be generated. -- Otherwise, no messages are generated, -- even though a violation is detected. -- MsgSeverity SEVERITY_LEVEL Severity level for the assertion. -- -- INOUT -- PeriodData VitalPeriodDataType -- VitalPeriodPulseCheck information -- storage area. This is used -- internally to detect reference edges -- and record the pulse and period -- times. -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------ PROCEDURE VitalPeriodPulseCheck ( VARIABLE Violation : OUT X01; VARIABLE PeriodData : INOUT VitalPeriodDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName : IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; CONSTANT Period : IN TIME := 0 ns; CONSTANT PulseWidthHigh : IN TIME := 0 ns; CONSTANT PulseWidthLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); END VITAL_Timing;
gpl-2.0
f9991039ec8ff44222f3f0d6acd4f144
0.448768
6.112297
false
true
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2/example_design/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_exdes.vhd
3
5,073
-------------------------------------------------------------------------------- -- -- FIFO Generator Core - core top file for implementation -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_exdes.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_exdes is PORT ( CLK : IN std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(1-1 DOWNTO 0); DOUT : OUT std_logic_vector(1-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_exdes; architecture xilinx of system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_exdes is signal clk_i : std_logic; component system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2 is PORT ( CLK : IN std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(1-1 DOWNTO 0); DOUT : OUT std_logic_vector(1-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin clk_buf: bufg PORT map( i => CLK, o => clk_i ); exdes_inst : system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2 PORT MAP ( CLK => clk_i, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
bsd-3-clause
eebeb1ad66af4d26f3beacdaa194bb8e
0.542874
4.767857
false
false
false
false
Kalugy/Procesadorarquitectura
Terceryfullprocesador/PSR.vhd
2
737
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PSR is Port ( nzvc : in STD_LOGIC_VECTOR (3 downto 0); clk : in STD_LOGIC; cwp : out STD_LOGIC; ncwp : in STD_LOGIC; rest : in STD_LOGIC; icc : out STD_LOGIC_VECTOR (3 downto 0); c : out STD_LOGIC); end PSR; architecture Behavioral of PSR is begin process(clk,nzvc,ncwp,rest) begin if(rest = '1') then cwp <='0'; c <= '0'; icc <= "0000"; elsif rising_edge(clk) then c<=nzvc(0); cwp<=ncwp; icc <= nzvc; end if; end process; end Behavioral;
gpl-3.0
c83714523da944f78d98079d978f9257
0.450475
3.612745
false
false
false
false
alemedeiros/flappy_vhdl
output/vgacon.vhd
1
15,657
------------------------------------------------------------------------------- -- Title : VGA Controller for DE1 boards -- Project : ------------------------------------------------------------------------------- -- File : vgacontop.vhd -- Author : Rafael Auler -- Company : -- Created : 2010-03-21 -- Last update: 2010-03-26 -- Platform : -- Standard : VHDL'2008 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2010 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2010-03-21 1.0 Rafael Auler Created -- 2010-03-26 1.1 Rafael Auler Working 64x60 display w/ internal mem. -- 2010-03-26 1.2 Rafael Auler Working with arbitrary res. (up to -- 640x480, tied to on-chip memory -- availability). Defaults to 128x96. ------------------------------------------------------------------------------- -- How sync signals are generated for 640x480 -- Note: sync signals are active low ------------------------------------------------------------------------------- -- Horizontal sync: -- -------------------__-------- -- | | | | -- <-----------> -- 640 -- <----------------> -- 660 -- <-------------------> -- 756 -- <--------------------------> -- 800 ------------------------------------------------------------------------------- -- Vertical sync: -- -----------------__------- -- -- | | | | -- <---------> -- 480 -- <--------------> -- 494 -- <-----------------> -- 495 -- <-----------------------> -- 525 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Notes: -- write_clk, write_addr, write_enable and data_in are input signals used to -- write to this controller memory and thus altering the displayed image on VGA. -- -- "data_in" has 3 bits and represents a single image pixel. -- (high bit for RED, middle for GREEN and lower for BLUE - total of 8 colors). -- -- These signals follow simple memory write protocol (we=1 writes -- data_in to address (pixel number) write_addr. This last signal may assume -- NUM_HORZ_PIXELS * NUM_VERT_PIXELS different values, corresponding to each -- one of the displayable pixels. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity vgacon is generic ( -- When changing this, remember to keep 4:3 aspect ratio -- Must also keep in mind that our native resolution is 640x480, and -- you can't cross these bounds (although you will seldom have enough -- on-chip memory to instantiate this module with higher res). NUM_HORZ_PIXELS : natural := 128; -- Number of horizontal pixels NUM_VERT_PIXELS : natural := 96); -- Number of vertical pixels port ( clk27M, rstn : in std_logic; write_clk, write_enable : in std_logic; write_addr : in integer range 0 to NUM_HORZ_PIXELS * NUM_VERT_PIXELS - 1; data_in : in std_logic_vector(2 downto 0); vga_clk : buffer std_logic; -- Ideally 25.175 MHz red, green, blue : out std_logic_vector(3 downto 0); hsync, vsync : out std_logic); end vgacon; architecture behav of vgacon is -- Two signals: one is delayed by one clock cycle. The monitor control uses -- the delayed one. We need a counter 1 clock cycle earlier, relative -- to the monitor signal, in order to index the memory contents -- for the next cycle, when the pixel is in fact sent to the monitor. signal h_count, h_count_d : integer range 0 to 799; -- horizontal counter signal v_count, v_count_d : integer range 0 to 524; -- vertical counter -- We only want to address HORZ*VERT pixels in memory signal read_addr : integer range 0 to NUM_HORZ_PIXELS * NUM_VERT_PIXELS - 1; signal h_drawarea, v_drawarea, drawarea : std_logic; signal data_out : std_logic_vector(2 downto 0); begin -- behav -- This is our PLL (Phase Locked Loop) to divide the DE1 27 MHz -- clock and produce a 25.2MHz clock adequate to our VGA controller divider: work.vga_pll port map (clk27M, vga_clk); -- This is our dual clock RAM. We use our VGA clock to read contents from -- memory (pixel color value). The user of this module may use any clock -- to write contents to this memory, modifying pixels individually. vgamem : work.dual_clock_ram generic map ( MEMSIZE => NUM_HORZ_PIXELS * NUM_VERT_PIXELS) port map ( read_clk => vga_clk, write_clk => write_clk, read_address => read_addr, write_address => write_addr, data_in => data_in, data_out => data_out, we => write_enable); -- purpose: Increments the current horizontal position counter -- type : sequential -- inputs : vga_clk, rstn -- outputs: h_count, h_count_d horz_counter: process (vga_clk, rstn) begin -- process horz_counter if rstn = '0' then -- asynchronous reset (active low) h_count <= 0; h_count_d <= 0; elsif vga_clk'event and vga_clk = '1' then -- rising clock edge h_count_d <= h_count; -- 1 clock cycle delayed counter if h_count = 799 then h_count <= 0; else h_count <= h_count + 1; end if; end if; end process horz_counter; -- purpose: Determines if we are in the horizontal "drawable" area -- type : combinational -- inputs : h_count_d -- outputs: h_drawarea horz_sync: process (h_count_d) begin -- process horz_sync if h_count_d < 640 then h_drawarea <= '1'; else h_drawarea <= '0'; end if; end process horz_sync; -- purpose: Increments the current vertical counter position -- type : sequential -- inputs : vga_clk, rstn -- outputs: v_count, v_count_d vert_counter: process (vga_clk, rstn) begin -- process vert_counter if rstn = '0' then -- asynchronous reset (active low) v_count <= 0; v_count_d <= 0; elsif vga_clk'event and vga_clk = '1' then -- rising clock edge v_count_d <= v_count; -- 1 clock cycle delayed counter if h_count = 699 then if v_count = 524 then v_count <= 0; else v_count <= v_count + 1; end if; end if; end if; end process vert_counter; -- purpose: Updates information based on vertical position -- type : combinational -- inputs : v_count_d -- outputs: v_drawarea vert_sync: process (v_count_d) begin -- process vert_sync if v_count_d < 480 then v_drawarea <= '1'; else v_drawarea <= '0'; end if; end process vert_sync; -- purpose: Generates synchronization signals -- type : combinational -- inputs : v_count_d, h_count_d -- outputs: hsync, vsync sync: process (v_count_d, h_count_d) begin -- process sync if (h_count_d >= 659) and (h_count_d <= 755) then hsync <= '0'; else hsync <= '1'; end if; if (v_count_d >= 493) and (v_count_d <= 494) then vsync <= '0'; else vsync <= '1'; end if; end process sync; -- determines whether we are in drawable area on screen a.t.m. drawarea <= v_drawarea and h_drawarea; -- purpose: calculates the controller memory address to read pixel data -- type : combinational -- inputs : h_count, v_count -- outputs: read_addr gen_r_addr: process (h_count, v_count) begin -- process gen_r_addr read_addr <= h_count / (640 / NUM_HORZ_PIXELS) + ((v_count/(480 / NUM_VERT_PIXELS)) * NUM_HORZ_PIXELS); end process gen_r_addr; -- Build color signals based on memory output and "drawarea" signal -- (if we are not in the drawable area of 640x480, must deassert all -- color signals). red <= (others => data_out(2) and drawarea); green <= (others => data_out(1) and drawarea); blue <= (others => data_out(0) and drawarea); end behav; ------------------------------------------------------------------------------- -- The following entity is a dual clock RAM (read operates at different -- clock from write). This is used to isolate two clock domains. The first -- is the 25.2 MHz clock domain in which our VGA controller needs to operate. -- This is the read clock, because we read from this memory to determine -- the color of a pixel. The second is the clock domain of the user of this -- module, writing in the memory the contents it wants to display in the VGA. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity dual_clock_ram is generic ( MEMSIZE : natural); port ( read_clk, write_clk : in std_logic; -- support different clocks data_in : in std_logic_vector(2 downto 0); write_address, read_address : in integer range 0 to MEMSIZE - 1; we : in std_logic; -- write enable data_out : out std_logic_vector(2 downto 0)); end dual_clock_ram; architecture behav of dual_clock_ram is -- we only want to address (store) MEMSIZE elements subtype addr is integer range 0 to MEMSIZE - 1; type mem is array (addr) of std_logic_vector(2 downto 0); signal ram_block : mem; -- we don't care with read after write behavior (whether ram reads -- old or new data in the same cycle). attribute ramstyle : string; attribute ramstyle of dual_clock_ram : entity is "no_rw_check"; attribute ram_init_file : string; attribute ram_init_file of ram_block : signal is "vga_mem.mif"; begin -- behav -- purpose: Reads data from RAM -- type : sequential -- inputs : read_clk, read_address -- outputs: data_out read: process (read_clk) begin -- process read if read_clk'event and read_clk = '1' then -- rising clock edge data_out <= ram_block(read_address); end if; end process read; -- purpose: Writes data to RAM -- type : sequential -- inputs : write_clk, write_address -- outputs: ram_block write: process (write_clk) begin -- process write if write_clk'event and write_clk = '1' then -- rising clock edge if we = '1' then ram_block(write_address) <= data_in; end if; end if; end process write; end behav; ------------------------------------------------------------------------------- -- The following entity is automatically generated by Quartus (a megafunction). -- As Altera DE1 board does not have a 25.175 MHz, but a 27 Mhz, we -- instantiate a PLL (Phase Locked Loop) to divide out 27 MHz clock -- and reach a satisfiable 25.2MHz clock for our VGA controller (14/15 ratio) ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY vga_pll IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ); END vga_pll; ARCHITECTURE SYN OF vga_pll IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; port_extclk0 : STRING; port_extclk1 : STRING; port_extclk2 : STRING; port_extclk3 : STRING ); PORT ( inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) ); END COMPONENT; BEGIN sub_wire4_bv(0 DOWNTO 0) <= "0"; sub_wire4 <= To_stdlogicvector(sub_wire4_bv); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; sub_wire2 <= inclk0; sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2; altpll_component : altpll GENERIC MAP ( clk0_divide_by => 15, clk0_duty_cycle => 50, clk0_multiply_by => 14, clk0_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 37037, intended_device_family => "Cyclone II", lpm_hint => "CBX_MODULE_PREFIX=vga_pll", lpm_type => "altpll", operation_mode => "NORMAL", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_UNUSED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_UNUSED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", port_extclk0 => "PORT_UNUSED", port_extclk1 => "PORT_UNUSED", port_extclk2 => "PORT_UNUSED", port_extclk3 => "PORT_UNUSED" ) PORT MAP ( inclk => sub_wire3, clk => sub_wire0 ); END SYN;
bsd-3-clause
968b96daec066f1f9b5817b24538c26b
0.553427
3.609267
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/syn/vhdl/tri_intersect_data_array.vhd
1
4,551
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tri_intersect_data_array_ram is generic( mem_type : string := "block"; dwidth : integer := 576; awidth : integer := 1; mem_size : integer := 2 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; d1 : in std_logic_vector(dwidth-1 downto 0); we1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of tri_intersect_data_array_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array; attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then if (we1 = '1') then ram(CONV_INTEGER(addr1_tmp)) := d1; end if; q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity tri_intersect_data_array is generic ( DataWidth : INTEGER := 576; AddressRange : INTEGER := 2; AddressWidth : INTEGER := 1); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of tri_intersect_data_array is component tri_intersect_data_array_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR; we1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin tri_intersect_data_array_ram_U : component tri_intersect_data_array_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, d1 => d1, we1 => we1, q1 => q1); end architecture;
mit
e9a81157528d3f0d1309d2cb428611e9
0.537025
3.498078
false
false
false
false
fumyuun/tasty
src/snes/snes_btn_ctrl.vhd
1
3,561
-- -- This entity converts button states to the SNES communication protocol -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.snes_lib.all; entity snes_btn_ctrl is port ( clk_i : in std_logic; pause_o : out std_logic; snes_js_btn_i : in snes_js_btn_r; snes_js_bus_i : in snes_js_bus_i_r; snes_js_bus_o : out snes_js_bus_o_r; clock_indicator_o : out std_logic; latch_indicator_o : out std_logic ); end entity snes_btn_ctrl; architecture behavioral of snes_btn_ctrl is -- latches the state of the buttons signal btn_r : std_logic_vector(15 downto 0); signal btn_next_r : std_logic_vector(15 downto 0); signal latch_r : std_logic; signal ext_clock_r : std_logic; signal prev_latch_r : std_logic; signal prev_ext_clock_r : std_logic; signal latch_rising_s : std_logic; signal ext_clock_rising_s : std_logic; signal clk_counter_s : unsigned(15 downto 0); signal clk_counter_next_s : unsigned(15 downto 0); signal latch_counter_s : unsigned(15 downto 0); signal latch_counter_next_s : unsigned(15 downto 0); begin clock_proc: process (clk_i) begin if rising_edge(clk_i) then prev_latch_r <= latch_r; prev_ext_clock_r <= ext_clock_r; ext_clock_r <= snes_js_bus_i.clock; latch_r <= snes_js_bus_i.latch; btn_r <= btn_next_r; clk_counter_s <= clk_counter_next_s; latch_counter_s <= latch_counter_next_s; end if; end process; latch_rising_s <= not(prev_latch_r) and latch_r; ext_clock_rising_s <= not(prev_ext_clock_r) and ext_clock_r; clock_indicator_o <= clk_counter_s(3); latch_indicator_o <= latch_counter_s(6); pause_o <= '0' when clk_counter_s > 15 else '1'; snes_js_bus_o.data <= not(btn_r(0)); comb_proc : process (ext_clock_rising_s, latch_r, btn_r, btn_next_r, clk_counter_s, latch_counter_s, latch_rising_s, snes_js_btn_i.up, snes_js_btn_i.left, snes_js_btn_i.right, snes_js_btn_i.down, snes_js_btn_i.a, snes_js_btn_i.b, snes_js_btn_i.x, snes_js_btn_i.y, snes_js_btn_i.start, snes_js_btn_i.sel, snes_js_btn_i.l, snes_js_btn_i.r) begin btn_next_r <= btn_r; latch_counter_next_s <= latch_counter_s; clk_counter_next_s <= clk_counter_s; -- latch button state if latch_rising_s = '1' then latch_counter_next_s <= latch_counter_s + 1; elsif latch_r = '1' then btn_next_r(0) <= snes_js_btn_i.b; btn_next_r(1) <= snes_js_btn_i.y; btn_next_r(2) <= snes_js_btn_i.sel; btn_next_r(3) <= snes_js_btn_i.start; btn_next_r(4) <= snes_js_btn_i.up; btn_next_r(5) <= snes_js_btn_i.down; btn_next_r(6) <= snes_js_btn_i.left; btn_next_r(7) <= snes_js_btn_i.right; btn_next_r(8) <= snes_js_btn_i.a; btn_next_r(9) <= snes_js_btn_i.x; btn_next_r(10) <= snes_js_btn_i.l; btn_next_r(11) <= snes_js_btn_i.r; btn_next_r(15 downto 12) <= "0000"; -- unused bits clk_counter_next_s <= x"0000"; -- shift out our values elsif ext_clock_rising_s = '1' then for i in 0 to 14 loop btn_next_r(i) <= btn_r(i+1); end loop; btn_next_r(15) <= '0'; clk_counter_next_s <= clk_counter_s + 1; end if; end process; end;
mit
cda192f3851e76fdc6e8f499c02c86e7
0.558832
2.874092
false
false
false
false
Kalugy/Procesadorarquitectura
Terceryfullprocesador/ALU.vhd
2
3,236
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:19:49 10/04/2017 -- Design Name: -- Module Name: ALU - ARQALU -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU is Port ( OPER1 : in STD_LOGIC_VECTOR (31 downto 0); OPER2 : in STD_LOGIC_VECTOR (31 downto 0); c: in STD_LOGIC; ALURESULT : out STD_LOGIC_VECTOR (31 downto 0); ALUOP : in STD_LOGIC_VECTOR (5 downto 0)); end ALU; architecture ARQALU of ALU is begin process(OPER1,OPER2,ALUOP) begin case (ALUOP) is when "000000" => -- add ALURESULT <= OPER1 + OPER2; when "000001" =>--AND ALURESULT <= OPER1 and OPER2; when "000010" =>--OR ALURESULT <= OPER1 or OPER2; when "000011" =>--xor ALURESULT <= OPER1 xor OPER2; when "000111" =>--xnor ALURESULT <= OPER1 xnor OPER2; when "000100"=>--Sub ALURESULT <= OPER1 - OPER2; when "000101"=>--and not ALURESULT<=OPER1 and not OPER2; when "000110"=>--nor ALURESULT<= OPER1 or not OPER2; when "010000"=>--addcc ALURESULT <= OPER1 + OPER2; when "010100"=>--subcc ALURESULT <= OPER1 - OPER2; when "010001" =>--andcc ALURESULT<= OPER1 and OPER2; when "010101" =>--andncc ALURESULT<= OPER1 and not OPER2; when "010010" => --orcc ALURESULT <= OPER1 or OPER2; when "010110" =>--orncc ALURESULT <= OPER1 or not OPER2; when "001000"=>--addx ALURESULT <= OPER1 + OPER2 + c; when "011000" =>--addxcc ALURESULT <= OPER1 + OPER2 + c; when "001100" => --subx ALURESULT <= OPER1- OPER2 - c; when "011100" => --subxcc ALURESULT <= OPER1 - OPER2 - c; when "010011" => --xorcc ALURESULT <= OPER1 xor OPER2; when "010111" => --xnorcc ALURESULT <= OPER1 xor OPER2; when "100101" => --sll ALURESULT <= to_stdlogicvector(to_bitvector(OPER1) sll conv_integer(OPER2)); when "100110" => --srl ALURESULT <= to_stdlogicvector(to_bitvector(OPER1) srl conv_integer(OPER2)); when "111100" => --save ALURESULT <= OPER1 + OPER2; when "111101" => --restore ALURESULT <= OPER1 + OPER2; when "011011" => -- jmpl ALURESULT <=OPER1 + OPER2; when "111110" => --st y --ld ALURESULT <= OPER1 + OPER2; when others => --nops ALURESULT<= x"00000000"; end case; end process; end ARQALU;
gpl-3.0
4f66c3d2c26ab188db847d651b6461f3
0.539246
3.75842
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2/simulation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg.vhd
3
11,785
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg.vhd -- -- Description: -- This is the demo testbench package file for FIFO Generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_exdes IS PORT ( CLK : IN std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(1-1 DOWNTO 0); DOUT : OUT std_logic_vector(1-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg; PACKAGE BODY system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg;
bsd-3-clause
7a86b28d410e24be321f385535f0e5e7
0.519813
3.87537
false
false
false
false
alemedeiros/flappy_vhdl
player/calculate_speed.vhd
1
1,092
-- file: player/calculate_speed.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Calculate current speed based on internal register for speed, gravity value -- and jump signal. library ieee ; use ieee.std_logic_1164.all ; entity calculate_speed is generic ( V_RES : natural := 96 -- Vertical Resolution ) ; port ( jump : in std_logic ; gravity : in integer range 0 to V_RES - 1 ; speed : out integer range - V_RES to V_RES - 1 ; clock : in std_logic ; enable : in std_logic ; reset : in std_logic ) ; end calculate_speed ; architecture behavior of calculate_speed is --signal sp : integer range - V_RES to V_RES - 1 := -1; signal jump_aux : std_logic ; begin process (clock, reset) variable sp : integer range - V_RES to V_RES - 1 := -1; begin if enable = '1' and rising_edge(clock) then if jump = '1' then sp := -1 ; else sp := sp + gravity ; end if ; end if ; speed <= sp; end process ; end behavior;
bsd-3-clause
15e873b1438e82aec28b14f91e6319db
0.6337
3.211765
false
false
false
false
Kalugy/Procesadorarquitectura
Terceryfullprocesador/UnidadControl.vhd
1
7,392
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:49:14 10/20/2017 -- Design Name: -- Module Name: UnidadControl - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity UnidadControl is Port ( Op : in STD_LOGIC_VECTOR (1 downto 0); Op2 : in STD_LOGIC_VECTOR (2 downto 0); Op3 : in STD_LOGIC_VECTOR (5 downto 0); icc: in STD_LOGIC_VECTOR (3 downto 0); cond: in STD_LOGIC_VECTOR (3 downto 0); rfDest : out STD_LOGIC; rfSource : out STD_LOGIC_VECTOR (1 downto 0); wrEnMem : out STD_LOGIC; wrEnRF : out STD_LOGIC; pcSource : out STD_LOGIC_VECTOR (1 downto 0); AluOp : out STD_LOGIC_VECTOR (5 downto 0)); end UnidadControl; architecture Behavioral of UnidadControl is begin process(Op, Op2, Op3, icc, cond) begin wrEnMem <= '0'; rfDest <= '0'; if(op = "01")then --CALL rfDest <= '1'; rfSource <= "10"; wrEnRF <= '1'; pcSource <= "00"; AluOp <= "111111"; else if(Op = "00")then if(Op2 = "010")then case cond is when "1000" => --ba rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; when "1001" => --bne if(not(icc(2)) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "0001" => --be if(icc(2) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "1010" => --bg if((not(icc(2) or (icc(3) xor icc(1)))) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "0010" => --ble if((icc(2) or (icc(3) xor icc(1))) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <="111111"; else rfSource <="01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "1011" => --bge if((not(icc(3) xor icc(1))) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "0011" => --bl if((icc(3) xor icc(1)) = '1')then --sacado de manual rfSource <="01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <="01" ; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when others => rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end case; else if(Op2 = "100")then -- NOP rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; end if; else if(Op = "10")then case Op3 is when "000000" => --Add rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000000"; when "010000" => --Addcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010000"; when "001000" => --Addx rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "001000"; when "011000" => --Addxcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "011000"; when "000100" => --Sub rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000100"; when "010100" => --Subcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010100"; when "001100" => --Subx rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "001100"; when "011100" => --Subxcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "011100"; when "000001" => --And rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000001"; when "010001" => --Andcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010001"; when "000101" => --AndN rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000101"; when "010101" => --AndNcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010101"; when "000010" => --Or rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000010"; rfDest <= '0'; when "010010" => --Orcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010010"; when "000110" => --OrN rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000110"; when "010110" => --OrNcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010110"; when "000011" => --Xor rfSource <= "01"; wrEnRF <='1' ; pcSource <="10"; AluOp <= "000011"; when "010011" => --Xorcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010011"; when "111000" => -- JMPL rfSource <= "10"; wrEnRF <= '1'; pcSource <="11"; AluOp <= "000000"; when "000111" => --XorN rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000111"; when "010111" => --XnorNcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010111"; when "111100" => -- SAVE rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000000"; when "111101" => -- RESTORE rfSource <= "01"; wrEnRF <='1'; pcSource <="10"; AluOp <= "000000"; when others => rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end case; else if(op = "11")then case op3 is when "000100" => -- STORE rfSource <= "01"; -- leer wrEnMem <= '1'; wrEnRF <= '0'; pcSource <="10"; AluOp <= "000000"; when "000000" => -- LOAD rfSource <= "00"; --guardar wrEnRF <= '1'; pcSource <="10"; AluOp <= "000000"; when others => rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end case; end if; end if; end if; end if; end process; end Behavioral;
gpl-3.0
205f6aeab350b7357c7d553b63e07802
0.440206
3.364588
false
false
false
false
dhesant/elec4320
Lab2/ipcore_dir/bram_decoder/simulation/bmg_tb_synth.vhd
1
6,178
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v6_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_tb_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY unisim; USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_TB IS GENERIC ( C_ROM_SYNTH : INTEGER := 1 ); PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE BMG_TB_ARCH OF BMG_TB IS COMPONENT bram_decoder_top PORT ( --Inputs - Port A ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL ADDRA: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(12 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC; SIGNAL RESET_SYNC_R2 : STD_LOGIC; SIGNAL RESET_SYNC_R3 : STD_LOGIC; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN clk_buf: bufg PORT map( i => CLK_IN, o => clk_in_i ); CLKA <= clk_in_i; RSTA <= RESET_IN; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH ) PORT MAP( CLK => CLK_IN, RST => RSTA, ADDRA => ADDRA, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(ADDRA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; BMG_PORT: bram_decoder_top PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
mit
732197e8f0cbcb0616739b2f1d228fbd
0.59032
3.856429
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/impl/vhdl/project.srcs/sources_1/ip/tri_intersect_ap_fdiv_28_no_dsp_32/synth/tri_intersect_ap_fdiv_28_no_dsp_32.vhd
1
12,676
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.0 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_0; USE floating_point_v7_0.floating_point_v7_0; ENTITY tri_intersect_ap_fdiv_28_no_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END tri_intersect_ap_fdiv_28_no_dsp_32; ARCHITECTURE tri_intersect_ap_fdiv_28_no_dsp_32_arch OF tri_intersect_ap_fdiv_28_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tri_intersect_ap_fdiv_28_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tri_intersect_ap_fdiv_28_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_0,Vivado 2015.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tri_intersect_ap_fdiv_28_no_dsp_32_arch : ARCHITECTURE IS "tri_intersect_ap_fdiv_28_no_dsp_32,floating_point_v7_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tri_intersect_ap_fdiv_28_no_dsp_32_arch: ARCHITECTURE IS "tri_intersect_ap_fdiv_28_no_dsp_32,floating_point_v7_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.0,x_ipCoreRevision=8,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=1,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=28,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_0 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 1, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 28, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END tri_intersect_ap_fdiv_28_no_dsp_32_arch;
mit
6d6ad7f6aa45e64ccce453a76d32ffcd
0.651783
3.021692
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/950a27d1/hdl/src/vhdl/axi_sg.vhd
1
84,392
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- Description: This entity is the top level entity for the AXI Scatter Gather -- Engine. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream out for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_INCLUDE_DESC_UPDATE : integer range 0 to 1 := 1; -- Include or Exclude Scatter Gather Descriptor Update -- 0 = Exclude Descriptor Update -- 1 = Include Descriptor Update C_INCLUDE_INTRPT : integer range 0 to 1 := 1; -- Include/Exclude interrupt logic coalescing -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_INCLUDE_DLYTMR : integer range 0 to 1 := 1; -- Include/Exclude interrupt delay timer -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in usec C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1; C_NUM_MM2S_CHANNELS : integer range 1 to 16 := 1; C_ACTUAL_ADDR : integer range 32 to 64 := 32; C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; -- dm_resetn : in std_logic ; -- sg_ctl : in std_logic_vector (7 downto 0) ; -- -- Scatter Gather Write Address Channel -- m_axi_sg_awaddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_awlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_awsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_awprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awuser : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awvalid : out std_logic ; -- m_axi_sg_awready : in std_logic ; -- -- -- Scatter Gather Write Data Channel -- m_axi_sg_wdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_wstrb : out std_logic_vector -- ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); -- m_axi_sg_wlast : out std_logic ; -- m_axi_sg_wvalid : out std_logic ; -- m_axi_sg_wready : in std_logic ; -- -- -- Scatter Gather Write Response Channel -- m_axi_sg_bresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_bvalid : in std_logic ; -- m_axi_sg_bready : out std_logic ; -- -- -- Scatter Gather Read Address Channel -- m_axi_sg_araddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_arlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_arsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_arcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_aruser : out std_logic_vector(3 downto 0) ; -- m_axi_sg_arprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arvalid : out std_logic ; -- m_axi_sg_arready : in std_logic ; -- -- -- Memory Map to Stream Scatter Gather Read Data Channel -- m_axi_sg_rdata : in std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_rlast : in std_logic ; -- m_axi_sg_rvalid : in std_logic ; -- m_axi_sg_rready : out std_logic ; -- -- -- Channel 1 Control and Status -- ch1_run_stop : in std_logic ; -- ch1_cyclic : in std_logic ; -- ch1_desc_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; ch1_tailpntr_enabled : in std_logic ; -- ch1_taildesc_wren : in std_logic ; -- ch1_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_ftch_idle : out std_logic ; -- ch1_ftch_interr_set : out std_logic ; -- ch1_ftch_slverr_set : out std_logic ; -- ch1_ftch_decerr_set : out std_logic ; -- ch1_ftch_err_early : out std_logic ; -- ch1_ftch_stale_desc : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- -- -- -- Channel 1 Interrupt Coalescing Signals -- ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch1_dlyirq_dsble : in std_logic ; -- ch1_irqdelay_wren : in std_logic ; -- ch1_irqdelay : in std_logic_vector(7 downto 0) ; -- ch1_irqthresh_wren : in std_logic ; -- ch1_irqthresh : in std_logic_vector(7 downto 0) ; -- ch1_packet_sof : in std_logic ; -- ch1_packet_eof : in std_logic ; -- ch1_ioc_irq_set : out std_logic ; -- ch1_dly_irq_set : out std_logic ; -- ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ch1_ftch_aclk : in std_logic ; -- m_axis_ch1_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch1_ftch_tvalid : out std_logic ; -- m_axis_ch1_ftch_tready : in std_logic ; -- m_axis_ch1_ftch_tlast : out std_logic ; -- m_axis_ch1_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ch1_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ch1_ftch_tvalid_new : out std_logic ; -- m_axis_ftch1_desc_available : out std_logic; -- -- -- Channel 1 AXI Update Stream In -- s_axis_ch1_updt_aclk : in std_logic ; -- s_axis_ch1_updtptr_tdata : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s_axis_ch1_updtptr_tvalid : in std_logic ; -- s_axis_ch1_updtptr_tready : out std_logic ; -- s_axis_ch1_updtptr_tlast : in std_logic ; -- -- s_axis_ch1_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtsts_tvalid : in std_logic ; -- s_axis_ch1_updtsts_tready : out std_logic ; -- s_axis_ch1_updtsts_tlast : in std_logic ; -- -- -- Channel 2 Control and Status -- ch2_run_stop : in std_logic ; -- ch2_cyclic : in std_logic ; -- ch2_desc_flush : in std_logic ; -- ch2_tailpntr_enabled : in std_logic ; -- ch2_taildesc_wren : in std_logic ; -- ch2_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_ftch_idle : out std_logic ; -- ch2_ftch_interr_set : out std_logic ; -- ch2_ftch_slverr_set : out std_logic ; -- ch2_ftch_decerr_set : out std_logic ; -- ch2_ftch_err_early : out std_logic ; -- ch2_ftch_stale_desc : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- -- -- Channel 2 Interrupt Coalescing Signals -- ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch2_dlyirq_dsble : in std_logic ; -- ch2_irqdelay_wren : in std_logic ; -- ch2_irqdelay : in std_logic_vector(7 downto 0) ; -- ch2_irqthresh_wren : in std_logic ; -- ch2_irqthresh : in std_logic_vector(7 downto 0) ; -- ch2_packet_sof : in std_logic ; -- ch2_packet_eof : in std_logic ; -- ch2_ioc_irq_set : out std_logic ; -- ch2_dly_irq_set : out std_logic ; -- ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch2_irqthresh_status : out std_logic_vector(7 downto 0) ; -- ch2_update_active : out std_logic ; -- -- Channel 2 AXI Fetch Stream Out -- m_axis_ch2_ftch_aclk : in std_logic ; -- m_axis_ch2_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch2_ftch_tvalid : out std_logic ; -- m_axis_ch2_ftch_tready : in std_logic ; -- m_axis_ch2_ftch_tlast : out std_logic ; -- -- m_axis_ch2_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ch2_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ch2_ftch_tdata_mcdma_nxt : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- m_axis_ch2_ftch_tvalid_new : out std_logic ; -- m_axis_ftch2_desc_available : out std_logic; -- Channel 2 AXI Update Stream In -- s_axis_ch2_updt_aclk : in std_logic ; -- s_axis_ch2_updtptr_tdata : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s_axis_ch2_updtptr_tvalid : in std_logic ; -- s_axis_ch2_updtptr_tready : out std_logic ; -- s_axis_ch2_updtptr_tlast : in std_logic ; -- -- -- s_axis_ch2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtsts_tvalid : in std_logic ; -- s_axis_ch2_updtsts_tready : out std_logic ; -- s_axis_ch2_updtsts_tlast : in std_logic ; -- -- -- -- Error addresses -- ftch_error : out std_logic ; -- ftch_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_error : out std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic ; bd_eq : out std_logic ); end axi_sg; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant AXI_LITE_MODE : integer := 2; -- DataMover Lite Mode constant EXCLUDE : integer := 0; -- Define Exclude as 0 constant NEVER_HALT : std_logic := '0'; -- Never halt sg datamover -- Always include descriptor fetch (use lite datamover) constant INCLUDE_DESC_FETCH : integer := AXI_LITE_MODE; -- Selectable include descriptor update (use lite datamover) constant INCLUDE_DESC_UPDATE : integer := AXI_LITE_MODE * C_INCLUDE_DESC_UPDATE; -- Always allow address requests constant ALWAYS_ALLOW : std_logic := '1'; -- If async mode and number of descriptors to fetch is zero then set number -- of descriptors to fetch as 1. constant SG_FTCH_DESC2QUEUE : integer := max2(C_SG_FTCH_DESC2QUEUE,C_AXIS_IS_ASYNC); constant SG_UPDT_DESC2QUEUE : integer := max2(C_SG_UPDT_DESC2QUEUE,C_AXIS_IS_ASYNC); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- DataMover MM2S Fetch Command Stream Signals signal s_axis_ftch_cmd_tvalid : std_logic := '0'; signal s_axis_ftch_cmd_tready : std_logic := '0'; signal s_axis_ftch_cmd_tdata : std_logic_vector (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover MM2S Fetch Status Stream Signals signal m_axis_ftch_sts_tvalid : std_logic := '0'; signal m_axis_ftch_sts_tready : std_logic := '0'; signal m_axis_ftch_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_ftch_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal mm2s_err : std_logic := '0'; -- DataMover MM2S Fetch Stream Signals signal m_axis_mm2s_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_mm2s_tkeep : std_logic_vector ((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_axis_mm2s_tlast : std_logic := '0'; signal m_axis_mm2s_tvalid : std_logic := '0'; signal m_axis_mm2s_tready : std_logic := '0'; -- DataMover S2MM Update Command Stream Signals signal s_axis_updt_cmd_tvalid : std_logic := '0'; signal s_axis_updt_cmd_tready : std_logic := '0'; signal s_axis_updt_cmd_tdata : std_logic_vector (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover S2MM Update Status Stream Signals signal m_axis_updt_sts_tvalid : std_logic := '0'; signal m_axis_updt_sts_tready : std_logic := '0'; signal m_axis_updt_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_updt_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal s2mm_err : std_logic := '0'; -- DataMover S2MM Update Stream Signals signal s_axis_s2mm_tdata : std_logic_vector (C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_s2mm_tkeep : std_logic_vector ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0) := (others => '1'); signal s_axis_s2mm_tlast : std_logic := '0'; signal s_axis_s2mm_tvalid : std_logic := '0'; signal s_axis_s2mm_tready : std_logic := '0'; -- Channel 1 internals signal ch1_ftch_active : std_logic := '0'; signal ch1_ftch_queue_empty : std_logic := '0'; signal ch1_ftch_queue_full : std_logic := '0'; signal ch1_nxtdesc_wren : std_logic := '0'; signal ch1_updt_active : std_logic := '0'; signal ch1_updt_queue_empty : std_logic := '0'; signal ch1_updt_curdesc_wren : std_logic := '0'; signal ch1_updt_curdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch1_updt_ioc : std_logic := '0'; signal ch1_updt_ioc_irq_set_i : std_logic := '0'; signal ch1_dma_interr : std_logic := '0'; signal ch1_dma_slverr : std_logic := '0'; signal ch1_dma_decerr : std_logic := '0'; signal ch1_dma_interr_set_i : std_logic := '0'; signal ch1_dma_slverr_set_i : std_logic := '0'; signal ch1_dma_decerr_set_i : std_logic := '0'; signal ch1_updt_done : std_logic := '0'; signal ch1_ftch_pause : std_logic := '0'; -- Channel 2 internals signal ch2_ftch_active : std_logic := '0'; signal ch2_ftch_queue_empty : std_logic := '0'; signal ch2_ftch_queue_full : std_logic := '0'; signal ch2_nxtdesc_wren : std_logic := '0'; signal ch2_updt_active : std_logic := '0'; signal ch2_updt_queue_empty : std_logic := '0'; signal ch2_updt_curdesc_wren : std_logic := '0'; signal ch2_updt_curdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch2_updt_ioc : std_logic := '0'; signal ch2_updt_ioc_irq_set_i : std_logic := '0'; signal ch2_dma_interr : std_logic := '0'; signal ch2_dma_slverr : std_logic := '0'; signal ch2_dma_decerr : std_logic := '0'; signal ch2_dma_interr_set_i : std_logic := '0'; signal ch2_dma_slverr_set_i : std_logic := '0'; signal ch2_dma_decerr_set_i : std_logic := '0'; signal ch2_updt_done : std_logic := '0'; signal ch2_ftch_pause : std_logic := '0'; signal nxtdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ftch_cmnd_wr : std_logic := '0'; signal ftch_cmnd_data : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); signal ftch_stale_desc : std_logic := '0'; signal ftch_error_i : std_logic := '0'; signal updt_error_i : std_logic := '0'; signal ch1_irqthresh_decr : std_logic := '0'; --CR567661 signal ch2_irqthresh_decr : std_logic := '0'; --CR567661 signal m_axi_sg_awaddr_int : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal m_axi_sg_awlen_int : std_logic_vector(7 downto 0) ; -- signal m_axi_sg_awsize_int : std_logic_vector(2 downto 0) ; -- signal m_axi_sg_awburst_int : std_logic_vector(1 downto 0) ; -- signal m_axi_sg_awprot_int : std_logic_vector(2 downto 0) ; -- signal m_axi_sg_awcache_int : std_logic_vector(3 downto 0) ; -- signal m_axi_sg_awuser_int : std_logic_vector(3 downto 0) ; -- signal m_axi_sg_awvalid_int : std_logic ; -- signal m_axi_sg_awready_int : std_logic ; -- -- -- Scatter Gather Write Data Channel -- signal m_axi_sg_wdata_int : std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- signal m_axi_sg_wstrb_int : std_logic_vector -- ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); -- signal m_axi_sg_wlast_int : std_logic ; -- signal m_axi_sg_wvalid_int : std_logic ; -- signal m_axi_sg_wready_int : std_logic ; -- signal m_axi_sg_bresp_int : std_logic_vector (1 downto 0); signal m_axi_sg_bvalid_int : std_logic; signal m_axi_sg_bready_int : std_logic; signal m_axi_sg_bvalid_int_del : std_logic; signal ch2_eof_detected : std_logic; signal s_axis_ch2_updtsts_tready_i : std_logic; signal ch2_sg_idle, tail_updt_latch : std_logic; signal tail_updt : std_logic; signal ch2_taildesc_wren_int : std_logic; signal ch2_sg_idle_int : std_logic; signal ftch_error_addr_1 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; signal updt_error_addr_1 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; signal ch1_ftch_interr_set_i : std_logic := '0'; signal ch1_ftch_slverr_set_i : std_logic := '0'; signal ch1_ftch_decerr_set_i : std_logic := '0'; signal ch2_ftch_interr_set_i : std_logic := '0'; signal ch2_ftch_slverr_set_i : std_logic := '0'; signal ch2_ftch_decerr_set_i : std_logic := '0'; signal ch1_updt_interr_set_i : std_logic := '0'; signal ch1_updt_slverr_set_i : std_logic := '0'; signal ch1_updt_decerr_set_i : std_logic := '0'; signal ch2_updt_interr_set_i : std_logic := '0'; signal ch2_updt_slverr_set_i : std_logic := '0'; signal ch2_updt_decerr_set_i : std_logic := '0'; signal ftch_error_capture : std_logic := '0'; signal updt_error_capture : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin updt_error <= updt_error_i; ftch_error <= ftch_error_i; ftch_error_capture <= ch1_ftch_interr_set_i or ch1_ftch_slverr_set_i or ch1_ftch_decerr_set_i or ch2_ftch_interr_set_i or ch2_ftch_slverr_set_i or ch2_ftch_decerr_set_i; ch1_ftch_interr_set <= ch1_ftch_interr_set_i; ch1_ftch_slverr_set <= ch1_ftch_slverr_set_i; ch1_ftch_decerr_set <= ch1_ftch_decerr_set_i; ch2_ftch_interr_set <= ch2_ftch_interr_set_i; ch2_ftch_slverr_set <= ch2_ftch_slverr_set_i; ch2_ftch_decerr_set <= ch2_ftch_decerr_set_i; updt_error_capture <= ch1_updt_interr_set_i or ch1_updt_slverr_set_i or ch1_updt_decerr_set_i or ch2_updt_interr_set_i or ch2_updt_slverr_set_i or ch2_updt_decerr_set_i or ch2_dma_interr_set_i or ch2_dma_slverr_set_i or ch2_dma_decerr_set_i or ch1_dma_interr_set_i or ch1_dma_slverr_set_i or ch1_dma_decerr_set_i; ch1_updt_interr_set <= ch1_updt_interr_set_i; ch1_updt_slverr_set <= ch1_updt_slverr_set_i; ch1_updt_decerr_set <= ch1_updt_decerr_set_i; ch2_updt_interr_set <= ch2_updt_interr_set_i; ch2_updt_slverr_set <= ch2_updt_slverr_set_i; ch2_updt_decerr_set <= ch2_updt_decerr_set_i; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then ftch_error_addr (31 downto 6) <= (others => '0'); elsif (ftch_error_capture = '1') then -- or updt_error_i = '1') then ftch_error_addr (31 downto 6)<= ftch_error_addr_1(31 downto 6); elsif (updt_error_capture = '1') then ftch_error_addr (31 downto 6)<= updt_error_addr_1(31 downto 6); end if; end if; end process; ADDR_64 : if (C_M_AXI_SG_ADDR_WIDTH > 32) generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then ftch_error_addr (63 downto 32) <= (others => '0'); elsif (ftch_error_capture = '1') then -- or updt_error_i = '1') then ftch_error_addr (63 downto 32)<= ftch_error_addr_1(63 downto 32); elsif (updt_error_capture = '1') then ftch_error_addr (63 downto 32)<= updt_error_addr_1(63 downto 32); end if; end if; end process; end generate ADDR_64; updt_error_addr <= (others => '0'); ftch_error_addr (5 downto 0) <= (others => '0'); -- Always valid therefore fix to '1' s_axis_s2mm_tkeep <= (others => '1'); -- Drive interrupt on complete set out --ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; -- CR567661 --ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; -- CR567661 ch1_dma_interr_set <= ch1_dma_interr_set_i; ch1_dma_slverr_set <= ch1_dma_slverr_set_i; ch1_dma_decerr_set <= ch1_dma_decerr_set_i; ch2_dma_interr_set <= ch2_dma_interr_set_i; ch2_dma_slverr_set <= ch2_dma_slverr_set_i; ch2_dma_decerr_set <= ch2_dma_decerr_set_i; s_axis_ch2_updtsts_tready <= s_axis_ch2_updtsts_tready_i; EOF_DET : if (C_ENABLE_MULTI_CHANNEL = 1) generate ch2_eof_detected <= s_axis_ch2_updtsts_tdata (26) and s_axis_ch2_updtsts_tready_i and s_axis_ch2_updtsts_tvalid and s_axis_ch2_updtsts_tlast; -- ch2_eof_detected <= '0'; ch2_sg_idle_int <= ch2_sg_idle; -- ch2_sg_idle_int <= '0'; --ch2_sg_idle; TAILUPDT_LATCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or tail_updt = '1' ) then -- nned to have some reset condition here tail_updt <= '0'; elsif(ch2_sg_idle = '1' and tail_updt_latch = '1' and tail_updt = '0')then tail_updt <= '1'; end if; end if; end process TAILUPDT_LATCH; ch2_taildesc_wren_int <= ch2_taildesc_wren or tail_updt; --ch2_taildesc_wren_int <= ch2_taildesc_wren; end generate EOF_DET; NOEOF_DET : if (C_ENABLE_MULTI_CHANNEL = 0) generate tail_updt <= '0'; ch2_eof_detected <= '0'; ch2_taildesc_wren_int <= ch2_taildesc_wren; ch2_sg_idle_int <= '0'; --ch2_sg_idle; end generate NOEOF_DET; ------------------------------------------------------------------------------- -- Scatter Gather Fetch Manager ------------------------------------------------------------------------------- I_SG_FETCH_MNGR : entity axi_sg_v4_1.axi_sg_ftch_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status ch1_run_stop => ch1_run_stop , ch1_desc_flush => ch1_desc_flush , ch1_updt_done => ch1_updt_done , ch1_ftch_idle => ch1_ftch_idle , ch1_ftch_active => ch1_ftch_active , ch1_ftch_interr_set => ch1_ftch_interr_set_i , ch1_ftch_slverr_set => ch1_ftch_slverr_set_i , ch1_ftch_decerr_set => ch1_ftch_decerr_set_i , ch1_ftch_err_early => ch1_ftch_err_early , ch1_ftch_stale_desc => ch1_ftch_stale_desc , ch1_tailpntr_enabled => ch1_tailpntr_enabled , ch1_taildesc_wren => ch1_taildesc_wren , ch1_taildesc => ch1_taildesc , ch1_nxtdesc_wren => ch1_nxtdesc_wren , ch1_curdesc => ch1_curdesc , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control and Status ch2_run_stop => ch2_run_stop , ch2_desc_flush => ch2_desc_flush , ch2_updt_done => ch2_updt_done , ch2_ftch_idle => ch2_ftch_idle , ch2_ftch_active => ch2_ftch_active , ch2_ftch_interr_set => ch2_ftch_interr_set_i , ch2_ftch_slverr_set => ch2_ftch_slverr_set_i , ch2_ftch_decerr_set => ch2_ftch_decerr_set_i , ch2_ftch_err_early => ch2_ftch_err_early , ch2_ftch_stale_desc => ch2_ftch_stale_desc , ch2_tailpntr_enabled => ch2_tailpntr_enabled , ch2_taildesc_wren => ch2_taildesc_wren_int , ch2_taildesc => ch2_taildesc , ch2_nxtdesc_wren => ch2_nxtdesc_wren , ch2_curdesc => ch2_curdesc , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_ftch_pause => ch2_ftch_pause , ch2_eof_detected => ch2_eof_detected , tail_updt => tail_updt , tail_updt_latch => tail_updt_latch , ch2_sg_idle => ch2_sg_idle , nxtdesc => nxtdesc , -- Read response for detecting slverr, decerr early m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rvalid => m_axi_sg_rvalid , -- User Command Interface Ports (AXI Stream) s_axis_ftch_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_ftch_cmd_tready => s_axis_ftch_cmd_tready , s_axis_ftch_cmd_tdata => s_axis_ftch_cmd_tdata ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) , -- User Status Interface Ports (AXI Stream) m_axis_ftch_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_ftch_sts_tready => m_axis_ftch_sts_tready , m_axis_ftch_sts_tdata => m_axis_ftch_sts_tdata , m_axis_ftch_sts_tkeep => m_axis_ftch_sts_tkeep , mm2s_err => mm2s_err , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , ftch_stale_desc => ftch_stale_desc , updt_error => updt_error_i , ftch_error => ftch_error_i , ftch_error_addr => ftch_error_addr_1 , bd_eq => bd_eq ); ------------------------------------------------------------------------------- -- Scatter Gather Fetch Queue ------------------------------------------------------------------------------- I_SG_FETCH_QUEUE : entity axi_sg_v4_1.axi_sg_ftch_q_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_ASYNC => C_ASYNC , C_ENABLE_CDMA => C_ENABLE_CDMA, C_ACTUAL_ADDR => C_ACTUAL_ADDR, C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_mm2s_aclk => m_axi_mm2s_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , p_reset_n => p_reset_n , ch2_sg_idle => ch2_sg_idle_int , -- Channel 1 Control ch1_desc_flush => ch1_desc_flush , ch1_cyclic => ch1_cyclic , ch1_cntrl_strm_stop => ch1_cntrl_strm_stop , ch1_ftch_active => ch1_ftch_active , ch1_nxtdesc_wren => ch1_nxtdesc_wren , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control ch2_ftch_active => ch2_ftch_active , ch2_cyclic => ch2_cyclic , ch2_desc_flush => ch2_desc_flush , ch2_nxtdesc_wren => ch2_nxtdesc_wren , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_ftch_pause => ch2_ftch_pause , nxtdesc => nxtdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , ftch_stale_desc => ftch_stale_desc , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ch1_ftch_aclk => m_axis_ch1_ftch_aclk , m_axis_ch1_ftch_tdata => m_axis_ch1_ftch_tdata , m_axis_ch1_ftch_tvalid => m_axis_ch1_ftch_tvalid , m_axis_ch1_ftch_tready => m_axis_ch1_ftch_tready , m_axis_ch1_ftch_tlast => m_axis_ch1_ftch_tlast , m_axis_ch1_ftch_tdata_new => m_axis_ch1_ftch_tdata_new , m_axis_ch1_ftch_tdata_mcdma_new => m_axis_ch1_ftch_tdata_mcdma_new , m_axis_ch1_ftch_tvalid_new => m_axis_ch1_ftch_tvalid_new , m_axis_ftch1_desc_available => m_axis_ftch1_desc_available, m_axis_ch2_ftch_tdata_new => m_axis_ch2_ftch_tdata_new , m_axis_ch2_ftch_tdata_mcdma_new => m_axis_ch2_ftch_tdata_mcdma_new , m_axis_ch2_ftch_tdata_mcdma_nxt => m_axis_ch2_ftch_tdata_mcdma_nxt , m_axis_ch2_ftch_tvalid_new => m_axis_ch2_ftch_tvalid_new , m_axis_ftch2_desc_available => m_axis_ftch2_desc_available, -- Channel 2 AXI Fetch Stream Out m_axis_ch2_ftch_aclk => m_axis_ch2_ftch_aclk , m_axis_ch2_ftch_tdata => m_axis_ch2_ftch_tdata , m_axis_ch2_ftch_tvalid => m_axis_ch2_ftch_tvalid , m_axis_ch2_ftch_tready => m_axis_ch2_ftch_tready , m_axis_ch2_ftch_tlast => m_axis_ch2_ftch_tlast , m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); -- Include Scatter Gather Descriptor Update logic GEN_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 1 generate begin -- CR567661 -- Route update version of IOC set to threshold -- counter decrement control ch1_irqthresh_decr <= ch1_updt_ioc_irq_set_i; ch2_irqthresh_decr <= ch2_updt_ioc_irq_set_i; -- Drive interrupt on complete set out ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; ------------------------------------------------------------------------------- -- Scatter Gather Update Manager ------------------------------------------------------------------------------- I_SG_UPDATE_MNGR : entity axi_sg_v4_1.axi_sg_updt_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => C_SG_CH1_FIRST_UPDATE_WORD , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_SG_CH2_FIRST_UPDATE_WORD => C_SG_CH2_FIRST_UPDATE_WORD ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status ch1_updt_idle => ch1_updt_idle , ch1_updt_active => ch1_updt_active , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i , -- Update Descriptor Status ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set_i , ch1_dma_slverr_set => ch1_dma_slverr_set_i , ch1_dma_decerr_set => ch1_dma_decerr_set_i , ch1_updt_interr_set => ch1_updt_interr_set_i , ch1_updt_slverr_set => ch1_updt_slverr_set_i , ch1_updt_decerr_set => ch1_updt_decerr_set_i , ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_done => ch1_updt_done , -- Channel 2 Control and Status ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_updt_idle => ch2_updt_idle , ch2_updt_active => ch2_updt_active , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i , ch2_dma_interr_set => ch2_dma_interr_set_i , ch2_dma_slverr_set => ch2_dma_slverr_set_i , ch2_dma_decerr_set => ch2_dma_decerr_set_i , ch2_updt_interr_set => ch2_updt_interr_set_i , ch2_updt_slverr_set => ch2_updt_slverr_set_i , ch2_updt_decerr_set => ch2_updt_decerr_set_i , ch2_updt_queue_empty => ch2_updt_queue_empty , -- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , -- ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_done => ch2_updt_done , -- User Command Interface Ports (AXI Stream) s_axis_updt_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_updt_cmd_tready => s_axis_updt_cmd_tready , s_axis_updt_cmd_tdata => s_axis_updt_cmd_tdata ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) , -- User Status Interface Ports (AXI Stream) m_axis_updt_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_updt_sts_tready => m_axis_updt_sts_tready , m_axis_updt_sts_tdata => m_axis_updt_sts_tdata , m_axis_updt_sts_tkeep => m_axis_updt_sts_tkeep , s2mm_err => s2mm_err , ftch_error => ftch_error_i , updt_error => updt_error_i , updt_error_addr => updt_error_addr_1 ); ------------------------------------------------------------------------------- -- Scatter Gather Update Queue ------------------------------------------------------------------------------- I_SG_UPDATE_QUEUE : entity axi_sg_v4_1.axi_sg_updt_q_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_active => ch1_updt_active , ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i , -- Channel 1 Update Descriptor Status ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set_i , ch1_dma_slverr_set => ch1_dma_slverr_set_i , ch1_dma_decerr_set => ch1_dma_decerr_set_i , -- Channel 2 Control ch2_updt_active => ch2_updt_active , -- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , -- ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_queue_empty => ch2_updt_queue_empty , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i , -- Channel 2 Update Descriptor Status ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_dma_interr_set => ch2_dma_interr_set_i , ch2_dma_slverr_set => ch2_dma_slverr_set_i , ch2_dma_decerr_set => ch2_dma_decerr_set_i , -- S2MM Stream Out To DataMover s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready , -- Channel 1 AXI Update Stream In s_axis_ch1_updt_aclk => s_axis_ch1_updt_aclk , s_axis_ch1_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_ch1_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_ch1_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_ch1_updtptr_tlast => s_axis_ch1_updtptr_tlast , s_axis_ch1_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_ch1_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_ch1_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_ch1_updtsts_tlast => s_axis_ch1_updtsts_tlast , -- Channel 2 AXI Update Stream In s_axis_ch2_updt_aclk => s_axis_ch2_updt_aclk , s_axis_ch2_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis_ch2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis_ch2_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis_ch2_updtptr_tlast => s_axis_ch2_updtptr_tlast , s_axis_ch2_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis_ch2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis_ch2_updtsts_tready => s_axis_ch2_updtsts_tready_i , s_axis_ch2_updtsts_tlast => s_axis_ch2_updtsts_tlast ); end generate GEN_DESC_UPDATE; -- Exclude Scatter Gather Descriptor Update logic GEN_NO_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 0 generate begin ch1_updt_idle <= '1'; ch1_updt_active <= '0'; -- ch1_updt_ioc_irq_set <= '0';--CR#569609 ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set_i <= '0'; ch1_dma_slverr_set_i <= '0'; ch1_dma_decerr_set_i <= '0'; ch1_updt_done <= '1'; -- Always done ch2_updt_idle <= '1'; ch2_updt_active <= '0'; -- ch2_updt_ioc_irq_set <= '0'; --CR#569609 ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set_i <= '0'; ch2_dma_slverr_set_i <= '0'; ch2_dma_decerr_set_i <= '0'; ch2_updt_done <= '1'; -- Always done s_axis_updt_cmd_tvalid <= '0'; s_axis_updt_cmd_tdata <= (others => '0'); m_axis_updt_sts_tready <= '0'; updt_error_i <= '0'; updt_error_addr <= (others => '0'); ch1_updt_curdesc_wren <= '0'; ch1_updt_curdesc <= (others => '0'); ch1_updt_queue_empty <= '0'; ch1_updt_ioc <= '0'; ch1_dma_interr <= '0'; ch1_dma_slverr <= '0'; ch1_dma_decerr <= '0'; ch2_updt_curdesc_wren <= '0'; ch2_updt_curdesc <= (others => '0'); ch2_updt_queue_empty <= '0'; ch2_updt_ioc <= '0'; ch2_dma_interr <= '0'; ch2_dma_slverr <= '0'; ch2_dma_decerr <= '0'; s_axis_s2mm_tdata <= (others => '0'); s_axis_s2mm_tlast <= '0'; s_axis_s2mm_tvalid <= '0'; s_axis_ch1_updtptr_tready <= '0'; s_axis_ch2_updtptr_tready <= '0'; s_axis_ch1_updtsts_tready <= '0'; s_axis_ch2_updtsts_tready <= '0'; -- CR567661 -- Route packet eof to threshold counter decrement control ch1_irqthresh_decr <= ch1_packet_eof; ch2_irqthresh_decr <= ch2_packet_eof; -- Drive interrupt on complete set out ch1_updt_ioc_irq_set <= ch1_packet_eof; ch2_updt_ioc_irq_set <= ch2_packet_eof; end generate GEN_NO_DESC_UPDATE; ------------------------------------------------------------------------------- -- Scatter Gather Interrupt Coalescing ------------------------------------------------------------------------------- GEN_INTERRUPT_LOGIC : if C_INCLUDE_INTRPT = 1 generate begin I_AXI_SG_INTRPT : entity axi_sg_v4_1.axi_sg_intrpt generic map( C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_INCLUDE_DLYTMR => C_INCLUDE_DLYTMR , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION ) port map( -- Secondary Clock and Reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , ch1_irqthresh_decr => ch1_irqthresh_decr , -- CR567661 ch1_irqthresh_rstdsbl => ch1_irqthresh_rstdsbl , -- CR572013 ch1_dlyirq_dsble => ch1_dlyirq_dsble , ch1_irqdelay_wren => ch1_irqdelay_wren , ch1_irqdelay => ch1_irqdelay , ch1_irqthresh_wren => ch1_irqthresh_wren , ch1_irqthresh => ch1_irqthresh , ch1_packet_sof => ch1_packet_sof , ch1_packet_eof => ch1_packet_eof , ch1_ioc_irq_set => ch1_ioc_irq_set , ch1_dly_irq_set => ch1_dly_irq_set , ch1_irqdelay_status => ch1_irqdelay_status , ch1_irqthresh_status => ch1_irqthresh_status , ch2_irqthresh_decr => ch2_irqthresh_decr , -- CR567661 ch2_irqthresh_rstdsbl => ch2_irqthresh_rstdsbl , -- CR572013 ch2_dlyirq_dsble => ch2_dlyirq_dsble , ch2_irqdelay_wren => ch2_irqdelay_wren , ch2_irqdelay => ch2_irqdelay , ch2_irqthresh_wren => ch2_irqthresh_wren , ch2_irqthresh => ch2_irqthresh , ch2_packet_sof => ch2_packet_sof , ch2_packet_eof => ch2_packet_eof , ch2_ioc_irq_set => ch2_ioc_irq_set , ch2_dly_irq_set => ch2_dly_irq_set , ch2_irqdelay_status => ch2_irqdelay_status , ch2_irqthresh_status => ch2_irqthresh_status ); end generate GEN_INTERRUPT_LOGIC; GEN_NO_INTRPT_LOGIC : if C_INCLUDE_INTRPT = 0 generate begin ch1_ioc_irq_set <= '0'; ch1_dly_irq_set <= '0'; ch1_irqdelay_status <= (others => '0'); ch1_irqthresh_status <= (others => '0'); ch2_ioc_irq_set <= '0'; ch2_dly_irq_set <= '0'; ch2_irqdelay_status <= (others => '0'); ch2_irqthresh_status <= (others => '0'); end generate GEN_NO_INTRPT_LOGIC; ------------------------------------------------------------------------------- -- Scatter Gather DataMover Lite ------------------------------------------------------------------------------- I_SG_AXI_DATAMOVER : entity axi_sg_v4_1.axi_sg_datamover generic map( C_INCLUDE_MM2S => 2, --INCLUDE_DESC_FETCH, -- Lite C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64 C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_INCLUDE_MM2S_STSFIFO => 0, -- Exclude C_MM2S_STSCMD_FIFO_DEPTH => 1, -- Set to Min C_MM2S_STSCMD_IS_ASYNC => 0, -- Synchronous C_INCLUDE_MM2S_DRE => 0, -- No DRE C_MM2S_BURST_SIZE => 16, -- Set to Min C_MM2S_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request C_MM2S_INCLUDE_SF => 0, -- Exclude Store-and-Forward C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL, -- C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_INCLUDE_S2MM => 2, --INCLUDE_DESC_UPDATE, -- Lite C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64 C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_S_AXIS_S2MM_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_INCLUDE_S2MM_STSFIFO => 0, -- Exclude C_S2MM_STSCMD_FIFO_DEPTH => 1, -- Set to Min C_S2MM_STSCMD_IS_ASYNC => 0, -- Synchronous C_INCLUDE_S2MM_DRE => 0, -- No DRE C_S2MM_BURST_SIZE => 16, -- Set to Min; C_S2MM_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request C_S2MM_INCLUDE_SF => 0, -- Exclude Store-and-Forward C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => m_axi_sg_aclk , m_axi_mm2s_aresetn => dm_resetn , mm2s_halt => NEVER_HALT , mm2s_halt_cmplt => open , mm2s_err => mm2s_err , mm2s_allow_addr_req => ALWAYS_ALLOW , mm2s_addr_req_posted => open , mm2s_rd_xfer_cmplt => open , sg_ctl => sg_ctl , -- Memory Map to Stream Command FIFO and Status FIFO I/O -------------- m_axis_mm2s_cmdsts_aclk => m_axi_sg_aclk , m_axis_mm2s_cmdsts_aresetn => dm_resetn , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_ftch_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_ftch_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_ftch_sts_tready , m_axis_mm2s_sts_tdata => m_axis_ftch_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_ftch_sts_tkeep , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_sg_araddr , m_axi_mm2s_arlen => m_axi_sg_arlen , m_axi_mm2s_arsize => m_axi_sg_arsize , m_axi_mm2s_arburst => m_axi_sg_arburst , m_axi_mm2s_arprot => m_axi_sg_arprot , m_axi_mm2s_arcache => m_axi_sg_arcache , m_axi_mm2s_aruser => m_axi_sg_aruser , m_axi_mm2s_arvalid => m_axi_sg_arvalid , m_axi_mm2s_arready => m_axi_sg_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_sg_rdata , m_axi_mm2s_rresp => m_axi_sg_rresp , m_axi_mm2s_rlast => m_axi_sg_rlast , m_axi_mm2s_rvalid => m_axi_sg_rvalid , m_axi_mm2s_rready => m_axi_sg_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => m_axi_sg_aclk , m_axi_s2mm_aresetn => dm_resetn , s2mm_halt => NEVER_HALT , s2mm_halt_cmplt => open , s2mm_err => s2mm_err , s2mm_allow_addr_req => ALWAYS_ALLOW , s2mm_addr_req_posted => open , s2mm_wr_xfer_cmplt => open , s2mm_ld_nxt_len => open , s2mm_wr_len => open , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => m_axi_sg_aclk , m_axis_s2mm_cmdsts_aresetn => dm_resetn , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_s2mm_cmd_tready => s_axis_updt_cmd_tready , s_axis_s2mm_cmd_tdata => s_axis_updt_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_s2mm_sts_tready => m_axis_updt_sts_tready , m_axis_s2mm_sts_tdata => m_axis_updt_sts_tdata , m_axis_s2mm_sts_tkeep => m_axis_updt_sts_tkeep , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_sg_awaddr_int , m_axi_s2mm_awlen => m_axi_sg_awlen_int , m_axi_s2mm_awsize => m_axi_sg_awsize_int , m_axi_s2mm_awburst => m_axi_sg_awburst_int , m_axi_s2mm_awprot => m_axi_sg_awprot_int , m_axi_s2mm_awcache => m_axi_sg_awcache_int , m_axi_s2mm_awuser => m_axi_sg_awuser_int , m_axi_s2mm_awvalid => m_axi_sg_awvalid_int , m_axi_s2mm_awready => m_axi_sg_awready_int , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_sg_wdata , m_axi_s2mm_wstrb => m_axi_sg_wstrb , m_axi_s2mm_wlast => m_axi_sg_wlast , m_axi_s2mm_wvalid => m_axi_sg_wvalid_int , m_axi_s2mm_wready => m_axi_sg_wready_int , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_sg_bresp_int , m_axi_s2mm_bvalid => m_axi_sg_bvalid_int , m_axi_s2mm_bready => m_axi_sg_bready_int , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tkeep => s_axis_s2mm_tkeep , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); --ENABLE_MM2S_STATUS: if (C_NUM_MM2S_CHANNELS = 1) generate -- begin m_axi_sg_awaddr <= m_axi_sg_awaddr_int ; m_axi_sg_awlen <= m_axi_sg_awlen_int ; m_axi_sg_awsize <= m_axi_sg_awsize_int ; m_axi_sg_awburst <= m_axi_sg_awburst_int; m_axi_sg_awprot <= m_axi_sg_awprot_int ; m_axi_sg_awcache <= m_axi_sg_awcache_int; m_axi_sg_awuser <= m_axi_sg_awuser_int ; m_axi_sg_awvalid <= m_axi_sg_awvalid_int; m_axi_sg_awready_int <= m_axi_sg_awready; m_axi_sg_wvalid <= m_axi_sg_wvalid_int; m_axi_sg_wready_int <= m_axi_sg_wready; m_axi_sg_bresp_int <= m_axi_sg_bresp; m_axi_sg_bvalid_int <= m_axi_sg_bvalid; m_axi_sg_bready <= m_axi_sg_bready_int; -- end generate ENABLE_MM2S_STATUS; --DISABLE_MM2S_STATUS: if (C_NUM_MM2S_CHANNELS > 1) generate -- -- m_axi_sg_awaddr <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awaddr_int; -- m_axi_sg_awlen <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awlen_int; -- m_axi_sg_awsize <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awsize_int; -- m_axi_sg_awburst <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awburst_int; -- m_axi_sg_awprot <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awprot_int; -- m_axi_sg_awcache <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awcache_int; -- m_axi_sg_awuser <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awuser_int; -- m_axi_sg_awvalid <= '0' when ch1_updt_active = '1' else m_axi_sg_awvalid_int; -- m_axi_sg_awready_int <= m_axi_sg_awvalid_int when ch1_updt_active = '1' else m_axi_sg_awready; -- to make sure that AXI logic is fine. -- -- m_axi_sg_wvalid <= '0' when ch1_updt_active = '1' else m_axi_sg_wvalid_int; -- m_axi_sg_wready_int <= m_axi_sg_wvalid_int when ch1_updt_active = '1' else m_axi_sg_wready; -- to make sure that AXI logic is fine -- -- m_axi_sg_bresp_int <= m_axi_sg_bresp; -- m_axi_sg_bvalid_int <= m_axi_sg_bvalid_int_del when ch1_updt_active = '1' else m_axi_sg_bvalid; -- m_axi_sg_bready <= m_axi_sg_bready_int; -- ch2_update_active <= ch2_updt_active; -- ---- A dummy response is needed to keep things running on DMA side -- PROC_DUMMY_RESP : process (m_axi_sg_aclk) -- begin -- if (dm_resetn = '0') then -- m_axi_sg_bvalid_int_del <= '0'; -- elsif (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then -- m_axi_sg_bvalid_int_del <= m_axi_sg_wvalid_int; -- end if; -- end process PROC_DUMMY_RESP; -- -- end generate DISABLE_MM2S_STATUS; end implementation;
mit
3085ed653e5d2268611db779517ade7b
0.402479
4.029412
false
false
false
false
hhuang25/uwaterloo_ece224
Lab1Good/sdram_pll.vhd
4
16,139
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: sdram_pll.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 10.1 Build 197 01/19/2011 SP 1 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2011 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY sdram_pll IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ; c1 : OUT STD_LOGIC ); END sdram_pll; ARCHITECTURE SYN OF sdram_pll IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC ; SIGNAL sub_wire4 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire5_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire5 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; clk1_divide_by : NATURAL; clk1_duty_cycle : NATURAL; clk1_multiply_by : NATURAL; clk1_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; port_extclk0 : STRING; port_extclk1 : STRING; port_extclk2 : STRING; port_extclk3 : STRING ); PORT ( clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0) ); END COMPONENT; BEGIN sub_wire5_bv(0 DOWNTO 0) <= "0"; sub_wire5 <= To_stdlogicvector(sub_wire5_bv); sub_wire2 <= sub_wire0(1); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; c1 <= sub_wire2; sub_wire3 <= inclk0; sub_wire4 <= sub_wire5(0 DOWNTO 0) & sub_wire3; altpll_component : altpll GENERIC MAP ( clk0_divide_by => 1, clk0_duty_cycle => 50, clk0_multiply_by => 1, clk0_phase_shift => "-3000", clk1_divide_by => 1, clk1_duty_cycle => 50, clk1_multiply_by => 1, clk1_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 20000, intended_device_family => "Cyclone II", lpm_hint => "CBX_MODULE_PREFIX=sdram_pll", lpm_type => "altpll", operation_mode => "NORMAL", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_UNUSED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_USED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", port_extclk0 => "PORT_UNUSED", port_extclk1 => "PORT_UNUSED", port_extclk2 => "PORT_UNUSED", port_extclk3 => "PORT_UNUSED" ) PORT MAP ( inclk => sub_wire4, clk => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "50.000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "50.000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "-3.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "ns" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ns" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "sdram_pll.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_CLK1 STRING "1" -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "-3000" -- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" -- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.bsf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf -- Retrieval info: CBX_MODULE_PREFIX: ON
mit
0aca18d57849969444466c3304ff070d
0.701035
3.336572
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/impl/vhdl/project.srcs/sources_1/ip/tri_intersect_ap_fsub_7_full_dsp_32/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
9
142,019
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ty0EY+Xx3LwtMZI3OrkY8pfZHkg7FqgLYeu/WuT+lWayHpjQHARdWxgZ9KK+8iiP2e0mm6dCc+P/ KzbKcJ5GiQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Gz8ZFdByIhAk4J1v0okWCMYyWTZfgT4mhgGdNtx6i2vUbCvfpDPYbR9/tN/HlbCoHPlF7hHJq7h3 71rWOIW+EtrlMVlyDlwn7h0d/p6EA5v0bEg6ScLvqj6uUj7ljmKK6FXW3GY/NwlwMoi/im5fA7vV +xldzEmhBRDaUdL8Gw8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NHeoGF+q8WfWkPfAIIml3S5pkOFjKeE0NNvtqam7+1UwFWYFModjozX41isEKU/6xYSQ0ma6Gf+J S05Q5zcskicTb6USR+pedykXHL5rlc0uuUCGu5mmI2SKb4yy0R8h/FLLRWofSHZYfo8qJr7pfDSw P4vgfH3DqJO1SAGhJd9Hr8qjgbiUzKHk1qA9Mimkud+TlQ2GjthoiZdXARzBwnfRSCtkJ4r0rNOQ 4CGmX85g6rT9Mht56oBISSlHiNHHeMq9LEqeLPCwEK5lUtpsrfrYtqFyz5nsnG3SOBVet9iUhP8Q N9vsUE2/CaK7rA9Kpw0GLGaDmBfI0WBQtEUWkg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kq2ItPX3i1LSMelq1GPNHUKoZ9wNDX7hoKUuNNIuozUSdLGLRC4Hmkp9Lo2gJzuJaWUipvqVM+Fy /4cf1BzV0NWjmTZsRau+Nio+BeMU8zFzviyu1pulqB2fYfgHBr8YQJhOMG2djiUmrO/+THkHhWo7 dafeh9HkltYyuC1z8W4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ti8L9Y0i8lkZiXupA5cwiJwvRvrCZGR1Ub+cTQiPk0mUW2gZTo6gQ/oZ0K+NonvduOPNj3R7ytVj 6HuCN2fQ1bOV38FVzWtsEtHw3/M7WEbYFq6i4tm7p3w1PwArsPs4D2XGmmHjfb+Dr2AT9vOb7k+v qsNBKGYvvsRhJf9sPNunsozRR8dUmOKfQTXBL9I07RN/CjteLWWDp9dtnc23wsJ0m4UPPvuU5jH+ lJsLcRlpa3w9DlFDvs/Ma1mQKPxHufbwWSjIaUhtXBqne/Q+LwVIiFFlQq0sNOSBmCs4CzGD+xX8 Ppp8taDt1NGxMZrI4yttqLt5EskTKoIDXoafxg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103392) `protect data_block KEuA6Hb+rZmsdsUFq9qNw8neLLGdryVNd1Sp9gx/fpYXWT8IDEj3IcnwnlbiSXwlX/UYzkpGa+Ph 0TupzvJTwc6/tKK01A07PaS4dF3l7KP+BJAqMnVlG7eR/ruUycL+GUOlQ7a6Jqrf1lTnHmNDqIDu lQrZCH0y98QE+9AGtFcLUbZuBX1Q7gC9mita5feKowJfAASPqN1ucVYuwT5DTmvlThGGQ0yp04Pi U4eHXK9ilu+uataDt4Z1GT5vGTdf9QlXT617D9XTn022pbs5fdpr2c+QdTyL2XVrxAy0ApX7LT99 HL6YoZDRXDG7sFW8M8PX7Dj7h2jSUBlYcrYWv52K4vSa4ciwQxaU54IK63FmTrZa78QxMxkheL/s nsCNBRkhJw7g8/JmogfPARFExd2dRyEJtc7efYcleYdPmCAxghiASjGIPw/fHi9EKaPr0H9ZyJWD ur3kV6iOsnQ0BG/5PVOYxPUKozsi9KRjhaEwAHnSRY3M3douAlGggs7YNhuDLnY5rUdpUvfk+QPD nl+QxbvvmsCc3NXvYEBN0faE0tHp3c28jTVXbc3CpqtcgZ4n7PZSCywPsYCaAu6rPgISWnw/3VoV nNuq2IkxapaLakPqUyGtUsU7dUHesgeTkDopl5Mm8oSkc5G5sufYz5VKWHHNpGc+3e7wl+l9rJoG OS2JzazImuybae2xQ5qld0DMLQWSZADJ9/M15rljQoI3VnvHVCOJEw5Z3JjtJJA96lvxyXnUg4n0 vFDGTr+E7qEZQgw47jtbgUf4i4RSI7HZwpgFuY0Roc1RKhye0bsrGCBkT6m6EzLz493RN+ZdYA7i wuOZByChVsKo0kvToyxoKuNzLuLTt072DEt27lpACFbe4zQFrrNsjaQbVIG8scwrei43NGw3XfI1 BfThwlwXJhZSCY23gAdM3J5l4gtBY0TUTMBK8ko0jb7h8mk7G+RGtOQSBJL3G5IaizsO8muzzA2+ JZL7F3HVFM9+412xseAxZUC2waaU+uKmJ5yt7iYiUN9PA2IZlcfivMObwjSMmHHRIT8rjj6pj51B y0AHddKs7J2x58y/4BnEnmpBS9OPUiAr0i28uM4X41PfFj80doXhB5ID6HN04WkClxGZHVGe8Fhi ZOnqxXRAtX/pAtmqEydcc8ZWtqAXC7RqaVHEJWu1cIqLgUBKcplmQOoaxv/Axf1rnNUXe2fLqh3A gB4grzfme7QG1GaH9UlistKLTlI4qVBZLoJRCwdIAz4tryJQcw8pLOQ4HjeP6L3jtsOoL8kCwmh9 UI3vTyHNpXBwHuQu/E+01E8xpuxr0pEN2P1TnkvzRMbYEhDvbBL/ax+2tFzAL64uD+Quu7r5Wl/+ PkNzUJUIppsjuhcvuROyxL+7pyujcDeQzKl7SjAOL8eL+ZcsUr4DaflnUOsLr7fwqR6QAqQxyhjK e2jZY+4DpHOIaOOr2J30zm+Den4yydFNQ8tWPb++tXfMNkJAAQjaNc+0tRBVQxyMGtl9OV9m2hMs oYpPnvDGiAlS9ZKlCHPS/mKZRLcIkeiItSThH8wx4HgXlVFRh9bpJoptSWNwQfm0XdeWw3xwMPYX nMH5yUBXF4sRRi0SbNv/UbGzgBYFHD6scAw5QTciutpyPnXkJrJ3HJ3jLyhMv88/jBfzprce3wwO dxAjXOJ0jI4klIY00HSzK1LkM9qEU5pQ+pU+0gR6ADHqMP8RrPhcUJ/IaQpWRmGkVUv6y6PAKl4Y vtlAxgQL7lW74yKci48lonQ8PpYgsgzRwdnazSY9xACEOtaGmarjdvY+JPcUzUBLnYsCioBxeLj+ KIiczmPtT4eWK3bHFkRlGd7fo0Wd8teSzMY/7D60CXG4au9/mFgMoWjwB9xMIqUc+G6jnGS930nq 8pfBl3e2g1opZeI/Wk6FkBn+5trkuyIDcnHSHQE1L697ksb11R6MgzCqpfVNsbQ1f9WcjUBYA/AW 0kNdadtffYRofE4SQl/1A54G1SHsXKgcP9OREUERQ/u24EmM2IxYCi/PbEhfXGzVTd2U1tMMYnf9 +QTLioSW87p00AvSbr48Dl0FU4brKcnd4KWfOV88kkvATFmVbPlZV5mfvPsBrxeolJuWGh1l262S 0NySIadsiXcH/19yyZXqh7Ll/gVMbgXkkNvp23xhA7E3FBR3FvmOYKGqnMu9a7Gk3ibCbJnlfcDV PCJgGaYTi9e5Tegq55fD3q0+WirorMIm9KOLXKOrtjzZfMHLxJe3Z1wCl+PUPhjrHtQrTP+fRNHl MZxzu/XJMR+yvQPig7YAiosZdGPXQ82Wea2XiONx4Gwaryy5c0OvhwGWInp/5TQgYcr+k2Z2ha18 CIQ8b7z9Fpn7LF/gkNl45wvoASnH3gXjdHRNTJBIUnupRo4siUkDXe0vF9DWYz9a8ZTHHZcGkebF JjOQj+iOg27Tnlq3aKpM4/siwsseSgfPzPYOrbQdcjCGD+S5jvXDpXBf52ne/3e+xUWOa2dj5Ihn +AIib+VpKrqrKnURHLg6kRkpbvHNKkCt9Y3WoySLGGAOfgr8H9qBkCrD+vP9SDKJzkFdS3ek4I76 zF1GFfeUrah/92ugpfGgf4xyrPx78ovnWrtWcJfG4Au+P9LgDipEh5rZtlnbczDGPiWEcngATTj7 dzWlRNho28yiJoxYq9BROt5V5m+evrjlTD4i3roo771RqGNDk98dzM4AEKy0SCzJMfiYzXO74iAc /PHMAS1zd1peGL6D8m13l6ieTpznG7YowoCIXNVgfZakRlSFsDEv0vDv6z4m/Knl2F0CGeaOrmOg Iedf47bjmM20Gbo8ZegDGgGcx1OvMYWnPwDsSlsqpTJlMKqYyV6y9BW97UVtzXP9uvyPQTPdTFPX LdKSmcj56F2555AiBImL1gtC7EeEifti8/vCyc4ivAh1E5Cmw0c0Kxpcd9Rsr7aRtcXbEtHrKEtX 6k0nrb+foxbjs/5BKUgNg1msfkQ474bXqEqweruAX+2i/wpkyp5iIkwlmoyCGEy61kErU4OijKwG hvQHsxl2gLa40TgMXEBv4HTicGcF8pu2VfEgqRsi1kqL4YCEqU7LDir90nDocfeFU8EC7vSbFqIO 2drY9qEFFCf7CrBQK7fCMkE6eDYNblexOIBtwZdO3kiaA+2MrqmVf/wE9hF/yNXpkR25rgzatgT7 yk8feyiNLx/Fl/zcw2BzWHlAjnI7a+VFCZQsbuDmJwH3oqDYrHzUgN1C+ZZQtimlcOs8oRVP7kgE w2MAhWvC1kRsn7axmmnoi3ysr7ajTCNMDTZGuM70SeRbNGav1w/FWSutv8vIJh1ToDRXRDNFlFRK 5QHYI/X4onuRBgRQifcsZH91zF+EpCUdA6W1biUWNYtxJzGVQR0znZ57i+k+7rXt2bvUo9CmOE/Y zIDNN/DVQmoyr+2GpOx4oo6euI4qHLdyL99viO87hh9bJvzUzLkYzixKTOWWNMXKETodw2/eyJ1c gkUBBrX8SxqTgpl3tCI8XJq0dnt2P8tIshv+mvpQWxLR/whGpWmXIP0h/aXipFnYup12KIB0kIxI nIUnkTSI72uPUOI9McU85cECOq0o3OhaZ5jByF7AUbTE2GCl8t9PclKL51HnuH8CL5wec9nGm6Az CqHJ5po3N04bxCSpNpdBXwDzBQ3t6a0bnIpnxPMDzAyqArG0w4sbChXI1oFibYob83hJkRseYtSB yrUW9e2/LGhdhiPyMJAO5C85VDS8qZHJKx9i0DE3yBMoxZOiTvFHljJc5TJqDPEyhhWUuyvbcZdx nYGw2rAbMa/ZSVDFyr+/+LgPpPRGlo2dwh+Y0uh7BsGlAK5JiPrM247swaYI1NTgpkjJ2jpNkzdM SVpj2rZzr3M2DtFmUHmDGpJB0rioReOf1+uaLZ3a5SymcN8iw/dmkPxSr/0M1U2HBopzQJDYLBtV jSSCKJR/IrrKLTa7YuB4rEJrn37l2NWmYQPelW2Op9oH/rbAY0JpBbJpFXzcgLdvG1ZLATmg3npO uSTkpcNKrT0HDsVeZOKN04JEo3uTRbxiJWKAIr4ZP2pL3cbbrsCKJZo0kmBh2NHaOLhOY3F0dQxw fgp59vJP4tCPzF4X0vJzmQJg2xj5lYSZv4PxmCvuq8NU4xCNaXPfNbEpPYHuB2HFErwvT2UvR6v0 akYH91nfcYRCGqGhlwJuX7ZYfNndpjB9Xkx9RLgSglavkCKXIQJQPOp6wMFBDvqhZn4d2opDNwmy cfVwMq1q1W8PB8CSg603BvW+5AILszrmtfk9X+qOHHHrf7QQNBAifhejppVVTT0z7Kbmnd5fYIiD x/0d1GzA2TjR97U9ejBOcBaYnhmwwofWLLuEmEsP/P/xQKZJKS80l8s8YVW4W9hD/aNAXEvxakGl fEAmWb/BCDQTmC9LsqWnoPfJd9hLbVEkxlzxdYuSyvnsg72uFqE70HGixnkua2S6+0vN2VMX5J76 6VRffVxRhybD7rdHxLWtmDZYa5n46dieabnsxXM7mdt94m0qp96b54+9ih73ZijIuZ9+k0mDt/jJ M5cGQDDYh6WwAKRYcLvgE3G9vqr3N9FSn2Afbm6cc8sQgZF0S9a3vrvJtZGXFgR+DbVAzu+JIk+7 cX66oSAt+IR4T6tKXtOwESH/B0v2AqqtUWCn51Ak4Um7TkSL3+lm3mU8wqPiQHqGZfcEF8BZMgUg ir2fjQEBXa5os3GVQV/d3+vF5nruWuY6fEgIOLeoxGio7g9RMvwq91BlAbFSQBbcCXFzL0gpe5+F yFn14Xp8oU3RCGYuMjvJLquOZ7LrU5wllqbd5RUZ2cJME6z15u0BRsmQIyWMe2LwsPSkKxA/tG/n pg8baB9adYG7p0jh034+0GXJIy9Xd75F9HViKdOAobEikL+DwWoS6+tyKTjp3BbudnhH0f01VyIM mhBiaSVjNg7/YUr0zR5wQz4TDe5mJ6N0bO0tuHEH93+J8i07aFyiBg4nWmoQPcy1dJDIfqsbaptm l/fzJjDTNO6PyJKU6agqWxey7YzgPR86PQFQEoIiOArohwDPpOBGg9SkBaNtruDFiJoZWBkxyflN JaYAorLyCgwrmsBG4R84PnUjy7RzwcaZfw5FAGm79Vi6u4iXGc+IkidOTAce78ZXvHpmZf3O0sG+ IPUcQRNIKspiKGMUjauoCjLvkewPVJ7ztputkoR/jRnhXBR+kisCPyuBPUfDf8GyoDhoe6BHAl0y kbbCJwbEYn2OC35JQFMS3MbcXCSA5czhsgERO6yzi1RBFCwOJ2D3Y4kkZ9hOxGLFACEKuB3x3Fe3 3WYNt8Qx/3G+viZZt8OdvgEZfPKl/h/QVNus2r/WfBZDuY33uP6Ao+vi83sUbqHDxUFKQu6RIfMg PBnmGgbtRT4BFDrQsGvq+/NZS721YpgBsSFVQduaUJBX/604WrNls1fOtta05f/0BKVfsigJMJUT Nl5UWlZykvqCafgFPtpJFzx+lcYldBeCB7g5yX4UQzcx9W2M6dDIKR612BsK6UwnhAv0YrufD5HC ZfJxcK+jkyMtca/MDbMptWVTiPQ7+a64Y1ssm715GcMTdKwj38bJpDpHkuXPwPTcm+tMAFKKau8X 7QiqbDacC9iXVpPnPpJ0bVs91uLFMQ2tOFgMNvW4q7nIE51QTT/IL8Bf0D03iSyxfqpUl4bSveYy wNndDS/l6g+FV1Juqa+UZlLn47su/rgD7toOHscQJ/5zz+BCfmfbm5nYAsrKi4ta+rOnb0F3iVLl xm/qALHldHMOSsGA7NFyZj5D4f+9MVK1JxcGqZuEQkuF4CU+anJ1OpCttpZej4dTgV3aRL6Mr2D7 0k+7P2nz0fgH/99XjCqJHPvvAsqkE3L4nLZytICN7C+NndKwJkFH38L8+gkV1mimD9ctegd47RMI o0rlqnzSN++sPWk1rlZ/2DwuUH1hObGWhWoLYRbFAkm7SuUoCGgsR6XvZei1JeEpXprsH85lFKQP P8detVlxnldPvb5RigeuGlpwrVQ92LlCjmU3HTTo5gYgD/kfJkv9uzDpUxiDOQ8b4IFPCllvEkSj qBT+W4AghmHE6oD8ZTcIsDOuoOUEkBNTA543wNsuTfvKhxDRt65py3gVlH6PKlcyBFBAifET36TY LZhGfuJehQBaPTi4/8sX+jFtujbM9EvrL0bRVozICJ4KM1/J2TKyCCi8veukZb1RksGPZ+WFuU/D KW/UM5Lq0QLy9ev8G3JIZV0d7qJrIG/VInvchIWByoKc7+IH8T1INJfEhP6/K1R6Bz3Lnniyh5qt U2CeOH1GE2tJkK2kUj4PzHhZDWhsBsWt2oocqPSvNLiNuZONVRHpIrpFOUBNZbKRPFcAV1Df469k Rn0BwXLfMHpg/s/YpBumLV66NPYlUimgGD8YEJ5I3RenNkV8kQYtBmdkuBgrZ+6Rp0J1cASB2Gdj j/glkF8OrnZRh9OTmxyPKLxWt8kGw9FQrHAX7TtIWH0GCBh/4JN4ovCXcdJVGu8vl65Twn+SB5Oz GtFrJo13zRYmyaWjGGiCtEMYXI6NWl8cD/flrQ8T7PyHbPaQYpcueZr7xnR5t/fNhVwPBjj3YTsN ShgLeSoJ1OI1bAaEUmp3816FJ5SE+r7RzPoW9grf62YNMpIg5PrgefklNOyqZZpFj66cvMG0jqBv +SXHP6GF9x1ZFtABcBuEMtrdyqM5AQUy0kx7CJBdW5m/D8A5JJg9xgdHZlJYusanT76Y9cDncYPc 5hNBTZgXYi/rmS53ZIhdSh5JKea2b/VQXRu0Ndwgx7FSoh+sLz5uQhKky+chi1svBS7GzXRXiQoQ etGAbnpu46GnpWIBnEvmVfl4aSxgLyJFLbMRD2j+i+j8kmhcnXRQCEvwLnszL23Hw5NvdhNu0A+/ X72oS0qBqYbfjLgG4wRnAwjV+JTjLD3iI3yr150k3qSQRZEM8P5RCqcOvACLoEWHeA422MGQVuti /Z4W66wbowJ9sOYb805h9QvxVcLzXmG33jfaUwsJ4byw78Vzax6iTvgcG+uSjxftMzfT6h8CaxZQ NKBVFWyjndUuTej6MRM4UqV3I7eyFdjQSmrnlzNLo1LMGPNlyF9VML4ISdxfZDElY/kzz+goAKVj 9WDH+bLTdz0zsYUhotyTtgWm+EXX0aWjD3tEeps9c9e/V6gg7sr3ikRVKzAnvEOn10/GwGRwmRk0 Xvzmwyx8CC7AqH8n2TfkDimqeRBbtX/757LHmQne92eq3mO7UqWxkbnAgTbVhU/zpjrRI2+Vhym7 Tgyu5GfJZL64Rm28HNgzvh4ZAMTZ4nK0WErIWga2FxtCdU9GvUQAeenh3mRB9tzi5VaWp026WXK+ IyNSaK/bT3G9l4TAl1Y2jVV2KxT8kdXhnK7bKZJbJTut7Ojy3c19CtlP6EXWT4jkLzW6INIoSpbT 01GE1hrPAbtcM8E0K1SHLQ8taeYG18RH7SUstAeFK6z9AqL15vFGSfyYBR5v7SrqSd0TzXKH2pjB sETwNcCTH9mdHxW81HhSoJPeIP/bj0FzxAJMx5jPdMB0vytBAfECOkPhl+L/T0LJsEN73PwiBE8i 4x7dsuMgf9NiiD3M6S2HD0KuUlwYyjNraanZvf6wplu1XwyLwk9LaMMjw3zYBQtUAYBMFbCklP2b 78isguEkdXtYFRFton3sYNiH3TjKnI29OIK0aOAK7pwH4IzLxqzSLERXO8KM9v5oghsMpaCGXkUr 2diQwGWx3ObJSg2beVHD2mG6ftvWqMlp9fYRpY4gEO5JrSGGbmaTeAHH3vJKcgK8kCCXrltvzrSl nAcN0XtgtaxNFKPGOPZFnG+V5WkeTINPVE+XWa+rgNxbnEU/npG6kytVjrHxK0AERd0tRb3PKDGy pybSzkkjmnlFBQYGMsQPodvvpsN1WM3Cv9KtlF5jpEMzROhiRTifRUtmIOQd96F958qZfmehOKAz WgagcoeTK2yp437JDDBSBNFeawu94b/+r9Y+tKcQNHEoese7XL92HLPvDYJItrdwqC8jCsTR2skC H3idbVAy5Mik8Vuk0zYK7vnoz1lHIkZ3VgyzNDwNrQY2BMBz3jdbVKyW9D7hvdePv+e/FPh4iQ3o 3L2dweWgy/t8Lcl1iSQ5oUfOc3szQ1qjacvRqBRTOSHPYm5Bxv+26JBlqYuUNWuyVZfsi0kc1x7g RB2X9Q1n6r3UquEcsHvCRoH3vQXKmN+o0QYyZimyQ8iV7cQ9cuaV7cBiYsr0B/rf7a4VOsiLtPrx BsG6sX/qUaRA0oz67N9CHn72n18DIunLnuCPkWLOTu7bSa4wNcjCYbeVH69Csz4CRICI//bnLufU ZOK2qK4EsVkX28Aa25MHtQSWJWfwhrqtZPh+dFg9gUxz4rmNdAaTCh4hBKlgkECft6sdMFy9Y870 DpUxXLJc21xAEAkHpDziCGH3n7iAAs6u49Yx8gWMoW4LvwE5Nx/drZXA9OoAgsoSsfqCmZcSWgt4 2Zxb/S5V+LevAehVhFYJKxxuGKGWjbpqDq/bJuzPT4MzAgBEvmmInedffRxYgSwKUlvC39zAQPL6 f8+eiJTCmooij+oh4WWsoq4wZpUp/FTrZUUgoJksF/vxCgahi5f1Qelvo53NOUvPUkzoTSowEfSY ALqV6wup1/Ab5spxxNkViovNs3jygEZJT77ZT/6ZeMOk2gJW3ygTG415hPr1Joh6Lm1MdIjFORhE NyviRAzkcLcPw8hndnzSxeBHV/qR0M9ADRsNbO75IsrHpRWakN4ByCYGS0/4cta1jDAOKKq7+t1/ 451hJsju/WhbcYedXfwpmWMCOp2b/7E4yl4FRoO+0OI8kZMAbWr9L05ofgoZgN75ToIpKFIdnZ0h DDe+2k3p73GtEOpBhbDf+gW/zTlWvRRk4qeTHKWFY0IE9e33+EPITt2uEAnebplhci1wqXnHdJnp oPC8PNi3M6O5BC9v27CoM/0npyogFVekMum4u10f7ZgjJZVH2gj+T8gvdOtfG7VX/BPRriOCWLUn Ubo+4GeEDwFW2a6enGpEj4lKVlxJu4sq8G+oP0VXPpFqk/0wxzkn6r2lOcDN0FC32605KvoB9XKt udGMdt7MV22OOORBeiZNwGKSVBc2k4L+S3fBQr9/wGnkTumNtI9dYBHmYvtOPNEnHJ9zFSBJfenD fY3eNN/nrZFZklmfLMB8gMcaEhOIN38eJ+BsWK6QpkuvDloBeDz+D87K2mNvcb8eFdaEvvwd71U0 wFxK6vfTOetZ6ieJ6N7Q/iWCoLWZkQmx+E6t2ZGpiGwS1yViNQ2Dh15S1D6K2SNnLJ7LPGHPNXKF 5ghi8PiKe/QxmLLKbZjVryRvwcYukv673ijWe+vZSlJO72JtGDWBccvO/e5VmAKO0s38tbRPK9tD ZzZSgC/YEQH6rpeHRl61plxdJRDK4+GT6uR2F8ZwiocZVx+RPg+ABO28iyawbFu/DxLAyj8efR1+ 8rFPqKaeydGCd8byVUiZSyTYWSHDvQGKhuQ/5+i6o+no5eMZ5jdLhbSFWycCOgWN5tYkvvwGREC2 w5sVql3A52+QR0KBXGaW3MmPFo0/0v/NW3NuJTwYlXImLKJlv/6ZdW+g9jpsM5+KfYxbwqhOThVE k9v1BMOvQmx+01wA8kKztBpJt1hpsZTFIB58uLlipDMfEylGIfQxGVXWq0Xdrsjxn/ce0uv9h2yx PsGq3hkMsx2nbyHWdrVKaPk2QCOtYIcqriU4SyvBBdU7F8i5JlWmZh/59HHw6Mke1SyhYd2rrevI gDL/7X3+iNRkgZpU2R3kWgf93U74B+kjAPfLya9MKz0PqFsha83L66kC+AVa7NoNTTQy+yw05m4a ulv/IoPDGd+ncJFQYUgQkD5ofgurm6GIZn9DN8J0lsDXfaSenCom1LTBC6AjSjVwVu2oEadK/z54 qsOKWwPIAf4LRorUvCoNReVBlwWY/sUZuc2fHVTT+zAQN7t+FO2ksxhzsR1W24993AWdxKlNJmIT J4MkXtSci2o2hzNq/+Uo7tHeF9O2Gv4p0hNZfk98hyb2ieKKxoI/eONDubce5JeAnlJLWnH8VAfe irR3asqWaFmm/T84yHQjqmuVAHEtYo2Ow3csYmEm1SvhOIre8bH1QqhKbX5jFXNxteQHe79pUgx/ lHmwH60Z+meNav2/qzofVQG2DBMFZtnbBcDbomCSCeTEOXHLvOcc/YmVG8aatgaCFGFgimcuAn9v apNW8fHFSWI1uKrwKXu/2cEkieeVUUxa/eENYcHBJzTGhKXAHokrOzxmKQblKQo/jHqpe2SJmObs SUDq1rnYCIVaOsQYed6Lv1uiOveKD0oXYcqt4ht+P3t99rBnqJiI9agDw5WOR0gwj1GHTe9CdHbo CzZI4dBLs26xZJkiReflz3rn3pktEA7F9GeFzaIQEjxOXzKSsZBZRAkvOmwZYEfRdWUkglSWRHWV b9T0Ux5P77yU375x68xBEmLB3BO7xMoZmkLhLhh8kBMwWw98ph3xdhRc6oj/pvvzDVrQhqzMB/23 +UZ3SubITOQ2QjBdAXEh5wynUjG0CVS/AT/68UHyz+LTW+xI99xqlk2AVguHXg3b1ZWTWOdmnTqk kYVKyQh+ZE2iLBCT8Uhia/YbzIv14lp5Jzj3h7KBHsptKTf5nzF+Uwl4Locn6DZVdNGOMHTmYgMO 1RhwfaWuIF2VJtDCQ+Lu2yAYX/81+ZTFue8FoM1OrdWxRQ/06NwmsHjtSGVe4xdZTdtnqneK/8CS mUWEEFu1ChHUNiFYNbSo2Fqx5O7F8qXB3tdhhhQtD3E2LwmmXYkUYb3vDOfGJQyxitoTDypsuvkC sCw50SuPGyMUkR6wTW/wFjnSzyPDSzOByU//+GB6EmaytL4RfDaUqea3IG4VenpYoR3cMoRsB/Sn +acPOje8hI+fYxm01R8vv2BwSz1YpEmpAUnRiT0+9v6UVK8q/5gW9dvhmEN24ySCaS9aFR49+sqr QiyWUCDrWfv+yA+UytKxBGp5EA3DXCsmtEId8k8LQLbYlC0wy33Oom+qmCQ/Rd78cHZzNnV4qMXK 4LKtlun7md6RHqnYaUslDCMLCX0yxbCJxgJ/5RHERmUPhTQT3BLE9nlY1m4RawHYYh6hWBdyv/D6 dPpNWQKuJxDhDFzTJSnGLKJUfv7MjTPjeq79beW6hARyAC0n7HHnAsjKJfIIQL6pHcrv6DMhbCVK Lnbt8tsGrFoQ2MYXv/FzCswMxGIDg33mjZj8hd5yX5L+sgzv9JnkfQ7fKCmLs5/KcSw/etKemmMR 0M4g7XmHqcA9EwETSJ19Vh3S2zk1188sGS/F069iweWewLhZswJBUj5daUcJ2VnK++/qtl7oNYDy wnLRTs8wT07/chBnxe3c1M4zY2WXSHWGeADax0KdP+UmQ5tQ0NhzZnmCe14BzoxNtNqY8bGeZrGQ jzS0i3n5F0K+y8DPIYGhzYQMeDn/oXBGZtjv9GU5e0mzDcrL69vqlzlxrrpOBUaSI8WIZ/J0Xlp6 OdlSCFO1QGWyVcjkRSdtbbrSsnMWeFXmdt4aWIgBvWaaQ0KrUvp6tYQTKztT3curlykncx1bF538 NiK4HZzbeY/yTIeN48Sz5FX3leS3Vim2UbcyfBzlxwYEJoQXeQTB9sQZ9ALs4B/D0ircVe4Hy4Fk jJ47loUUWF4tygLHAVr6bocPg+XX+zFY9jQpeCaPYvhA6LU//4W/pT3SGhU62DmzcyWtDTzrj1It +KWro44gx5Lzqo0X3ptAz4w/3C31zMZcOvV1rtK33BOO7VtBymKRj9CbJgmPwJ+5kfk4NCAdPfm0 2GnXyWzZVgofctOQo45bXhkSt7QthWUrCoLikecsZtahDEutou6g9VYG7eU82Demi0W8R3jKqgi0 JKAiVV6tDgWYrPe7rULCrlaYRclEIZ/oYAYmEe9uHPl2NzRpSlG4T+feS1e2HgJ3Un63N80DtZ7h wJXtdboCHFBpEFXa9JfPpyYYwVG0YUgOuLSlmF1z2fXRr747TSm2sa7P9tMT4BFdOWaLv9+t9hyB KvIeZnr9PwoR3oDJmwL6nsWMukmqOpdAwIkmPQtWzStcZ9pNaJoOAQEsiS9qnyOTLYinH6UtE7fv V7eC1SyxshTCIzDVXbawiJvYkx1FLh+QCVL1tlTxK05qDkRV1u5ZX6cdlOqL54nEf7Gb+gWo2qkB 39Ekm/7cXS/XbPxg+9LvjhqjQe9g/R/6wPBiWH+/VMde9Ap2D9mEOVmtzaSVvqlWZnzbfI6oKlv2 5R8uAvAqCaNDkl15m1+BN60BdKgdfrs1IoZ/F6TFOPCsVTVT6nzhzsbyKS1df97IHfqeYgQyxeN3 5lSADT5oi1kLtOhQ5a6CrNdtirddRyc4lYBHGykkLpdpTH8KboEvKlNDsgpMZSqFft6sxZ/x4u1x Ru3LLZlXW7Co2LwhVqClBtX4+uBlMzk/naS9glFb1wroRB+hwuvr6OVxhjRV3GMsZHSpJu1ojZIb bp8E87JMuXLO7uP3EAnkzYP8m0U88qmZsIukMdHDfAw3yuj2Zvg1ZzOTbqIP4ww0ShN1bYN6mhON RZqOQV7/bmLc8urQs83/qlbEcCk5oo/FP3NgznJmcM20NY2xZnPh1Fq4cPjCPaoEhth1/e+7IULB OGN4InmSAJJ2Kljw+nLIzjWoNhRu6VNBW6ubZN1OmvPJtmw5bijKDscOSbzwWEWY0ADDTEDMgbuD 98YzVKHFluOB+VBqc+fDxCERW9xnnUQne4qM9HBQAfGxXJWQ2LqiPxIpib2XHtHb+BEyGZSmoxau QGPSrC2OYDJJGz/Gcodu4HTaCN6Mm8st96sn1fRCxlNuECcYXH93nX/21gvOx7q1KjRhbCGZEe0+ IoTqXGGT3+77npPpz5TKEPmmojsqGB8Q9lislFtLKURQgm5hF9a2iBFUAmJ9Xj3jiW2ejpd1jBdF LjQEpDJZdpRVbQKgEngZvbwOdPR9He6vnF4CtwfWiEFQF7ucr8MLbP+LNkhBDzRoudQhRxhVoCC7 MeHeDu3Jmc6O4n0XWBMWrcnrf34cPxpg38Un0laioi0WcJ/bQjhYEJm4F3EA/Vr1sFT/Y1s/5JOw jfWw0VVvMWeMc939bfG/cb1TvLen0CGvAqBaAgsYXKi/nGaFmEKx+KIjHsBTBCT4BiT020YtHy7Z 5n+UqZasvORp7iaWCARyt2MCnYYrqaLhFUy3DIsNnXqKrke4wkH4mds3qJnkU8g1BHXP7kUM3y71 ETF0r0KuhLGm0B4cJmPil2iYD3DphcfqflKdc2znUDwYXUj7AkDqjVvj0dQa4FhL1ARNf1aU57ZL t91XQ37kMQwKkYg+C21mNRsqpu3CQ6HSRHOla6w1Eu6NZ+x5NbbNlgorhybBMJ+vD32ZZOrCJ10S UnAK6ng7XbMO1yDk3Zg+JHKTkYubMwfIPo59a4FFr1GoDxhb5Yz5EK/UuVXf1YfsczjOHHqR46Iy 7FYn0CoRaMjb/63uxynWwfMCYs9EQFDhgPENoyx6rDMJVWGWNAAVwpDXn8t73hUtopU22oCqzyJs dey5Qf/lYTvj4OHFSdxFDAfTbgs4jRzWbjDmU5HVftyhz6ltnQC3mi2x9tDlECM8siR56QsLZHAR ZJj5cKLqIzo7d2px+5JKEJMVjTvKOBD7HXMukrMBGHjG01/zhKte+ATSkZBHKwpAeA/nqPdZYu0m V8fjDydzTeqonJhk8abBRwPN8ZDq9ptROVn6p1bJhJAn98laB9j/wuKp8iUQTNB2PIyAoJOiSlYn sP6Qq8L3C7fua8Hx4BFd61VGP8c2uKG0qraamAOm/2Ydbmz06KUW1XTuCRPjTLjSDfTF77ssnQzi ip4BW6emJ71BNql0VJa2Hp6pHjOZcOrOSuaB6C6VtypnFqxWTS/sYFt+6HbUFKRPkp2PFmMQDbwx W+7g/SAfSoOUl/tKCi0FHe5LBfKlfvI+iBxX5wEaJBq64+SS5fapCOMmRvxMdtOApJy58ywXHuHf FW43RbCe0qJiXaSfKuXtTvNSHU0BxKwiOTAZyI6Sr5wGLh6QJFo0Nl1ABtHAMCl9QAVs+6Fzi1Wa JXzll81VxKBY53kHc87GYvdQ5uHSDByvnbPe0JO5DMdG9cH9YQOtNlfN5RJwKEeMTe3ugcVVULiI VPa2mhHDwGYpeHg2b/j3s8Vuqx88WkTPgal+aU2IdGsuQjm28zKe3UtMJEWfkz0cKkc35vejhLow 0eNrg9x4bWaSLL/8e6edNzNARmY0WQrNJZHMqyjcSmfwLllzNLbPajOtAs6I/6EOiNzqW1o7epWw tCoEqxoNEg1uSLsPBFy1P201jf1if1n6VdtaINNEKahRXYIo2UD6qLfRuJS7LRkTRdBrLU2i7z29 A/76yk3GXEAkaPBLvvNOkq8mbQC83m4lyF671dXU9cRWv3nmIrGye1vrfiMDxmKq3e35kZ0ibBS4 x+BbfaFxgdl1/Tj+L39BBFM2+ag8goHsW5NrBf/J6R9JIAveyjx6wczzXzWUO2W3uHyuulExyI0Q Fpt9lLKT6X7Ciu6kxwj34DyT7l8liP624f9PLcpStMLvDyKGdfqndj4dts2XoK/0CnyQ7Kn/V+yz qxtqBdWUzualH2U0v2rglb8zS0prxIgZDfXQXyBXE3zsInTuxxRxSyHeJUYDvHKxfSYyszRwxACm SmPKCvkfJmW4I39D/8GWtHNY8fWcov/Aioq/fjOrQqe0ILJKTgb2Ixq4xoNRk4F8ZdNp4n14emYI 9SCS/jpV6j1+eScSyNI7MmMRK6galCOhc9wZfHIQ+BTsGTS1CqAkgAyzQVa/qXW2eJuRbYy+pmQB ip+JzNR3oxRq7ZL2TIRkM1SNhy55A0DzpwQnQk1kGdCIpV4Y42t16Dg5jXmyapr12qpSGjjidcRD I1b2Fnd/JbWvWrJExmvxopPDeRFSwsvm9tfdrs4ooPzRBO319vMDiEhCkaikli/CV9Sp1gF85kXH Fb0pvJ174+X1PACEE8zafzLaYpQ9n6n1jmCg3o5jarqAl/QH4QyNODWNbdsOdQW2I2CWOW6sCPlJ snOr+FSDAhAed4mAJCkLtNcVT6iNvnvS4qNay2msYYY1peTmcnimMtjknMVjShrjn/cugjJHxe5k 9pac/MkDpGJl4h/GQHn7YwcYSeGV9Hivp2Aul8UwK6VJ1dPLK4zmJ6kjTLQule8bAlCMEr3p3t1E FMAZyqVAtbcA5iT2haYXcwkTXLTQB76tooG7EpqzhQb1UWPMOsiGdT/qfO7+D6rnmcSLMn94gB9P 18Z6YTUR/7603+BBNa+l5zRhZrugKD02LpUQQFAzCpBw/OuHqFJLG+MI9Wi801fhR/6Lt18W5LM1 aZhrEG0R9UHLRIskx/1qIJlO95g2rWQ15O/Zga6qDPkBETftyS6d2B9EeFT3VYbRQOas+I9vCC4/ mHuDUHXRTeI+LzvRGU5Y9hm12jw/7ajteq2+2pyAKd+fR64weeZLdQ5rrp/O9heE3OIITFNEmDLA oIanHSnW92sUFcHetW21bx5rD/crZXaGZGiUF7PSlACgioF0As6igw8RbcNGLcGR8pK4anEgP2ep 1nUYqdODnV1a5brF0nJGtVJ7X5LzqluZJ8vAoVO1CzRjktxXXcymZUcg25ruvsm+nN+YX/ayC+kg BKs/haeboMV0W9Jh8dtdMLOer68iCzE3aecEVCUikVS1Yo4jPCj6079ygy83VCy/nToj84p6m7Iz m+tnuCpWVVGdSNSzpeSt4UYqhIfRfoRZbu4pjh2lRBmVDhSXCHd6vQgh4t+2hYmrIb5bP6DfVRlc /94VfbF+khmL9XRL56tQNZXn/V7xITsYjpooYY1Sc2Fml3la5TVrq7wQTrRN4wHz+RWw4FS0Yc0N Lo1irWwOlS4VuN20cKS0PFbzEqQ07mQtEWltpThFm8jx344lGBxNwp00hMZpF86jb1sKKoL52c6V 96s77bjUmuIzkGDul/C85LZ27MfRjqEV+TwMamHWHkCk49GFNqSWpHUxhbxGVbSamsKJflALoevd HxSfPRzSgPL2N5gdYYO6Xq15q7Hlm0/Z2oDWHI3x3KPguXGQHBm2UcnmteM1A6q+bDFhfc7UvaDi ksPlfgVpa5ZHz2Mf/mRmgZ08eavvCKBnjFtYgYq2auAKDgrdTzMS9luPDOYVp7Yf3WvPt8svoR53 4xOzF7sqspGIO84UieAcEdaZmS3T8YP55BNHnK4VLcIDe8azJ2nV++VSz+G0vQYRmNytT7BmMlWC WtJxONrnfMBbeNl5dPKT5R7IhsjhWNEWjXED7+YA/c8qgY/scrJ/MRTzCma4F3fA/gX528GkwJ3m pzfn15DRr2fowerv8loauZzRPYoB3Nn5RjgGnEMpESRSexZOUJjoUPhoYZRJyL87t2WTGwyPX/1U CLnRBwZIkEfQ4uIDhbzbbdsK2YDavpGYQGsP4ybQ0m4h05b71ZcqweSNSljrDe/iOHj6dOyVV8xt n6fU6EGBena53R/6r+vNy9g3K+BLD2XYS5xSv/o8Ve15p+LPAvEBHZtB6nYwQmSKZZiUP+WZVXir qq3XtqCExNtTjfTAm0PTy0SHzsQ/xwfgD88k3FpA+RLe2vItORFAioGA5+A5lJC6RM2HVxMAXHV2 +hi0wdkkZ8Mq9QcQTRN0/GsX4kpdnBMWux0MTuk83R5KuNdDaVRphs/sZ0lgML1YpUp70NgfJpCA CJWN0+TIY0Ba6YVWepeMFFtF1iTvoMMpX5LvtpsdBmauRFiSZdlFp9L+gTAkgDjWsNDSs2RarlGp g9sZyo2nGYc9IQ8w1GHuKL0DrG1zgitruDvijU4ZKMbW1GbQtmyc4ukEfocw5U8ptzU+GjBY3TcC hiMDOZBmZvXXr6X/PlmtxPLqtyQ6FYskEJRhPooOWKzILoTS9tnZYHcKoywi7pnDHluUpjkNPSeV gEy37OCxKUfSkSbPO4o8y++2WyIp1MaEV++6WAECCKTuqstENeExvyBwr2VLQEaez2P9/+QwFtd7 5IaOSxMPk8dhtjF7NoyEKzKQySClRUHiMQv4eTYyiCdJ9wVi73ddMp9WenPUVLSbMaR81KhAxy2I e/vLg/hiB21cgMrB331cTs6Tfp2W5xk6ubw4YVCckcnLE59nYDAKKC2lGrLzd3G6v/KiaiOqXH6K VT59T3XvotNdsj+sK5U+sAF/x5pK1ELVDUgunHf+XWs/j8/8iBXmKM44OoysEQ8PRVSmx13OE2Bl GNdOWDZ2p/wRcUCpiaShe4VbnHEaTxZRPLRV3mc6oBP3RSlEaUXlYhhcJHtdPgOh7mtq89MMhhqX Qqy8qGBBSsCNMs/TBckDMF9hFvNdeRHdw3saCzZt3TjXzFzcLLuTHz5TjkqLQn8rDBDKBome87Xo p1rfa6m22aI0yGMdyBXe4GyDg9docit3Gcnp42Li2pisTVbXoS8oGb6DPjCTRmfScaYxf/mdewmP 6ywDqyzFY1EfZswOWb2VP/Oq8MnxEJtduA1gM8hwCyCfgoru9xtRlN6OxQ9NC2EkLd+f+xCnoLB0 QEKIldr0X7LTtTvp3Dkrkzbi05eMoBaXJP5GZL8VwyCGIYYnlO0ZtVL0/cnUiBSSSPNjqol0Vzmh PH9MrjG8U1Ira+JmH2qA6uWv3etcix5bYR08FMn13Djz24HVhvHE6GgPoQUUZee+Z9zI6l8vG/X2 O1LrZ/lRN3JwLdLvGhoX88pi6S5pwCGDd8p6oPY/1iustE49u1tVWDe4KW1ANs0vO3vuhi76qeqP o35zsUl1zlOxOCQZlRIqvYToVUcib7wVrWNLA5pba+mE+jKzHvsCGm6Ij0OSaU53XOo4+Wwzf03S ei2SEQUHxYLXScP4ViiYndNj3nwnU0Gsws6OqW2Z51L8jCQXn1F2Yyuhym1rbr6DIWi7q7I5gZV+ qFnkAjzggxa1ImXMlX+wlQfBQOQ9+0sdJ2h0GFBMk/NTTln9Y8lyr17JyI2otvdnG6qFjPdgN3MY EJS0uxKSoaKbvOMbDDKsKN/jEnrvevjn2Muo3Xuoj9M3joUoCxsNSmCJUbdG5E/0cQ8rhCjlISJm 2CEkDG+FfBPjXpIi5rfOSUmEn3rbtooCAmmIRRjaPStWJi0Dgmy2m7kznfjOoAG9xqFoamYy1fCE r1uq1oCNXslttcdYSPjMk2kGGTFdyH4dHGsqhuqVamUJUDumBBmByLJSFcKnV4WkA6y8ullJB375 eVKlEpOXOzIC+lNVtw3czzhZpGn3bKnlzn+EyHHUXRLrTqwFfDMq4lFIEqr1s898s/aMSY2n8jn9 6zQ3d8DrOG6qCiVNZFR8NwaBy77AzzuKSs19PxDsoNRy81fk30W2z0QfKWojbehx6WMdtSc32tSZ bY88tFJfFFEP3oTqpd0UuUG9W+yI6fYI0iZa/13SLB6U5zKD53Qvqk+qccR7FKYwfoVFZdJvipOE gd0sRK6raYz36AivD9Uii17iBhm0sgtIBtzwuqb6diEfy9xng7edaaLlGB9hFUqTAaIZ/b6UaM+7 TMnPnNjub/wgetFCDUB3pcWy0au0WcVZa3KGeZdThn6mYTZdjAIxx2jWwGnihTsoCbIT+DO49oJu GzFyJj3K/rTZ2tWawX5S2qtQT33k9pi/QIuDMXHkgppQpa7eJ3hEVvOFRYnA1oo7pI5wlIDJ7gvV 8d6iGgjIn/knVFcwD9AMt5dQ6NGylFBcP3iMmZzRoQviWP72Zr+cW2WKgLLG731Y6fIeX4hB8zSg NeOd39ici9o56pIZRC9kApVqFzTw/XIzyHpl+gTVqSnHb0H+7LDfEBWpaIhFrHbpg0E8rOGTArEg +8IW3C89zUp4/vwqX7dm9cpsMrWgUCKHrQWV2xg2I9o3u0YuPxDJIAsNKasudof+RqbF2rcw9jFC 0Pnm8INSH9zpv/9/OIOAeP6Ik+7dCTa9XCtograJ9noXCqep9TRI/gsHWgMLJY+CMq7qWGwNNNUB sLTwKvE/Y0s5Y2UAbAYbXTW3b30+3bo6GXoUakeFwIpxo6iALji1g9WLtg6ZxUmlbnbKMpOSzt69 wDT1ZVNWtdWz4gpuxLXffFVcm590ysYMo6dLLclM+HyIGBHOoYDlZCYUph2uEiQFyh773KVMCb33 +md7cjScxTci8pfrRqEwGRxQHtigPvAYeERySoj3aEOOMKLDonifTQP8X6YgWgmbtBd8n+8ZxDy4 iq6txrZr/i2H1+jMGgq0sxt4WvHUxR6BDpQvR2IqzD64/ckQ5GsF75R12PjIFp7LE2MjP7cRaLNt +sRmu666yhHM52u8jYUyaZEj4mPv0U5aO+NG8OyEGv5mOsy2X1NTbjcsERBLCkb9n3jshyoAUcUN 4Y1bP9hOwu11n8zzURZTzTbQXWWWKAbmInVUdgta5U1cSMb7K/ogma7RB1LyvIjoPrNGJuXk8sgm tZowYc2UCouMHvGt6kaQmEOWBhmxtfsN15DS498CpDc6Ww7WlMHAQK0n9ko1W/uajppqQJvM4eEW XnZVMlVTOcJFJcs1QCSNeLZboldYDAsgG63itufurQPINE1gQIzyBhYPv5wqILmvcWu3S8Pdq9gp 2ZZWqCLr9pTfA33sS0DrU3C6hDHwkrIyJwN1dcwA+uOHRJXoKN2DZqIIyN3DshoQONKuMtlA9Owr 9BLa/ZxwNXSXbgkgIkEBGv3Mn3L3XSnloCYiht7eUZJ/4YWbngpNhLWGaEmXeTZD6RxYONcPL3Pu Uw7oz2ebAQcwxFp5JYHzDkebmwFyfdktkFwpu77K9XgmnNR+NQ2CQdSPxV10uY7spEcIVS7rkw0u Ph6O/ejT2eM+uV0uWlIuTsnFnH1zTESbzEAFCon1igQutS6TDzE5QcDs3ZLyYgH8Rk90OIbQ6UWU WBt0alZ1xdUFvwVR30Rgy+rcAeQfOmTkXgmCTCOOl1e7k1s4dLZQKHKtNusokB8SNMcnxxTVGNBf YQSkx+XMi9LwmCo7PDYWoS5FvwXICMuR8+VEnOrq0jhcFI0coYH3NisSIKvkSCXXz4rZVaLXBkjs gUrrem0i5SJ+RsixinMn6z8hQMIpz51ER2fxDTkQrKqhSq0IzrSpfwgsfyyG81gWdQvvI07SRxnH EWCeWnceMqyIpXSUmMO6vxQav56Bmk9ccKDkMRJNQEH4LvX0QnvUzjpIdFrJy/WBYeDqdxcS03Ar d6FzHlKGgGqQrExbCzQ/QHLzhm3NwcOJDYcWwYTavMscOcmdhH341psySZCpyHr4HDprShbSNTfG mJHZy1xxcRHPU2x3IdBB47rxlz6Cas35Vzd5jRoFbLQsB/b0VOuKJLSs/WK1JUs+dNfrMxDZ0QVI dyWX0dJ+QCTpqgBIXV2eHWkdLsjb0jVGFPpqskTS4By0TNcHyaNneM9Ft4V4Rsjk8HevGjUrLz8A Lsj/8CfvEtIzoPtdnCGonHSkZvyhHrOQM2FiLlSYjks872D32r1UFgpm4jFfh8qJxmN06E8gbigP TAsCtZNYczOSpWJsMmCAIDbNI7Lgj+ktKOqpN/uwj8QSEFMAStu2A0FewlhFCTgZCy/Li8fZsc/c FFELehBIIZrKghBsT6/rwGoG16NdavyyETGCs2ZKt+Wxh3RhI5WynkysyJllNvH2tnNyl6P+kQDh BxzNeIpqSpdIg3azod3LwIRa9OiX2DccNBT/Xyr6W+rX74XGGmL9m7acRcUwBPpidBLQZQHRKZ50 fvg1Abm0Tlmc0nRijFVlKXCvCeUIPMMD00jZFariNV+IYFmcMpydvJjnTAoHG31iPSaeZIgBihfE Tg0OCulYf7SwLmM2Cl3Gem4RDPD+Hjs8glbVaoatRF+tB7iVfWs2SLWeicDgVjRrnUk1rC7ZVgQg u9x29tlyxLcXoU/3GrV6mC6RCDMwKS84ZTYNT+9GzAByT2l7444FNeQFi+wRuxIKfM+kbMEPNgPC E6VOuDE/f2CbA2SzR8YeWwARNZfaLRYFVaM4fXNKZhAnh6kg17lUk1BHWxUFf5sKmrqIKConvVuE wC3frZHsFd7gWu4yvLrNn66S0mryEJWV7Svk42yJIHjQzjmi/i8sIc5i6N1ojIXMzS1ShF0w3dPH yDsYDDX4QD6wPx/qyP7lJtlO4Lj/Be5VpnBF3xEEYrvPEkcLS3NU+Tgr3z9QEVSs8Wl5ZOqcri10 Isg4gH6uyDqG9XOpRRpDgB5eYeDVoDozAnV29g6YLqr659FCUYLViMJZJ1cS0ByVZey7HPQtLzgJ 9OsGHTpAoXtM3Cng8BvF5KRpXVFYdOEt4mrNd0Zt6c1VmZMLvca/m1+1LG9uM1HOISMdyrH+Iy7h Zt+AnjvVhL6xVpnrqQjQWgCTCeHItzOyKf9YMOSFYqkK9K/gZQ139fcCcD1pVEYBCI73s+u+3X2n WOmCaU5dSQeYygZjJhHsbpk5nP0wn2fECu9QxGgMyYJqw/oWOREKA//qLYfU825s39vwdkhnbgP1 jCmcanY+Wqg9J/XclHguBEzNKcCheCkRfRdFXBQrbDne/RUxGV42wowohfaFxLAFkyHtab0GNOoR gmYkGgmyqYs9eliaSqw5Othk3wUd3uDHNBllQ1aCKaTHXdRRR9FKDjsusi+IC21WkyEuVPPRNEkw raT4BDfZOlOstV0XeHPrBuza75phfkRDJJW3LSqDMHOS68YR302xewfUu/OZ/rn+y4I/8b0M7g/v GmynkIloK6lQCwN5uUGjgJ+hc9PzhvfczCBDF7Wzr/Wx7Mu5iCnIEHVsxIfbkDFDARHwTIZE8ho/ RuGBXc7JH0lHwAvg+pIge+jqL9vDFkUTWuc218h+t9yYO38i5yFhQ/+KSlJlBA7LL8jn+Vyj5yrY 9sYuz2GRVGapuTNIM6E4oKO7RSDMUnJPdsQ//Udeh42gRzOr3dPHZimHeTrPXHUEurjBQyoJ6oQ+ lNmBRuae8/rysNsGlP3upPCGyAS+dZxL7mh84IK2WUZ1M04CCAgOFBvrPlrgseUYQ8tUqnPmNN74 F8oaSpR9CtSq8ObeoMDABKx4aLuB9+driwDuvUm+Nh9iMstu4QfLT24r0mpM/TXoCmowdq1NBVks XtrMvDsiRxzEeadT0mJb3+cMbjbhFzvwSyM9EFfuNlneDx/o+H5eBqC4h1F3TY+VULJ7dU/Bs3S5 L74xMDG7+aTy+ZvGJygLyTfpub3orVBk8J/eYW/zfFmQF+z3MSepjf8I7unsLE0wjdq5Nk7K6rb4 ZtlIlK3Tz6Y+h27OjeFxP6fm4lOBSgflMX+iToESTm9kXMy09P+COZZKsCrrYPVjgFq0orownJZF +tSafdaBYE9o+UqzNaG+El5RjBgbVXqdoFoBuIwM/Kjdis+vNRWaNyrEftztdRzrkCqVKhv+TADY I+GBDa0EtX3hVwBl7p7mxi1JsBDBgVjbOIF3rPCh88bMRGXyOLKe9TfTUnvESaDcB7h2GjQR4O1+ 6kDapc7hcx2FNbd7MjN2YDxDDaS8QAaSTu99AimyZuKVqTtjCBfSMkp0vsju508j5f97ECRbChIw 1K5M0pb2ZNClBdPG1jdDbTBkBWLibicLALJfz/N/gQLl2MdftPYYfqSfUY2RuI9PoEgYsjGn2xLz 25OCOJgpv/1nQpDXfExifXdpp/qPToL2uMMCuemPB66V6WytEpq9Occ7ntywtARIN8jQs4OSq+d7 2xz77+hFIUyQI5wEpLvU8vqjT1Bo006fFwE+E/vSz+Dtillf3jK+OyjbTal/ygoK/V7yYeonfzD2 Hn9RriWXjm8HXOos1Gkg2u0LLn78XthOMDeRqymk3+A49z9a70I0wNooq1JAeadSfPoTtxf+BYB8 Wz9rDuSF32ubi6bH6zaZf0pvAe95RheYfc99PuZKccYYy/4hsVoM+Swlzq4iOAGekkZ6PhPll+1w A1A1Q3MfBh5sLxT6kJqS9ejOWvvSAaeV4XiDGU8JCiX4hDLuUKCSiqchN82ZlQCJ9W7gAwS0PRCd YLM4rHk4ebHXiFEdtdV3jk5jcDgl2fhgoWbwh1OroF1dcb4SjosydcdEC/C0Bp0atQqevwyTQO9B vfvIpq46bVtjMKeKVPHoivDbaMo8RMQlGaZbYNXQOV+hzTMWvK6wkVa+xgWiycDZ6dFE2m2QUO+w xov9sRW3zFmPuErUuwoVlJE6LAKukb58SMpsiJYPRHMrqLoEgQ4YsHm2zDNOcjxwAiBtx+HUcjx0 uWyq+HWU0IltK0cOt77ChBBRpxU8NuNg1pAyS8ANEXprXZveg4E6agpQKBqu6mlZWjh42pSjR3m0 YQy8cdt8qDvs8+C/5N8Q3iIhhFk4j00v5vbR537O1wCL7PYPGYQK9v0e7TG2qn3/9dFp+b73kCqa yFH+5BaeTXKRXLfZKm22JXYZykMHhb65bQFs13fXqocfH8/UI5MgNsJTyPjMhBcoLgmoukdpFCGW bc9wRPEh65d8JCh5RgyyG5nhmPAaBTFsU/1PgzFJjBbMxjpp/taI+y3fsE64tEKiGWdp9o/Yo0gP DnWfwzdu2VZKOuueEIqbcjdSBOH/T/NqemWU58Oo72+7EGdVXsH7z8DL/rPhqSYsts3Th751AO6a QXwrR59TMewluqMB8FvGcj6Hsnmntutya/GXPpaqGL4pKWW3iXYq/2EAq6tZtA/y0OMcSA+Ok6cK d0vIW+yLvK7g4XGlUh3uOnCw5pK11k0mzAAT0wJGNzebv27AEHk/U8Gp13JHQxfPOYW71L2uCed6 Rk0j31TJ1isuscqfwg1n9KPsMWhcp6G+lh9kTNcu5mgEvHhG+rGz5TgTFRV77nm94v83WY40XQvJ vrtHpzHgejTs5Qlf6mTPk7/DcWw9O4BkZ0mSVPLwTiOhKRwFx63JwxxlSmds+B1uyB92vs9YVgpo S7KkSU3p7ZebpKgnjbCkN5w9ZCVrK/KsPtjWlRu7AnwFFl1BR63KGpGTCj5AqSELZ3YeOiHvcXnd vdreKh1GhQvCwrv8N9AkdouPHiMHYsZ2OVizalw2NagI4fCxp+MT9xK3HnJUXQz0QUk6Y5wpaOHr B1nj/n8Uca9j2NPSXlyiJpJ0f8pwq0nDFw2KHz+FvJyWhQR6VUfpdfnoegrSIfMOfCXbSAH4u/wN nmNhhosmSyp7POaMczyji3NRiYejaC4NUW1y3Nkv0Y4zgzKBHwgwqgyavFAmQCtMhDuhpkkinBNc A90jHwq/ZfWhP+4owz5HGyXbZ7WZNyKTgF/cWMjMgZr4Mey3J+wvODWpuJ/Ui00oiyoBUxyA2gDM 7zfhC2eiB8XGrtXn7odSRfdSVMoqYp8qKumOQvJg9cIcEll3Mpq9OItuVrWnqzk0Llj8lrlXWLg9 cunBZ8ESotsZgQGrFJReAk1aVwk2TF9M0v3ETMhcb3diD1gUj+XnZ64Mbk40SWJePZaglCJA1mgP s+A5vEBVUMdf3q4i5XDPuFgSNp4BEOWb1oqGfRnQxqmDTYwWikDMe8pRJ5wr1TcvPIuQQJ0JduIJ W4BPHWvVkOEB2igPEuzY9rvLJyW8BWtkBlJU7Qm428LAX/fCH0kGcNEogj4/iaacPujWBzaeLKSt s4RFAqTVG9Z+X5IBjt/3uJgm6nghKNpu34y4DOK+fAL9iqzdHtCus1A8We81C/1Nfm0X7cJQErSM KlTTfDjIZIdIDhTy4STlOy7OpkbZ3KVpKtUHK4gtPgmd/aLRqZz8qT4cgpzGIwaE2LOqHqfeugtL frspUBIPcz1jjsxI6uQQLnyIBv+mwMSkUBODm3hLNIsycEY3qjcYS2rbr871K0820kK2ePxm1DwI 8GxyA9KbET7L6WguO5BnSOsYtLt8zqBOynTuhEE+J9EaPAVLoQynUWJImcb0icpvGpGguQj4IA7K p+OvuJk/Pox0zCAMOoSamm5gZ9GWlToHoNNpfC570sNKblEB3tCmKdKkPq4+7JMxNcVS1juTzEhK jRunDnwQ7qAOZ9n3Obq9M0qHBF+t35kbSOns9TBImi4JITKPSx+3RLrT3KR5gmZ3a42euMBBoCzt QoZFd6ivPVQ1jAA+qnCdjVwkNTF/ZtBcTcamlY6V7WJi0buB53GS4IYHl3ezEAr/qIEJyweCRRWF 5pUt7vv+5ma87/TyvIc6apCjtQIjWMPsNGuWyE9o0+ONlBMEYHuv+LvzD6cmMKrsl7HVXhVvU/BB Tglp/BrfSbCJr3nyqYh1kouYxPx8X3o65iMFHvPXVgyYYfqw0pLgln31Sab0IweNJG/gqIgD8vUu NiQ/iEjhGUrrTvAOq9kmKozX1Ts9QIsbX4aZSQPrv8pDeS7K/5Ff6SdovaxtmLDVjLOZYbWDQryN O93biyyHcJ/SgeEFtmImDuNF1vUwlI+YRywIRsAbWbr4K//MvCauJzxBxlQY22IKggfDWwFc3KbD /SjK+V5m9NGeeKYnal+njdsjqZZgezkj4k6jzRFYsi63mIxZGQ0v/yav20OWPIbUZYuJh3N4YlaT TrWoDFVr9ZFTiqTnhnJgP4kW0pGqRfmJ+BVW8cJfWvtUDPDNlACXbloYKQvogZ3xdaPtDPcWdKa/ sgbv6nH7aXhY/Sw5bpLGi+pR7QTRyocqlJvsleZuMjVb/INxALd9RzXvek/2sAfeTeiZmmwzoBBY adnB9K/DRdG4z6a1r5ibkVuWkfHKsp27uEevGZGC+6wajMudI8tuCEQ80H23mjq2tRxL/zY9wQZV JiEe1WoUnU6+IZ2DZptaimFoiikuWsu4dWxYED6ziDsli/3e4McTb9fJn7DnX6IVVNT1pngfiYHo dsN13lVjqUlmxvW315K5yxdbkcRsdsyrI7KR61A0/Ug/BWOoF31Yqrz3TFOgixJbZDyokSbXrYon FKEylv8xSER4UO40n/FHiSy7fZBWqdfxec4BGFsxHx6iwPzM1a77wVmUEelM29Y4pqt65WTqEBnn CkdUxTQhJK71BWgzz6IQ7Dp+/abibi6tJ9Mbze+h+EI0Ms83wPAwnBCvnNw4QGudsdrVBC4odTui sfuMEqnc5jWhmQRWmAwioK9AxyFUDmSNE6H7zu0+546ovrBU5WprjKUb43p1REk9UEQF+DHu7pb4 971a4m9dUaEilG8dLYpQmM1q9+pNek4oAPCD4zeNohVZBcflk9iP/v900Pd3pM1Yzg1wAJb4OzGA Av7VtZn7joAM/FUZj6I7C/cZqRzZ/9hhKpOaQXvNiYdz0fRO8S4yX0WnfzGRQkhlR43HpIB6KStW RN1Xf8qTGcRjw3k+FATJvlWUZ7YKeOJSNU+OYQibj7en/T9bsJIFAZMvfnjplAA5v/nUTRq47jL2 ODKRWJnwTvbGs6baDjA5+VG5V2E0j2WMXnMYqoWXq3T/L7Xa3eLa88gRqCyyOz6NXXWskAR9QGFW NBuqVQJkSiHUrHRg4Djc2ws0wmEpCe+igatdtK2jKbUcBYe2JbEeiq++Wzmsj268jSPPvE3I/obf auv3xvoRKwEZ1iOBMPbKudEOSgObmfkveIq+fGvQiLZM3v2liRU60a9Iatv2SZY6w2Xy5wIYW4kL zQ8A3un1VnSFjTPmrux3ENxJOWTTnyHq5QWfrIguXc3FaJIHhoE+yHhReGP7S0L8MzXuz6X9SIq9 H9B3H19f+zNKV6bt+8b9aQea6Ne9YosBWjOii4HxXojwcT9AY3sVHVkB6M+p/xXMpw7g/I2/e/EA bWdzZwpHXGNC6Csw4sRPbV+lenX4IyEW/M+F1UiyUwgK/dbZAzZK7v2wrHcxednR+dqvtvzDYinT TZCfMD4FM3QEm4aLqK6atfFgo/BxWpr4u8TZMOko/uR3i4ZEnesb1heLSofsvdeSR4GwD0gUOe0k aAfLOjiNUrBhaPyXgTlqwJZsmxbA6mOVh6YtP9UTPDjLgwrL1PoVCtq+AX2+Yng5BWFSl2+WBwXW 74wvy9UiEUkr66e/MVuXJT1HZLl2bofOH3gm0n6nCf/MT8P+ZxuGipzTGfWXDt32y3tmBL/7zSSU R9m2wj5yrKqPYmUE9S/fEnh+EouCqb/5oF9Qrayqm9QDSl7L9gsHdrA3mCPjVpU2zTr0KI4JoGTA ext+T38DrsN1xlmzLjgaYmSKA1zTczY3HvzORCUKpFOBFVwJUAdli2ML55Ag+afCUDoHd/QPwMKw wFknaFRjPJ5b6x8+LVk4SK63MOsmqvEQ9t18aF+HmJ/cHUPOlpC27oJJWSyqWbIT5MiiY4lYtXCw Q2Fqdhj4EG5V0HXiX7Qhrv32dTKNmCC9toGGa840AMaXunVRqHWCSSVb9BhhR5cfoh3s1viOXfcx rRvIoY0I0MrPIw0FKeIomJVMM19dt7f5gWpOc3RiHF0eWQ1Rca5S67w6+w1jUb7p8YQnOXYoF41J bpx16nmLPydMhH9wCtuPGjDopBbotcq9QheZqx3y/KDwKNtvvKMXQR9qxCNzMFG2Or9dkzD9gNVY LNDQvGL1cvHHlPwCzJTPUJGz323VZHiUNYVdavGub6aPQCJEGVALG2lqUuOa5Ba4jCtufWWbRZml s6bBkHCeWVAh9rduPhtankWf87uvAnyJ8CS37aXw4p60k4QvgU/DzHw7hpOuRnU8Mf7wuTjMHCYK JXWipmI+eCE++Ag/FyxMekPLMN10tubOHczp2CURHGQhqZoXQt6DqrWKzvPerpEX+9Cu6cRlsMwK dlGy1fy2jeyTh4FLjsk00cxclg/9QKX79zTIDnY8aG4WJwv83NN/tBMiI6QXaj9TVh8aIWDhjHQE 0b+oTr6pm8h5MQDBnpCV4NJjW13Jii8Ot/JpduwD2i4fbFqJmPW7+G1riBZtORV3Of/QNyn2jA2O zJcXr9Dk+oGt3D4PMuYSkkUCZjsHcPpzaM1qlZy1bT2Uyzr8KXQeX98ebfRSjXCL6pziFKhSjOhF vtEpGMDh0AGcTGAhM+v+a8xL2dpRVnoFwW7B39XkbzpAsBSg40g0n+i47nVAUuc3tNAlUpYa2GL4 nJ4mgLMvVC0rtnQF8SU9nXmDSwhp4BSA9TNccU6lDGE5Wk1SGPvlKXES+4f96RXuP3txy/RYqYB9 aIIgxFVAIxwGRLp4O/ji+J/WNBHfObugzSxdmvr6mRuzD9/r42LfNSeyF+Rq100YuiUKiMTr1YjO oyLflrerwK60f3H296v6buUHgnuxpWDxh55y019rb/EL6Ko05AbYBL5xLeQ+QrjJSM5RWq2AoV+l zjV9AAx88Rt6ddScdY0OGMpeXUZaiwLWk+U8I/f8LSrhoIsbJ7PUgdeaemHGIP01AlXPN36f7NOa o9e6HyWQtDc8ghA0GDmA81UMfGgA2lVt/rj2wWKy3eDbz2DGI7XCz+IlpCvsIiTmaX9DwhMEiYLs Sy8r2YA2vO5D3u7Zp3wyq7Of17sE1HIVOGYfxcu6qdLT7YEmTn6Qp9LlH5WTotmoisiIQL2kXp6H oL9uG3Cq1W5KLH4ZhRrbJRoqVbDWsENcGNnOaWK5MB6cZQvgsYHV02kK9Fiwi6wRGyCE7IkrHa3E txzQXtT1rmsAmnGRcUd6yK09MUA97W6w3bmkIYREBeSmK0eunVUmTDAxD2l62cBw9ybyt7N0rrNv sDCPJOMA/GlIEMaTUqdFsmyPiaEuqv/ViFxp1BPtN5wW+9bR5R/n1qZ2+Gjn1yjFty8xE7qytr3Q ++hAKU3Fe7an7sPFMq+Aa1HDrojgbjpZ20IPA3NBYg/Kxw8G21xrIIhw3Bk8RkupDAfJcZDYJPi3 Cy6GZWPgJpuDJylIyO5jbjMRwYC39+sRlcNBGuKaRIkLPI5MG+clmhFjwsMbt3H9JnwinLVwnXWr kehardn5dyDhuf1KsEYPxUIfynJcAPZNHhmmY7BkEmWwjVnd1TsnF6yyChrM1WLIniDtbsP8I+Ft IFRB+0WwWxstPO5KdG8WnMq2GDBECNDZdiU4Z/Pny4gzlCHxkhnxl56XnKe72TQbG9GNFaCKB8iL DQc328sTByeX7vJC10jjKlhzE2CokwAA7ubkz93hk/akKpRvluchPg4Vd2rxZ7g4Z0gDSTTEO6i6 l1Qra8R4dMfOyUcwIK1JhSYWXOlhGHfGc9tMtFn+Ds71Uzi2i+PJGIb429zqpdR2psF+Y+Axaj4Q ZTfsQrT9V2Pd05pxOjWCTAXvxz5bX8ZSynml1WLsvRGKQgkeg/wUYqbDiyOseKwn+IorkkC3Bpm+ GqXk/V2hq0KGNbNj3peDLCXQEQBBlbcB8TcX2DGH1GOwTSPltqJ4Bw9FrR50O4PNFySM3wZDUtCf 6vbgc83S2WBocbR0wRCSrmCEMgAtgra8KjbzSlqLMQi0oZ+9lKMhg6NEVnVuXYtE2bOOef/Jep7j pofOc0nESWW0FCZg4UBj1IF357ByzVPkAusa+7um+D+qKyb0QnAc31v58osqwGQMt7bT9zM/WQDp Na9QNkjXimylyZN/X4yceCUvN+MidxE0Q3lnWoFe2tTvrLFMoqWAQlr1EoOKu04qgEucreyM+V4D JY7rNH09VnAOciAxX+irpfEkQc/u2HLPge4jOBKu681gxc77feZnt7HSWTMIigAKxyaRKEzJD+O/ IpLxetduk0c0slUamb3aF6FsvKo3OSnIrjmxeHIlIZKce1dGrNY/5TQ1Q4rig/I2O1gb8ElPpKXj sri0XhiE07BddZco3ruWNKd79SAxoKvjhl/YA6GhJ3a2/h2pIFYte7M0LszeEmM7z7FxOBvKFUv/ 0Q4R+j3a0KEdKjQ/b3mpqoMcMYdNhI0gREkLqNEObN8M4hmUy95wpeL4q46Pmi3OABLE/IiqPUlZ eq9T9V+HcHZCDFVXq771RaZqn5RJqBdctWwmHcvc7GD/ESvTr4GXVnQoPfXRU9ftwnValEm/f7KV b7zhD3X4pbxNY/NiVjqld1NmT9+Cm5VgIvQNeoQJ1OdKnCEGcoWWKmyfVA64HyjcESiMToecv8Qb 40p6RHHvfexBuoy71Agvb0uHkOJzFEfKDmToQZk55hA7xHowLtzvpr7+GVLGP+VoVBqRsBxsj034 z0mYvjuW/CqjTlm/S68AP/x1S/NmzV7pld4QEzIluJCmggybpiIEcsOD1yzsFGT2PUkIf9i+Dh+i AoY8RwK1uFheGsO6TQLtLLF/z9MlnuqSZcxtygaBUGV0vMin7pSPnSkzI+5odFACva6Q+J85bUJp SkBVJ6300/YoP7QCXkzvqg1UWr2og0RsQjgrPPeVIYTGuflD7e/4CJXMoFQEItgcZnc6MuxtDcFq 6iJR9uDw8JV1o5lHXWjVrwTe/KbIix/P58SmjzyOyLNdmJmBsESPbC9wfRKqs/ImXBnH7mzSCzcF Md9wlOTJsi99qh3SpJjyZ8boMXmeGcvaW3owE23KVkZQOo1UCd5aQ9T9ddCDKvTtb65KPRRWkPjy isEzS+N7NrjE+n5oUXw+SoPaRW5cu6JbzL493fhCnU/ODuKa9F9rA593FI7EoVooaBiFRZnwkWKP wCTDqi2Bs5QVgsyDcfgm3KEZvjZtE5bdkfaMI/hLZqYM4hsEHzts42N4oqyUQSpqRIzvyvhmlPaB 4fQwPeDxH8AW0aDn4alWwq2wSzkaOHyFmqBR6Q2y6tc0W/TI5w4r5ibpKvqDFWvONq5Q98jcV+GH NFUgIlBIXrY3HR/J0Ne2P5PZEgpvXX2XZIaqt8vKKPSTxawOVeNdX8tD8ItZkt54bRzfmRWAzLKe zkD/AOOesOy+9VZhx3VIZOuOpjHPG2Zzaza/H8STFS5Iqq4rw7a4R5SuWIpTXfa9xvgd6xMElWZz HwW4sHa/o6Bc4UWCz8erVCto291xMLeei3qx1XLgWKapo770J4ycjCkaEzmZtkTbT+TiICA77rUP 5XUwhVplrjJN1WP+LejzTvPHpSjftT0vmmgcmOsW9TK33RufrLx3k0V2VeQCgWUMoW0JpwnRe/qw Cdo28LT7S/UbJpt4wyJ6Ugks807HMyGzInGohh0oNEf/GAhdyBlOjB/Q6026fVMDiGJmaTpMTKAD txcdkB6TCqqSSDwobdXeZdmWyho+kyKJ1u7L4duxfBk1oR+jt68XO4ww4QCig+nf6NZ69pnmHk+R o8Hhhzle+AF/uJVtuueqmDin8ye52065+62Vnij965ALaY52exXSRjZ0zQHnvttFskipxjCp0YUc HWMLUD2FwomdilCQ5CfU4HDnSfky+a1H+iWGyuvbJjOYPYXteagjmxBz1yfXKFXpvo/AzosEikE2 0pfd46WHzREBtTfKMk7sZuWd9YgV0QEftXPh2uJk7HlZGQYrnSrpJlPK22IEzAKH4BkM2mlK4Sxb v6juLCxD03nGghIQWdaNTBInEwTXztzRcSylD4D4wcSL3+XK16v5DDYhicHaefdnb1Q9Bnw69zGb kzSnrGy5CCLy8p3VWKfve0m82mE1r9pN8gV/1u6sf/yss3EB7l0unnyVFx/hI8Mq9GNOtFSfM+2H JBVOH+cRHVpCa06vCt/0gAdco86XfuzdEa/U/cG47xBE+ihIinxj+ySNIIcHyr/vlYQorziaoDXf so5nWSJ6RcYHhILXwhTaG0B1OEvvPv9Bx+3NzfXCGVPhO8T88I/HJ4jGliM/Nj82lTY/UYNR+u7z h1fZIlNTXozZp84p9RMsT2F8yFMYomvcMLHtQCrYk19aNtWRc3O8mxjdeWR0wfhONZqlKGDb+XOh 6Y3GjtCC8IuKrkmWsiElK4eVQToZb7c2ytnDzTrlEUFiWK099/3e+ivHR4dqOs7VS9oaa25fQSvb OA2oxXRnWg7RQNU0+4D8DC3HgQxSmoA/PDfY88icWcQ77uNF9LSlhyOHwVAa+z69d2JP747nXFuO ubJzJdoDjkI+ozE68F5TfWG8+Ji9mzkZ1TwC6dCIFB32g8dGaqS4ZXZTQdX5VprZe8AASx3PL6mp dNuU/MMHLCv9MUX6swvxzbbbqoxlSIFct9FvEkOlefS8DwEzrPj6kEupEgcDXHhcUtPim5MTmTcS sBYR0vsIhZqpWnaYqU71RkeSH/LCZDIda2xSQUmj+xFICLL4cac3NEdjz/wseR9yipq4aSHEY212 fo7OUVVt5RjVfCoutRS4d9USH1tIgD8bnVSF0kZbW/Y+EpmHnAULEowAVJKvQw+namuzKa3wx0MQ 3mLPQF1+JNbNV5iJyrv6hUdvawmr8ewcmycTtLyvpLbDE4TlU9hK8g/2TRwZ0yl1X3Yr2ymcWIHz WVytV8JYWWtkQU/g98LZ1z+jfVL/wVVjq6Aura8Fn1/mYSEbd08tUZ+0COvHdHK6dk+O7/EA5U21 N3uKjumzPnREoGUjTRKduHfCi3NdadDl8+1pa5mNsB2RwE+IIMiTsDcGeFzmQEfXISxEe6tl63zK TN16F+K1912wPQbMYoU7dW9WXC4wvn6/wTzA2D00W7uj56Ho8n1D0sdw/VHeWd5mOLlOpP8/uB3W 8hAC6cUqwnuUMb7D66yKZMD0EApsagVo/V1QwIgmQ58wIQxHIPzjsAGlC21CoBX7JRCUuz7aVBVw zZ0pg6ab4CqKiWNFNgSnl2b4phHWT377vcgeMOKEpys1+P6hXk5Nzqfwatr+7vREJJrs0Uin+FNZ gDKS0Q90AEG96PnEOORgAYcOyQjnoZ0TLtnA/RCJTIfzwWkimDwRdTsoiugAOwjCaCI/hmsrOFVR ko8AdjBzCwQVVJmmR622j64uDqFvPVTfr0gR8IeXW+93hfrBx48ACr2aE9RAhYlloV3MG1TQcP0y rpzQzb1ouOqXkAlMKy6rhXJcIR49oc4+Z7BkNOqdV32f42MByaEz53g5Jl9CWJVePnCAH4MddGWK JVisQzLdY5j4CE4U6+Hqh/zYmyhdnTYa1CUpLJSKyyx1ZnKizS2gDYfSZ/1Herc1EqIuOznPdWyu FVADkB0hC3UcOZqdcuwTgu35PnPon8nJMrTH0D6E28sATv2HjpHo6cQfealPqtJsZOAHtevLa1vq s8PP9nY10zabyS+tekXJbucwvJ/UTizNwGjTAgFKk326dwcWJpuFWZ5nLHlXUkgLvE35n3Fs2ior ClsY75jnYk2c1MiqGjHi2E6J0IAc75lN2Nml3+0AygS36IB6tx25L6NFRvLV9sg5lM0jZkJenpuA vGJmjL8ybcUA6wfhI5M77xH7s5MfMtvoCQHoG8DuFhfvxJsv7uJqYBWEbjYkIctEUBHicCG3NqkX +1h1g5Gy8ByXFinnN1nbCAgDAbNwEI0rHKVVgtQwV6Ne4J9sZNex1GB/UzVT8vH/WERXDvXqmV6N naHYOMJR1yHhVZHD1wtfjJfjTgErxUgJWGkfJHqVCRx+o6NPtYkJGZ/bFYzgXMk6y4IDlfYtHY0A 33zAyHp6QC0JJSxuQA0QJ0I4QK4yX6xhKGNPPJsSea/b46acOuNmul6OOu0sODrqCWhivaNQIEZX NcZRAzZk1dtU2HixuPKUELNfQMm3CqJDqBwS1a+5vodhLUFjMToFDgOoyM63/UabfpiGIJMiOv9s TOzBdgsuR+Oqp/WXbBzdXJiKQiRRANqoYgPqfKu8VfF5gO0Q7gda0S3ZDmJx7aNThITq3T9ttq3l MYn1kOxtmV+hN9mY0ad8jhacqQQfvGsKDv0zQTfg2/VOwPU1/tEZ9vLm00sIWJuqAIc/4HGki0dh wYDpEY4QmFdqwuz3x675S7PbmghU3NAy5eyNRdLYO6BuyVsxU6qxhRDgoDJJH1nJLl1XVo+A5vcz csyW6JIppqnqAulSYwyAgvqvto0uvIBnO8rW4bNYmJwYJVTeZxqGIO+2e5dqY/HDqwj7OJOOmiP2 FigzbU1MYRAJLAdgEXJHFoZb1Qz9/SMyYX+cFpCehYkGL1mwmpQT5sWrqFxskObu7kbzPGZTYvo5 raWgVo5MryYpiDlgS3kXuZCBh7zb0KYJ9+pEtCagi3Injs2WmtyQ0vAwZMonCTiBcSlqztkrwang LbsNDg65n9ta93ru0DHK5w7CGaTWvyBYo36rm81L37j0q4Q4gDNrezF/Hhad7u8D/wLg+hAb/lg2 3n5YCclqggLAJumsupb8GB3+ks7UoI0Gwv7Mfq1rlofg24cf8SxdDQgtzW003Ma0J50auhZq/9vR 6eG1Wsfe5sJTCXsaUgPOyUvwpGOKPFHXZletnf8Cq9P/VbJzBA+kqvoy13s+UXe6WxSKkzfHSHtM kjzKRo1JmEaNq95IakI5uWQC0yvAGctOLn/AXnlNOrJb0BMqluuVY0+X++x8y4234NicsEcOjuxP Da0/hBO1DJTNX8YH6fkmkHLaY2p5B3Vu/C4T9mYINIribT8IOAgyTs8ajB78U5Y1+lpsUmc8iFXR /tx1zmWqV7ATENWmjCThlCd8c/YxLY+8jtM0PnTXDPkaCWXRTHQEYo7VD7gxH6eyU2VeqvKAVpXA JJcTMV6VNGUJWTvFrxFDL/FEDlfp4mI5w9l6g8MnzQ5gLPR8fK1D+X43IQMYihOqO6FnJICUPDhh VnDu1plfVk4GbGhkmd7fQeIB7rljV16YCb2buwBneDtVm9vB5czVz0VZzqCR15yPrA0Sw7e2u90P CN84/pCwufm6wIrjhynTDZRjUaxwaFnIW3oCjnPndj2fVs2Gm/Vt2fcGaMa7UG9E17T4+YwdleX0 /i9I96B3oco3PumkOmAef7E42EK6oM7gdfReF1nJeHl1VoRTJT0i4C8gz/KXlm7uP+08Y68X5Nmj ZTe8193QwqAaL7ydLwaRnIq5FGGazuG+PsVkjLaTUmjexRrzCw17zFpTiVryQXdli32u6Us8vq9N i5pgKqllOxzRW36HxRkJ0NFhYe3p62VDw6GxXFoauzlbZh3lZo1gtbIsr74i6zRr/nHdNByDDO4o 9b6OktzdGzG6XNZjKYEOybOzmXonlFJJm8yA1z8VH3t3y6iKmb+yOFbk8Jc+20mvIJU4Hz+23XW5 Oa3/kr9C45xFXAc4NZOHhCfvdoV/CHZT/7jL/2++LUz/9ndU0yxRkbGRlVR5EVFgApQigBhYYmUC VOu011nOk1HUKBt6vcVnchw8hSaeJSFtxDtFSaEm28H2DmLbRNwXYd0deBR7fl12Hu5WOW+KUQeq gTmC2ImQB//05xWbo7u3QtA7WFb9tkGAkWc9NwwDlFL3aRTgSTrUsrUtKjdIS7KXmi7Lx7R1zOOT WmufFDwzwoQhccm4Y5gkEDXgrMI6Yy/SSM3tYrdbnB1T82NOmQybS7v+LDIhcgOWNbBQb2lVrQ48 h4hikGplekYmbcJ47mrmg6Zb9ytDHeax/M2VATwuJrkvROgxXxn7E26LaEhLwaGVx1x4b6Dg7SBM 3Woype+kg4YE4kQf04gtOE/Yk8y8UUOZkOERGUiONnmx0CMdo8Cl3QEWekyTtEFKo2YSKFfPSwtF wynOnUf99mY6oXGou7lSRucYSzo6VH4z/bA1buxA3RnyNvqaWt2HttyKx/+RHIHEVdkucxNPKcLF EN+74VfB/24wXD2XN9NNIv6QcihNIhCYjSNVci28GYji50c5/6/0R5l7V932QfoCoZWNiy6MEAXW XNL3ZWYInCEX/BLIe163dD44vRxn0m0qJqcg1mRAddxT181/KY/Em7UjCKK7WVugYLNHjRHVzfF3 oAv4YIEaK0oWPD5bZ72r77S3kliR2gyAFdjWJmrH9T1rT9Q5N6fpazcfeqwiCPy6Mh6j0FRywVnP /hYhExx0fBGByrk2xexDOEZulk/yLy5ysxJpLsaZG6s57fWRVuacaFon5qLX0aKmE9Cp0aOUpQ1Z Wdfww2i+t7Hz7Vqy1VCGdAYl0aHNtDkIhZ0Dabr14XjRdPt06xOFYHZHP1B1+VhL9oAOMvKxqgLk z/XXXSa+s5kWIpsKom4N54v+OUQsU/68gstNg1xyDiXNpjVH9mVG8QyV/J8brpQuyk9XAPXgB5es WaZVuNistbRnM6+q7tM4czRmmdaLPNAw46XyBE5d9cEgIO+/z7/oSixJxUQ4cZHK5WhBq6kxqUPw LMtKVYtuQFGpSSadcyyXVNqMCM25pXG5PRkwki26NcrHTgv6aEjZyR+CO2qiSIZOrW7JBxmoWt4I oqKW71nzl9kAbf4/YziFSe/Ao64AaQFFfK6aFznysx0ulVnNLOr12yQoVfL/bqm7trBhbG3NqywQ uE3b8PFqFPWH6agEiN9CXa++4EnMPtk2xxQurhbI8ZSwRpzZYqyNLiBGDAYNqeR3LHqhRoMpkI2n mhCiA5urhjhtdDabOxzzAV9vowzpHxiYxPHtX8fi/zP1NtB2iUJv8fHN4vgshMdGc2tAUT6myryQ GyaCtW6XwE+DVlyCm+98EnVMkRpToRVf1f3rtmz99K20f7WwaQyQ1VCdj3be7zFDFAbxHBGcY8wo ZL+CmOYNLNBXInzKpYYi0ettMv9zZWFDfaJGsAQDzUKasfBhXo61rRTEpAS6dlAtTVbup+juPbsg L5X24J7/i6CLSOaTjtpkDywVEvHYvGYYEn+cyHjKzaUv12SM2cgUfNTrAspn7Qj2K/siAaSh4l/N RAcQR6NAeLsHZElrwhOTydgFnWyAErIvwWgh8ZTYIM5aynQsyUZg1YV5alqmnOXK+1CkFc2R9qKK YZTrTmB9FJtKhrFo19YYOPVczVT376kq+xIdvTas2kAvBwkfxMeGExNcgLiQ9FrK5zIXfsDZzgSy YY6m+9KvDnpvlE/AsIFubDSGhI90aq9w/8nVIQ19nHILZJkm6apXYq3GcVNjRE9OxejAwZuXHqhe zGjKcNVtxUAPRihsWWEBHgj91nAVpf25Pu8a4p0woQX+NDSk6zGGSSCdTbbXmzaJMG3LtSJfBlps 8C4AQsoG/AxqLPDY/Gx/8I6l8I3sGMzymvAEYao0ms2Cwua6qgg0Dm+S/yt65Uv92SKUYkxHqgV2 zuZijvAGUeQprBk655O1T2ezgXPivz/RNTjhk4JVKIec2HQm05VDuwTfiAk/4F75m5imzluUfGDZ /M0F7QCKH8V02VRhewOdN4mxN4t9NCT9vqxvyKdY/N4O9332VBQb5Qjv4C96hPoQ4YYn1BBdp5zZ ffvqckJr3S8NiHiZpCFya+TkpAcJ7kIW0XdJXTRJ3uKx2I+KYemH2VHFi2jsbjNnMXgwK4Tw1Qb4 y6FBtM66PXYHvts8WqGDY1rXuz8lSHw1F6SX0r8u7edE6a6f8/86EzLP16QDlXI80Fk3Dew+H5r3 ecyU59va/toDhGFd1WEfjnpKXOVAOiWnWViG/kSTMFVi/f3+/uPYPX5oPtsDqgnA1gblYej3UeCT Aj53TBuTlZeA85I7h3Ye8hQfvPQuDNCrn0jz6neihQ0/28Mknc5tqzS62YClk0pPudt1T+o+mjJq GwnNrMdwQYYmoOdWKtHsQeWy7DJMJUM+1HvyTLFsSmf9qyp0AeIXTj4bn6uLkJf7boED/p/6uEOT YNg270Gc/6Fl0ASRh6xIjATzZ9dAVbeC5F+rlo1fXn1fZZkADHhOk+C0X67ewao0wvL4GRce5akX 7EN+F7VWrf4wiz46UiWg/bu5IwdEEEQjest1vaQQapaJepskgFgzj21suQiO5/e1GVUe7tYDPo14 oNJWhvxH6XOhenZh6sbliZU2JALxqbq7UuI8KXHQt7H8TbnE0cZu22b8HYwYIk67fYg/3Cm/Uh1h exp6tZVjhlwVK0MNj5OeSaSJAPDFZSK7SIznWUXWOS0rjdLc5pF6P2qjOLp7tW3AbQcC9JOtNSu8 KdnGXpBvuV6mJKu67a508q9B8ovoRFjAnlKYL9tA83DpwwPMXI3AOKWTyHZNx4LrX9N/nDNOS3+p 9Pe1dciZJqhw9Y71iHBPlCD2uDsBlL5uqeonKhIWQc2U4g/O0hycEUA/38keSOYvpNdHlC2Xm3Uc BXZRglx0bKQgjXRuqLufNuB0M+kMarKGYU/ZYmSOt9jUV9uJYjW8u8oUwg2EYHbWODT58tmz1Pxt nbKw7xBUwtsRmNAcB9xHrKKiPpbP9wAceJ4q2PBO+S748sZ2DUjeUWGhb/PoqjbTjLtNvu4i18FA nYht1c+Fu0UqEXjOr6bokOLI4wBrEWlNPmOy2lXniAk5envaFNLn2oZImzK2kCioDgBnL4AM9ZyY IcKRhlk+RrQeUzS3LElsKEHVK+WSYCjP9d7KCIbJO35dQMyTPl7Ibf2uMIuvUL/TF/0ndCKD10qF x2M8kT1iI56vNMPIkrTzyg0FjUp7Lis22rPErbi/E1QC03tM6SIsBHlw16gcsCMA/iCi2zAKQ0tD PhtFfwhRQe9kzCnvQY4KfEk+YMtAhlatpPJqGTUC0/MF3zoGgC5PTeQI3V/LAr7SP/vrwGVaTkZT THPKugMC6Ctn/Post/RRQFYCAAI91/JiJaGddwOoJIuKKBIb8vXJinjgDh4AU41qH8v/4RsEL/yn g06/4xKNtNLGXofn3D6NVk9VsLoKacECIuPPu1gPKigABj0VMOglN9bzBD/ld4MnF98k4puuFoG8 O/zssrwM9WoayabjFNvFhku9HU6gh1jmkBb3EF8YqX5e1m0ZH5iD4/ip46l7nly7UGMQH00sxf9Q gyXVYND6Rf9N8BSyWhjBxpsFrKuZojcrzq2OYj4RKcJitactkTsn4A5rSQgUaNXRM27fpdPMBx7K EP5YqQiWQu0hnxSRcZBRi5jX7+t2rT8IJdQzEoKqQXcAintX+1ITeWfjSNMT46SJcZVE4EAan8oL eTMYvnuMqUfuYWKX7ldKTszcuRHTyM/4/xnNBl651ZALx2GwRDDGLFdm94wUKb0sMxebQi1JEsCV 0r5oxVRN2tHRHoBEYB/xNkcTstbTB7KxnaeQjy9gyHqVmiY0bzztfKVxg2qqdXDYLnN031Q6R6ik ZANOWN1N+pF+eWHFUvuHiLw7rTTg/jh5ctb8zz/IbykpRuSSVUCpars62BFEryLsT923V1wujWkc tiAikH/QCSkf/MgrLaIZ+pakVbSHXeLF5CzJU6DuMMNUwTagD8GkHxluUEGndSRxW1090dS6q2pB azyDZ16ka1s3zlH4U8pmnbGom9b/pU6noNuVE7mL/64WEl6IqKO9fgQfxkELDJIR8/C/xB+im8rN xgxcS7E1jUklH0aT+pcAaw7IVo0LzLxhzZOpBPzoOTGi/zpqWHDHUfFpJvrstCuZxarETk1Hewhl wPHJu1FuR7jez0MrH6MKgVBXpH5UjvVEUHtmsyUACNVDhtJFO52s7TrnMwRX2pX92cEE1Qdguu0j jlcCT4C0tzWy7Gx3+l8qVTF+fhNDmnk4REXwRRQN7ro+IPeigZKoLOGlqEBXVR3KoIPjHcRApbUQ 7F5WZzcrfNsBAGj/EWTgvJhyF+9aR1bbCfyKScxUbkU14RYPlQm7YGVnJ7XBu+BFt4DL518KPt25 1qjzaMXA46PVAxqaFIRaK0Ih3dV2wcFLY9ZDvvd0uWJIMJ0QK4QQhZvu2tEl7Rm104MuAPE+boav jr/g1Kzx0umpBwt7GtlbQu1mYzSOLyiHT6l2U12525zr/i1XGmgD8EpyOJdFVIRCD9B/NDMcEr+e 3YtZwptmxZP0anArNz2UIQbIbUzCQLLIHHg19F0b4WBcjRxxGIg9xU9/Ju5BUko1zw4BWoSMj4th viu50UElJM5IQaZUtJz2Oo7vxcc/gr9q21tTXYdwWU/591t75ogYXul5DVTbi/chzOACZvoG7KDs IwRI+hEqKdusBr7bfrSeykPbbt5HBBzOjo5OPd0vSrWLulvTkxdSXzDAnFLrILk1hueC5rPMSLOV yPwKvZHL9S8YobXLzSRQV0T/Yr8TJwl4SCS3HLif5xE8t7YH7zPrUENhCtBsPocoS7ofLnIn6Ciz tZ9/JCaf/nWSAIeCFbB22l7O8IoSGLY4/4b73z0D0WuU4jZqKqEoZ86K6rqbf8S+7356n42oiKde g/lCutqfgFTCBu/WSc63fnAaZaUB+16CRXal3zyLl/jRCicPrhttz+Q6g2wPNGBNYKMqHFsPZWHH YX/HIbGghv7Auah1FCvST4T5+EAixHhp3d9kXyT1MTXoivNJNoMONA8ApqA8JE5S3Z6QKJp2yJkK 6MsE4VrrafQyk+hDqR0js2Do3vSKs0FBBYdKj6O3E/KKoK1Y+Js0GqW6pcZFDtXfnG/pVk3hQEya CAHanLKHkDiNIQPQpOs3ql+5tYxj1KARfgs1DOREiEQP4Bj11B50vXuizzh8/E1TbVUReQY3yiZD kRMTvtY+2yHHOXgOAdr7sQQZ/s7t6mzob9/TL4qcPqQiXy6RzdC/eJ/GHWlrlzxNDvSQO+hO5l+M EPFQZQ/m4rUnYOGtxIbAjmMgHZj1J/rKpqXu4sIvRtD7K2Lajktkjh7Et9VKApP6eVRUJRgkTwS3 zBSN9J61Sn2ukz6hncglZV1W/aaiXJiv7YXCnK7UZMLnJusx+yCRB6L2mtkb0Nhl4XzUSB8qWECQ cC074GhxadlAGmP8SwK9Qo44umOgc7rKOyCG86fj1qEo51tKleWru/3aDiN1jXIO54kGemFVoaZA ZkQyhc3c2717Xc1Zw9nn99Qom6ThPty6dYFar5KtuQOcx+fuuse8Lng9J/xpx4151GxeaO6WWMn4 Z5p9PPsqacUWLNAMvA82cbe9Xl+qMFhsLuaMyMfMDyJw0OYvBYz7s2JLtFjqEPVKL+dqGgFOR99Y VzzGlGAqqYvEAXMc5DRGskM6xoOKNhh4ywhNDzUTveEQ6gQrOoynUMoonDS+EOgLzbhyOntWhCfE GHY9qPh4n9kFtQKwvmKBYysg9LJJVAO+cjxMB+ZOK5bl0273iBPNteUGfuNn+9L5/SgDO5fIoVQ5 yQ0BKtNwDiSZoZBtlbjsx4SLQAMI3MbnbtEQoIJ12m9D+EM4ORpKmlTxCK3hwlM0l4GDhM1AKQHB mGnx2NLb4iZ5YK5EFZ7OkGyDpASWsM2U3C2vpgdpkeiiOP+ogOZIC5yHBc8l2al736TpXFKNkEiV ODwQlU8JlUzNbiMZmeYxPP6bvEpWgLYO+pCl8hY/FQLlZdmeVGbSJq5e4dHInOiqDSvClD3Aku62 vjPBOtdtum3tevjeGDU6cm4hzbhN92WauN7c0f4d9DM3XqvFIR/44DLo8hW3T6BJxmC7/z0yoGLS /hKHXDC2mZSJ22lVhIVZO+FRA7erx6N9blNvd3s8mzGeRCgnxz+eyHnW6leYOIYqAnnE/7v4z53r w6jrMmp1BGMOtg2sjqahQ4Y0rJ2AUwBIzP1ESfPm2Xn1BYVEyz4RhjDrkyVxEwMlbT2SIXvzdL9q WOB75en6zBCrHsOVOcR9sEfqK+Pm9zZejVXRvCPrEOqSmW4JFHaxIcSJLpAy/gVyQffSCp7HIJ3w V4oZy7yIbwBVgfT1Uyda5RjdGFrJ0gdXem1MgET3LdfxQRcs7RkhJAUY9F58dRWvTIjczrBiPQmQ aarSpc+5VL+n1jh3tve8NczsbwwnSKKlWbfg68Tu2FjOYQHFzBOEwRI0dx7ZuWUL+TyhghCV2gLr SC8mA0pd1z7G9qtl2ytmJfheE4TJuSRJd7p18zYPvzORa1Kv8klPj9qWrVLWvqDAL91w8hZABfvf S6RrtNkd0O/oBRTXeshNllc/WhPyqE38reQtowIFpSj2BiCT0Ik68coe7cHBkKswDMrOpt+3CeK3 KWBTMJuxBeKcNEvTRZaimua5hHBtxDPWcpcOhIE07IXksCpjDiD4YCZsM/+/9uX60W0GlzR/ce7O 50aiK2IKEI/OX6dt7hz1OlOwGENMMaR85LOFKeCxFMrMSIHo4dS+KmhwFJDBhEpq8aqhWsdOmBys ZjBTyb2DaHGWZUHrFLTGlND8AnTBRJqewEuQW/QVJYuEoiNvjYbgYy54m/397RJsAIQLloa6juUQ VTrEm8oAC0R6z/J8w2bh9pcS3u+ePZf424Sz6e2NJV93c8nJRlYdeeIpvNQQJKA5Ord4DCycQ/B5 amVkSipaKK2bQt+VFtlR67tqqLTlcg3c2duoDPduYuzlGJ3CMQv6V5GPIKacp+xMWIbYEJYZjDK+ cswBIEvpFKfEr9qA7J3XHaUeM5dt/my+cJqAcvBoiKtaczeTd+Bg/0XLqtWMusaUIIIX/lfXJC8/ 6fCZtO4OdUUTphD4y+gWq7+6QlYqAVPQ4oeAZW9fXtFwTsbcycLI7sHo3Ka5a9zQa2iuDYKI24nB jNAvoS68y+l5dG2im8EVZhUQQco+MJ9AJ0Qq5gVlS583d3bjvwMHOTpL+ZBIEmm4nhJ5j10uvk2u HXqTNGx5bbrWV23GXWeKli54HfdarMzGRkK/WTqNuIwsplFSeTyXC/2SkzRoXjjwqBNXmQrnCLBf M4K+FxVhS9ayMOv2Ncmq0bNH6JLlYa4IWj9dO/OK1RRfnJARsPbak4w0KTuchnYRviXUzLx4v0YY 5JJgI/32F97RKIUPGJWv//9BPht/86KdKX2lzl/3mAeIB0UA+/Y20XTbRCwsfj6COXZt9vab4h+0 39SeaWWW126u5IxMSs1HaDiSgTZNtT/2//3NMPPaWQJp4riXH0GGagY9D/1Ysto0ii4DMkT5MU58 jKQhl7+E6z1iklzVg5DW7j898/T1lIgIAhDFqMhl7I3NR0I59qQy7lBzfYDDaNfdy0esT9zGuhOM ogskIzbugvRK2jB62jobWxQCV310XIIOsVeaknEkiRaVdocXiu/+Rb6Yd17n4ZO0nEwXWbFZYFj+ 3KKvt+dDCP/qMHmWTrZBslLilsskds7jo8/c9Jqd9U+8kMKu9G5277IwyxLp1xh5FRCYX/mcZXAn 58/qeoLWa8uVdy5oLKv7R+P8IlV629T+y+ANeOeDYfiqOSzLGXv4OCSKesnGI/uv/t7yj8qPBHK1 NkmhAwzabQvTaTciiH4Hb8vm8u4vk4s14q7d+gqFiihE/S5MCLyWqHmEfLTh5ptu4IAJ29jmirD/ vQEB7RBGrLy/FfGK/sTenUKQe1QaPg7TzaT8VSNPh70j2i5+PdFDSGFpCKOhnEbyMtl4CdnxnN2d YgDw5xSFEs5UdopsqTemLxl309ud0YHD52MwDYA6ErJSs8W9bT4EzC1VbnOGpWaH8YrtrEOmumeR RQC0c48L0GrySKZdCy62zmPJnSPlR0AVyv4gKjBfhoELmkF91DyniInY1hStsfy7ddFpFg/zgtX8 3JYsGHU7cMkl/sCtyy9qwX+WZjDBFgZsmsqVDkZ/5NZNoJUdjF3lu+BW5HCrsxxEyKeWiq/8iSOR DANvgNEflCBMJN0ZgiAN6e+vXcjxaSO1HyuDOOkqrUrVfcD6njB0ve7YW1k215wXPS/sGNUWy7HR BK0FPVpTvhM8VXuUArOZ0EW0KOLujj+GwIb7rcuqLW1iB81Xnbvb5YbGb5rDNw0dEiVp/7U7+nhj to131LFZHu3En0084pA7neV6O7T8T8e+tS+ksCftXTPR3JOF4I0xu4XqRf97NjFmTwkQxZ+7S7iF 8sFIBf8pItgdrCWGMRv6haSOg2Yz/DdWNJvM8btv656xECYmkDw4MSLBeBcVtKGa4XoO7SwqguWn 7RQ505GjpLymxjGIyqo4QUQwsZ8m0BaLU3WQ570iZrVQdAoQJiUuVcGy9/SldQRNyW0z5tOn/gib XxIlMXmPDTww58rmJy7h5gHcCI1J3h2o81koNqBdkNxhATjHdG1TPeTFnbJR0sWdi2Z6s25OW+GE itVDVWKloqTQHtUWHEcdaZ67ULLW0FEu+//G+DEp7MZvEbzz2VNZsl2Cnyo+GIeLnod0lkFe4IQx s4Nmn2jrGCi/rJZyrZoFlNfSH26Xh+J+WmaDVxt7zyugJznaNo8VsiGmojehUEnUUSW6iLrfaQJ4 AYE9VB9Fvqxigm33iCxT5yE3iqbSDb4mQ/TKSI3Bd5+T2UT5nrvo1NFilh6lCM2rD5Q7ydZW6/De vKW5Yg2XwDa490t7m8jACBPLZcjK/z6t6MxVmBaj7STjnfNiTh9ZlKKFX+RddyUju47JVNGMYlmS dapfEKmIXwMjIvVWXvG+O8FdV75Hb7PHab8S00VEbucUIcvvap7CFjnHaiWXiC3+iEzebPeNKqU2 3WN+2gzv0eHgk47UPMyZ3mb2tx27W2I+ZKBy+t7Hw8SOCuYsQELgrKVfjBs2z1fIpx7n35YwceCM BOcrvQCLSnZXjwH/1Uk4KnQVYtl/dHQ0uUyVcPohHcM/WYpSTVrDYNDLEigJHvVnfpKbAp6hpcg5 rjo811mZwbF5TQRJK0ET3dVh9BuV3UMMYhSU7mVrgXyZjj79lPdG6Z+PEHaJzusZbMMPflHZm58/ MPUVFdV91+PkfvH7g7dZki/ZrgDMTvNKX8iJMCnI8Dq/KhpXonEfZcPlFc/N1w/80NRmvPA2Mug3 caLyBe+QNX3pACC6VG/+d2lS728/6FHerZPjSaYcm5YCK0qG4xO44/eA/UwlzFTWNGtl4Omr3B4a As95V/6VyuxZFSX5BKfsXrOHUsgwzTVtp3hZT6KT7zrStoFwDr9KCr+rhQO79kRrh8cLe7mbJa5p /+7zWkzfISIyG/SSX/v6U7SmacTOnljO9IY0F4saZxUghr0EdY4ttR6kTEVzHzWIeBvBaQ2GqwaP eqa5oULmh/K2SVj5kebQgUfj9U///fFMVtSJZGmtGxAhrbjLwvtnkNCuqGmUU/wdV5mLjc5H5er5 LlR3F2EGEvfcJu34xJwh9W9R5SnCo4WNYMaFSfcAqnMmHU+sds3VXoZx9jIiDfD9tNo/cZA0lvGp EVHQhpDBzSCXgoE2wC3BI3Cg8m1YSA5DL3E5V1HNM7u89BkDrtGW0P5EynHtB1QwFNHt1DD2lomC WFsZekfB1iV7c2JjGOFVTJy+BugOivFjV91hnj0DzATCTKsETw3R9xzV0MWUMQuTr8vTiIL9YOdl o+Rc5yXkcq6t8g1IG9b4X2T+xTm2uDooiRuGKGsOq9N7v4J3JT7ZyEGPv3s+k0/V6mLwhUfTwPRp xg43QzvsjUWhB6zaygbsLlOXkg6q97F30dgcVGid7mBu6pJOxBd9huVXPAs8+K8u/885WKiz+kJB cJ/ROSInrppTNveYgN8ZHEw5pkpZifWJNBPYt/b6/Kjf2zjiDEU5tJpK27LVp1P+jSG1F1WMidkK kjBob2GMOyOG6wKXTYx6UevqJwqRtzT1/T7CTmJatOfHfmQX5BpDnVGdcQiZTGWqaja+yyYA2EYz i2imtNrstIVZyaDZRZ7yW4tCEomix2b45OItWvgm7W74LRsB5Lu9yIzZ8uOzgf9dEwN8m5nzXPZ4 r8MEop5DAhEMxLksnSMuroagy6j25OI1QoMT64klX1wQf+KVNG+0YQyGJu2Ylj5UW/Kmyeq7dFWd /lmPD90NdPRVXhh2BcaZh74O4BrQi3hC1TJ+7JjWyaEu4qweFywkNKauFEOwToZ4twJYbXOFdQuG zOKSQ/KcGoBiJY3fwuhfBj24v+5NBaRpSrk/Cb365B6fY9G4pk96Ovn7/dglmJK7ji8xPmOkodGY 76Tr7NrWkjOIpadD0s29X/kpjH3Mt8IuyVj4gt8c19LbQvDj2pzBY8o2pPQDOweqSeGLTbUhC4+g IjQBHL3Mdx+omDEMo/xlm55P8WNb4joqf6qKdMOsy1O+tuU/dCwSmuzQvrhypcFZ4xYZalfuF+Bn qGXvQ9IkLlL/SSLAp2yDfNIi/wAuMVecLuPwtlhSspmxYqmG55kgsNdsz8UWLihLwPA5CgZa/3Ak nOlfdA7bKNERsyrbHlXpaWhMyLXpqWgbGFJElSKaK7kh4b9jWZ6qYf8/UPMvCXk5S05bDXofxref Uhr2QaCuBiTv+NJZc9+AXEwZiTRLWEKT0RGga+pFgG3BhY1eV1PtTM56Alq+eAFpnJqzD/U2h4px QsEMWtGSjji5LbpYib7EP/qScgO6Kwq58fLz4IMkUpX4OCuxOZmBPFeY5+4ui4K4cZK+LrxpCZOf Er34Hwnh/KWoOcwCbiuJkUaBjK60J9iHp5hkO4pUjjiK2K0o9b7RyUHhBu1DXdQiQ0mNSB2EhQVs 8/UPammzSSy/rlipFn2Mst9tSx6N0H2Xa5rPfY8BfIGjQ6J3X4cbX4/R419P69J9OXcHdDzQfM6l 7TKionC5iAbw0LjZLSXR22W4c4IjGsxukcVbgmnC5O/ovm3l57o0fu8C/pAP7nZJszABgR0V1mHe ZtlGtF8rV6Lh3IsnvQc5Q3HBUOk8scemqaxNm8m/OmUiGeSBmvedGRAl8qPf7HzJmShR/55UPcsA 1nU81lQaOQbzdfOUqV/DbAAagRK4+Ivi9QpNRU9l3FFDsGSsP75qRDfWUtGX1M/MgjWSM3mO7qCm M3rKxYjvVYEgIPpESHvgn86az2YeoDW9vmtP+7DnoyeKNmpbwbvPE5QCElWssJWy05ZZipzt8jNE 21marb2kyvP6i0bDzkZVH4slsBvEZAQpziNUUDYhnw6xsb2qZdo1Xh+HdMco0GM5gpEIu2YQb1ki Ksjyq9wJ8+lOQaReSQWN4+bkEDaAj3sEVCpTo636K0Zw/IadLeSIxf3XrndQRCmS4+gawLr/xwua 8GRcllBScURHPrGOypkvQKL7/lyaszH7//pA30iPK5Zh/sJKTwyQeUSrplhDQmOPQiPmHeGKGHYi yKhu7Sis7xtl+QGnLibkQ30QXj08Zo4nxxOjJWluJ2cGjJ7mhBnDhubK/JPNhc0WUZn13DPGWVu2 R7JpiA8KwmSxpH8D12Zov6K5UJROocq4KYn6OQw4+cc+2ARy8aycqpOrTHrmfwrq4g2OaglvtO83 uPQopzzlXhsag0beuq/uzh6XQRfMAkxgSl4igAHqgMhDZQIOCsNQRQ+/idik59tsAF9r+uecx1ur uPJ6cY4VxxvjOtpdYQhq9r9ObHUKVSWjOBUElvo5ex9FC5KIFEUTQY+KUhUa7gDI237u8HORm56H uLDIHwQ7aOrnAtZ5YvLak4/EGYUOkqundriOV8hTON7ZpZvvfXOQ08vTlpwc77Bo8uJ0SidXKPke cL9jTqClvRZQTlVrLQ/gYly3HN8dBnwahZD4MeBE/19XjEOMQKBdo5SX+MOpYAOOBBWOhepFRA99 7vjW3qoHwb08fQFnkdiTvAznWByCndAQ1JWj4DixerISYWFKXUrEmDH577f18iawLt20B0LI7d+T P1aEqhncLf07Xz0TP+IE48Et3ohDG+2MBH6a9/y9swarZFBIxAmPiZM0Xazgqx1UxOb6WVDtbLSG DwAATRdkFPQyhSgMd7ni+yG8ze365eD1n4y6JZ3RPIQV8vpDr/JzkfVnFsZbEiBrH3iPRSxL5zRd tPQA0WHxeT1Y+B3kD4p1Ei/vHfxBhK/B+vwf3hwtOGg8FBm0hpX2+LvrHFBmS4OtlKL9GurkeGmT 7jmcbQ0TlDCObzHxug07z8Hpvf17XE3QYurHjVudz2Q7ys4hnMDwj/YHPN0XveDCHcdeRmVHnQU+ MfxivEGJkIpwweGZpTScMGZbLaFEzWMHZ7p+YYkuDtQFaeHz7L16RAvF0UyxTHa3/v0PhKd8SH8L 6qvKtMi4mzhyAUO+E5ABi2oMH1MnFhmX+0mFpcmIp1Ik+wnJm4tksUIkhLyrjXsi6lxqvngiNJn4 cjWlD2/lKMq+NdSIrG22zzgjQb2Xd7dHKlb5DZgSYjbeD8lJxQmHW8GjMxQ9BboHUg8kXLLI7kdy zF/hEWktzNtFO5spdDax0ziCJedSNnXh2IGnzQlar9Asjz2p7QqVdj24jFh1dNX+z388KicXV5XS gbzR8hvv+jz8aciTa5+xedA/SliYi9eCGoNkZ50bUU/ANrMXHOpgDZ5a6UGTsEGBCsxoa04ohGjR FhpLGUdm1nRD4WLT1ZVunQEdXRqMySKPwSRx0fReMkpZvlbsVNCbJkFs2lddWyGsTI3dTM8YuNoX gzNWiXtq2X5n5ggY5IMb00/muPvOfXUOSUP+DTzEK7XL/rClF8kocE+H68My96A2A8K2AgSgR7zy GPCfB2R3vsa1sUHcyti+vxJBc/rhKZAjUvO7ZklvfH9b6YDV6G/N44JnqhVJ30UW+GembDXKKmb4 OBCwYE9ZXQgKOifJjEkB9kDxoG0OTqDxcmNz49bnVzEPmTqxxNXSiKMBineouzQjBAYi2B7JbJ0u GrIp4xUL305h3QURXYWUSY8jY4+hL7SG3a/VfzU4ge+M2yfXdyNZkNZzWrTHXJnMyEwxLwhzrklM NaOIMelubHQ00H0YM6hl4H3xhFuL2mF6rRsFA+ldXq0NlYOOrO9KGNwdbZ+6cbiPDv2cF8WXe8TU 2P1pfX43Dr6SuCaz4KxcnSZQW4aIFJ1UDJFJi0atTRF6ptQnyCXim4SvBY6YNlYfOTZ/AwscBldk 5nZ6345fZzVevYm4tgZXOOYHzcS50sPcGyRMUon9ekPBrV2WgQOGg7gwjKp51nOdjdT3LKHDocdG Um71WWjvAI65Qn1i2zrfno9M8PBhZvhrpjHCJ+q4y28GfxsRIDLe9bZTWg2nCBXrBP8aQksJb8hH P38KLMWrSKOVGVXfZSzwru9+BHnKokm/h/yTIhNWd1WVVdVXkJgxbyt/jE1eVCl29cvSkQWczYVI pajLKiXdxhlojuVhUuEjgk+4oAT7nnB8MZRgFPSmppRXS7f1Qo0Zi2nZxG7efIDAPr5C7AnppbfC BBs7eeVIo9I9izzFU2Uer4oYqTHrNt2Sh2qiT00SeGXKaHUx5JCchHE+TQse4sqxSeJXl6gtmKTz ZhVaJAujoeFt4hkiqya2iw7jPLMhlKu3BFs858MHY0i/W7ApZ7xe5uKwGrZb5rcXI1/g7WTKrZ4/ 9cs2ROor1hZpn6tHHu8rhPwRz71QR7nZS0KCa2lQeU1A4r4Yka1H/OSpMwOm4JZtosxr6rHxxpi/ gHgwftv7+PjSgnE7QjlefIob42lWo5UrlqQC9HzHhu+oumVQO/z1M4MnGDXY9ab9fW4QkNX3JDDf lswvB2LI1KSff8baVW/w4NkXf68OOIFlbw+80OjheM8fF1N6gqF3y0tS2R3FjT7O++ojPC22OEGh Tf4sKUiDEByoqoc08GSG3g08EZWH45pTb3ouhfpWLIalspeOvdHFl3u+3vPH1THf6xzzwwKGZa3B ViNI+Rq3aPqCPO8K0wlRgoOBfHpLBSONtDPN3Os4yH59+RZUPLICgBDZBTvYjZSOQqoeGhxRwLCV B97OQHFMBoPap7f2Xo2eQOI/H/Uj4IsobrfC2CfJQxIT9QLY0FvTOIsZyxlpCVdJNiNZ+oKu6Bex K999co7TH0H5c7JZyAeyy9quD9J/CeuHv/u9CdF6hJra6DHxLczpTlXNC5v9NVMDic/4E6ZHVsPT ZkO8b9puo8jBDi57f+MiAhZdFebW9Gf/vaZIfS5AHiyaZwXTCK+iEwPlmRPXF2r5werdE5NKGUuy huMuFiIf0oJE36kUZcw5eEdJNHuoP1sddtsg/oOLoTaigGCD+zmlKnevZ5yAFR1GKGywz5Dfj+uK dQIovFxzzapAYBF/zYvdoM+IsW1TxXt0n+V70Y1MAYoVB7TJjG0e9+w2DSmspp1fzj2sW2N0FeMd aqoizHBTVSb7yimSnEGIFNWDhxMFFrBAbCTVMaA5WR2Gi5V1XH4nPuN2dr4vwMdHRMoafmZDpEAL jHLJcHtFdlm6WJzzsFzf8xArStlef5klO/WxCTGOJMTbMiF3OZZHS2+CKswE2+BtSNqhePFhIdmn SywolIoR3o3LoBQ3sFRQW20eYzAFxv22mSZT1PPG88r+s5nGkeSGpGBafEAbFu/N6B9JIk6KTNtE kVBawtkyCzoZJo2s1yiTP50loiw/QJvYQJNM2vQFxrKh5rjeRabS8OVif7aMwxQ7OPDxBKg3Xo/B 16sC18sdeXwAc82Gx+OvdSNWT796ja/LlLbu2Z0P6itQ4+8n7usYccNbXs5NWAUrSCha5CnExH04 9TWWRsTi7CpAsYfGUmSHAoe0Gjdz0GLkw6TwjedbwbIjVevWx1+/LlU+/ilSfzmrEU9amliBkDGX nt5lzqIV3VQCBYy2jhBHB7vgiJsn9MEAiQLJ8EkpfM+MxI2zJ17Xn/1CbwseQLKUSvqErQunAftY pomhomU/oYvs953KxiDYjZQFc9DDSEUVBlKFBo/Q8b2aq9OmX5Iro/vEg4GogyAeiIHqRL/kTZX/ oN4AEJCWVpiEExz7YCFQvxb5IAY9svzsn030TMONWXGyCgsHa+YM/jPB2V9EUynAoQ2qmIQ4FSwH awjK7DW1FkdZcuMm6aZwd21zcepwJvsbi26jIfVSAng7QXOlZ+jYG4mtgyL9dCdG+I/ehD5bONiS Kw8OokUM+dueJkEzQ686I2EMfVmKhw1Fzd6XUtVGlMdMttZtll/sFnC1Wt6DLYIgHzxamZgdS2qA OrYsiCIu3YadjamXQrDbKXdm1k7rKOOSlSoDX/BXUlyRrbW1FO/JnD2NMEMRbUICLASKTPFHV817 ngFSuYis6uhOTl5z8R1Fd+zYDNPSRuFehaZgJKTeXp5LoHTtICi/y8pUrYWWnI1EmJZQmrpNbDlR JFPXokvy9fusAwZDO3JsYkORUIg+odrglj5S6xhBTBZy8O9uDkrBuvxiomriMd7QmP0gLyJk+Wbb 7WedToquH4Y/QwcZFzAI1tyQrPZUjx5UAMw4KvlwgYFgjvW3276GZXpxq6MxZMmUVHrLdbP2/F3j Pgmzjh42Bdu86uS9uZL95VazV7j7/x4rwmeie0AFpTlBeaN8/mKiAHfeFDUEiRo5v/u7shldbanR ybR3DjvR2VZ4vqxb1kucAnA1Y0DvNPw1b+I9u2Yjonlmh/J37nyNWpDvmrVdss7Vk46jL7TYmU5+ MEukznU5fiPbdZsVVV+mb94UTuMo4osBf11JyQWAg7UamnxSx/Yv6vIpzfszvWzzHeWGeIxtTRAw 6vjisdStdLYRebfr3MVbGBft2F3IV6II+DOv7MP9WkFjD83T0TzbaUfIgotxfdNZdyqfH4r72UmB KYzlPW0PSxsseVkXpMmM3UwVTIuc2DFG8UpgJ68Z9oDiuqhDrFSYWrC0m2cw67g8P9ZN15tj4FeR 4YVcyzsg9mu66rOTOzYkqTf3o2fhnqwfyrDTtBGgTwcjBe7eqXpBtfnVHGXalNtBR1ARN2MrZ7Sf 8B0kvROU2sqbUUnG1FmOIMf1Ub+IVJsfx/sQChtKPDkajE3yMfgDH3x0cX0g1B6r9HbC0pieMEZ3 aEsq74qZYIC67AzZIViowL57Gp1C7kM+kycYpGcrewBUDK/yV6rt1DCniWXmDkoBj7eF9ATVXM+g oV532PnoP8It+4Je/kwDSWDfwevvZc9zqdKezuq61OMbH+RfunJbA3GbHBQYNfy7xFxjfcCAvS/L /watP65I4E3lK2OwYmDrDMj66fVWhlpjBk3vY081XfyJ43sOUPOMvUxGUejTzfSrR84sznezP+Vj +B8zzllHIJOrO6oR5vfI62PCME5AdHMMusbTXvtahSIpu+BCheAji7acnlapOj/7hVijGPky5Frj K5aqA66X4fjVokrNfdp17jyHgclm+b0RvHOEJTEV+zBNHOeufugVUVgMQJklFYPiQ/nZyOMRPWx3 K9D5Ni1M0ryvu6rtmoXZxusB/8je32DKB+u/xkE+KR9B/9fF+SnLOmbkbr+g/i2JAyyu7cgs3inD SVr2ev9PcbohFCbmGLfa5xUOXL95gnG+U3c/atpNFUQ72Ae5JVKhqPL0oykY6oy7ZGfq8ksGfBsP iEeqjJZRKO7V8Aejxnbta2IQxbCWUERShBFWUcx2ZNz18gzAFoCMdSXrTXYfpxik1jL4HHYNCALS kugQGexNynKdcSh8XOLXrp+N+4KhG0nNcQxBbU62fEToPMnnmsLR7alwtWllXj73sp+RWBAkMn6W RdwHgdXfp6CAeYnjOIv0IyXzOKMs98LgFGbBNLNvX0EqpXQNVievHJGNR04zmRUE4gqmyxNut07P OhqT3i3UNB2Q8ZYYqZprdFp2G2mKkCvow+rQw5XihzHMAsPm33CI9NphKek39hMxqnLywNKOud2y ywnyjp2jfSg2UIs8LI/T58GsfD/KqDG3mkt4KB9bl5EdSaMzWmIRi6Jl/lhEM4/GsvGYXchRgkXK Yc2+RqgqhSh9w7vU2urrixvXPkm0Mk33Zkcojtpdmtx4mCYUaWFiI3FlIWrEerqeNkyngvm4q0oS AYXvoHy8XQbutm+9+wAdAsp0/Ivi0McKub5QdKYlB4EtiQ8SfQYL+X9nXziVxmZE6BRCrPmhFCx8 +/nM/rHzhnFI82zclNtmg7iL0kWKU9WB9OjS9Sif8p14ilEDawL8vvuq2vnomskgc+6CxSUK/INH F6WRgD4hb7tRld5ae7OuDXJN9Pui4Nax2vpoapxOfiAW7p+A6nOTv5ik9lbw6XYz7Qlu2Nk6CGJW 7yPcbVqPo7PnC7mDqV2UB+lOAE4i4yc7w+wlsD66M7stzwQuicgthtMZzILOQ8lyvg9KsQMl3NEk 0a1l2C6LprZptFhu/36Yl4P9XeQyAEX2YaQYM3Jfy6ovJmxOwpBVnyaS4OTc1nsVRxxWrouSMTYL mnigV36cgJ4+vNF4RoY50ujmNyiIebLYGM1cYkHwyo732jj4XGj96FWzWv7H7LzTxgQm9j9yG0yq wdI05kV84wbxzBIpzUZj5G7PY5rJlQaXAaA9w13wf8OFiRd8T21fAcK/aD1bAqpskDbZCQF2CYhS Uzr58SxelE7+1+Ce080k+f/uj54uR2X8yGMr9MvZjZcOz9/TooquyuFFnF4SEvy2FDlMSlIdv5vf y2gOa0AIAxoRS2d8fRnis0487IH6dkbyVHUMKhKPMWyrGv2FlZxRq/NawV0SERh4UdcX2o0Bx1Uz TXBMC7+cqmc0XWtvuQGVm1g/s3GEF570s6lr0A/F3VXvtvyNSTcDqYtZmzkCXxImmHk9hv9zbd91 h30mNhF+8/1UleN1/+vewXvYdiKSejJDAUMIRPmGPyu8+2KAS3NxJ4fBqjHD26JxEHASJcnOyJFW AmlR1foyrr1VWY+V9ivGDYIMyI9VZb+3n/Wx0qlsJpWAW1bqnAOhtCckDgj5E20pYtL7WZSLZE8A zSFMaZKGwhYh5W5T39sET5njwvMVtgfnKqf9aLEDU/o6BJJOuFtvOp4+uwGCvO/Vz0vSSQ87WRbE oi6Sgf0KjK9GBjDPu3LckvjN672D3/i7rP1Bz18/wC88WDyZYc124QTq5k5N8qlElA8zm9VmtXZf ANbnx5EUoalHxOCWzu+Lj6eVEmXwoGcqaz5gt7KonNXXPjbE3oRt2Fm7X9jkm0AAu2RaeH0AVsPJ xs1+F8sAZQVDQSaKAAKxMdcwcQqPC/QiW9rNiBTVmOOrHAoHdJUdc6m7zPjWI+hC+14EbjKmOwoM L012SjBtS5HhC6f2R40ELgxBhYvVfoXlQeBUp/fqsVnOimPQrPmAqdnliOiG8oy01t5gaG7QhDqh W4bl2bpX8INDKTc4GfuR3JGITM+1t5MK+84UG3pCRZJ0XB53a/Vr9J/bI4EbqekH7t6EllLTBHQH jLGPwzKTy4ID1lQTcYt6I4gFmNkGsaNrJ6syfHHoMzHs2gJpCDZ/Jys3juYhXm/4ZMbOAKQgApsv pgF8BJ/2SEWuavA84mhoCAvafYHaAwFvNhBUfy62MtVQ5y9SztH8JbnATZlBrteHd9Pu5I3ufsfJ o9/SI9I8ecEjDoP5ruM3exzVdHuZ3NoPZBBKMCXBKGojwEEzi7ZyV+anBImBq/UeXKsEtlZeFuIa gTZP6lhZsCzwb5zlaYjGG5oRJoYcCap4VcxqhL7/Ie11SSnSC/N8uhkvIdokHrfqus7nmwRl0TZd QwsPaZhVb1h4PViIIsvtMfx7KNvWvAzqZClQWN0QNY95FJ7OZYM90cp8Qk9yoqR4tcE0KGIUw1AG YPERsVa4+lR2kTkFHYFbsf4B0i8kIU5gPFMAoHCiTR+7TWdO3JMQ1uDFzNQ5hgNozmXfsdgQMqLO go6DLvxrYSfEPpLXAT11JVtFqIAKiZNkNUSXmkZ4KAxm+cJiy6IdGSnUMW1MJruW1HW5lb20ehkh l6jBZanH93l1qaXwD7naQE+6OlTHy21GN6GCknFs39MFDsZFANXcnlGWSmDKS5px/+9ONncYxt/3 gDjSzdZYthH/MoJ37eN7wm+CI7Q0pjvv0d6xz+McnbltikF3BgwCArehDGm2o7B4TZmw48lEv7C/ fKmYe86SAGvZ9IN3ckXGQd0oXAgb7SZgiTvj/pfgmmWMVm1lKl8rFPipk9OrRRw9BD+A6DZL2uWb JZUJn1XT5+X/z9oeP3uKS+EoZrSJSTQremLsgCUykQi8zKXVXf6P5FsZRdTdewi/vy857DShRZTN DdNW4ekRS+Euwdisx3UfaMf2K7ptUY0xipRQPU9RAH5Wgx67vROwnPP/u6af6/uEwOnznvS8Zbr/ Wg066ybzZ+AHZEYfBR/4YQAR0VtE6NNDLLInS+BHpwv2NZgFSByqX6yh0/EMRuUjzdDMUo5PFvgu pB8P2x9nKpVuIxezncXWeD72LGLjdb7N8ai9hssg0paFP95APxRTtDd+z13QON88A3d85Xq8cqMP 9/NyvC10WZqNKfom5548oOkWlBQOYmqjRvObu9CR5iz3xkkfJFMxd42pKT4wtt7xz0gehl4CmeYd DkZc5TLQsq0JyLf18PIT57VkbUBctcyhhFvKjOIXWRIlvPS45yeLebZ28kN3Hh5+jUFNn7qCKJYN P/B6jxjmsibehGu+Um3EA0r7iCrccDOgE9ACwZoVE5JoAWuJwU+1LrBWfuQeaCG6Gdu/nzJysbTL 3K2+vrcO4FpZOzKarh5p8w+OKV6O15qJvlAB+SbWSA4a8HvzsCloaJb1DZmQ3p4aGuGVLLo9pJ1q WOdpvrkicLztR/lT5WSAJTWHCgDzpFvNlK3TItC2HemF/CsyiOiuc5511YfHh4iYuUo/UWbDTZzq pNWVdzM3/BTd+L/O2Ka4UHInawPO7yVrCFkO1FEz6W7uNfCV7dPn+ipXXrLCWqrldQNlldL6X9qy gHwOakaWkxjcVbDbqFi6Xw5veTIxbLaGJwVGKk2k7o7l0oVMDnW/J4bzO5HHfC33w4nNb+uYllzE MT36IMvywBgsZY8ng7ntj95OCnwNkzVYksUv/z1vsDQCjLyZUY72NcRczr5esyAItzU/h2vfz4qq sB5k54ZUW8L2YCcvjZdHG21Rw/wHxEQnF4oOWZgNxdNGByyQa6PtFPhDYgezMJqeZTb4S3+S0xJf dMCPqikdITHzp6NIWZ3fUSyADrtNgGEcqmmNVWAhKGZN2grCLDNv7K8dbVR7ooiDgDOtGIKoFqGU 58u0hcATg98IC+wN15yT/68ThnMujt2CCgKbYAiHJ3Vq8KvVH3mdFgP4Xe2O1mrRjJYUze4gcPLa ksPuz8KmV5Kra7QUg64uA5rly4LxqosojLK+yqrcv1ro1Pc5OdpeopuDOVgOgUIc/D5u8g9N6q3I 5BnUxEtaGiboc6pi/gVUXpzn9zRhcCQGgDoXQvrfcibHbv92wJG7HjGzbsdZ+tLrSrUZq3iztp8c Kp3m6gMP+crvzCKwVYe09Yhjn9A4HkZDHpgWhwrImR2J5rCEwk0Qt2YHgD64rHeLIIusADvIO460 t0cnehUP4ZI08zDYAyh2H0dxvj8QeWuG44uW9XkDkfWLGxs6A/8HNShdSFbHGKnsWpXOrzXufqx+ CJBjRy3Ha1jWe/+yOF6xp+drGWmxgp6oRb2GIsw0z18c0FgLOIFL1LVWIc1+imh+RZ7L1Fw9HV7N xNEGYqQQJm/rF6hXQTfDg3Kh6CngFQ9oJLWUPaREAmoc7d4AK/TpDUkRfMLdpPfnvl/FfDPcwELq zu0YhzM7XoUwqNhFhDGHQe1lruBgfCAluSkvf0A0v1hHs9HTf3AEeV6uQXke5ahfmuwX7Z/nWfUW P96abAoFVEtAYLoeaaLklyCNhP0k7Rew4gc7AGTCjPkev+Pqb3V9eYIVDMPA9VSejX97mlEvZFfz EGYRbq+QFeetBkOdCAHyPvy1OgBDWS5OWtEUWBuiuUB39VE9kr3VBsTBs09xrTJj9GVHpWKtrAwk 2K1mUE/28+zLK+8FoLUmZEoTtH4QaR9nXrZBACJX85pK6gnhwZoxeR/IICaKECGUuMOLlOpB30uJ SqzKfTQYWvjjxjfKyBcL308fJlOvreGByZoxvo5Mj07+5o5G4AGfVtkRT8jM8kk2XI1Iz/suhHCv iu+1iRr+6jIMxszf27njfRxA4SE2WA91LD5fzId82WZED/atAm10jP4nNapQpcbMBdRmZrh3zJph E3c40LjdIFps1F8xaPjH1ENefaVluy/yqcyQkGAaRaOBJX0abGE57YUm53ezGB5XKLn9q+FaOVpF 89Vp2Phu1L+Zl0EOCTqjBV2saXCGpStjNiyYyl5bMkoTvlItbcmsCBiyZ3Ml63/Yrz3ghxBYtrqa uwLrippmZhg9KFqiq3xsjhQhbPe2fLwtxhgLVWP6agZVS460t1+vv8wJ/Wqmd9WCa2GaPOc6UFsW eAOBStrn8Qdx2gwcv4B+t0rHbwbwcCOCEThLecgFdcDf/UeiXFPKCiQMBrJRE3a29K0re81Iw17w jdtOa1Nl0M+PxOjzypkCmtQsJcQePbYX4HiVzjBaBEuQ/HoK3jzfF3Ww8BkRocOD0J9XDoC7rxhi 4dH6MQ+jtVtLk3EXmb/xKgpbM0yo3wmdKGKLC47WM5L0eFxmQGhM4dykkL7U6uhNLi8/fuwhSJYO jNQLPdFVmYB4tOJ87gGwC5YoA2pP7MNEnve1tSEQc2WrB5h0B2qxdS8psUN9+7qLBw3kyPGymqPn tEGJ2A/VjRo4urNZoep+e/NyEa+XWIOYSk44PH2hjL92AKCMNjLhqjYlTv1NoffgyeZSwBAjSE7t btCVyGILDoGJydEpkiYJtomFgmlcuEig35YH1Jcvseu/xI88u5BHusGD+KxBZn/y6g/aM/q7/U5n 5lndr844qfZVBHRYqKfL/d4W0My2a0cOcO9QAMiU70kzqX8qm2+PjIylDEBWQnN3Lz4MKuqMQF4v AAT57jyxA7OMPmJzPrGWVrOw75nFc/IUpXfTZjtHmGWwP5WtG++6G/PWH+kJgp29uPSr55hiakPR WPOMFjmCO8L5HYcaBuKN1MCOMAiRMWLusvobqNfoKJDrXpAC//NZlP5YzJIEFlg5D2Yp+QBU1tcq mt8GJcxFgNKIS0kKrCinl+CC+V2yq7gTKQE+un/awk/ioQnO5cQi6b5cv35t6+Cx8azAnVJARe0S 1I+DejiCat6LsUHpS82lG9uMwLMHjPyEy4rki/K8caXPEZBM4tgiHPjO0/bLsZtzoKz3AESRrtvP vAHUjQgt03yQCiGNn5vTtNZHvxyRheL6w8kWi3vI1Da9iSKnda77Ni/CmShFfXmhfWOpZ5aNjZDs WyvKSdnuBDp6v6+IIghj0zsn7tINhtPc2ZSJfk0Gdt+tCFwcrXRupEY/uS4QrpG5EaXKJFXYTjwA /effFDtBVUNRS0P1G5cfDdVyxb8lx40YOWd25m521lzbYrEU+6CCuvkj77p0m1MC0+6WgZfQFPq8 tS98UMHvRexJRA3u7KjC8Tjwdp9DlKB7+Am9K1ZNHdo48YefwFBnsYBaOFmqiDsaTGwEz7OMkSgJ 1qMXdAVmHYfAyMPgSeoTXhtqdazyMb+62qf+DmIU+GCZ1Zw520uSfCX7LimQzAjJU2Qpre3ru4p0 T4rybMFzxVdplYkD4j1IwH5z9/X16G7MH16Kqp9UUvOETlfYqRLOdLSmo64xV87jfqGdJX+o+n9T JP/YB2v+DjEyGy5QLBtWrWKjrCsVgG2tfnvY2/EoNdoRH7eKjNVavUYtCt0PMm2pclgLxWbEi5xt pP/wf/6f9Xp35VW0ipaBhtAq3kj2AAaKalA61fF+e1ldMiZK4q+GRCNwyILe+B5JI2gI7sNynBBP cnD2C4um1EJPByUhT3514tDI+rRk2WI24/N+OY/Dx06f6aaoPBEoewkYtmUWe74QvPSDQpJqW/MU RGnmu7tBOUobCnWYzYVszlRS+7qvkzlpKnPE+CP4Njd1IRbHJx9y/KMbID36j4wDZOYrWNREkar8 7y9vEzLDwZH44jlp6U2O1EkICrEhV+XMviR48t4BS1VB0oZN8rKVbUblwrVUKFSVkX1TVnpT+0yu O08SD40LIa7bX9P1YJP0M0n4TRYGAzQ+dm6kXeV7v0efChRTGHbGy2Mp369a6aNlEwwzAEE7bChM cfwahSfYAAzdLKNSCUwbzEXgQ2yFYFhfE0kowxVxZf1w7IXABD4jvFh5AmvCo5hcD6TN7sgou0sa wD6Gx+qqmOvll6S5BE+dImHjaPyb0XZL8EPHuc9mj8SqflDkgYonBFaL+YkelzPeEEapAwykgdq4 mDVV47NsSiuNKEyOImomnmbVW71Nza3/WwhU/1dsL1i5ehoM3UZAP583MYVsWxASl4IGk++NnMkA kUPUrbG09IBCFJsZgNeEOGpWUl8JZnAUHCIShlfFms5SsCF6w5//fWaflGgdrq/frS/uiEY8Khpo uebpNqImCyIZNSYT9E1tmiBQ5iRpQCV8qmskfQ070AeRz3Br5fGpjpGK/eOPi2a0s3ntyzkrUViU IdhUndfADA0tvj8WSiRy1dIr+ePdzzED22SFbydLmWyPkgdx5XmYpZPpFnVhA10niJwc3lkfiJE5 W8x631q3wNlzUZkUq7KI/M5Fv54eFYK+fpqk0ncuZN1Za1tlI6D0AYawaB3E4p3VhnC1UK7M9+B/ QMSW1SvXLXmhvUs+9bheQkYX3Kf7m2isLntaW0KVr2qqLwpeLtcFrzu4gRwdZ1DBO8qZZBCzY6oW RreCWfddL/EeDGzd6GkJ7VpZyaLKwW9QdGomHZRp/SsjeU6p/EzOTav/Gel9Ejyg1SrWgajv4RvA WUZafwxnxv1EuS3821pZMo0u1/eJp+4UmjHQC3L1wVA8KtWWvYz+PMteao0JD5TJj6LYwefdIFKQ 9VD8EcDippETQ1nVIhbsbznjN0vGL1RP8o7qIZgpLPA4UcQHggqmPVGKwii6Z11pS7tXS+a443/l llXkqdg0OHLvtn3GrRbRPKLpRbdaLT8t4fDOUZD9xVDaub1pp9CELqXSMFAyM6LXFSQ7iLQmX+dl E2myxgO3Lqq1Vw3Zkh7I7R3WvuVi2jIPt+f+Zo7bOc9jEbCHUNmH6zo0ScIt/RlozjdVSb/N1tIJ uoBbJ3V/pMlErCLCVB6UPUVQW4dxhO8djXJ+BLg6CTA3299ojc5gIPlsdwAVdjtDNPq3eh6698MM OdYiSWQ4diFJzzlr++njPTgKBbaw8o9HX8vl+c9RDi2Pan9T0l4MjKOcK9t01cU6e9KdQj7ItG4g DOaCApluxFEKb0WeX7H9ATRWx+q0c9KQfvDXzx7g4QZPhj7HCLwt8fYp2mzzd6Hae3oXBh/SQy16 UNnD2rU0h+pt6RgNZcIxx+gUcCGxzjl8OmevCp/nm1JDv6aJniM9KCzzNr+xmvRcOo+xWNKVkyuf K+8SnE3wBB6Ygodbg7DiTnge62gKOT9vqOupQFLwGDmnEd4fWRZFszkVHmU0F8IsK3ftXeiYVevU 9w+G8bU72VHIeqo/wPWFxRUkivpbz2ENH1xUTUMmpddlX+S+JMofrqjWZuO7VJypFOBsKcWzGRSD 71TZcWEYCKiduNHZ8tGh1hOfRjIwB4TULTxilmMup7+PFuSpCDQUcTeEeNInQ4dRYkL5C2ZF6uei lJ85IVCwn5RO9moHFZ5dvr6zuR0tLRpU+EKecGx0YC0lhFt5Pja0/XkkRypRMENmth9LcWpL6sWQ G+k2UimVOZnAt7wwDrMi0wtqB88Bup/H9LeW8lFakK7kQoXxMngDqqXmFyD34RmpemutBwVHFkEc VbXog8bOvJoYXFhYikNk9+bRnVGHAe2UmAOTApuaH4bQYIxLNIDy/khtGONGs5eA3CVg05lcpA+K oQRZJdCTLYemQQY7ME4wONRnVHDIhjUjC4c9WC+r7JjR2F8DEAk6qmhf8t7+LE9xHhCb6uWu2HX0 vg5qLWv/qznPOXm8J80Tiiisd+/3QmPbJ01pL8lfPeKdXJxxCdUxnE85DvfH5yR6olqy8vjqxL5e VvcdcgavBgf+/4hkvzcKvxjXUgfxNHDA2lBQtgZqL1aPdV1HXXpQwgat+6C6k+IG9PhAXmMKAwnN d6zPnl2FZ37g9YaBV5h1cKQ+yTpdusm5BHADcz1I1hV552DCbPdjG4jAI2sl9T8rSBRR+H+efRZH bdCnyAN+mJNd98weQCT8w/ypjcLKDTSyK1gM0eUfM7NZQMg2r39SMhrVKmtBcNJeVd41+/U8xNYa XAbsBL+LUpKIMX2aAG/Uc6cnZJtJU9Q33ydoDdLs+qW9tmQswpOENFpsn3/BxJb/6+GY6h0/CVd+ 3zGS3RVDrOwkxnCdTzcdQV+X0wXafWPZMPsWr5r9bFWoraU4VPiDIgsuP7VVOBi6NUcKUwzMF5wn 0P4/s2hVixmT5fF5DPjTukbXzYxSJjlH26j/EymuLzTRRdRvKTi2H2jVl2PM8cQUYaDBiu0hsy+z 73XJ7QhUK8qBCle9gmiWAIO6DSdvG4cO0IMtvEq0hg0c+uu9u8dRMoePvZVvFMRIgQkl9Hl9F25l JT/u9wQIjO4yKLNOJXSJd0RQRWJHdFlxTm3lCMF0XqDlP6T5YVIqo48bsit9E42QEvxuCkvxqbEX vJTKk0P6Q+g6NhZFe5+ojT6KDM6MCDXDioytlIql2ABSgipwWjAxKmtRtnP6eo1VMqWE/xzjnEq6 6lDx4Xi9kYgbz8tWeedUbag11IE6IEZYu87JrlYKodtBsC2JTxVBdGssy6BA1KT11kKUeQ1g39Py 032cwXWSGVQYFloDgzw/0Jxxa2VjpuIgR1Oz9io9j0QHHyvjv/Jjr7e4dKoskjY3nteMShPqxN5M qOT1cLMoTVqjfePGLdZmtZ0lYLt46cZYA6lFCNYDElwlZechGbOVPoRg9+NK3pN66NUfIG636kwF SeoRbQaHaDTgnMMMx1yAgnE5uIvQcq3bEuemCDa7Ga9p8ubFup2ga4PS0GvTr8PB+vGB2BGDiVUF 9DGdMMahjkHZ6Nxy42XzhxZ23OPjY8xF0JYdRGXTorCgya9Z5YfkdldQ+yYjG2453SNqYZuf2FoZ EDRw1m/Cdi3vf5Vj/m3VkTbhD9fBzNFtbjB5iuYYpA+f6c/6s0GKSckeUtIJdOA4mM7yRrqFvbB7 6yfBp8SI74QIg9+ZEyAE9J3Ck5D/A6hR44M9SBVR+7JB75pqLT7wCAkrQ0egBLg/XvH9nHaQ3PDq LsIqysZm3U1V2Sbh9yCZOgqEMcqfmADiPD7nAguQEUH6NVPUIstooC7Sro7+OpyHobzJaqYyS8rP kNXn429AtJ83ojPdz6zLGSRUlHXlGmJq3dZQtqXZmVtcLLEKCqoKE4XAmWdS9oUSVzaobhUi2fgz eaacojiVmSD2CbLvdLp3xiQelFSU7vCDrg7LeBJeCqvT/67WYeNl1uyNobwZJoyAQLKcUbwfISy2 JGcopGAWNmBteeuT2RzRLUYfB3Vl1tWCZXoA31Rdsa+0VJyvCvG06yvb9ZW0yyjpRGzV8cvVH0Pm HdMYGLTPkkKyhmw7jo7OKbOPzY+tpv6ScdrhLtlLBYp+QCGU1txBSgcaDWx2N0RGfDau5rN1wKjS vYemXoiwrUrQFMNvq7TkwueKYq5Xt7cxNgNewF39N8kRfXM94VGnzKE+WxGqVEk22iHO3rkd+Q7F 7/VWiztpXo/M/djbnlKY/3EtNKrwDrKP66QcsxQd/ayg2A5J7DdUKxBCDRzykMwAccofZM0H63C3 dHsWhoYyT55pFAhw674YuS+tZfAZ8lrkjUA9t+SQsTtJ1UXYHfaU728u+PJg0ZEI8jHwT3UfNyD0 rRf4ocK9vcCrrO5T8Ow2I/jXvyt6up8H1lCxdjn9IS5bDW4ZvnyimdA+HCpivtqaXnqdsnWICGlB hka8yFIvz+c5DH8uhCty2c5ESebgtw1Xquc+jfj+UU+jZ2iIeN+8CLdxB1PLEpCy3amH9RcCewRh 589rUV52R0oPARGCf9q7FqwbnKFbZgBUR5bLeJuhcd/YmCfoEhgwQc+RKa6mluOB3DcN+ORtru/U 5FtrZOQ7PYhAECOizD3L7z7BKkjQf5/JcN8SKnyMW/WKG4wFE/kXoU8xk9hOnEgzZjmydpMgowGu Mw1dVlFGwtRHe32X7MZtI4rCmIc+ATJ0XUuI46rwHEo5xuS1ZO5QQQM3tJiiBYVR5DmVyMJIOPol sSn63Imz+J/MEzexzKXoa66Bnn7r6GiSYyPpnSn/r+lJfTV4AhbQR7gJT4wS+o3C0pWzW5TTcXKd QitSrkFHKy/BBlA2LwVH8m88ecbS8FbsE2gzeNQna9eu4ppwf4DsJrm4xUNCR48mU9NfBG4xqyKJ yoZ7tIm1u53gklfgGF2tZc3RF2ut2bewRkUDjPFT0xtQZiIj8XW124BYRgDp2XZJ0d6Dtp56X8Vz 7ZuvwMXeplXzwmVzocIWrmJVREX/nAiHqaMyc3RW2oAyQAXEO3t2bc7PJesFS3ERPiqnfUQFfOQg 2uh8IAnbrjoKWFWEGjrNAP6TISapF6WL0t8P2IjWuPNWh6fFBciqeQ+rOq5Hp5betRAYCEFh3ueV /iPCAR+Rh4G9RVz4sn6c6nqyHpFnLziCY5ZLNVOCs0s2hd2PeiMxcZOncOxdeYpHabDP3vSPx2Sg BIzt9tomKQgLPtiFG2hQSqcgpXpaEOMrL/n8UqWp8JgbfsNCUApxvrmNfXWISnE60qS4N8PWcmUO BZaqVmaCU0UDnpQdp5fy+oTfuIigdMZ5HOWvUiW29pCh8y5TtQ0MYy0TMeX4hu85oeiwA5Zp5rSy A78NFbL/6VjRedXIpl4GsDFrbmyAP6J29HOzaLa2I17hyHZKoN0VTCcksvXAbm1WZvdydp3QelQP V2kpKVpHercETC4dimIEmZC91uZsP/h0J5LLSGRkDsqcl2YNzRFH0C65DHX4UPyJRmZ0Ogh5XPa5 tNymkeL3GV0UFdSjgZqi+mGdo7Yii+E0guLSDHkuE2qOqsgnVxM+LLuMdCbsJRJ06uM9c53UK6JL E0Ic1SY7wR6IWG1X9reA+rVCZ875jfrw+biTnJg4NbgVbRNOMZPifFTjJOIm41KS9w0cr1BCoUVp yBieIlKusxH1bMcVbwsmj5eoDIIINpnL/95Id+l/m4mY8Z2JhzyqOddNQPqkeY7sq6Ft301huuNC L5KULq2J2wZV+C/DZEjFSdeqE7ZZP9oHxgMGiRrfdm6HOk7ZYKtG/NNzaaJC5ylXIKD9aBqO1fcd IoXg0YitH3POq1x3amHh2CxEcc+J/DpZb7woxJEWo3k8+GUC2O9FOnvxuM2wPn+cSVoXmZcCXnWU rvF0pgoETJhgeKpRdBuNAme5zOAc8Y4wJGnu6WsvMK/7RvPhzp3LKWCYGaTo6PN9iOaLrNmkA2+G b0m4CQZOGEDutfbUQmsBweqLXTHmdMX+alffs/HK6hItPRF0qTVQmkIFIU6FtUwgmrExJnPbqLhf b8+hJcq6smeF0SFCnrZwMEpmaBlGJlwwBKOfGALxPZtuQqRqq3XwnDLtGTCZxwrWH+oefl7sogCQ e393jR63e2ffS94j52j7EgBWEL+2uvj6pwz1gq0pFjopwqIf7+KsMM/OuTrprEiZn20fo4cKLaY8 9W2iI30DPxUPr43YgzyAfBZXGwAR1EwlEgSV91SzLlidNuASlW6cFmA48GZf9rRSCq94XSGdPi8m usBXZxIh5O95KcTaqxfNrK5+yNAlWWtO4/NkUu9ws0/D5TIybIk2Y1d5kS9BZEYOymutpwYM3l0S VX0NyuAVxrW18baZVCNjoDSVBrRTDdtSsWqWUrK9muLp0D6ZOolxp41mp011SxHwplGlRxI847JU PmlOI6mCF/HA+wMNZpseeK8o/7+yfC6CYEmm2ObwzfOiHTHUP6mYnWFqRSwrpffL1XC/uaFnr7Kd vOa22YhumGlCT3gcGGpg+5goTzLyMV0sQiW+pmw9sHypKjkjW0jYUMSd24JRQ6ZTpoYVi1HCwkTc nsSk2K6XigCp0GVloYV7pej1Obqe+xiiaqo+SliFJ8mojuMagVe3eTozzjsTyCrPqSUaaLMVbzCG GPO6czHyi8o/95U7tM6NvhH5/gVGXQ5xl/Yy4B/9J47UCrsa7Nu5rI27S3vW1QNgWc+umC4WBomN A9HuRIxNvpn5awDqpP95jYCexCbi8DMGzkk6i+38CVv7c6BiW98mLfeTZrpvlfWnRUE5I2K4CpQ3 ZRkSPyIwdR1YijzwWrpzSF1Aq9SO1s0CX/s5X7R7CGutt4YIBkBWw2XL/PkxggCax/nK/xJanKBk qIPXBK3WNyJeN+Gi8+P4Y583n7jS6wepfg65WBucTDQamsJzPfZ0xynq0sraYs4++t3BjAzoQPWx KwN4zhGnIjf6DI07QMZXb5LztDiELEkpI/ccVwPhHHOrsvMM2yJd7AOwBg1NvhL4XxLfNdjP82hK 1/I38B45rsorPiL5ktPeK1tTyTR6CRSuj9qlltrQVYnZ2/WZfm6AUVujl2eWdT8DSnHJbE/9JEQS TvAHAIKLsY01nel9HMvYgEs3PzSnGwD4ziTP1xWZh5srSMCpWyOZJL/quUmDESs0H0YkYaKfcCRz nRY1PUUpA9xIWdqTaXKr3S98g4WrJuNyBg9RVNUy+HcmZvkH11CSkWEdta3bQT7+MWp5o8438hSB Z5ugLO6HM8b/zPGSIRGQkBLrAQlL5yQAaVFwcubC9cnm0Z1jhx7Xw423z7oUXFSUdtiJulAv/eQw ptls1T8mrEuALHBF1AUGYXcgeP1uj7TJvFNBlwYQDsV08vnleY79tlLc/rjHyJJzHSzn8CnTe6hP 3fxZcn7KUqMfqpn1SmROQeF3nivI9gnqTplqmXQHZGURV2WknlNb6lPThtpUFoYx3WNKzec/pBj/ KQZ/S9CcdIoBe7WXtr1QDx3yoU7v0DDgYPXUoKXpOJv2Qmk98PWeYZ85P/A9EKGdBHjdyjBgD9Qf pBqZmbk7m2zUpzW9091jj9extEfDdyJHmSbGEm+fguiwYmZUXLt/CZYnNatplQhcXRMD9f7pUNn6 SLEWwhpoQNG2b29pH7k7v0pmqNtWl+8xtglvyNmg1UhYOJ3eKr2qfejE0tHG4jwktb7S8Le5AcGy 2N1ot/o7dUtoZ+exeZ0XBSyBjHwl45PBA2mHm6rfSL9dmvydOUJJhGMFhfr4TOnwueSVt3xrq3fL pou8r2GCNOl127huuRuzntU9UBXgS6C/NjR1pdO/g1dIB4IyA194Kb9audEKkDN0A5RymAw37QNz aeV59uX3x8HACI6tb4fZsKhCGOWnhap1YwF+cDQYveguw9Zze2/ddVru1c7oZqs4v5pVI3ogaXK+ Q6y+IqiAoMBQS8khtfBzEllBkhGGb1ewcLt2ABgGp8yN/Mz7U1fle97XHlBHEFMS0NXOkZ16O9kV LU+UXCrplo1ptBhTLZambDnAI+IRrLhclev7KuaI10uj3HF16tZqAhhPACDkzdwC9g8kKZjAdVZ7 qG6EnVNVe3t1BfxjsmTAi0A+PoKNbyk3DQRKnMog06+hem5wr/bWwcYiC+xogAgwCJAeXWDzerpw 3j08f2+/ORbWAGXxUGfcneV6Nvy4Ja8AWTnrnt+Z3pl8Kh2pDIkcWh9fykJGN5l6hUJKLjKCDVM/ UfX+N3+g8v+NDXSNKOn3YE/x7bDeYKanQFUg0YSadsnw91NtjHcuPunWEJS4Kf3mvO7NUw1cjcUX MSilLapc28o0HsU+9CczvFnng5cKl+oHmxAPOm0aBJjlytm2v+u3b6D7gVL+VDPWKGLGgGStJjzu HGUOFYoF+GaNgriXwu42NUQR7kKCkA5XA80pB5Ip6vXe5r2R3ndwFpsAl9CHsqV/AhXq9im0LGrC xLziajLS6td80StiliRQH1lJS9KHiEYax3bou+jYS3ROrJ9n/tyIZi4+POu4f/Qv1oe3Wa1aN3sO y5bsxCjz+Bxi/jZWGjV9ml1wG0zVWfy5M6V/4bUmypF9btUcOPo3yoXnfsAO/jWTO2QqDmgs39tS dsscKTRqru7tjsDxAz1Ua/rMW+Qj/S6ofuviIvqOHAcBBKEd9IW7l5uq134wjpJMWtCnxWu9474e zcjcqqRuP6HGKJSPaP+5bP0xQMtV7tsUODMw3+AmE2PoNxGBXXrt0h5a36Kpehp2UUe/dOlWTjx2 IZuRjrzRs6DRfgc5GTl7Wvt+qZDKMpvSBrk+PczTfqxC3kDTB/T/8ZoGeqoNKL2KcnPtdNROCmuU rmHBukpeG78djHkbybJb5oRVBbBDynvoadWSVC4YqY8b2UwCpVMOIUPzaipzINAn1BQM3yyyLQSF GVm9mwosahntGG68WQB7qdq5oEPU5LoljzcXUMz5Hcme8Zv8wDd7p8egts1kTlcAq1T9AvyLyeUe t/X4ltA8s542/xOQi6TWHTEYKGx6MGHwVpBMTBtg4EQYj5aU7FfHsWMbgv1jTliLyphzqHIJraHP ddg49aWosTakQcMa39FLMkD5CZP4TEpp8ttYgbruC5T3VmOqoWrgNgAEyHua23eXB4aCmi/JwQI2 FvR7yiyvuEFqROhrcWHAqL7OHPKSGjEJVQHnvje9YjfZcQIjZOjGeAEOojiJySlYi18GIRK27U0o 0p9jBMwKMhbLEQ4qHbKTlmCVEHvZNWbohyHhSGr05u51oQ28DPZ99DYgj74Pc+clwRD8aCxOmkV4 LHNYiLyC2ru9zaTl9FJmgXhTKSgI6ED8fFSN2+Tfuk8LvcFjw53apuFOIbPfJm4S9UiFsNOTLFZN gjM9TUGTL6mzl6sqWBhJxNwNckHZ77J1u29hWPDaIV04XU/JEf4VNiscfC44CIAG0wrSImcURqtP 1JdgB/95iqjEAq9XOwk0dI8zTz8GhwKVlvkRMLhQu5cLubiMR0vft1dVauZmA7mmjyVTZZJ9acUZ cqXCOA2rbgHe8CsBMnP4I8OMQwOJXL9TDtyYsBwJ6UW2OA9uOMMfWaaLA3ZP66lC2yI3RUMUjmze zEPwAewh66/Q9HF2mwZpmFv4xwtPNwkk+TPHaXt/c8WQ+BEeRIVoLpzw7tIYanBKQOR8tZi9ubbq kRy4OcpBItN6J3pkfzmsN0wmlCtKEJ8SFeHp+w8iX+lAl5deaUR75PW1Sfwj6f9mZ6Q9nHwyP5Tw 0dM54cvLS+x2qUrP3WX1uzcQWCPm2qV9Hwnj9WeMS3/a1Idpdby3u/aPJxU7UyjefHEfC/XoZpp5 gt6QNyUf4C9hV1tXoFgsvqHd77Y6l0CHYalMITmk19xJsa0Pbzb506e2Ul3I6VxR2PoE/pXjJnys yYs+dt/EQ7AC4U/m9uorRsuBd1XjqmOEPEZimCd3zddbqizqSmrYRB+xjVFEo3YM6Y5HnHFwOEEJ YMS1c+ZUvYuqnrBcNsyFcKusyDizXoYPwkWnLlIQl5+2ku+HllYrdEc46zkbSxfcSBl95Lkjotf0 sx4KEDp3JegWXr8KEAEHKYW2G+nYD9qn6hc5+7MbfYsYXWNuva5whPZzMCfyAfJlbAP3NplXKUo+ yK2Wnx70e8Qag2kqoNP0RHEDKi9R7+ghUh1eXKY4xk5+pMxmH8vvsbdR+XPouyweWpkijcqpnfJ2 viigPPizyhJWP6pwEOS756HaB4fllyfW4LokUkXD0+vKe7f5BNxcvdW/nPnkiRiUg48WKAr6v4S7 r/hgoT1upMFW2ECLEixYYkiN7v+vC6AJ5sVj4x0s8WmuVbbAm0WAe0sExPEQoXUTRaECcm5EUO9d TYxRfPg/Tt6tWxq+/FKb/HAAzr5vPN3WQKPEtd6z50eflmEq1DuSIEGlaRf3iJ+Yhc7qWwrI6KVI 6CyazLuJVCX1dK6xxQGlsDJqt43zwyLVwgvlK//OzI9plI+llKnfqSoP0/eP0nnyW/GdqOPVNvKB g8bToghuTBwmpnZ9Fzw5cXBNlxYdU8LQ12ZtGQ1Vei1jOZzidPS7mPN7G5IaNhNWAoADGtxh97Yd NKv/EKSzDW+MpKe3PLOeOwEDiH1HiR/QVqnMucyTHxclgk5+KH8Shrf3T+LqdloTTMVnpecZrHae XdhLPSkq1VCTTSo8Q3rDbEAU3LotSehzc2SzAV6ihAiuHzfYwTSvaGD/TUmiXN9yWAEsmT7nObkG k8YZGukSPcP4bm5bGKV7cC3A2my2/zshk6xf+X9hIAm+e/OmIP+m4zUZtBZmjrpuviyXANzGnaAX aIgDO88haL/Wy/wXQ05Q5HHLfewU6eE3CnG90pac8nhUUC2B2M4P1pZ4B18D2h9i+GnXpU6LucYI sKHfqHj9MhBG0OfNrGbgk1Qse+EUbRQ3UK1cOkhpOucm6oIWbb/y/hPH+F1ru0RtqNQVseBZRyzE tNXEMEmzfIHzmz/ezje2aL8lrR/Y4P5/wBDGXn2FTQSJPeBMtKbmW5RwQpy0zjrWvp2g9xrie5Bi aqS/fXG+CYAyBuOaYA1WQWJgTqzzwDD/GbzgZu8vSXlDiNDtQuojrz/fEVVY8MTulMZYT2EvR+z6 tnh+5jRxIZ0yKJlvnl4Rs52HVOeTFMSQ21sjIDqUJ4h0i1Xftq/DrBezKWiWfrckLsUDGbTcv0VS y30yVMTjxtdplNaFuBvGUjbxhYsB61pap8Yy8Bgy2e7bphJ+eFUyIlbytmqjb0OIsDvXtEpDFWNV VM+Yyuwu4290GfLOxp5mx7MH13TFCHaeSCFf2zVUXBS9C6dq9GwC+mNAnbAA5eAegs1ToH6pX+Dt QZ8vBDes6kJT1DTJ0x4V3OGV5zBnloc3PUbkXnAB/puW3nHx9UdmXUVzp0kmBFDSf1GrxiMZmA6o Uvmm++yF/L8qKtu9WhNYg+RPQorHP9m1NaiAgVUkCjovRBfse6Y+h0Q1iAM9T0WXWpK54iXlnYeU vAv8vGFKXOhFZlj1gMV747colqwvNoR3PrK/1FOjfO+SdjonsxvyfKrxwrYzgf5fVMLPrG2xjoGz hbrEDk0NM56IQy8om/fmBUwAwC/gdiGcVpkwINxBhDcw2m6FLNMxglmCExgBawZ4yeekQ4UxrBFW V+3hFCDR2um67jWB7qinAQp/ynlrphkPxSZkBumbXazVrrgqoNilxSUUOf8+oJxR5Omj7+Odv8eS oCHsVIvsXlCNATtc6z9kyQdXLE33x1m2MPZBwCZNxVdqaFgsUazDoHWHrPZ94KjHIHDv17wd+2Ok AZOLE01eSlDDoTQ0vaqgLB4Aj9bQQDKRq4xd4vabor+2lcX0I+iH/jPyUs8B1C/SpSEYv0wAJSq4 9rF4oXSH7FyDuu2Jq0OHvqra+ULihoGC2Zb2E9WRPj8WZJ0pjx8pjotFlu82zVCCPdKEPWgJ/6XC trMxga991GZu4mzVRTz1CRHPBSwE2sFU2tG7PT1SzT/opzLdmMcaBRWZ5He6KuEcYBK2NnwqVATz UdRcZtav7Pvrid0ggjvyNbiaNxyN4pZ1BVsWUVjPzmbQV3K/ZZKfgPFPIP7GwqyjFBByBSOnvwwW 4nQrkAvl0bdSWcyW6yj18fCo2aGxGt/QIL7447Yy7JRGmmmrrPLqfbCpRFcaONB6FPNPB00YQW1Y rCyUwtaaR45WYpiwt/7y0XKy5nIMKGZT8Ag4bwG/1hCe5dDdae6AkhksMd4mBk+Le5jtmvLUqjh9 2cFZL1Zq4sGz36lG/PYl88iB3/uQde6VDXuAC5i7BFK4DopPDKlb/tdJgZvXlO5X98Mux8g6z4sY zZ6ODntCf9sqpo61eWYCEuMykcR3P8mRQlP72dJV/oatirCVJvssSLzzPuMucvgZ14ftZXvfXhJI 0fJti5Me4ZISNrJcmZ7XduwFPOgMJ4/ApNVMyBlgo6DHGyMzwrOwbQPXHI4MQ6wYfj3AFUJgWkud ebX7VDq8vOYnGFXBdQ/T5bzqa62qA2QnLqGBHbJ50+NBsAA9DIsV/+p//0a5EcweujP1LGQTeZt9 aKXEQkECQof+gG7se2L9nItR1u6tBQZkODZ3vVWtv+o6RJSgWzgjqcXpUtQ5Q4uWvloyRcn+ZFHd U3gmmCFqt/JOiz+UDSj+PZcJvrSHup2bwIu2FqLrlqXzwwgTeibVAPaR4CXYbn3V/oH/b939UpAJ Yb9mDFEA2WpF7126FzjZjwGqMFDG7oUbkOXPHrWW2670As3PcC+JUbyNcGcJ9mzpnUr+iXqgbnzR EC67Y40ps+ELQGG3pG2b/k3RMD2Ni60Bfoq0X0hnua8Eb8+6QiSMHWMJFqvgpE9F9txlDJdNVJDO FU6MWXJg2OqwW7GMsvSRX5QYDoZFAtBOFhnkP8KZsS39EbIi3AGxn3HsD3QbNv2YcfA+o007CyWy EFZHxTLXT1tGiWzB5WBZG5KNv7TnXDp27kxFus6JE71fCDdIF1s3osUg5joj9JsiaYjPtPIheNLn ANUBVWPS8YfI0B4b6o167u3vk5YtjbTIcaVO42iHknNa5aAlVHW7hRoLXrKiOiCcKfOcaPgeLRB+ AxL7syvzWCXAgPOlbc5Vm80iuo7BAx3HqKqRU7wE204PxgZvQd0JBAOZbOgtlMzRn7lzUHYzY+TF xnGncovy5tunOKWoBr1AKwP4zN2ngMy31eGumdJwRn0nWZOqMUKEOIhRNvu7Cob/aYUXJdQjUz9Z xFWrleXZS5mn5AMWelafqbHiBQykdrLZmi3QhC6QLpQeWOwINCJGt8R16r4x+0FhFM6XY4LU7GW3 6F4BtKjE22W9BS2GmUxfeJnwvA3bbG6hB9MGObYp8TthOj6BW0rOcHRFWfX7L39aV6c7DJczrfMK G7890+m/d06rkkN7Rk9dfUZ3tf+XLUViSpGmhPD8gC8HGp+vtTpLW1hh6abEwav8eqa2O9TGYnXY +KiW0m/qkRHunsdsrWDRlL5bMviPCAO84ajZLVb6IqmBPZq/b8N6tMk9i5Tw0wUCKOoFGm68ICE6 +wHBGS6ctWOz3XskAXSWY6+jzm/YNykx5rST93JaPOS0V+dJE/1u2Futu4gEggRsQhQY0bo+XRnu w9uDsr6fLDrxVezYrXR5Nh4RFz8Koj0Iqksal1ed7ISM4ELaHFMUKaTCkxNrDT6+oSChVtINOWDs pk402UrwT+O/cQDzAbxyPXFbuQyQa6j2W/NMLF4rcbS2IjfFBYfoq7MBZk4zhaqUncmGJbxHN9O5 022jZ5gdK45sHEr8XT51GdhC4UHacL2kkr/jN/sFO0tzTUy094mHBYcb3iokzBRNBjjRHfW+qIHg hKJSZt9Ih+ZFy3jTzsIyYohJVJEei1FIzrVl4jTDb/jmKPOJI/gSi2KXbfdvHOC/JcLFZwGWxwW2 UnxPhiosvftC1ZvoxLUmyi464lepg81mpBVLcRfYjMfy6A+q5Nl+LSxGyuy42HFwOFZdhNylplrE /tc1oK71Y9h2iwzN1aIB1bCMyOOOQNxOdGa2Razi4cvUfRx99cE65JJQqbMjnRWITiDW7ZHj/XZx TfkTj8qvZawvTibrrehsUeHBYYAQTB2hzA2qsk9uWv/AtwzlcFN3iKdvyI01spiRFuhrmnusKHtH utI0y4ap1RZU6xl9uQL0Lbn9ztMkvztbt/a3z1GBBLn3lzTgF/0JtciVciZVTZCR3PFI/Zekxky8 x/BNDb8TVeChJd1ZQaPJZAQ7SRWezSwj9S71PGsTPtTcc1UiwoW0k1vd2fVZRNXMurymHVTxvIfb Jxs1LXJyI2RUK+Z3kL4myiobLovM+cOYKVHg3bSYEz7RROvKvmb6BR5gStbIMIkgojgJUAaZ1+2k shc7710/rjDZO/yzaxLrrk/Ptt5tXrVUfuIk0QweWffS239M2Zx0TGIh6iXBc0RWYVKkhg75pX6Q ma4TxpcUDGeLd33F3FzinAVZN/CuoriPiEoOrpVbvHH7DFhgYRGPog74Wa25tEHtyLgyiP92JQA/ NspRpF5o2lyStLFC8mt64l9BkZEeF2ElIFY9YBsAetVJRrl1dLsGrDfWVY7kciezdMDrnLTXZGx4 gKcz4TZjtfZ/TV4kwa1XFfh7SnVtP2zS1S5s9430LmnT2KtyytOIE88rvP7VUtadsxHP5i3G3sYu 8tkCp6ptjsGoTARULfRdVY/w4SBWXQ1rcpIikPKW3L9+4f8FyJstfX3TMCLEPR7Vlr5AKwP3tecn s/rUXQcl7KXTAJWBjTiYRby76RdnV/H5gG9CKj0V3iH6YfmvOA5Xn3GYFL6tPVLTKLsOiUCzCo/J sXL5cw3x8EcuA8p/2n9NV6cgP3YhucPa36mste0Xom8Tct7PXAGbZVIBPR8KXhk6+3hKr6RVuPih YNg6Txc8QNnaFyswXnhhO0YIpcxl4BG32hTPvLoigMZifUVbmS5I6WGl+d5cBLkiLwTXkVUI5fZG BB7fdVGmx6+iAKy/a4pzuTML4wnt7XYsmdrZJhJydzJP+h/+R10WDrrpgO8iadnR+X+SS/VyQrAN aZzt3EtSS8C0zg5YMSAHAagwCgSextQ8GBWR/dN0VfkFkL2YWWjRoml42jgn/FSX5KvKFRJCdQBu MOHnag/hNTxT9a3PeN7nacnaWRZVrAc1T7rMFlyWj9K3ij+ZITB7Whw+TpLkGB8bs4Np4lDY5f9x LC0hNmnAyqgkiA7pghXZvRbX7toSAGGxoQSlNqwl5n+/Pzf7kDKa+KHanVfPJ4FM3paoWCx8DrqS 2yBYvMNSlc3Z0cISlgmBSn1ziqXgTGarjF/3GGndP2mGC//RudFAwZay6Ks4hy+RT3dLEdR5yrgj C7JTF2P8X7z4YaybV+Wj7rUDEPyKsgk/EA9VCwpCl5SghxjHulvLvzRSQKr3gh+Kd4xeRRS/NNx9 h7n/p/fgImXQqCoQOVaWI2A3CY0FoJglTSFT5bP/YLKA1jLQDbMi7ttbYR7aOqAMgyc5f/c9+T1W KFsNFUSvbJUn2eNe6R+vZgVCtM0mbxWOrsjgUoRamRRnJcJ5D3Z16Fr7KJijcD6dz8MxwW9QN+sa x8UOx0pLrGVFJIvRXczf675ueSZd/PF+9hIA28WDhdrBg0UQKeSqxoQk0/lcYzkn6JRaCsNGIGwF KrVJW9V45O+ERjjSy6axGRvZ/Lg7qu2DGpq+LYV8+NMvZOpFtNrhhDOz/1brs+IV/MsSByyGf0Us RvK5m6RMFRPs3c9OyEik7NQYqFoUkLNDDi5CYoEteyRoelKkfYQ3rjPOsEG+Nj3WScsN5ZwdbbDr YoCYUXGBLCJIDCh7xatDDNzHKRdSloKrhHTSra5qd01GK7r5sYX3/GV4Ow0xwHZJ/seDoV6zw3XL gKlvPjqOx7AVh54Z/1Evp5H7jZVYJU62nTz2ZYAOWQvgyDzxCXitPJ6uv08rdwvm0IeD6J5XVn3y Oh+Q8s8HcfUeZouqhDUlwDs36JQC2lzLiRJ0rtndhUUI4ehMZ9XuIoX5tYOIhOipto2BrStQK4uH WQrSyV9BvAx3PAFIavjmYBcEL89zcEop+v96+Ru1eZo4Qu2jFe6SOSbgtk78zgGHDas2NLi1422h aVJ5nJmYsnwx6/Pjciiu0ek4hhxMdm9mHglivTmIekj6XbvNyNhdi7hGt/ma7i5bMnlc4WZUBm5S 7LtkGCcr0ND+YNl8IwFfVRH/GGfqEu124tJ/1phAX/ZfnLi4cO9akqyDISf14wJyM9LjVHYKxqUV J/CXx/DEGEh8xVqMPgyPUqqcsgah7iKG+Ar4RNgSMRidYqj654f6RCH9vgEdoEc/EBxGIPXzxhFx D3cL7FFLPBEGSQ5HUw5/+yOqMETgp0AqUN4040RfHqM7eVGC/E2XdpJ0lyRGtoUh+gcoRn29XAXA An3XGjpVP2O1Vg4eQPVGOTN1XoLAmeTAbMQPMlOWoQBxNERGDOjoxbd/3Xw27cbB8S2XRcJiqcMS JrtFALpdu4QkKFHdg/3KTq9TEaQoAQZuAo/mPo4VmoErSUAB7KJMYafmB9Frzf0TrA3j2AfETXDr 5EC9AYmGkGbYFuMK4wGW73y/nUgAZDOMsXwBmhi/b8vhGWzm7f66stB/c6PlmnPCpuE7IZi4oJDo r28esWDOz63JXLPi3Dto1J0ng9YhmncpC1cNCVdcilI65Ah86xzRdp1Ojy8ceeXhf20OMPh+kIKs 0VA/fmMBwXgf49IFaj0vGfso2SByPBBLyt5fdrkg3B6QCZPR3+AkvQu63nV6YBsi+cejX4Kl7i/E C3u9HkmMqIgkVgX38i97Ob1TFQ3ti1knnQzWn2KMz3LhsDclQFEyMBg3JIMssknUTzNOHDxqYOKt +Ki220eVyPlavm9VFR6JDMTqhSLUFkJi8dOmANbZfENid71E1+LQPPM23GKuxzpgyXUPXIU/raNC TgAxL1LBL2mnIMsjWbLHMWvdIplylAvfNiQ6b0tDsGeCgnI4ZxP5tRbftCETZ+PZ8Pr1LYHFDC7G Mo/wq0XkBCU6vDlnAuLYNIpJOMTw/KcnvCA/U9AES0pYjN4AvogYyTMZJ96nYyiFVssS+qivC349 img01Qkki37bfwDsR9fMNltujWaId/V1q9+oa3Xui/3U9oCbsm9Yb5VlRbDCpoXJ03fwFcC6r00o /WN60XXnLOiHdN2CDDfulId+43D2wZ1/m174XzhWbmgh9nbeYPGQ6EK+txeCN24ryKF3NqqjVlPE iZJ9jLynh8QTJ7q+7Oef+YJINp16HsKR2WNfdsHuTqy2UyEptKqnDdJxDYZjpzHyOp4Fz6EYpb6f vhyYsi/TXJXA726lp21ZTzZ/ZS17jxSlR7t9V2044kFwox8sitGdoi6JXGupVNgpIOpeP1mVZe3G rjTD/rD5EMjp3Rl3KlPPRWVMFx0C6G78G1aRZND2x+emnktgD9m6VFctXXgF2KPLeoa/nJGce4eX YgWF/2Auo8rLj3qgzn674F25KNaEwXITBTSC15a0WLu+d2FCrgdhCGKp92iwM2Gf3udeWZmKqSlF pPOHLZCAhrY+VCEoPs1zrozIbZgBmzmZdbNAO+4uDcj9JT0D1coZ4be/EH7UIYh+nIY0c7Zs6tuv mIcoHRT7DUs4VnpvXN8i/o6mOQnHN6jHGN0qlRoOR0GF+m2in0r8e05tYK2Y6/tcIki4KitiVgKS Uuy7LTbeU6XKxVgn35+Zc2dpn6RVnPFsTP4Ey7rPYDweTZpcoI34J6yqMuOS2YGL3lWtPFy8k5+r cj1d8raLMGxJ+ksO6F+wNZePz4WGiJ7YUk9Pc+DeJdIyZN7a6d9dm7cdLt5CrFuc8x3Z3lFyJGd9 yOvoNpW3iO4aveBZbv3+f9qTNwnYJCrJXsFI/gAt189pefUyb1DTCerZbhohBWLx3ZbZufzkpKJb umQo3YobB9sW4rBjf8gefAe6t111fJOr/u/+PYMavYLdSasROlxLmbnA/Xni9qee/jDDqf02s2En TdVziIdFD39GW3UZTYmAl94bh9ca62MOhO7tCLZvAbDFN1T12zgi6tyaHVvWuyLDaJtpBOr2DOzm D6WdpzGxMtdecPc37VPfPo3kW16K2wKs1e9D8ty69vQqjdKr6ZNsMAZEF3sfuqJbJtzYcKxLrZef HEAE+JceIwH/KdziUZHQTp9A2O26R0WlZ2O0xQ8OPa+loqShlQxNalc4pH+gwx6hV0IOf7rE5+xa 9fyYGO0MjAcvm8CdpNyPlui2ye+RoW9q+Bxlm/cDVl3GrFG217Vj3JjVLyTPPoRVtgaYlLSDQvRj uyO5llhtozU4bmgNsKKXe7tTOpxscqZ+jlUkLR2OqjiyxuPxmWgEQHbAgQG4vADbzlaCfBH9dsui yode1xqN7lg9tlhPm+tIfyruzl6oBUDfKedtI8DsceRvBNgDoMg+n9cI74K6CCI47uRBPflrpIr5 Yaoli9AD9VRTBKDWHJSdEgj0Y4vwJ8Z2AE0JbON3iuvAWVYwNwDfpIFtNSLIZSaxgMfe+GfWsvww VzulYi6dvJOC+I0QsR3wUzQ+HFzwnSLySHyDAANvJ43cWLcNPjkAsiVc/Ob+txfe2yT1V8ImADYN zFrNvCGfST2fEdmuoH5LtUVlRerMCKdBrn+zQDaCggvXam2s1sPXq5M7BWuFngsu2LpfZjQDwn+I EhfcgGOgOdZF0e1R7WaRBjfOgQNPu9NXYttFQFo2ON5OXK05/45y1qC9zWeLkLCvhrwGltWcJWwm 8JxCjLbBlzIGB51iphhjqkxbILZkQ7/VvzfyXZWscZbyCKjSxpmJykFiqweLTRNas+g8qFv8BDAE uNz0UppfW1YYZ2PyatWb8tD50J1I6o0wf5ejT/v9KOix3oOpM8rfZJvPRXJcr6KchowQp56w5Og+ 9ISZx4/4NlPVk0N1PIqc9vfnPPz6OtZU1iiLqP/EJJfH63fnfbSUtNW85zBLXsvYkJrN8nTeUdZq AidVGZjHkVsNfWtiEBY3abPXBQqzmweIIZNtbFziauyR3FINipwhJsSE8GkT+yiSAmwHFZxycknF GnriWf14cVitnggVT0hejPpYTreXF++kHhCIpomRhx+g9SWXDlmXRaPoBjFqg15S63AP/3TeJ52q hsE57DpYMJT8Rzixw9kdB5xR6TfSC0JQShFprOMr/UGg73PtLZj5w0u+aNc+AVe4FTxS1qjGeoeV hd1LYJt55c8rLsOE/0S1+HH4Gp71egAOhMIXf/8B+A9pEmRo5QMr9Ng2lFe2NRuwRXNPH2WS9nSs mthnuWjHb/5RWfabb/20v4fcbS4ezZziaoXsHWN6L5rkriJQuOGxQCCJYKVTXScRJGEE44jucoey FE4jrF1sWt5lzrG7GPCkGrIxVk56FrVNQTq0cns3aJi+vbFlw3u9fw2XkF0OyY8AkGfWDuSKxZ0l 9JYKp/MvqMKCJxx2W/3eHHgfWcvcc+aTeFpUgt8OVpONNBk5hLrfa7qLUtXquSUsKy1Zxoc3CUHg Jf+7tILYZ6GF9+aqpbHBkDyShJ+kwl1WJ/dBXDlRu7qb9OGVb7DVXLXTisRGfA5/gvu2XYI69n3d BIllP6EPzgu435lJ0cqVQD8LDk6wIPrEqmBFEBpopahI3wnQA8ofwwDbYmYS0Bn/tSAKaItL8yMz r33QhC7PH9amNfHjvbXt7wKDMieYJH6t/3I8YFDrCiJtBt0Hdg39grDBOh0S+MCnJ4qn9Z/ncxEo TPNPtWqoZL/9+YmnELm9QbtMPIvfoNhziXepqkNA6xHM0iij0R/h6E8LEX78hqh9yeVSI05dDhDh g+EmfDe872dHiozEIZrOjDcem1zO9y1E+ClMQE8lakIPikiJ5YcywELH28adj8Kdt3lfVaAoXKDg 36N6ciu33AT3cxofXz/2/CtMaT5OcAruWUUT6SMGtzY8lHIWp8vwEI5ou+OB9Rgje6ZDyUEx3Di/ GNKEQdD2sgzj+B2Y4V0RsmHq725I4WLs3GldKQtTxwo8LafN5pSP9nSL/QgJcK/wnV8ZnK4l0/Nc eHX2ekQjyK90jDlw9omnnXZcXyhU/hIwajYfwKwOnMIdylSWntufsz+oN8qNzApwt0CEjzVO84ar 9gwyNHO29vBpEwPv3UgBRzc6drtkIxrQk+EDB2iQnOsH7c+w7bU/g3WhF6nPZstQpXpyMfDwYUmA TNJ0jnrEwxCqhccMyS5/X+VLTrnttIqqIU3WBNFnG3WtfgiBoxt4gSz+nkT773lHirSYkpyBd0UC UMJtG57IrUnTCwOXNqyL3HoXppQfrVzrv0E6Rt9NV6CnAstgo1iGm7DNai0LbLUHStxSW802Buaw QBOwDmDOoq/q0RwmrvtUPq9CONt+9gX1wcjksZNgk6m6WhUJoaDHjmSNJ6Vznp4Kob1uTDwjUZHo wdGOdhMCgxESCMSr7h/DRk6mZ/zKGgRFzCS5O2J+kXry71YHos7ic7SScH6vhMNAfxbxfjPigckD zpqj5cJUKfdagVEO8zk5iPe8T7VIrWv7IxLr+rpeYsw79ze2l3diGllpkXzPonzbi8KtR+FeJbET poVZue9/SIO0DMRs9CDfoDyGAr36FwLH0Xj7olosLzyfv6HdhcpbxakpRRtfip6Idhe9z6xK2y5k +dOj01rql6my3uWadlFVVh3/BN4yW4nf9dWdEwCJ9jdNULFR52URlVbp97KBkG9duu4Q10/rfp// wCfT0TJMJPmhgoxqPGARfoF4gsmwc1RmNcCu7rKu+gev/ilfGS6nkeyl++DD+xSMisQ2NvI2fHaj mGPyEYkUnEe7XCHyMC1vQ47RNGby7gvpC/bcz+5IgxT6BdC+g2bKcZWGuDczRZpUrG6J+FRB1c57 6+06YmIdVtrNVe30WhVWan4DyVcgq02gZmUliIHwMRyIbLPSeVbL8aBm9ix+i1rAw5pJBfsLIM/J 3n24za/WXLFHziOdSaxDiYWO1T9MCFuSkIV5LZA9MJ7jnS3aGclzbYmgiTGrUvCl6pFMneMd+YHl oSDcMOb72R3E9DDpVKwjj+0TR9MD+agLH2RGL14tZKhDp9oRWW3abtUt6hNKWRfDtnwkxThG7SPl HY6yHRQLMpvBIDNfKwI5eByFDDo2po0TwZJq/iVd3HiEJ07BsDo1zbBLAfpJ1o5IOcWsCa/A0ag5 Jbq7r4lXn2XVnyU8BijXDv0Qqwgzr62gMsb+BgNs4gL5JaXoJAw8vOOVhv/5Mo7/drUKScbXAazv s5fbplfs/zvQUP/nufbPtxwVskPugndJdUwrg/8m/qTCWJVHy7bchUJd1fMh3IncQTXlKV+vZvcx rCmxlBHSYkMLEHME+16MHrN0MNqLAWuZJl0GOYLPRpDOszyDFOQVlkcMU9qqM4IzjHQYPb2QVsVk X7ngw79JEV6urX/5NHiP6BMVT7W8vVoqCHTinbyiyPK/a7tRrkmTdHgmlNe+GDNwaUrYmWXQ4j4y SJ3dk79+KuOKGcLKgd+wGgk9uIN5klMxUH/SmOpLrGuCKMxT+EYJ38SONhdEYm/kBnKH2RnRl+Kc Oxt4f+xwumByC9UYAoPsidRKYBpjYYmyIdmXTVRahC5SjwbHWSozO7zzTgsfs0sOt8SppsGzGW7L 1wZW2ncD5uM+wlfSSBYiWRXArGgxsL0x26xUyR7JFRp94XePWfFFtqiQp+0Q/gg8Acf+E2ricXAB +PXKH2N9KpcpNxc06lpMvlbW+mqib33CxAPE3BhfJsz2YvI4aTZ0rpS+NR7d/snY/j88qFvsqLpn dfgsoPte+29jg8kGncGjFmfUGoXboawOjPSaLcbhgQ2rYnvqYvUFLaFdkdD1eso1/iVI3qbfGVUR 3bq97B9RB7IZLMxJD/VoiMsjZmTs/MOWJvhereXAzlrS4AwyG4osG2abzKKZ/xgrZsqJwP/UcCF4 0dFZNecmoRfk7dhcPNYwc78NI94Y4CVPjARA3L4tBIHOLcuAHhZ7QSe/MgaUlb7lLQWcBIPM7n01 z6JE7jKGbUbedIf/FDohTNGUt1MLHzJqQMYlOJMrbau2s8lftJ1za0IL/oINPeKy31+al/q0nFSs rYEdJ3XjhhvjnHPPlozvv8mJHwLiaVN5/e0gel/tfTbzMgc0jUP6aU9/VcgsBSjbkEu1WKbR2/Ng z8MJFLnbF+iU6z2W/a/hTkCj7KobHHigYoPLsufRE15vO1G609UVAQHNjnHxI1QdMvnGeNXUEi/y 8ZNwMTRVaOlHVSVxrqmm0qoGYZ8ACpZ+AbeiXWo4f1xjjvfLFsti4ja/Jy5gppWDgHH5Xj2Yyrbs gtJKYUhOZTkjvnnGfYG+8YqN5HCIGHbe0iH5GESqBX0l8gOM0WvNeU7TV2vry1H/q3WwhQ0zkEC+ Yvhxy4BD0l9QQfYk9wr62NxNfeE/jPNdMeZtxXx3zbxeVbuoM9EiN13Er3zNzsg1x0AfMs1qciht F6txYza4F4V5nowO/mE99dzrb3X4hs1SB3VjWfQp+ZgeRD18r9Va+yFwssJqkNXw7QiX5GHqHcyj CyWoD7/iL9BOseZxxG57eMA2h286oNkOJYV/96AZN3pCVq6A1SImOMErBMPrMs6s6YKBpIgFQYcn 8lN6SK5JxWwQHf7EYETB4l4J8AzCV+nbrUbnGWjBpwAt9GUE4hQ9lFu0jBP2ha8zj/kRmImmscoW BaULPvFOR54qEsqqfzsacR0bJwrd32D9xGm2fc18Ax7WERO8Ti9l1dS9w/SCylMdNJS0rz1sRvLP brY0b+CjD/hQgni39n/lQYQ7ni7toIr0wmUSOko3NIG5Usqt48s4nS9IQR/shMJ00uTCU3aAJKs7 X17zMLptDUzyfFEXREshWH+tBNksxKgs9h/Wo2BHSNlpzuq4YDN/1fVjwdmCfF3pvrr0kq7T6o8a WhMRc+LdWVN/GleDmljuAIHC+8263I3SfmlIgBdRAIYtud79Dvr9jSPg4AgctiTdwRzoLNC2PAlK 6DJbmbv3dpnOMraV/aoFDN4chsGS6nwt51B0Q6Irl+gGOG0KZgGxJLBj5lwGGCZOgknp9MaG4xr0 IZwOfeCQVqVf7fbld68+Ccd/WYf8CZN3kyDOqbb+sCpmi/JfCYKY5Wn744DHziqXfAuRX12aKzax 9R8zbixobJRRhJR2Om+cXA9/vcrixEXFA7MloxWfbaJwO6TO6DeJ47MiF870fFCmiqFh52M08yuA 4wPNrl0kWNbFZRdq/ASaDNgI/abl85JfIMnS36m1AlOaGhGrny3cKKIcyz8Hj0CbbbNilFAboLn9 2C3BW5rtfxyR8C71jM07ackNi6IkJRfe6T5mmqzHgTH+Nrl6bUzoDoEyjZWBiQNyrV3w+Hx8MnlO lmS1aMog/zsXFGm9+u+SOULYeMbiyJB/i9Q50O6pqettGB8nVw2uwr4LFBhonrxFbkyyeaB1ovaJ axoLdzdbm+25umpC1LcLGzv5IoFONk0pHwYt0oPG9JlrR/h3gG3NC0FkPj8PgvGBPAYOAA/2u8nl WIUeirSUpmwshjUFBWhk57qaCMUyWOIOLckT9/EHLqOeQq8ZiXn6+Wp7X41aT7RTvfDfyxA+LMWF CmV7hhwEDFfsPZOVUeRqsJ2VsXH4QpfbGbxMwCaCwhKBknwgxseSnfZwtyQcVUp82YytYf8vLd4g Yulwd4sn51PUpFRrTfj8XNMp53wsVT2nQuQ6uHcvF1WMYJFLqNbv6ZPG1jY+Cus+LeJeobER3TtQ Wk/dlvDgW6xlEM6F0jIaL0B7LYhRcWuu6U98LWdvIapYBLAz7A/gJ9oypgA8QYjTPRFqG3Xn9iQu 2w03T1yZpbbA/oMTo0tsC5oJAHKN3M5b7Q2p2uU5tcYVtCR7GbgRGKqYlxcxng3zS8d7qc02pw7b KU5l3ofeU58gGy07/6CImBZtn3NRqjg5C8U6QBpNaAfDTTWkFfoEnE8SSNZv49BO7MXghevSadi2 Jesw0j8K567t7zqUm/BeQx3QndNe+zRApDw76s7BFkbnlMNUAnfLR+bd10PGAsp1tE5s+uCc22HI 4rZcjhZ4LvtkvQIT4ZLDTb+2B1Mm066A6DaAWYo/yniDRlLAPqNysDgSMsDeovC1HluzHr8Vhy8+ mHcvY6yEoh7sNFfbbrH3V2LvwXn7luLx00kAPtKQTd3O5s+TT9AFeuzZdXk/WBUEtauF2/Z8+oyQ 5OabLVFosjVbp1vUL8GYLDJoy4pdgWznhGq8jH3FSvLO68DEIllxB6GpPAdL4eopRPTKFBVrzliR pm9a1E555FmlaDTOMEGwpu+TBxBxvnNWHccDmEoA1HEn3nNK7jmNzYJybk6DNfMS22eoTpdTJYsd MFreCcKlDQV5oTeWSPrzTpiemo6a4wnJPHgRtMVwr4oR9dNPk0rLw8JNOIK5DpF4/pCB7KeIUVxJ 58Pm4z3NtgcGe7kgTP6zOw2ROqcWIuLtmjRRDghnn2BqtaTvA+FB2xqYPFql4JdksmTrxTp0t/4N CFzeER7ZS2FUQ1cw4lOUutQsbMJ8l55LXJUsIpDkOGn4EVhLwbk9WLDs/Xpz8vYNis+FAUQcCmr0 YpqMD8bUAHJzyAY1lCDJFJEYsbKolf2vO/fUDj3q5gEfRYIUNW9q8Sgcv8dnERCoQD96InSWYdp4 gqyO+LoZXChCFDxGvBZZTrub/Y9BjE9vMowVa5aEanUptFw4/UwYDiR+vpnay5P17A7sd0J1i5sL Ny1T6WG5FhdoyPUMFc6kD83QXT7di0qNyYHiYl/mAwFAzKSBfRZmjEpE1QDQbRtWwGe9paS46+Vg bsGczd9mpH0gHfvXBMp109nFI+Va+WWftcXv5hVA7JIRIzNDb91SoyIqWW7JQ0sdU4wfgfwtdPG1 fg0UZ5KrcVb3e4tb3NqahnIRUNiFxWzGzf0xrFH2jf9tLs2e0y4pHAArvaqpQTUBtwz/xqwABp2O njqGozFXtjJhIlEf5135LdptBEAiNIITjreYFBJU+mrqFVTzkWYi77EKHtRxCSMIgzEyC7ugFhdd FOCX6Sl20el1WGoD/XOGThWcCfpzCd95BoW7WWlE2zHM8xm0DRZqMwQUm49e2h6mS+zTKT/mIYTD ab8dej0pi4MTYCRwOhvT4IdS+qlhmTgq1HZDadNp6LqQk8vCGzKTVNbJ53z3G9uDLCV/DGexJQZY jqCI2rioQfTQz4GHMD+WpbKaeE4+QEvI1uumvIyKkqFvXPNjXFdRpOT/Cg/UkHMpdkZxuVXthsTF q6gq7xcaF1wJt4crxCqZzeU6WyN9sBD7Gu8x2hOqPMBcNkEUAnbZVXF8HNKvn5lGkUbxGEo51Nwq cWsmixQ3UlQu0dvt1TocdbToWQGlbhVXS5QDZW1sVndf1sxdZrB7bUFURRvbZVj4c2rZHRZGcUL1 9BO3n6NKabU15vAQWtiNFrLnVZdIuHAbm1HF+2PAKuSamx/chIkheQCPLq6txUejdDcC7Svr/cKh pPkdlJqCNkbz7ruZLt/CXsWs6LvhQrx/m4FTn8ybV11qCXaeF4sr2lr2wzLcOMHETPD1Cl5WF5mp 31Wml5ivDVyq31Nfju/Bs/p+07wFgOwgfyeLWlw7w8B3ryb9SyLfhIaEXf7xosexVNy9mssyZy7O Jeg+52fjbFugnGh4wLbFLSMRi0H9+lfrs/8J4MPC0uX1QeZd9ILdq8rhjsQ9Vtht/sJlc9xS9AtU POYXBT7w62e64KIzD8tK9SecPk/0RCByrzvbjT4VfdfcxF9pvTaiJheCxpwlUMO0fWhu9DUlNv/N DwhZZBdgXCW8jKIK0jGLL6veTHcBk9kFceDdffaJJCUVMklMz+holS3qoBNkJjY8oamyX9EvsOpT y2H4rurV32cq3TDfuoPprPogJrdmWtzpUUHW6coh4PY6tmiavw5HUJUweumDYF3+ipbr+Sa+nsdx Xflkq4dJCJtOek9B0xQ6AuOkCcS+VTeYeO9VL/RxhpqoyVG41zjRCEQSipzN9OcIpVZ5kq2HG0ok lP3XUSZadHJsaITA1FMS0RME6bZ5KB2kkgpJMRb1EoBN9Pp4gb1nCeVWhoiMQYOdCNXMMPKP0tHc UDGn/amZYj4yTFInu9lQ6/wFu3W3SnEz+Cnf+GDUhiwGTZS+J7pla4ef2d4GTmIOpwyJi0cKC19o wxKW7tJcJ56xv32PwXjrNgDTdhnoiaOwKa7PSLaUFUgLej6+z07BFRKkjSgHOwLTQ22k8H9p3rva 4q4ofN7cKQMblIyK1ewmv6/qmBPjyaVRb/BO5Cgm9e0Cfz0oLzaLBRy4jjPMQ+dXCfKT5WSfvTRR hAykYcx01rvJExWCMjkH15qz1MTXuZeXJ2dBq5n0auHgHAmT1cj9Z95s7vZ0JKWjuLTNTlEzm4y1 wB0f5UEOHClkTWgx5Jv+scUfSh9brusqj/AFuaDwx+OeGQ5GQe+lgXVKuSqyFXx03CONJfbajxaD DDfBCQN9F1jFl6VAn/xNkuxHA7uwR1Mut5MezLcN+9BPpKuhcSEIqGU6FUcvGli1F4TImGUi+wDN GyGy7GhJMU93Q0aAsojOrePmyT+GPYaW4qwfPilZ2A8XthBtN7+ODr4LVfEhwDUP0Z/0CgeU2OUg iFyrskecEDHOATFS9eqBWquGwHPvnxjVqcc6sQpuR3tyZRgvxo1jrFpQbqM7Z/1b3qiw9pBado3T ukahphnVCdDdaBV59esZ/xmNti/k5pvwTPdJv9O9iFTMh4AdMySwg4R3owE+IlwTJkQ71uYi0dJr NGOeaQQC0JtLrVSY+knIZYFEJ34qymjQQDmYrr+RS2rdbwJDQrCa/y4O6Bu/tf3nzvaxWklwhNz7 GcShpK7CcCilCcPs0LBoOv8HMxle4PI48ZF9DTxV5hUgO8kPMbhtc11sIBdrx71CslcUIsOdnwW/ dSpTkao6UNbnXw8gShPnhpwPD5+xFbQ/q1akgqtrGGAFDE4dro1AOZU+JsLlbymnn6p+xnIDHFA1 vCQrJu7X2ScGNGi4DhgS2L2wD+dG12pd+aV1zIAXDgDO1Ddr4kzlHL4ZvL5WGYDvB0Bmw385RHxA vJmXR/Xn0UK/BfIXBJMENEK8ls6/f+wsY3rMPnGODjzwDqOXpMSK2sCqDwMZyu2GK2Gxbfns9nK/ uOm3eaFWrZeP33bm/AF+W+IY5ituT/jm3dfn7+Eewb5sULNFh1QYLK2UcSG1TDgdH2K8abhpPryo 4l42cOOEBBGog9c0mXk4Dr1uOi7+PV7+NfEMqWviXBtOuCnFw4Huasx9pd6mxPeovJkGuc2yU0ZC oq+iMS+azzchrCWFl8RjJRJDuv2PfZMyN7dVVsUXltFSUFe2GX6UXIWNRR1owfrvMcSo5/Wi0eWv w4cTc9wMpqEVwW3rQP0VQUqwWNsOOkFJFCe6bavg7bYARX/MeU5VLEc4mpeywFCHDSlRijKuWHvq Hl+RG5CsGuFRVIH48oIlmuMUidZLM3nMGdUMCYiYVeMKg9QG3XfrpSaiJdBHOWa6bjU1HQ5guNUC pI0aMP7vYFBD1Y+fQZ53Chmc3GW+97rM/0iyodf4PKD/I1Qu4HoSSXO+lV3JZyTH/VDclwQf/gSz VMxg/e5fLaXcOcO73z22Q9sa5Atvr4rTJYct07GhCjFXGdlpXq6jpIu+hx0MrD/csovGkL+2hV+D 85D9MbozSZ4Vp20xCOb1oppDgdMBnWJFoLvxjzF0Ty58KcSNkDoJyxjJ9W9GwytOXWCfbs0WOqiI nsxpW0wl8kSfRS3Ib/5RZym55p32qspIkdjU+XFwBvpT5CVhvcges4pVsAMSEjcecAS/32e/pufa vOzngSnMl8C+aRSLIf/XUj0DHw+eoWaX2HJoV8CkcrNUzmp22F7ZsQB/rzKwND68xCnVhi2IHF9K ZHDZhonjjBakvpXtF2jdkri2hkWp4GjtaBkZPFLDwY53PX34GmKKJst/82r+EoZ8XjAXl6WJfcLU yUW08lTOPt6LwkWdhloRXhM73BMiTvBwQwmW8EDYROH3xquWrvppFnzftBrCLgjuqV2hc+SsloyS to6wIMfq8lr57wpoHM4MHFyXCYReJGUDBAUMYUSd1MqogfO5sr88h/CDN6iYRKNati+wNymLLiES Z5N5mmApcC6GRpr0yCNaO00Etf3NhTNMTgOehWZJCORjNmGH7L4ehxqCRkVKp61Ml7vx7yVjHRdJ 0MvqIP+hO7jGbTBDxx0aCWDaS22C+9DHjMLEI0Ld/kpAohnNDrX32X9H8B2FPsuK3r/zvmyr0GcL o6fBwB+rNH1IHi0/t6E93fuTp03sgdWZ0i8eVgy6BeUYvbrxgslzAtioxC0anlnDNiULPPPv9p93 85ImnAbgU0vq4QSZ+JG5GTsytlWEftl+Nlw7xoaKdxb4Dr7i5Fizei2jZeZ5mrq0RKFzdabu5Xtd cCYNXfHsUgvGPZZf2+iqvJz17LVdo0thEWFHBrlQ5icD9+OdKuQRVfMYAnVwhl+HYWbK2EcWuF/P efVWFG4G33Iw+j3SIECkNlsuuiAjtes98vvntnABF1XoiumYV63DcDfTzsvLkRhnCKzL+x4yDng1 11ShWHUEA2UMtr8hatPWOXHsyHq8and1sJ/VxZldXToO1IuuOkCQZtdX41nMX/1Nn3+tjkYH8K5K ske9zzVBOATWLELXG1NDqFfkPdWvtgXZR6lFHcaZ08aq6ysnZ9wGxyyG/yc+2ef4pv5aDeH6a/v0 d9iCjmThuGaTFTIs0mEshA8pFCOkYV7QOIEgyAWDegxR9JGg6wJ6rwHuA+EEwlGMSLR72WXTilg5 +IB9NY8C2AawY8AoJ4RRNkGImYELBTB/a9POEkdfZ/TWXaN3OCz/jbtlrkeQxVzNSQdDbsqmVkWd Rkd5Tgs85ndBHzyMxzpYvfifMH7EL0SlLzZWyvLkaC252fSKf1kN6DEf3HeajN7FKBdlcpNMItL7 0jaHtsVY9Ruit5zDZrrqq0q8G+qwA5SXVvVukkU9ch7Igs6XtFZ7E7Zjm0+jS3ZbNSLyNeIGEIGG K0AaGGPC0jjV/I2B17Gb0SmuvAN/cKzGclJSJ+fDnjI0Yrv+4Mp9QwaHYA4VvmxIgL0YjG3X48Hw ek5TteRMkoL/WPBqy6WPZDqSlkWvCmm/0jRXtYD50f1PhONkeeEiN4jNWFkXIUVd6zqgk/GxcQ74 cgdlgakJlqt0gmIraCSYAlowSimP8h12UyH+fqEGLoxbeGAKoCBdD/WEAYRy/7BPLP0YF/depfDv AgaPtDLueU9yHWtoMfmX+ivX/OwxitBdPowenRMS5703YIOdey2fYIaL5XcG6bF41MmZ1mWfO7Zn j8/054MG4sxN8S9B75QA7MenLyzLXHV+sXkfwR4pxXAh42iH0lwPpJcOQZkyhUxgfVUGPDk1Zcc9 hrW3Jaicf0+lKn3cctHdBRhkfFgyiUTXRmehwVyqHKOY9bVVgzehDtiSv4O9EkXiwVUqMpezuGTk 8nWNVoKLs5P/0n6nVUgZFl8eotvD3v8yizXBtjkktiqyukQ/sFvxN1LZ6VkaPsYaTATYsG/uQg1s 9U3qxtefgfK5pk+Tg7KelIFDJPcOBzsJliCO+eBSfYuP85QK7Xg+e/jvRcah+frWnpT3XnP87NT+ 8WZqdQY3iF9RzoosZupeXyOE+IQV2w+94Gt2z1yJc5+eQ7p59w71u38V5Gyqbmh5XcJZVdooUcMc M4xSV9sSbdO/QUG8NN84vqS5FNKlGv/fGAE0hNpjBH6D3It0ebjRpIAQhdWWdolGcgnfjP+9JjQL 6a0fVBxDmGa8Lj7XWEl5U1LoetJxLnezh4xP2nPfdWD53UYhTq+LasQjJu/dXdHQwKl96ciwgjaa /qrrnXRJ//9TdXx4XZK0/4w4EdwQzIeO4xOq1w/hkDui0q+uyl5p+7tYNMrdGulVaMcZqiwlZz4F Rt8IGydK8diwO/Ns6JRprxaxX5X+ZGYf4Ll9AG1G6h6iU4Y/6j3cAnt0HjpJpIcasMwowC5KXPeE q5OGHwVT78IvIJwA4rbCpFC+kE6V58oJFNDYKv/7aGZcPmDWGXs0BJ2zMYGSotCTK+Ph2WYxpVF4 lwnHWulKz8UPndLQiG/b0DYIKNULKKP6Yt0xgZRLUd+xddw4PvhbjoL2kBjhhilu+2VZURYhEt5b Mdqd0d1GYetdyCmNbPMdvyDXFGTDyxdWFP7OXALg18sfdxo0/rhycwvVN2bGhDg8JaZUEYPwwnxm 8MjLwN91LvNtDL5QfWChar0VnpdsRrcKEpXU2/vFcSNCiTHetXiizDIkmpm1swYzkCpqVEb19tSo SeiV0CBsOpQm2FCOPzIfNiLtx8p3PaGbLiQ3QUVaCOxdLGNbEpF6y2Ddl7W8KdPS2gpPh+Q11Brq fQIYg8ZEg0iNJGGYVnZMbz0cbkozJHsKJYjEr/XsCI9MUJuqEc8fVeRtK3AZ1N2l876/jdwvseDQ zqSlOyCaxEgDl6rMA2XcAqH/TktKNu9Mz8MKbUmUz9HUDj+rVRZmD25LZC8jfYdlCAebN98hfSvB Syz9Wxtwx9nuOPzjhKb8QX0VzGC6NGn0l3EUE4jfiJvhnro8Gm/GnbieYI2gvR8Fpqn/r96e2iaW +imjHTr3V9P+VZFLriNrqe0/514izUkTF82EKk1hW3mGkhdwdSBd/kPCeUbfF60n3FIQwhQ0nVLV VnpYOmQi0JcnCDXU0u4rfcfMmHFsMwmYUOrdevVLQs0ko0goE/uE3Us+2pzlkI4m37+bLBDhLNQL fT2DeZSuO/6F7JWTRdcb6hifg/imTx89e4IiVPbFfy2kCPfdGYDdNcrjfzwfiTup6b+svRG9PXYd WTsWChpPf1KdnqeRM7tTHyemHCATrC0xH9ay26nEisdUQdPUuUc55fdeQG1C0eLA0yHJaD4+wrNW +jZVsd/E2oNukK+HhvH7j7xm4QbXkPrVD6gWMPaSEeWmoqB/NpuGVEFLm/npQ5yqY2fQwA0BEOec YPzL656ezmVw0Qkpw+EFJVxKNL5UOjAodcSceEt67VY+acPRGZT8lB4zE9TBr3orB5UBYi3UIRC/ /ZdLhrDsU31nfF3RtAJKg5AmUdoYMXeaZq9cfOkWhvmQqLnyQ5QtB6Jare4HgB7uzVwyATTsjzg4 V7C8Ctoqak3vmkoGsTu3pXuHoPEalak2/RzJoRlEtgWKip2XNUgUy4BO40ntVf5ELK7GkQSlkLA5 Rxir9T9G7R90w8nuV9rDXUO4S4A6rcqtcm+xZEj5p3DrD02Kc6jrnsmIXK9lfY9gL8jXOUCBuMYA cNFIfynWNWCN8XmxiQEb9O3I79qhFlTTRjAHJkUEOYCtMQwPqRPkbNcErVRvUfpYdXRCk6sb24Ga EM6HDiSP4sTLTJZo/XzHJy7egBAePatp5GJ+NKWq2x1gmq7Nk5RVxCSsVL+1bK0Qy8e0jDhFr9jZ WPzOUcD3vHUhkU/1FzpsxtCg+MVj3hHxi0wc3D01Z6iMTalBH5cgj5aoQR69F9zY/UHsrnKXqe0s g9V12PsOcw3iZVeOtwSD17QUd1lDmne2b3qhdt2wUmkh0PHawbfeDS3i2NvmzqZkTrp2H43wnxZ7 RtnM8fnfYyVr60xM47E6t6XoU2xcmUZSXQDKMd7QyiqqFmoGAPvzECgMHqTqpqL3f8DLgqQ1HsqL N5wBMrKQWOn7EzhsqGERcaqoko1uTzEa1oEkw4hGilDFzsOiz+Z1JEAnhfGzTkV16DlFHZPfyexi cX8mXfnfVHijN6ol2OzWN9V3DMysJXa/8ahOPs9UfwqtWeBZmrASjdcSDWHU4XKyLkCm9TuKSqeJ nifVe+1vnQwe6RnxWEVMbkw2BMoYM5ZZXGfn2xsIlgjvJHfFCqa7td59MY7gbAqbQYOKKSdY6bb5 h0EIwvCtrQoBzuAiPPIhAWzYP/5GWLHPNuVlXK55PybcLCJm44Cg7BXKEF9SsqCqb1bJyEx/Ts8l x+XwKtL/k7xnMMyR2zez/O22otwKzUrWpG1N2h9UcpwZyUnxletJixNAmBO9xlufnkb6jUL8u/y0 /64QFNeZKkdpk3HIsstINEl1brdb3wMAVZ2WUvC18XkSViMkP79ZyM6IR8lrpFZ3BQBM9lQ+sMQt a3VpcUAfMjb/EIxi3yaXrH/62tbPo0Q3JBRqm/YHcjgrHl5Oe9HiSrCvgoc59J9LKgKKnQBEwSng tZy+VVsqz58SzC/FQUhDZiygTMDhhGGLVljN+pbvdWQ+2r6uNsgVYz+6UmfhI75W1NqMIpWH7vdZ FTlF0gA8tnxxtP+ebKGVru4+/TfT+pju/STWFS6wGbz0Wjy9lJmGe2C7ZzB8rcLaXLzEpHx55Lus ovyFB656+1uXHp27n+ubEclLu2c1Heldtjv+VGrsme72EeiTPXk8N27eETO30CFGazy6MyV8KO75 J4WBaNxNxra+NS15PFSSSkMMJhhOyGwNozTq1vEufLqpczQ9FYam2kbkHDy6LB9quZYp52g5ayEV 85VrLW7ReTMkFzLud8Br4ZDLQm4MtwovTqJAC8QGmD4kr+MFJ+UxZs0eCT/dS/8GVMqUh/nYImXm fW+vnlXNfdAa3Zm+T9E8qG/nSx6wFW/xf1EF8UdkwO4qvTNMJnvnKk01BV6T1Bdkz0LcIUc4rvvw PQ4NfDFKi9BlQCjQmVw74dROWY72/UqybR+fkVH2rI+PG9jlR92DdUtNpLCVpVen47bbvJk3s+Dm bG6EaLdJpLxhe8aKgAmHlzr15KaeTtGgCzd5Yb05LR+Fo3ifrb3E5pEupZPS/v+ac2Rzk5AI0Hc0 NmWJrXBZqbjcErMCO/FgK4njpGBBe9Owq8wyvN9aXKfxDbBzZBNbZZXy4E70b18vhZWB1fz9b96z VPt6TIHHs4u41mhJHJG6PA4BkrtGtnxZkye7rOd4jUtsgcYZoANcKQ/BFMlTPfnLZRIUg/KJKeXu wO5NV5aHctGLUyI6DuZ50x0OcCek6wAjSpnea9wqakUiod1GMa5ayo0q71jXIwX+zLJkPs9JiKiV fwxgCBSSOO9OBDcG3ULGeDWpfJHlKxKTx6m/3fteyvqyjYs+LiCXur6I3oLd86tBY+VddCBHr/aC HwnCp4fiwto7etxVlq1ag4F1MujIVCYTrkHI2odq7XjyIKhbdGBinIUgm2RuEzXtAQjr0SdX6yER ZYcBibXaV5RhtNMdqASd9+/AbwOerRHuj38KVOTlfGM4M23gn791n9iSGsXhcAjNmd7aA1ZEv9Yn NoSkBF/Y8HUtI3fKlca1gA6WdRKhqGfUhZODFLYM9l+cmws63hTrGagWuXJ+J4cyNjR7LrpMaEJr aKtfvke6naw39I6oKeC5sd0+qZnOeKxxSzgujAMbD4uZ2Ro5fNrXkfsvG3fmG6qIDWnzefEkSNK2 WH/dIoxw6wDtDZt/b9ZXBtRGch4+oBKIkdU0d++xOLHupIXDtwrV2Xn8R5xQ1aoO6gSSP65kTDUj PQgSTOfBWbFOfbPt4/NtlMFaSyExFnCFgZ865+9ef8Izdfnh7sMJYQV5aO3utko/vZZ9zyFKgMn/ qCxsSCA4BK6kJA/MNk6da2RiL1MY2bbznkZ45UsCQlQWdCtJ7KlHcvr0agXB46XzL2PI9HKTHfb9 8cfu8BSiTpzie89Sox3qgXx8/oqQxIPPkJeYYPZ9ry1vHDrq7Z6w/gijDkEUG4+T2MRrd+v9hyDh FU+uQBFepMjjH9ZzfSrkI5M7fveu7SrYl5hcw2ns4IC7zBaaxCIMTzX/Nfu7Ty+2/+n73GrowWku Eojoa7KRHjqlhMovP2Zk+1Bdis1WOZoiwHR9bPrT/KFZpd4uxaTuoVgecxdv8PL4ddueROPoZLVD JuzrHJl3gJe91vM1+0xeoAoniG6Li+rgP1pg1PkrFtZnr9w8h6lA2bVN0sy4t0tePxJ7cjJKwUm4 5LKibNZbbO0fkDlzfgLgldqLn7KjV0nBMVoX6A5V4ViYkv3eX3Xzt41LWgo+pS2jML12Jx3fVyl0 NqYcBFelDdrFCl+0kgOljbSqw8o94kcP++/XO6BWn+3GyGOe54qAutzfcipGQO52m2e3KKLf+BYY Y+FWzGcRG7Z168RMCqLOKkogJNfX0qUHB0My8FmlFSI0G6iNTJBy9hc5VKmWUy363Vx/YRLKyMSs ZGZjsUkalO/1xlALFbSMWdH93XoGn6YzNnYlDlr73UvL0ZSyFDnrmqEI3x4N5XYGz9JULbc+d1Oy aFV2XY46/DuIuH6xyZPkxXBu7Q4OfA6JWCedW7y0wgkNQkTNBjb/Zyz4U84nGoVl2zgI0OyaDGtL xOvrgMg/uBBDntR+FdemPcAYj9IFgxysJtB8NImPdAuaJAziZFdixR/91UAlWZnMGDeD8QZKYFkH CA+085MbHMV16/ltLaw10JKIUgU3eRLcw/qh8Mp0fOgAMMT+706Cu+WySciqANH4iQjvmg7KrfPJ KNSJuWypNVExBXTaZXsGzRnA7EcAaqQzT34B/Z2JTl459k+fW0fbURDJ2t96/M7/kCu0XdhHZX3H pqu623htUWQNML2gyd7JToIGKFH90pA+WI5EogweFYJiawkR2Kc+hHhk7rlvTgkqcz//TSpR9hPJ UOG9GsAqXvp3LUS3/Mgtng+XHz9rCsguTmL+15WY+EZn2fyx/1s77ibjFD4PNnZuOQ1eL4Crsj+U CFzMTUvJKwxgMQw5dwPXzXw9A5J4yAJYenDieE6/XDvBIECNG967dn5Dvqr5ZyMS1Plk5x+V9JJ6 Za3aS0bIXRCs15n3o4J9B9eiN+3JhVWtcIH7THs/lVBmKOKnqM746ChYExDN12nGgsdR76DncpNx RsLU1/N0whUz9+vQKtqlrXaiaYPd1z6cHuOhE09wRKhtHh3WRWCiqcbDwWiyoU/Dr4+q9IvJ2mr7 ATSopPvrPXPV325hH2qFqtUU81KM+20y5LluMA+80ds14C5AqvUFMaXRUZu8kKhEg47sk1HVqEm3 +DFYFgtkBDpohk+fQujhiQRdqJDYwpDC2qRwxj/xlkjBvqydlqgloooAmxZafKNoAWUd1MLK/jfx +ImKvi5cRO9BPBPyWEcRdmOjJN2FC8TfJq+UL9vbAMjhvLht6nFU7ZdNg8mduUgUfEqg6d1fx+w0 hOrxB1wz6ZZjEtY2HPNepm2NHRJWysJruUDb+ZUEbwXY+QLxqPCX2rO3Jp1bb1R89hL4G019lI/B lU9MIYncIMbzMd0bqACFN4mNuCPtvhTtgvZPFoy2opj1NLENIOKFUg0sDljZnSu+RTVe+OG7c7C4 2H1QCR3Wb2moq8dkBGRuJFZFqtsgZ+B3TQ3iAlFqsVQM8YjncRpXz9wIVjvAN3U13thgzq9O2cJ6 +sfEUhvgGNAvOGeq6XJeT1DP+a4PQ6qmRVo0Mrkz9C6pNx9yzC6iAY939jht+ZG+48jvVIg3d5I4 tnNyzhRzNPFBVUulHaAC4fcXuJXwfOth2diLryr3gbYQEh8QMyBqYR3jSp0aWhOL93yM1JC4lb89 xWVXWwlUQflnD+0yKKr5GYWGnXlacgTFLI8Z5mjHraoCCMr8Jb6Rcoz72MajTOAy9yPhLP2T9fTe ZdB68TQM9T9o9AHmNCYSseJDXtBc5qwLfTuXAsmj0Vu8H8L+Njk9LGcVQXc7CFf624w5BZD94byG FAPp5psRkyhEcYIr9D+kJZPzWTwpGC4A0DT4OQMH6Q8nvd+vm9zm1PLQmTZg6iJJiLocrAWTxC2V Jm+XPImbaFmfUDUlGeFhD+Fzb3m1PC5C8HrlFdmgxyXUrWTuJPzzCveQpteESpMH2UqarKge5IM+ P+pRGRKKEq90cb7rcbfBIzdMGUaHYUd3MHDua/UvHUg3UeveOP0HI0b8ORc96QYOJWbQaOIiHyKB vpSROdYZB/uY9UBiOwWvw8tpSahznhpcHqZMabNPY9zWJ43L3VNsd+vel7swIaG/jF3qXckD2T3p Qmp0Hc8Nseuvpc2iG3V1eNd6PT/6vqtp6Xum5VxzMVx1TwwzOdgmgvLb61eABBW9WA+xETHOwMYI 5x+ODKvU0M39NmbnQZzMjrbUBcSjzITEc39yADYmagj1XJRfd8GrmaPa83jmKCdJ6RU/EBb9w5aK JcXauQphNixT21iJVi3XwXzCJkMRkI3kLhdYu3A6QjQP/bU0BH6QAf7GW7PeS4wH0D1kDFcwkDoO DApLIwNYaTe99k7V8w0BrPFtSuce0FTE7X/J6A77fdXOxgIsVhOQz9ZnAKLl/p8QQcMJjx245MYP pTDZA4X/sfN03QdNYINEMUBRWKgI3rEi/25RKWPAujV7r52sG1J5jGwT+kjct0M1oX+svWTH0krA kkfQfTq/AXyi/WuC9trRPjYR5Ofo48U3qnoW8MzSoGF69cXyxlZX3m01dpCWT5jPvHOWogCwezOi KurtV6PNgScIj0zNpJ6PIq+Gg2ROWgs2vUv9UzsV66F67b51gN3GauejKH5DTnBZ1216l9cDnOzT rFwadtaB5Cf91ujkjRB348h3RpoIdNXhDl2zMA1tpvuyMGuVTrZfucy0EQwqM+t2xUmmOr43Mstx r2X85gkm9pJGXhaYqxSVruG41pc3Sb+QevxaORCV51mCpvmCrvuCK6tjPQd0Wt8YxYzqaMTfxkxB sX+O1LvbR4k3H2YylR8o0hRstP/O3nLO9KhcdzojFk1m6i7HKG0lqI0N3gDM/6QcDSRYoK1vyeBD YhOxE4XesberYDBQSBi9E+3Kodn4CBKguDwBCGNmpfcXBirMkWI46E949fRfgZ4BBeneZue6fAij oV3JAa2DCPjsVya1ivvYG0Zc0/HD3oGZnLJCPE0mozi9CvlcjpSmhcf6/z8SFYrpca3m5N769erN zld/B+QvwMeQUTp/tbL8Ay87eijFdLlNRL+nECUI8AHy3O1+ju90RoJ4S7ykkEEprYf14hL3ARjY FY1lN7c+rfhzDKh0/heptfp9+DFXPS0wUY3+NEZ0IIwmM55V4QfaWj5ANx/u0x8I00AHMiXtbOvp wVoo1fvAaDextKWSlaLFF+O13UbLPMJCGqFc4Uos/cqa01LNvpAb6Wtbw30cqa8Ser5evYgBz8vP bSS+V2+MIVL4I6US3f2A3VZtFd74y6EckejIJMokFMF7h8E69m68L9JwvLX+BiKBmtyZBnc2byWA zKjW7L03RjBm6zsxCIbvQO/NFW/vyoUVe6/J5ybhE8LIO12B3+0l+iGMP2/4fJgVuVmvkR1WmjXh 7ICl3ZClZrBDJI+BR2nFFIUPwRDoT6/eD+opVD6N6WBFjyWuDs5w3gSLKHz/B8BN4jacWHDLFtOL sy8jRmdqD+mD4OND5eBXMVF5tBihg6v10vQURMPX7Mmb7KYLsK5EFZ9e65s7cNEhs/hwNxQhgtEh DY96+KMT8ZXzLfBAyjb3DNGYqQjSZe91Ox/MWHdNdaoRbFR3c4Tf3Anl4bKDxaBAkpTOFSCh04VL fY6Rvx1B27KD0r7HiuttbpAelvcsxGQSWWlOLn37cGUltkW/J+a0Oiy26oWyt6TL+L/VbxIzoOKP +ipP5kz9g750V3Z2i4u5MbrK/66XfMbwts0wdIFXwC1YlXEzWg/ijj4C8QNURwD01NH++qD6V8E3 x0GB/iBVtMPyrLC/RnhGEbAXp0tbnl49mqaslkyBZMnJzivSLfphuYO3cQ2c3q03HJ18qOgEQXvO KNGsXb8/RWIoqepWJ0InaM1KjPgGhwcIRTsxV/z/RzNKObuINa6ORnirZR9SVfpk1rapp6kmc+UF kbiFQc9cFeBfpF2NETfOXYHlHiyHwAU0+pBQSE8iR4R5WOR02xy0AQqi6nbVDVGqEJsH0t/jDIWR HQY40NYJtk71FZ+913fnN37Hpey4O7+h+d6MBf6P02n3wlJpwFaATkFXIHeOtf/AeMt30Mh8G1uO ClP30rCHkkEBxbao7lBlxIkHHoz97qe56iMG6GtMPnWGGQ8c9qeF/kQpikIDGhL6j/K8oftaBfAY mAIaFhD9YW4oeG1TaZlbknYdQg9Mt6PLytFz0nAZMzbPioz36wq0bpUWSB6Z1cUzZWvDDO+JWsZF /2ahlpRr9IbinkeT515OepumKsgl+HHkx4nej/hAQYoqRazkgKV2E8pUHr8yn5HlEXvCw3qrV3ar X46MTJ1d3GzsFsa5VqJBgwzXM88hZnhfxJjHAF+VDqe6N2SURvyYsq9GWaSBb4DikwfyeZWv38OI iNy1u1gBPad51a2TINnQXuH5v4j+aKtXdvetLRPZI7ItR+DwpUw9ZMTFZLxxObdf0/Mg+4zAE71+ 6GpqkPOa4Tbe+XTx16Z0T21fh4doexrAmeppJ/fkCLHQ5MkzfJMLzr76hLQRdQRdxd4JQByJY14n FDS2lRX3bA/jRHnQ4oX1fvEhE7ACd5xYmYFQTYBur6NOMQmgznnYtZ2KbxwmwzVGtAyz3rOzbdJP Oy8RoCpG/1wyZ9nCACmYM4MXb2lOfT0++7PYeHdnrAh21AMal2RBTsdFC8rc3CV8Y7K4m8x6AYVd 2RrPE56jVRHy5md0uq3RsV2OiVxCKA5rzTEd7SCgorOmGXPLzVNu7jY0YV8felSJw2tZp042Is24 Ky278eSee9b8aO5tuZoE6shrqEpheSQoBntoowPkFsICMn9cAliV7ir9l7lfkqZTh02FgEqVGXul cB0p4C9EcfIU/YonIWXA+XeLlMLF7XMqjlxunOhkQRiv5fThWNS111fVRtnC20ekNuUQMgCSW37L LiUmmTqJyrw4Etqsowl8evkZ2MVJLB9kkeB8v36FS0zuHK3VGcFJiZUCRnkaraeQ6b/DLQwSj1CD CMFeN2Wsr14pOa8sovFgby7smVjuqPWGxgJ1T6i9o5pu2ycO7+SJ2easdv64FmlCYkHNPmqLkRjX kgjB9O/DisowVo6cgI+AFd+DmpZnSpFMuJkTcVyZ8hwtDPTiNuApruE+qg52VplOEd1+gr/MMmuo XxLA4z1TU0XfFHbxejpLqxJ0RLpEjVfhZt73EnAtGBsIXVUX+YMKkWxrWFpk1dmx4P8lbxDqDX6D Gd410Mm+7QI9UuOtDyELNJbWilZd+hDl2gmQ1B+OP6L0E3P+kPB64fjtqhsF0B+91bL7Fgxygn/j u5XcMBVvVOhG1hA5ReUO5tKhrlMI7gqgo+imF9J5cJeXHHgtJDzA14dV52QWvPwwzSScqgprUjk2 G1p+facYkXAxadlbbyXYaLSWcfmDfbrYmfj2wU0fPlEkDQozV0MgUdoCIF86JJt8S9gCJ5YdczHJ 4E10XwvCTkOkTbZwYKs3RH7zaV34on72MvGyaGH/nwZGM88j4qMzxBHvXB8/ttVcbvvf39Fq3TPV /sSg8hRwVrE0gXs2/sjywsvs/AQh/FWywbD2xT0k8bmOxS29HtdCqMRKE7XMdVtfMvwlFiVIHzia sN2XM8d2+9a2PUIOPqUscVGXYyLvcCYhL4leaIcmLcxFX5zjNgcL6ir06K/7YjGE9QcaUkgxN7+M a03/p3RaMmAg8m2YUb1Sp34ppwx5jf9LRHWoHP1Wx6OMKAJgGBII+y5fTuAfZ+D28doGr7NvFokr bDJ7jcJu547l98eBoy7Yr06KCp/DFX7KpBmnykBY/l4aLHOMFuhAN5Ru8On3J/ZvAgzjbt3XHo1h Y0rPH5gtUGRwQ8R6+AKLZg1fHurgEt94vbe8/TgocM24dvya2vi6bU/5qjNDBtayZzsmrrj5YQoW BKZbpny6y4xFh+vwH3FgJKMAHoJAYRLldoMihBBnPT9y94OON1cMNJaUH0D+OcNk9ubbv4Incku2 um7KSlAW6X/7Rr37DdbpoLpLD1tg1rA+LIdnVqdcjLWSwFKutABstRRB64SoM2lRjdNDJYPlNFR+ bzyk/93VKdx1rLzu0woJuiGR9ivpcc1nwgFuwGAYMoQd+/COUZZCYCEJ96kLMpJJ/hCSpKVxozMl ugF3jUPoufblEIfQOMyS4OKNjB/mxfjd+Y2sSesVZVTDtdUU0sFu36pZihfn0ci01UQXI4CLf8uZ +28VHhWBgWmcKfO6ipHoY207xbDO3uZuwvH+JrzRlhWPZJ5N9vhSqwN/tqsVFyUhzLXj6FQMYHSl jvcu3oOuCHnGRMSXMcQyHAG5xPdv0bw5PxXasjOc7pifC8Yl9Bl8TnsE7DRXq93a6VVdizhIyop0 83HUgf9M7ym83o9k95dadv3Q8KNDKqpt8EvkT9TDyS7F8Wn+CHk8jstnyVViUQzkwVmVQ5gi55T/ uw/npljKKhZDtluHvoLWnmq2QZFnFmxUUi/5JnucOuyqgt0WdUnwWWnuBsiTncFC8eaDrq4ApA97 yeo5xc+GdulTg4kQOHwIGRvXxdcw18V3uuX2HPz1wbuV/bNQZFCd1MueHx0jIWgpzGZL+q/3JTRz g+YRyCqA8PLiwrncEsX4PbJaNXeLZGBwHVDylEwglLCMWJ2s99NfBjKEPdQRsQuBcmdfgbVez+zr 1zpZS5Sg6Z/F2rJzLuRhh7He+YEwoYK/JVP/wR2QV/auDfAcRq4BCLt5vLgHMH2GBN2y1YS7KtPo Yd/o2CUz3ZRakkKa3BLRn1F42rYIdYOs/QBCayHwuP2GX58v8IwWchPoNe/rD55QY+skvhc6wX2y yBDLEntTWMqqPhGk+CS7+NDHtzNOHKpisWU3TkiQYeNgiUl+k4JNbrHZroX1SXj1IBvYDhdlcqPI DGgBgTjh0u3YjeRSOhFuMoSGgJD44woKn7p4WAA2yQL1adWNNFmuw9xw3Kq7HRtR/WGxVjr5lGcH j/NJoY2NKU4kjvKWXtU8BpqUZKyK6X9hkJoK0x1umMnjObE4dfoA081+lgGPOrAE1hst0ASKwFrZ cx9v9ByHLjuqvFtGX+ShpopTyiSVp+FpxUfoZCYKANQ3wKKimvNFCgeNzAHLgX9irMhGcUgkaZjt kJTqK9zkzej2/y79oPffj87QpqbwqSBg+FF/+W9tAKXI4GrDurdO/pfWhMPVCvTsHKB4sqT7rQgr pPV82MtTnpr9mv9QSqC00yNTwxUDa0ydWWZFKIS/V584dyE/gjtWBNcnnsO0C/7BAxmQBa5ls9/u xukX4ki6w3R41wSFDi+H7CemZKhz/I6vPa8sOBILDxp48j3psQ7/jj2WI1juZJptXkF84DaxoQCw vfXMrpISsGGYqyQ0XMJ1KF607pqnaerc5MD9IM5MKBC6VNwhxa4JTfdYrTtNS2grCtEljZLQFn8n tGBZs9bTThTklqlAKPKAz/9mQSuwMNmaiRI7eysbIHU1Dj30dYhEo9xDJMrbTSJscOR9/jn9Yzk1 2gtczHuss7Ar04XiQYkxKQV8gUReW4daaoZL3E6Sv9GPtU/bSKrN0Pzb5aLQszJPtgE0AK2TW5t/ 6Fh1DEiKGhcClfzmo9ww/azQfVgNZ1t4Z9OeGeb/jp1MoO2h+DCvZYC2aFis15jnPGLGDqU1MQeE Xf5Tcvs+jXLmizeRaO9QAhpHBgM+1utkCQBch6b3Ky5Q72JL6GBaLUvDaTwzdcywsj/gEM4lZiWf onqY7Jqbx+BAf1UO+SX4esKokzPGbLgwSrOofFWl27GUD+jXlsopgH4cUDPt4xeUkKv65HrZUbbZ TdtviuOqnKcgpEbnBHQ4sBRjjc9C0dJgksVQ3JA2YWSrQIvHAh6q6DSCCmZLeGw9ltyTLp8N4+t/ oNrPPGq5w3A/2LhMV4Vxf5H0odlYO8rEWVWLslV/rHrordZucK14SG6G+xmYMCvUflF0AV++rhF2 RjBqUJiRJnMdXRSkLQZPiSAWa36mMjHaFIxZWe9ata8BcCN30OMr1Guy8a6kpzICw0fA6TJEZ+sn GPqNxAL8a9foKgWXGWzJg601ZtL79gSnRwCIwQbJ1/Isow/nVWTtfK4nbaPVT+jwugGPrGkVIvjZ 2lV7Y9ECGapYw63FIf3Mxjek60BhmllxF3zvoIPO8Se7upVTw8hFLYtBtOg/kDToZRFHW4W6bipR kPzg/p3zCqC2u5ki4RN9xkhzaDvoNjpujBi1QzeqxEYrs/Sdo1bPZ3SmKsDysp6dZS6lR+B52v0B TyrKCcGsypG+RL/a2mmYkCZa7ZZ7+xC9EB7e5fhhrkkg2Nq7xo9nnFEsliqh9lVf0zxyGTSFHrMV lRGmzm4v6LXI7zG7GPMgoEq6k1uoMs/UuMABT9tS3gAf5hHfx0A0HT0wblkOvn9W4zqT50ZsFNXx tx7HXHF6rFZzKi+vaQ2SNBxTzzHGVuBes6R/t/abkTUCVdQTEdPBDSg2uyYSOnwoeyZHVwOCtWaK Ei5NvUEHzp60JKCHoangNA0sW+On0kHRnlKObyKuR+yRNUSTkbc1o+UWmbsd0Pf9533LxTkjrBy9 j25eKtW3+nf/+bFl9iHy29wwGsIFQ6HkSPoRlOtDPABbMO+JrAsDncKRFS+lptO08ozpvAAqCNgv gLts92ORJfHrv33TPX1NejtFl+K1Q5rRy/wOTZtRqWMkeqHNNBJfJ79BCa4r10K50HaceUoIOyrX yOC8FVr5TH9gA/qDbXgIhwCYPjowryApU6iFeJlJX4N19OP6Tgynl0wlXTs3bXdjPVBf+X7zvwpE 9vFGKDN1+hkRNmqZ1gMic/A8nljh8ZPi30QhaBERPwWM+qT23jOjtKARcr89aSczziZYBmY6w+M2 1F3za0bAy8K4kRGY2Q2eIzM0xvU05kuGbIj12jJ9LKFxZvhzoYK+LjNR9tUFgIrfufSv23mEbTyx yX8M2i87ujdkgLhk0Q4mcCTOQJs2lhE4N1/ZQERsNoAwZ5P1+9R6KI//7y++WlwFa0e66jwZ6+e2 w5PhHyS5Wrx1p8vhDJwi33kfpyArlIE2JOMuG+s3YQ/dvWSNT7rDsgIz+05o7HLK3ljzcN3J2Cjy 7x4EHE5m84IsnR+q65nsvlNFv0KeWoTvXQy3fSCe5/fS5Dc4vs6gqopfqIerL7lCf+YJxwIHQdSX jmbRynxkN/G2UllRedHUwl/IirCVTC/XnGt9L0C8Emxxl8SegbyqnVT6obY943eZCMpbBJ7wNagn JbOJd91X3MGCq0xAceK3WkrLYqQkMtSXkJSqKVZdvDerkO8+meADi/dO8+iJ2G3Ec2i7WrDdbbFf oKmzzlsw2QN8kDUA6BSLMSJLpnMlTf3BwxIkrJzml4C2snauLXpXmI0QVyRfDgEGRHSkwtV2fHAd WxbtFnhOY5zK7CErb4O8sGzRE40TUQQTllfFd6FpKocnOPypJw4oWaZNLbsVBoEe0mm/DT+MgEC9 XqW7NUZbQiQhIuNoMloU/hQ8BcAlHuDLHXqkEC9jgq2Cb/X5bAJiHdgXh4g4yVXVyMbEvNHW41EG /AfDtFrs8EbKjSSmLdhKlxjL4xgh0OIWrGAaXA6mjBHImGSY1DjwzWEKYtxe4tMSb9actFUzBGfk EIfWZZ7sIQuO3cnIAx+NJmQ0ATWLlT6poIOkyaF/L33OUpwl22VK2x6Fc+kBhy2WueSruMmVvM1b qQR7geAD1ZphnX7aXWOo8wU/IZQs7J1uTBa0YLDzVrPUeTZXzvvBtD73MEL2vKtRmu+TZ6n2XfOA vFSvbLXy0Wk8xNsXQj9msZfJB2+t6S7ludMWZjo7ulVd6Ipf28jdLyeMZkqi4SYJ0RHtj0aPc0fT K6N2mwf857xcLC62Z8Ko1l1ZL3GXDVgPYtXvm/2BrbWzSz8w+bs8b3LjGnyiY49zPAugC3vK2A/r qspzwnhV3/mA9hoN+ybI/s/+ClmncgWieNFFNCQudt9Zy15A3jARbU78lx6u7kjbYAIUDDYl1Sfd aB77krysFJjJ62iH+5OnCQJgYg6xjWQbH2AjxAkm0VZcfwxbfdxDARcZ1MpMEq7ttAwZTr/K7glq V+w5I5rAFPvpIsFAsuFOIHErJaMDjrEmTtafAuF+c0dv7gRjp+/dKFjBGDmKCF2tsru4iFPuimFr uLaknlvDISZyt6iZVjM65jc8AWBW1w/xvntJPvaYheqUFvmelvsi2C1LjahS+KN4C1JxZP+WPOLQ oVmtH887ja9hGoYJyYGQ5UeyoyocrEdQmROEyDLWPJIS/Jcz+hR/htHbevY8LVdOahBA51iOz/39 Z+ypHizs8yxLRF1nPdOOl/sEs7d49AlRg7BWPupXhC5cVtyAZi0PdeS2tsJCeIzCV98X8AFPZ8oy 9eelBtlfMVxpDh5vr4uA8byT0z1N3kfCpw5lqmjC8OxqSxUjkCI8cjUCbQFcV2S7FXntxdHDQ2cR oPm8EngLoHIYkpNvwoyXDteZ1puCYJ85RubIAg448F2a6ckabu7HffOejaa/KctG9ZLbBVpRP39h MbbzUp5JitrJUIzCS83SVjnBisgbXNl5Tqp6eKXFwyvjnIaXnM9hpdI7U4WCRmi8TMcuUgXbKqZf 31wOPKvLd+eUg6ZP75rjBNoa0LWF+DECuZMk+Qc3IxxSDYyEWRhnU90WSIUDqHS9ZAgkFAdSTus8 fL9cbHSxQxf5pkF0rm5uOiQhwdjaXSG2AYgYHbfiQcCtbYXlkTUOD7LL/Zf7ET4GOvxUT6aC2YvK W73Sccc1SuZgXLj21vZfYOJyW6fJGVtVZ6HTWzb/dMnMcyJBQPjAAWqhFRCtC7wlCVemDg6mJ9Ky alrluBHNpneILtzhwezO6FRgU2Frfw75p61RnZrzOdJm2yn41eQB8e1C5FmC8pBfCbCjTsSIkUe7 uB+wRJ+CEHBwS5nFRaInWBPk54LYKi2JFWPtHZJw5etHXpJDw9chXtVcrLCBDGz0c7+oyMS46aAV DjVh7AC5RbENV9UuaNilxg7qeFMFMwQWRwKTq6btR70aR9A8UdG8HX1aZF2Rfh/Ufj0MR3GTux4c Ca3oavrsnPHJG7n8aq/DEl5GsylR3pN1KdvqCd3oFGJ4pRRkUzAB1v0r7D4dSDQOLs3a+9NTCZto xNSp5XCP9kBwdUwlZO2wb8ynkQat3QcgbkkRRPtdSGv79JqNdOfBIFkIC1Hq9SmijBp9mEncSQNo kxTkpuNwMIHd4IN/hmLclVdA/EMQ7GMqO89oCP8uh2GiOKqcg6QSDyA09y2SAoy083siDuxIwcvb lc5fY0CGEhsXIQh+V737IriTsBE7NXhihYbyhKHQrlX3qJpFTTVVo1LecGSSujD2zrHD+PgJPPs6 UFm2qRQXL4bK/Nx2XRtwPvAQ34RHzhbWP3qTNZqD7Q8tiSAoDPlVzvJUcsDl2DHvQiY13d1XJSE5 RTj1iQ3U5bo0L3kaneS9e48+FxHdtxyAKLxK6+3dFMylB3F/UbVupIWRtvUx5Zv4ZJW/EHC20s9w KZFY/SrhA4kwvZwDjmHieqkjDi1Hz5AgH6OCwZo8w8MRywUUGgfiXj2YCp0O8RcDVh8IifyPbdUH vOK/InE/emZfcc3y4K08ZpCshVHWkAoGJcC5v1BBgBrsR8ke3frVFwY3tO/6KTyD4/sSUByZyJPW emPXdamfE0RybGSWMVT3gHR/+1XdEDf5R31w50N7ZJjAjUFfIszX7tcSh8Xx8w73nw5U6HgdYvbl xvP+Sr7l+FmHPQjdraW2e5rH4RK1Wks/pOFFPP3EklR5FOneslLPXAgy0WnDrp+3eZKdCPh+G1GW 9xXHm7iAfBleN8JAXUsp2bMfeX/bcqpcc1bHJ/r5IKctJD2G07c6oxx7LtTTI/+IEZ5mu73ZClEk //+r6L+bryj0LsbF2ftuJh7xxWVBHNmom9LWPl+0PQ+qXGMGcQq97QTbEfevA7MIq69WG2H+h4XM UvinxIDvpUJ4JPeW71WtYU6o84Nyht31P/8r1CvKQTkHG3mPuoRHeX9YHSRnrWw9s4USqvY7jOlE 7qAjSmPJyxQigpeSmFMkLr6ysM0/UBv950u3MFZyM09ZI7Xbl0tOW1X9k7ns3f0EanvW9aBGzEc1 MZV2x8Xv2bI+72H020fqkjy0zre7nfLiGkKrShgUOhc4a33Svf+dES6UQaRj8kZ8+pAu6EEHH7MG gwUHIo9mcgrGiPRA2psS/BAqUFzYYERxpJQ6tR4+MTcXy7GDiMeXGvKADLqwghuGMIwspNPdamcI 6Kf+Lo+dEVy5p9+2snAE4nQADvAsqqaSXOdmY5RJGxxG/tBWzaCwqXRn04/M3+vRsZ4MQbZmq8vD UncmLXQqvwjqJdR9h0tmAqV4iyMbp6sX1DJQ92lzqPdM7vXNkN4fi9mtH2bZabtbSgz3rhZbc04Y eRTb7sY1a+gqZCPh1+IoTL2cJkbM714H0V5OYXO/LeYNGtF99qA442NAq5RxL5ijt9pMgFMOUQKS YH07600i5PsGF6AtPWuN9GkmqlpUQVjjhU5AeOoEjjzyI89kb0ypXmjeYyQbIKBjedgzxal5ctse dP+VVDWMgCtfl0ogX14oUeGuRtywyTJZ5VSAFu4JYs4wwbLlW+uVzgHm/tI/OeQ8vPsm3GCFqEPa tpA5QW6ldtBm5kb7EJ19+SRPKtpYF/fVXVKoCV3EiAm4oO3iQxXI9iTDye0LiAj19r39Rnx713AG gyp+2Mc89ZzZ/JEMYY2igPSL5a4YYAN3NfHuNHSMg7rXMC+A17+PlP2V1tnofKfr1hNshioOnWzr gvlK3mtOiyUi9+w8GKA/RsmpBSxteUpq7OohWLgk0go5Uz0CnIPqPQfRlTjA5xDrXN1rrf4l0ORQ BENHiua2wrKaATJPOkgxWxaTq8EkjFcEeC4++9BS768Zr4suuigEalAOuYTRxcJxukFKiT4zmlWa 4X+qBdyx3pxsZpfA4MezoW515a1CbyubeNC603xMFnE0Vazuwrv3MKtvFFah6r8xojzJ3vvvQRyY cWMRMpove4xI8OVOzYv03TNipZYalkP3RB0piUBqgyibKuFoo5bxxYsbrawxMevW6pEyOHytOoE4 bCDnLFAQ10g0+V3y/G0nAR8JBBcuV3hnaCIPFDUD3RiZX11BYFDrcL4lKKLUCmF2SESIEBwBff0A lA3XdFU9BhzfW97KNWXoL9OAKGh2HnkpE70ReHuNVvewwW7yENW8l2REICsCKauz1R4Yzmq8o80V 5q4r12uYwhIC30a+EAOixOpGPD51zSnbN7vqAVUew3Wg6GQwU6P0gUXBJn5zGjIlitriqyhPcwqQ FkxahLEgp6zq7JX4Rg45pksiv2vBXVq+GkdXd7/KjyMu10lHYKd1drTr5KvLepuDB0dSmgiV8c5Z OuTCNjhnky9Ru5bHHjTQe6BmY+vHqLrDOBGH3grJWbE+Ubm/3+cn/8fdPM143TPd6SddQbqVapeG +r3o5oTgx2ydQJmeP3NC52glb5o9CzDWe46oMpw5wQ7F8Ig9BUijZlVe7N716N6+6YHt+hn02hSC vQweAhBhD51xSq6PGIXvtLxv/A0CyM5pkTfgzGZSFVJv3LCgHIm2BMzCLex0jbgojd3C8i0rTP0r i1elFn4WZuwLQe8q5VwKqaFV/xGdDgUniKDn8GD84YvDu5sjju451BaRCurJIYa3pzS84ODhL4I+ ATd+ITKiLJLo/52JDoqdKJoTbKHAml6qut+bR+qahqE+f8ywPu/WkLe+GQKrbFu0JilDf5ScVSIG xHz86S2wRXTgPrIijhoiLzV3G6BJFWJtaDdQC1mqK5LxqEPOa2DhALxl7aCsGwfEqVM/DIzXngFy KWt0VgXBasPp4Rc5swRojYkPcJ7YQhoXljXCTB79dIlq0feo4hf88Xi3HLQv/4gNG+F2TZqUJG8Q 3iMwiQgXWKoWE+WTtvLFEDHmgRs25jQPBkMOWMvd27NlyuEDGSQfvQ1el9/YihXQjVPSHIWlZXrP 7oaogWGT/Io77F4KJaG/K6s/Z+zZXzyGtjP/by9zmteJF590QGynl2LzyuxsbTsnxWcCf4k49uPw V6kGn8q94udlChN9+NPpbNsADybAyFQs8f2Es7L/s8Cj0nZOqlo6FU1MZAzp8cO7vxvYuCv2xFGl tm8pruQyJUcbPOajRygz1HuEmIt5DSStsskmvcxy6V6wCV9h+EQTnNmRHquSjUov1QqnXAbb0L7X v9+l6pHZxOIxR902NBhfQavOjoIsYB2QbeODhiHKgHYLp30doAyeK64X0KSAEloOTBAXMbzPltDR lrSVqWe1aJJuchpXD4UBW4H8OxU4LcpNpR9brRclbofQkgEjR7n7BMho61xkhmF/yrTCDm28CELX J4J7ha0ewa0g41si12R8uwubfZShNL8VjHnRmbgUZelSBc7q7HyUJ7QlVqILYp7ldkKtye8XSHqO u687Xmy0PcGN+mLzRn0/5N4y+p6sCsvTh4lKWySOYfdQ08xOX35fBws63lZpEvA0vFjd4jtLVEbx RdiWPjp+bhwBUavQ9G9EH6eukqwZ/SYgi5UlqdtsARGXywKGqDjFgIfm1YO/3B10DQpP38k6uO3m Mhp3gXUeM5z3+nFOA6b/zyWzr4Dg1cQx1BQEKROwNT8KYSUZd+GIYZ4vwS9bxsyAzKtpvMI7w9RS aozOfB3J4Vua5EMMJjkpbakdcBrSL3xj/o5rpWr/QuZgnCcHnHoiO8xj6QQA6QtM36Y6w3pKgYVb QLoU6woKEhq2i6aPm+qwkRTbUNuCaelB8NIt7czeBJc0ORx4yKjWAEFGlhNaaL+8C6HaXYnct/X2 U34g6BLMeOLpd+Yv2A7UtpNCH2HX8QQSIX4cga3J7NuJ3nzrjchMX2tg7WYT1sa+6gZ2L5ofMQeY EJ7AaGXZ/NdRuwBQEsQLO1krP44RpFuwPEKg8oEPNVsgqqX5POW0F29zfyX95kyAwWrBlh68TuVJ Pre+NzTwKOTOSyHVxfpoEmAMa8pgt+H/MBwxOvkI6vfx8OGivzjKMNgl4/ymOKJTicR+8lzgd9lh 1tKzNDKl1fuu+1Bi5h4cFU79Y9H2O4rYuOnzOuJACYiHrIzxOLaRtkfteDWGa4YBtHVtiGMVRZTP BFcldLpoAmrNHpPloyBSwoV9G7byKbwARWXnFewXUUvmFecOIlHBHT50w7zGCbP84lvmO/Jtw+HZ TSz0KkjBT4bLU1KJ/euNE8tF0sSx2TwkLYpd0FtDL75dLvLpHCrpb7/l3GdHTXHbqhPL5ahjCtd5 hhGlG/QhJx18w0BlE2aNTI0u4PoAQCQt5vyIhFmojc7zl+gkK6YlGJKZjgJI0RMmcn27KVE71YKq OHwgz7Pq3yKzImvjwU4zxClxPhS+urJfNyZD3UU1y59sODYo86tXBbc2LL7G571eLUWInCic3H9W orP1WB2EvO+Z0wVJu753EQ4Fx9IK2QtgfcSqNsytqQ3J6nXK9Oq7fOdWWc7fA0hkES9O+PZni44X Ahe8SPyAtDcfOoG8ljXv5uxt3YD4lZd4fV1ON2DErs2bPV4Qsf2Wb1DJfT0oo7FIQ7skbjmXYi8u jniZaXbQGfANQV57O7E4M+K9Brx0ZJxELKOcRXVkpts/i9gmxRGTIZrmK03Fhzs0EvzYe4aYjYCq pdDS6q5kEi4AEKmLfy5H9TwS5GpFEAwMyy1WWHdMRih7jhLBCgpqPGLV5msh9InECKwzEWkvguME IMotOu2xek3BliAOK1waQXmgFD/f1HTTdlTDama7v0o/vUCovUp+kvUfz5qHterXw5/82KT/DlQL ph8isPhf0KhKOilvWKaa6aaEr/HG33HNy/1Id7aJRF7ukdTtuTYp3rP9zrgObn7KGsThXul0W20x Rt3VqHS/yPnyAUG5FFIKCuX2/78A2KnLD59sEH3i0lPz6RpQglPrzbdy9N4r+UvRJa7MvuU68j7t 9lDTqQasrKM986NaZbVbGtD0KxUGo6eCiMHk9+H2d/3vSvLp6ZYqax2WHf3sSNtgd6a0ETRyfcNw sxEQD33hAuifw1kvZFuc1pRsWdfGCdxXSlh9iS+SfklpUMcUtVZQaTn6iXX5L38JK1VvIDuF2NCg TkIqv4eZwtpfMfyX6wYQ8TcJYNkdNR/uDwtBDnXa7u2q7xq7TzlIhsB5cp0PT8ZJA5dEgEFed+P9 iEZ1mBrNZ1Mfu1m0Y7PDJJQJArB5BuwvkRLXB6yU3jKUO0K03WgHsifBRPiLQ4EWcl9dFk5GxuX/ QpohgalzxUDhFMXOHD2LnuoWUBuv6d7fMSdcrVak7g8WQbnV4IxrqFptaD/YEhlH1JPiy/hz3LD1 h2OmG/4yIDQUXCQX9DQhkPQDeLkUFmItEQp3I/jlL5PN6/IvK0/QgDyT41G6rdgNiK4VwI1G/aFv XRJmc7Ufd3SCYmZne2me2erQm6zJvA0+vTrAfoyscjCTVi5jh5isYGYZgojgnaTfoxRfMgCpfQFG xYIx1jJWZvcXKAaT50NIezvas73UcjHg+D5YoR2bYwZaGu8p2xjfF8v/rKdyezYMHg92W+9hah+h wqpdUFWd9yIjVQTmxMmH/Be8lbKVFFvGV02JuFo2ti7afdwpvYdtfPnFxzyC+9QlX4wP5CuheqFN VGYBIvcUdA1c+O5vlQB88krUkBA6b1+okM8sdZFctaMm7q3dTL4U2jPOQOzUCKEKTmaEa72TSl8R aUoFvkOJRCMM8IRDkSUwFvmXR1EbF505/rfvEemN97+z31LASCvotCJghMBWEUA/plibIUVit+x5 7l2w2dRaDj6AnUSABZlSaVlVaRdoWwYlpuDtEpW7myMQmG9a3zJwAkiHbHtCoX+dv8zotztP/oXu NB6HdIJ5ZfPuKLBSON/OhUuTcwzWIet6nW0rreHGiHLqlrhM0bGnqcZEN1rRtioZCM6mKX9qvFFQ nyJziC2+kcFM9+cufC6IZbXyOstb/FvkeGZv6rCvP54z01Z5AqKAGbtYkAajCrHz+FVHsR2LP4JF Yoi2EE28fnXy5O7DLHPbsYMo5izcqizpz5cCaNUZc5ey985tgM/AdBAtefMjsSMh/6AEFghdiFs7 wCQyEaB8iP33+fJTfi0ISgI+0vqGAfoTp7UMrrpoyepl6yxuunKt1GtQbkhCnsuE4xxxVC1kKiee cumYXRW8duox5ZY3TETdaXkSpva6/noj18at2T34sVCZKje+nnQV2Pk5v8czJCNhrtUg8IO4nXGu URPpVgsVoh8pEFR15LQhufaGeMmvbuzpsBy+FdNFhtGVgmHt+g+pLFh38WGPxIGWebvvIdcmFbaw Bp0YaZ/5bKtiemmXfH65ejO11jABTz5IjwY9un82gQQDy14NYJNQgbgxEM78ga2tAeDEeULupJIx TI/KnFvTgItcyjfgA+7t/zVAyTjXxGF6Vi1ZVgvkQQmBlsyCmsBWSBwqbKzUI3RG383FWsJ0Po+B 9B9qpbvWRnZwp7jwsj20W4Qr6meuFzcz2I9OkKvYg5DORvH/kVLjGGSopX12rbpPB5VPPo738ZOW bhm6yM+7yquMiq1EkB5PC2oNfSqpY9my59DVrnaEbscV9mcq52Xgf34RGUP5Os2k/vJQ5dUVgBJc fbrpWcX5aHA3Sn646nbD9fLSDuL0iGEdmXFdG/CgZh3PUUoRZfro9APAm7J57iR4oJDkl4o4iN32 p+bZxkVeExJCJHLLKkwd4ysnoRZnN+zem4FjScUU9Dimw/skTxVsnUWuduwOFMbuIPHBel5mls75 FV5W+yPYpuVrkeypAmP6RAAGNy+JSFvybrEpY09+5db2HN2+iZ5xATWDRVPDv7kmqaopSKaoZvUl v7bzy5i84PDQmmv2CzKPw/ERNUXSdlsupnS/De/45WTus/tYcHDznET67etKOaKYK6QKgeEa3Png 37kzisVSxIw8B7v7zYCUXyBh80kBoc0JzqviPU6Dg4SY+aOOq6ZRKnKykOYsAb7/ltgCf4a3fQPk 7oOVw1l/zFMW9ihXRXbU/qClLoupE+vF/JIZ+9eXGhHsYg3K9xBQjlG+C4qm1hNYWZjTudaftb57 D2izwh5F5NB4R+js7Nlpe75sl25XC/Jb4jQyom87sMgy242eF4f9tYsjBwgF4f20nAG4Gcm2muir JB0lemZMXipSB+Fmgtn58MM+h56+S1z4CvLKyvLSV9Dk1r/TN1GFqFzIlFjjMzOvsoJVpMfZTsXI 2cjyCR9mvucsHw14olbVxqkj6CipOqD512g9c0An/HO8kv9kClkL1k952PxE7/xxIJWHmfM1nvw9 jTmk+I0LIdWexx+Y+Y5Nn6UbUXfCLcC3ARmWTvsds24MeCbkPJIaOXmdK5D5t9fGQOPLdZ3omHxe SEJweP14HmThdotF7mm3/2IWeXxCxtVZT7iXbG9Fc78CuTp64nKK9sgjjDqHZH9wARd6vPbP5BnW OUj9AHJaHJAQWpb4benypAj6mwWHJMm3Iuuo4pyLR7UoiFfVT4mcGKfUdm+UWnp/7dwbI/Q4eVfV g23fw2aAuHyLljS9rpKhEhKyCWOwY8eXfXFDSx8721RXJrbBBUhyf6rW0J0y8tBej8jzwJBqUjCr K4boQrPH8XQmbRZaKWX8h1235xvnMAN1Ze3D/4C+7BzDDYRY2b91dyd3zeLDT5R5Sv4dxLSpxBkj QRIGJpmb9dO2tawT5rx5U9dnO065Asd0g5i/yLRZ5h2wXKddAsGNw0M5PR7L08ktF1wdFLWHitTO 3oqZ2aD47sgzvqZ2HABbr2c4OJLl1kV3va0Xgb4mUnGMscsomcaHDZ1bvsi9zTxHOMTl9UWkMpu0 G6eg3A6FieAxuH8tLs61LPrfGEwlMLHq+Jy40JJAwSXb2F1SLedIyLp3IUwX9QRIQwmN0JUt9zf6 WuLAnp42Yk97gh8pCJRKxvzEadECKrQtsg6xc0HdHb8kuHzOsQe+655K3VmLiH+wno13Le9NmLlJ fFBOhuEXSV0aotsluwPVbAZmb3vGCcr4Sjmt+ONJ9fIXVHhqe96am+nbdbY6R1pg4fSxE1nsIyVF E6vCWI7VwPCYbXd0AlF+Kx4xHOrMTzFwOSABw7Tgvy9gjcIaE8Thsn185gbBQH9e6Y7hOk4KIN1U yRN1UFIaRHfPjyBf1Y+florsq4nKARn0cwaUO41IRtDowcxZ1XC274UlSTDB7TDWT68U0S39n1hz zrMRvRL5cPSj0SbukM3F16OKd5/YEGQoJZR3Wgr3fT0J5xaFYXPIZjIC5pfBXPsmRTevYSCCmMpc Q067i+W5LZ1eQg3AmEsh9/sVfSqRRfi5QPXAgEjWhZarHeYIDNsqMRud7RUHUm4GUx67or6eLlpE CNbJVIF+nRb9TMidWUcqyS4N7IUUqb6EEeSrHccEvhyiR9goJ9b+i6jg09PYlb7v2rBACWlNOmlG y0c9J8aOQvUFRPZoK/KpLf8dGPUZbnN3pu3V4EqpXE2HV++gn/gb10NjNbjt7gOUw/3a8twDksF0 YuGCUPa0gxnBCYdXzBlDj9RUfsso0DdXx2UdhOx9kch4AmK0nhDv5b7azjqCorLnensHsGgly1+G tawX+Dxa1od+/8aSA5W0Kr32HfvNwhzJmAis9M2LnZ2mIwjz92yHfwAcKujPmevSOYH8s2mT3sy9 k76IA/1Nvkc/9WbMb2L+lgmkwbPW2QnpkugGdddAUAqQPUN4HaKe98TAz2M/fJdPfvKGu4v1OD2a FUzy62G/GIzAhUglFhoTGLdnFAqHRUoJXqwSZ3VYbA/RdFl46qh5LqpkXTDQ45M4vtLIpbyieAzG IUIsWKvX1lbTiMqpWYFboqtyGvlrWq2zO6BEOg4+s7R4qGmvd918lCE6YbHZalvPNgB2XxVpOElr xoH8TjqQDOVo2CRB+6S/ZvBY0bwR3NGZHCjq3IuhuXtC4MJsOGvYf95oEUQc1dDQZeKFNxotfuiZ pQZjTNiblHr3a+vR3ucWYhh/pi2GjLmtV0riUDDfBC49kFutOS/ibwXLNP3jSH3aoXHL+AX0JV2T aQom+nkcscFiw1/7irnX18mwDhe/ocH1C20wMcSXdnPwYdQ7d1wKqa/DLrj32YzciE0yFzqDfZFo nRDHoBRcxerP8NqE3G1FsFi0SE1X3Yr7QJPD3F0b5AvuYpoVwymEEg4b02J/vyYIMS2i0WiyiuIj dypxe2YTNfeVdPC5vLh4j8GRHyAVJuDOfZlijg7DWrdBsV3VU+xfRyaEPOS0hMbyKDryt5WC30yd dw0LnXhuYOstMNT0ysgQN8lMSM4Jr62oF56g1LmJDykkyXpnDBKEgWG05IS2OZuXxRreEYN/Oka8 omdNWJIBO4bj6AzJ8i/cA7/tl/BfgEi+MHZOjet1+p8pYua8xxUZgMo+wmCc2vCEe8tboq+7kX33 Ym3OAGbr3chYTNigI1W+jz+iGq7ckRhbEqOhuz2n19mB573TSknAF76QUhDyI8GoLfYQ7K07r6eb WZcxG8wagUo5FtzbFLqJ21qaQYtCsJBZtD+ZMr2ImGBtjGGqNlbtrMgsmsbZ/VphTuC2eIdC1J6c 8UK26mWmJ5O6DHZ7JHjg764cgJ8HoKCz0nnQDV9y4xjDmuoBr0YLo7iHg1g78NqdVG2EX8eQRL9c SUt9r6pMyjHcB71exMTNuoycWxxvP02aCoMvLeMjF63Zkxu0c5mgdNIss9JANr2KbOb7I8pfO1v7 nCI4JlcJksKlHhTqSsijlQvduqX/TadAnRlPdtscFiIECV5tu1iivdJNn0toUt7Ry9S/i9I0eHnq XFUEZ6vDYh6P74NGvcVbnKPTtW9FKVHDaXTwmPgMB4Hf+KOg4OvcQNq+yn3KPrrBKk2QgSaO3UFl 9nPql02F5Xyha0OrxvqRuEyZFVYi0pefeYGeCghSjiVG0vwtRLE31dycupY6GpJSQSxxHNqWhPw1 KEib6wWRI4HPUhq1KzXg7VV2YYCnbZSUtWKsYA4myY6cv9hRoYVMXC1s/xvVmeIxCUmQCHeRekgV lGqmi7l2TV0JC3FhoK9dkIX/EbC4rkg6Z0GQoOPksDi3q9u7J5qQTV1xmzIUHTu2hyM4Y1mMrakx +70es+LYOrcJxk0r4ZglsDJAE1Xkc1UJQWXK46T80QXcOpRE1lSPIxTVQN6sfhvCtI7StNFanzBU 7uWPV82mB9U243Z/bZr4IL/Ul7J81eQQFt/nLtgxZdxdixW7rnTNM5Tst3c3jbYhtIqOxH41xaI9 cilrDJIY0qkYGe35VKSYNCuaba/XnL+I5ar6WdAutWt8va+BZL5MI7lZaVQB+iZM71Ls05OYm8tO Dm/ldrhp44Bs2Z5BdFKA2ugon2h/gMMuT8EbMalc2VHQCYj1uKhqeR2j+D0s1D2Fg6THq39DO2Fs wWCELQBlytSPResBt+CCfeaLGguOTZRcvjlfEPNTpzHM/KDEFF1MVaKM6+HVi0MExd0fWrAAaK1q KnfbDJl88KY/O/LmPpDznXDC1G5h3fS7mbSm/mxvh4WkXUfywFt3JD3Yx79H2ndfYURfNdg/EXi6 DSz+ZP1x8gvGnkoZ33IIuNLId4PRBGlV9s2JqfLQ9DghXuP9EE5bQGqYIKtCbijIAiE3dNA5TGKO hVXOU2qHVVQHfvCsfnINsEfUV/zbxL7cwX/O31SWJGxX5cNKgJhjQ/bD52PioejbDKxCM1QMtF4c ha7OVw0t7wMiEEq/tS8VukLRialh1PODrsdQZfcjarrwF8SS9xsqUiVDF2YiBI+f+q+8/m1RLwC6 t8KofLJRGoU/TQ+eRt/bogRTlIznaQ+yQv9HQAt0k7ngrtobOp1E04JTuvpdEco7lNNWlsgYZjvD tpN/6hbHXSV+ruEsd89N4bwVZxZXNfAsUx1q3vUOysu2aSIn/48SV7I2SDaLH5WhJFSJywI3Jrgf U+AbKu/oVvELlg58i3hVWDMAUgaFigHe2TJ/r75CnL7evTQfzx3gXo+OtUHIiqiYiJbmhXu2qV3D wD8uRObbwd8MfaYYBAUyKKtvcATiAisY5ohTGyo0FOovOkU50otFHnG2v/q8LOyLdP6m26IicVeD 7QkeKtVnc/s90RheBQFRJ92wjQ9Qsag6s3R2kLsXoUcY0bF2/Kt6XEDa7JDjpbpB/jhwbLhJealM FoamYD9jpQ+6b88aJaxqWqSma/GQ4eyPNRyjzaEBJ659flLyrflvOJWAoEMkoneb921uj/yKIbnm UShuAE7nyzkJpBgjyg4bcQOBv6be4HhcwjNsUx7x8hD0zKNUACHtvWFBEibRlE6PjFd+Z2LKWq9J inNl3E5L5o5pIiQj5/SqYynAfQKXfwlmnJFLGFEIPj5ihcoj03egh28b6hXSOH8u8IklLLEimjHZ LaizPWSnr6XODcixGBh2llpuZj1FOQXRLtdsAXvr+yM6kqglqCsQaEFrCXjadWQv/oEwenmUrWPk RgiG9kouudKHulTtVVgfqLu1HUPwtifqv66PbihGtb7w9kRFDZGVahZ8BCbAhAtB4BM17SYq06E/ xnFtavUzAowJkjd8i5HkAAw8QFROF2FPi3JBeOrXxL4Bd+aC4SOYOnN/8JAAkKQgXKbKUgB5j4pg VPo9kSE9/g2SVFQahzgZukroVigOiypPXCdUgDDrVS2KdSN+MV4jtDaQkCB/qbp8bcOHKUKCCaqL muZOGVmoGe/XmWTvEhzugDS6WrRk5ji6D9H46YvrEJsoWhbPoMiBgb+QQT4hRFuf09enpHRRqLZI XLvJXzCAAgb0AfzvIgqhcO8d87TgOF0uDZRhajbzMuoi4kHJGtl7wPCYJRXjl3T6rdhcfARnYJGv izqKtOqyGEAWkGu5AKHx7u3vnM5Ia9x4z18NjCyAxV05lsSj5w+OLQYoQmdXt8ZPDN0U84Cub40d Cq4yZ8A06+WikcjxH1GaWJlpVUsTp8+io+IFch3zXtaSXzJ8/k05W8GXd5PQLB6G6SwYCH3GPMrQ afcbLwWOWE7wkg7JE06fDnEN1sVoZSvGQW4xgXsWss1O5vXFfXzFdAde8azMXziQ/ZxWb4qu8gR7 WiAy+k2/AoNapG4GcjYY7siNe3IGvSB/HLsve92H7QhH1J5IX9NETGZ8YB+SrvAIu4D+FL5kV4I5 8HTkwBaaMv8u2GmFEAYOlzG3Gq8mi4fPbaWjTvN3ia5tzLWpLKJ89LXGU8xCtCFWTTaIsDuggrHv I+OAaOFCd4+x/gMY3Gu48H84l2Hol+VdeAoeMbXlPTGrcUgWFA6ROro27zxeKqgaLVoKPB95Tx4x 5NIPAwZBp9bw9WqPMfqvvKOBT9KWv/C2kM8H8UlRfDGkktmRhYFI4yPZewVuRsWGAA+hDPF/iSLY IuOhzbeSjbihdfFQflxX2TesSPQzwg+cOliAmNjPP00ov9TAgEjJsIgOlstTTRchiB784yAbKDeV OMz68c/5QfLzUNm8cSXbBO1VRnXloG7awzI9SPkrxrAzwKXA/CGjac2i/D1BV2St/n6GErbEAHrp PPf5m9cEMrpdJGoCKhYyDX8zGRpy56TEq5KZFRj1JV3fBi9n/oErpJQe3lIykjHi+Sk7SdOpKttK 7KZp6J2P7Udt9oITmi0Or9BQYxdTUvSkmMyLkXVsSl7cPDQfbfyYbgF94xtTuHgbIflerSNy+iuA c08sJ0uAsQ3JYaSeKHLv8azGHMjWxBgD7/fxkIN3f31OkrAYz5Vm2txrAF5ykyJKS7s2M+aQHd/y r6c6nRktUa1slnK3vWltwXoP8wXXxzgluxhyQ6Idh1Tq0Yx/Oswk+zyTqU8TDX2lbDnZFE9r/IPo cKBRAh4SX4U2rr/GRmZgd4cDV8sb+29DrA+znTD1I8YPe1fGeu32PAIgoHtSqxoVKteEL87EJrBC 7KBe7AlM4WgIbcQ4KM2wzV8m2HLWN3/SlwoV0/ZcEwhFyybsFRjKfDZOHnj7GI+H5OPuj94tilab lAnEhvwmB8RRjj0hAhvndrkfNlGh5z5oI7p15Hkk3y9V/3rCOU/khvN+/Z9ILctkpRY1efao8MUN 5rEF1JQFbYD9ITiwWXZYtBfus7baC6XQnXQxHYp4+LvNI+CTVqsgY68oNKHc+6xz0xaanE4zs8Qw sMXrdkgqLEJyTnDZvqaU59vlWwIw8ZWol2ipIl8uvh8B0naJKs2s4mp1P6yBM7m8wZAf6004Hiqd 1Cv4Wn5eGIxBbhT1IOxGRVzb6F2pzt+U+dExYsbrQAYA7+4APpUWwFV3qPBRYpWIxmGXQOwg6ayo csuNnRIVHWdTsi3X5KeCsPBelKv78EMxTolx7j73QvsjMVRYzCLm4KU5gWlHBQ65rUnQvIdfUhy5 HNnvodhCo4TepjQb6eSFm4br/wS/uhq+SSxnrl+bWta3nKA/AID27KigEOZsaPcq584ECX2s2yTB S0HfO1dJWcnDrXivUZ/Q2lj2mN+Z23UrYMWILpi5tWACPaEivDGyNb5mUdJNh1os4nVJj0zuyCcG Onk3NfxvxKTW6XU3ZLsHc5n3KB/6z4RekgDtLd9x8IrCKG8zGDXi1NMtANr1S+XZeXzUkB9XpgzL Ka07pcr5Wur/wqAIgbO6Do+v65YHyUHDv+5yVnn8/y3pO1Qb+/o0UrDEWRrtjh3Lm7Sv97ZT4Mqu Na21cSFoqIGZtuv4R3BaZ8vGB8ekIQheGC8JRJGid9VtDs7tE48yQ3swSMEYVLlBje1xfQBgopCh tZRk661osl59o2m5FRhvSDFtyJ0neEuC0EhiWe3pelCairApoEExtxqE4kuRc0p6Y7iI5YTZ3A/G heBN8dcGjl6BEkx4lEQspL/T2kpABJQor8MzHn1tEk91vxdKrmxpgOzkfsTWpHxdcnl0g20O7f66 MF4G+kXsPp/v6q0UksYk3AO3v1LXe/j5Zabk1j1RQW2PI8GcppiJBXaLamqX4SLVVey/NP3C1kQC m8M/0jsxATxz1BVaVLtNnG7MDT5wIPdcCoSFkaV6huaR50qEQM6YCV7LYYvZxfLHYdww9DytieNo AsdL2lWNuDxwEcQxrg7vRKi9vPRjRyV3kCPBSvl7QBdAHLCgmJKsQVT51CwWzu5FmNjZBpp30m1B VcaPYlGRDiJhEbW9yONPAo4Z5oldgO9rlvi4K4r4B1UL5EzsvEedv/C6glcaxtODWRsl43cQqM64 29fL1Ae1KFeBeUjCA/0tmV76XC2lZGZ0Nb3tV7EFA9kiHvIid34CqLvVc/Bf6MWAj0294NVCivL7 vGElpkRNkr8+G68E1OGGyGnARhqY8AK+H3HOkKLgO0/e+Ozj2h/gTW2jNQsoLZoIz1kyOijAu/aN A3v21uLgBN5YR93fu5JYdTl7WBmHASZajdjg7gZZv2kKLEGOyMxpcEfvroTSarrrJFPoJzQP8vVH 6K0/Ag71VFAOsj2YIUx1SWnKm26qiSjMkBIulsiaxqrlwTNI6ngmhX5KbUoxUYlyPsP/hparrMCa vTozamQnGRBJ6y/pAkIiFpbFCkSvlYdj96Mm/F5jpNQnH7teI2DcFkNc6n6kMonmRkGY5Y+PbBWg LVGA15jHxWWtgDGBn7Be0b3hsluNhQr4c3QhO+13nFHrKrXI3M3HdhawFf96ZuEsUUyRwNU0oRvB KvllrO/yVKzLRwrghxVSSXh7/3RpAR1ASof5ugzH8081cpsCkRs53Nps65IBJoMOetOKDqGjdnFp orQsyXtv3Z0mHWefeT59NDEwPuN9mrGfJ+5+a8ApuzGvI5ydNEqkIfy4/azsKIYet5CMyy/Ja2c0 0lsOa6MhUmjsLREtUw6DQB3emqhloHLumGo/oRdvXontK4i9rmh6IHWXjMNiQAvxOWAcybBwNpwY 7d/5wyFEWJ4Ko+DgUwb+XjyCJsVf+Kdv4FfM5J2h1yMkbvcG9PGPpgLoR+nfdpFJvpTebWBJYdQU z7MUpVxpXsYoWLDSd88gVKqe+xxwjzHkAYerf9MLYAGGDVExAsgV65BaJazlKKBDxYHVB+5YEPGS 0thTomP1hy2Tdm93pciTnhApSe+t9yGuGwroB2X8DnbM+7tDoO7MGlJRxmwu9OWzRp+ENAhhzoD6 ZuJM9ZlhdCFXANWh7doVLCm6LEMcpeDCQo1K6QcvbytA76ejn7Hoc80ZcHHuKIp5t7d1Ep3JBBte RoVev6BnOJvOLyYJhF+4AotFRMDzYFrCjJctH60+1uJ7Mjb+6dOfB9L5qVMQts4OIfS79MueTKf7 V9CcDLOQZyydqGYYqUsy9rYVK0QrGeHyji/kJ3QBwx3snbaxBRg3M2cySqD+sJJFoQN7vPjR9K2y tqfDxafPAv8adhgygwCLZkupShWM2s55kNT6vkNeus9q7WDxvz6sC4oL4/loDxpyB2Y2hKIu+Tbf cUC+32eYV+/eNJvYQ6DqrnZKUj8qwBNsDVWWnJM/vesEzZjxQvbS2D6IJTh42NerJpKVRtdaTInE YerNQydzn59tF/Wov/8CYOoG6WrN1fDYfKfqsk2PWQP5P9A8J1B3f3bmDlQu6TYTkBK2INZ9Jz7o 7gZgSnjLZPONr81AmoyChnstjFvH3GoPI7MqeXd1ChDS+Z50br8/OCsW+009mlRYfjyzH/DLs2ds wANflAFFakVDYdrUDvyqObbuNpbO/YJhhsFzFovX76aF0PkY9t/SgaCdLJYAbiheV/dcgTyr3nFz pUqSgjFnhl6Z1AKqnxBpSdO90rdEEfmHJlH64te7lV+ZAE/GHPmXfxwDT80KBKEOq//LiZ7RNLQd YHWz+6dTEnVMfTny7lpTGhH/kqwlItEUHahk2xf14NmWJhLNj9G7DrdUkWVmy3kqHH+o7Yfjqp7B Yp2YAc44Qmshu5Hyod1q3VplpzKPuaWMI06hwFbsgSmvBvHsoR0Bb42y4YXzusLeEGQWShngAfG1 dT9aVx2asUF+LV83G2cAVILSUQdAz2Wjm1wCNC5o2PWJySgwe3gX4OXXWTIRW90xRetcm+CWjX89 RA6KVo6NwMe1o+/ivxkEIsss+Hoqyv0Ceattv1G1FSc74d2JRe8Ne8yBhla1lYatix6gH6Q4xS2D fIxNbLUh5tVvsOFW2DzZ4fViL0PKZRB0CNY/3QNaZJYr1e6IatdPiw0HFOacLgRm081NBEtu5d81 yIlYeBeQuGzoA30NVg8/XyhuCUB//+dwAKi5YmXU+CnGv3DuszZZMfwP4OSGRXejwECG5pumyuAx uMtGMqqdQJ70aTjwBUb0CruCLljln0ck8fEzMCkfu6YrPJQTyxOBkNtQHDa6E+FZDryglhyGDBLo O3yRCTcosRBzcYLibvC8il4d3IfaOH0kbAOjZZtjqNyFj9faj983sd68WrgSld9e+4jSvdERnRlB KkDjV3vJsqyFmdNnUT2YHTjw3dt6R9xTLeCTWb2FDAnN6yUe7ZuUN9RJf8TrD+gZ1vI8QP1ggR7q w8Lj1VRBbBNsqufurhQSSDDunVZTkvtdLv2r/CypG5wVMRAvTWZxYRVDL3nIrQTSeGfhS1DfL+ww sOQhVKIqnc7WHG7HR96p5O+V58aMBdErQ1wCB/qpKZc1YnLruCO4txELVugJwTTdqiPaFpNWxm2F 8kb8tgUdbv/zhXJBMgDZ29P1fxKHb+P6uQ4uurgVWnJorCjIb/OHxBKqWMvIBKFep84/AG8sf8mA NzwRNDiKDNnb9zUDzqhuTnfXuM+Yeo1XlHAKxdRC767UbRFnXlp4SGOzqD6vqi2MbkDDCPTO+WoC 5E0+bsQ55jXLk/gPIMn7qP3Z3P3eY0rwTfbYz/w2eD+Bklir65dr9dSNELCZ7QXUJD6r3VA+7DrZ MNpOdPf/htrMSb/41i+VNq4pvcUBX4HJw5jIBnc5/G2fEMv8XwvlnfDsPGL/XefQNun7RuVJ3cSm YAxbU2s4ZIFBU7QDSD7hSn3TnmUTYsVpO/MAkta+a+CTsPV6X1ymdW9n+f4LpwmlnTZdD+1mYSJJ 5m/wyuOQvelyRNsoUrNlFg/PcTIrMDJV0cYsQxBp6PKMJQVbB6nEjeVeRMbwHA9a68en3pRGcRSw Zs/zQtyfjDC8ORAf1eW09i8gIR1o2/hbUicphX55JVzEIKHY4YrPuaJEEY6X7BxTyTh5IMwB9FLU ZGxkjQBQ2DEMhbGYHBX8T0s9c+StsCnLao+ZMDZ3Qquxmb2ZRt1oqhJWXHI0zNn4Wo5HUfY+LFXe v2w8x9oHjZVAKcDxhVYvPh89x5CogM4NwZnAuCiWAfbG6Ns10q1/uxFQZgih6ld56qtedpIWJl0C QxymLUOYQf/bqfgIKH0il4wnEt7uU+uKRSbU5AGBmgyzPheOg3tLi/84ffBIugItSvbevDvRMdmO EwhjI7vLteuLxEBhzvSTDrSSzfSgSA/MLXmKFHB451n4J/KA3vAqSC3TeklVcIbx27KiK2QtUXJI QYW4v39C7Ewx/MSf/FuTIqvijcdaGoBZDY77GdbE5L9Tf4Sq6CXoylmPfF303YUxM8MLB+QCwBhd U1hEPtptOOo9YUMT6wT9ddbLGv3gm2XZ77WVsxrie4EByeC/nKFmsjD0/IPneSg1D2CGKr/6RTJW eUXmHKdu1GyQVb16hjwwyCTZ6Pa6597oN08qS5AAtuUMm52zSGQIJuO/hbGkZCEJ5YsScBQb/Lbq oUNCKr0EUHyxM5+3/4xtTVnaPUD4dHe8Ubzlwy0/Q8auhzlZbKEJ7rOYWo4sgt9bRXMT+/Us5D/e cPhwtwwx0smbl7zO1JgQmySh08wuBEMZZ7FIsBSdalV4HKfWW3IO0MX5YMCstfo+CK4MC8PEBOzq pujl2VwQAP7hLn3KE+JfyLI2NlBX2zY06f9uYMGFGbeMdAAB/+VmXlJepzrbYBTn4KHtT24RlaEC Od2V/elPXl0TpZ2CpElm7BvjqW8r1zvycunWVkhaEzJuj//+uvAmfG8X5I/a7fYOlLjvO1hQ6Cmr K2NXKlzZJYvRQBox8FmkgvMpK7Vd+QLlxJ7dOJ+bUMiuMlqo2PVecKbrKtCEMe4tUDIbtuGwTSiz Xe5mbJOYvo/X4h0b0V51iPYP0/PeyzMF7oItyYhL/r9nCr0UQPNIyT0caEz4M/nGjZBnn8V6VoUa u93Gq0fYCUoQ75G7lZRrsP0GVd3b4hlefgEpb3iKkPNhh0aIvOLro2AUszVvz11qGgqNZeSSu9/F hvHdRYhnyeZwmKMMhqRC8xch87+qQQ9IMFON3nDW90WcPbaiKzeiZFBZ5bKG6pWl6iKs4nUcB/3N 2+lwq9G4iVYbsyE1V2azHVUde4gvWyVJZda1noIu88nBpS75ZBhn+rmUzDlMO/9CZIETQxGCRhkq 15D7/6Babd2DHKxtiGrmLIeRuN1PoZEmRGmisJUdn5KMzPPcJyIT4cle+TcIHIyAB9v+goJFUD8O 0D/5+L2ZY4aT2TcC0AmITGB2Fz+O3icXTS96QS9QChtqidni2lSXiIXvbSCtOG3QECITe5l1dmHf cMnsCAowiOrHWnNrO1Lqth70jRD0d4TTprtRyyly9IZyk1SCV00FT7/wLr/G/YqKXcSnXfN+l4lV xK797z8lX++m2mfsIHaEg4kIgw1XeQIONLvY/PkYT8tq/SbzawwN7GU7msR3mnyWTT1LClIraBGB SiziuzyUzzt0PaBESg9fe1cBHfwEd6U5pm3km0WiUkIXnvQu2Idd/hchYdQRvz3hAQ+8T8FxCBj4 StX0FoOIt01i/WhFHDvkoUjc0uY2WEexVpBAFUObF1dmOjt6uLdpBGaAyWuPs83ZHBe+NdRl5yoC MRInyE6RSJUCZ+bcmsd6Ky3RmcFCI1odewDoSIMjoncS2NpOveC/U6HxqrINgy9wmzGyhDVepjFO BiWEnlyRvVlJ9AlL8//oLOJYRFYIP7wgTkqDg/xhMc+8QH9M6V/+a/6qt8TiSXdl6Jf5cV8atA53 YOLSTsa+0BY1+gDl3HJ+L2fHyVtNbhw9cwdeu1a5+ojdCdnik1Pc23yPWtOWTxraJLy5jTyY9jXV MexJZtuxzoc5DSHAiMTQYTfC9oI2H8lajJoHujYhX/jEC5n3U/PQi51OtX1ccX+WeGM9mLhjuM8q PGUPPUzOdts8eRIuVyDl0DDDzX6i4CIwmwdXz8z2XEQAcvwbNcrHAEbRWdHZjOY4WaZlJPYxLFVJ K3K5NG4do7i3hFyO7MhZaxQY+at5CoJfP9ZoHJm49XjlBDYTuccHQ9bMvpA0OVpfqMnufH0z5YHp w5Y1kYZWQciTJu/PfWzTlHL3yeR1J7tPwfOMV2YZa5FCMpkYSNZPhUsQ2suckvazA48moUID4n9R qCf+XcKlmYQ0CGvYcBcW9sL5qb1mHWfiSVyu/IV1aOj2owujwCPqHeIiN8lkWKuv2knzzTvbIXVU vxHfncTFS0sI8hMen8FvygIZMOWT9XUtvEFlwVUGi+CMQzSXhV9yjweQIbOZu27JvZJqLw7MxyHj Rc2YmZnaPUlqgF/n1uai2M38GDsB2jvLvL1lkic95k/YtCd1bDPupFePHjHMldzHynNN9wtzVyi7 6L0OFlbyUQhF+8y+ptUvJx+Sf8YyKmlRkiFBIJ0Ent5j+r2IDvs5cEN/M0prRuXUV+AEkF7UoMPs ZzXUGyO7lCsvDHBewbBT0jPLXGgGNTBdJI6DYsq/UgQ0dmQWgqccOl0t8azseWow13MgWkVnGxb7 9OZeAjXGNu0UpLLbnarkkEQfjc6aKpWVrT3fgVJIM6Tos8cQQh4jxqDtHhfoeIT2lH3P8rmK92ni aCkD2B/OgE5UasNFnmS3C5AnmaR0VZ6MXSsKtMW1nrcFUZBlxjnk8e6i/PUou0bwbqAcG21RkIMJ gIiViXfDTwFdo3IOAztPOjhPw/E5e9ChOCEJ4htTH2vMzc6RpOc6MiGRl8uaU+gJb2AKPJYloqJR YfCWN+lEtyqUvA6/ht+EV8v8jBJb1qAYfWRkPIyJMs7VqtruWRfEVDSVeelOciUNJZlrpY8pSchO xtCw/KZB6NvW7xtQhspID7V81tF6VkKcHGEKfxrGWdRCToETC53rc6FPTmCaDJ/sCrZyyGDLOjas a3rm1V3MrrSBEj8YQ7jIPY6gdu/KKYEch3FvGXg/5zxQwznRn1qghxZT8PCklJ7phpA4Nomh0uPf gU+DYEwRbEiG5VEskVkVBjdjGE+Wl3VtCqdtg617V+N1G8ANd4n8rVTkKcwMs2EAyTH61ty8ERfd uqvCJwBwAFGD+fJgmVQhBcVxlMI+bBVv9+H0Cj2BroYtiELtkv7L0RNhCqPFWWq9NVw7dyQnd3EA 4aE9e1zab2cxe+36pdT6TCxsoiLvfyZ2fg2/mmQZlattk8wID2GxN7qOgxuJ5DCSjQo9BWELVhhu +uHYgdWlBVuefJhhRsuRvYY+azEfdhD4Nw418vyYSMaVBgw8n5cYdgTu5LtA9ACw0e3kirgkEftc rzbEWCFYR7rIQk5KTd4IwChNQ/GbJqdUR5D/qxacG1IkgbIzGipg+vBuqTgmFh1DVNSd+2BjtKK8 iThHQIashDnel57VI39qWUDIyxMYsMLg84rR5DeeD3pX5f2eahm0VcOV83DYiiNRlSfaNQoRsn4T HJkbenRQCqj3FS9/bndevzn6WLVKdmoN+6YeYoGQy8N6Ol8lnzNiDYHrblwgWGpOfBTI8y3AqEQ7 6pMZ8dOppZ3CQEIez9BrFBmf2WBZMl2dbvJrSggRBlskmXRlJp4+MbwAH8EFds6BQfXmOZVwE3y/ SGAHfhZeFDMNrRlSCk4JwhgSsjwKpc7zE6jn2bFwnzOGSUBFyR2QQDS5RCzn1lV/Vk8gBqvQayH5 witgkgiux1xb+waCCCOZaQzjNL8TzXx2YNUUv/a1w9whOUxQbyGxmzYshW33uJ3V8C5PtYJcBWzq OlYJr+EaXPlpso44b9KpPpJiu8L/Buo2E07BYS5m3vdTsuuy2OeppwSXushbOe5lKeWoVusHsNdX Gzjwy/d0iXmrFQ9qsgd8Cub9vjtjX7t7Z4e/XpsSyeUUmyyOHzAT+m2zV1Jd1tMnhBPSSo7grPU3 NgeO4uI7Sx8yZdWclIpKIJ2jf89pXd7CLZ08ugdhyPaT10bzsPvYpNxCcJjI7EFkztdiL9dM6bf4 nDEFo4T8p/QoEaaMYWHLX3fBp8h5L+nHP5cHiWIo/4A8AcGP3KPrn95jAp7b5DL0Hr7TfguS2BFW vCLZx9GtU7TKx8CNpWHQaQlQbkmGhjVuw53X8L3k756nCZ6Dn2LeM/hr2VaG/oCo8KuyG4JrWwCy nFutUbJurDog44vBYrAa/QOtBVMtqRNrJIVNxOHDqUp3cFWqqoc4s6v2eJGsBv347pvVlAd0w/Ph GkCH4QgjPdkWDpXnWtav3e6nHlFRALJ6v8SMCVbYj/9ngvbtTFvY5WQl+HFjrT7K7O2qIFW3avSX wyaA5N7BhsIQ3O4akzD4B8aXc2gszgzoJR8zW+HtkC1hlrQXaeICqnAzRY8ijVbXSo+ZAb6bTHIy dyslLqr/JMNRa5UsRAx1yijqmMm9krnraju3NDbOy93Av2r5LnILqRFHIK217bd4y5YwMrtC+6J5 IOPxGAjP3jt/PmjkzkLwTtBIKgb5a/A8lROtJ9AYG/Bk7bYRgj6l2xU8CbLEaWD0pQTyxXWw/oLF atalAOTGKtqQ3nQ7irlTnYxKT7uY/P70VpsJX450BjlsuzeMc07gBKlSIbVhehNdobfO6KiZ52EB 0WtleDa82XvnFYAvbXWcD49ID5kkjrz9Ywp+gEPLdc7zNCgsIo7cVW7Lsuy58bdfmd1lEJESXhns CgOQMGgSlahGKz2NVCUYz15JJ0qgbt3WBRJV/NXzPRmp43Up0qRjSC2YvPhpgv5RPFYOyYSQZkpx anC8bLza9yqZRL/k2XKJnZi14HKmHHI8HspN65DfEgEtBd9VXXKP//beT9Bk71694691HtB9GhmZ oegZr6G5KMom2yWL6gnM+VNdC12ujmZIVnvoDLPeLUkV5q3mSO5EIgLp26LTQd5s4H8rt/fFO2gC Ovphv5WbqM4DGYJKFmXyHAcpMWHi1rJjhxFZvxK8thn59dT6FnVx9GsMXYQLTPtAtIc+29HDbKfE DuyJqgeCHoA9hruZQlscQUFka3xa0GB2Ix7Do35hEWhfc45Kb4wKp1xk1XXax0D9D8xppEZdmq/7 wqnb9FV5xbbM/SPWsTmv7J/OEb1JiZZK+zrOKeONBLX/bhu/2LzsTc9GBK0GRePi5vkfa5LUG4uk 3pkmlKt3O6FEJORgBcFlOdI1N9bBd5PVaN/6Q7nKLF+4W5Ez6rVMjw4wsLaqxJpQX/klcvsYph8p jlLbjEmrGDKvEfCuOfaJebuO3+lETmauWX7/6OEG+KqsiF7/0sIokLlq9hRe19y1o3ckCBL3C3OS e1MEi3uuGAwxOgRS30+R9LOsCcgJ/gcVe4qBweIKpXADyVYEv099w/OnoCc8gGvG3CeZJQMT9sc/ +T3/MVu9hGvvSOIllu+amPYjIZSBWySgCgHkMP15N/9irYmXFv5M3hsHugdrHCdlFwfaGX64j/H/ dEQTKIbnQqGqKaVVakQP+R6/AOMx23u4SxvbzGNd8bY/8JB+iGQbnorwlDaPYh0JHwkMDwPeok1b GWa0kDvDMtabW5G81vKez1yNy5+J59QoULoFyH4/dIHCnQY/r0gYCeB0m4CZYWj9T8wz8IjmOk5R zWveXsZLDhk4TZXYLSfov/Cp080EcHmaIWaEUU0Nh2NV00JXAXIOurReMbcB4v6LdkM//YCn3ek3 oOzEgzx3AXDkD/07kzwSFnpKfW8dIiI1ueJYurrtubgrcjq0VbuKGvqBoO3GeDbToZAOL3ghTE0V f5DO4XTIXMI38797T6AXWXtxvhJAD0fFbzJgdgHsc4szv2WuPxYvwEkTuJKZQzQbVRhPVZF/I9qh 8rUJ6hZazomyL4afxJmEQy/Byi9Rr5fPuCXaQxkYusrQPsQ/74FkaGVsRlO6tOCiuntiPtzNQmit Wh8cLlcfTuvQdQAs+f5a+CQPXmP8ngxyts9AS8UJwnPA81l/aYnWGY4fW1xH/1HiGzaVqIrIG9rI ToAj9teEvn6qwwRADceDQuvXyLoioqTltqer4NqZm+KQraUfHeVqJ57csuDJ62iMah66Lx79NTy1 o91RX9/RgUMnr9gkyNJLCPn9X7ueHUm0wOa3s3RdftanHspYQVKMcAS2NMOsZWQC6Zui7EMXO9Dq I5IrxQxig5hrXht/T0UQhQSthajXZoubZ+8JrE7e67zHb/YWMkOyJKKxMN9wfPVKnsHB+YK0T1N4 avVsyUAeC7G4RMI3vzgN7d2OoymGT1a8ISJvTkn4sgG8+TXU1aZ1SpSj21Vfn4P3prtdskeUWlTf WWx3jtl4WTSV0JQXTXQByME5Cc43Z60zzDS5FZbtL+AtqdbMqISpDcgdeNuzdj07XfEM4q0LI/Xp cSlMhhMLJm2c4ZcLN9rA6ZkUuM1fHpqyfBlCnXJeOClMKH75UlsUMXnkNbEsqxwRlGDdVNg9nQLf 34ORjOrFjWIv/5R8RRSCy+MvF/7YuSHfUjoUIYQrh4G7zyusivI2/Y/GQf65X2oveQmbitnu84pO eqMA7jlo9V65CQfvLmhBseTI1JT1aMxg61jvifj6UYFwU7lEkJ3vTPQHlH1UERq0SpodvDXuktpP 6hcMfLlFKdSPMBriWADBtDnKbZwLY6ha7QWwb5rG2mZ7eIj79ZHt7n4Nw8t5yF8fSUPq58y7HIKG iE2JtW59fKQ/4/qLFhXUrt32yRKzrn6u9iha0aPf0nrZPyxB7yAPupPJqRwbAYtQnD87bWJyw1E/ nFpSHNlrWJ0amshHcwcxl84LcsNFgrdKjmvjd11kHYd0s0E2e27vGtw7hmOvn6Jg7RRahgRdQs06 p2i6wW7/DQewg18pYEgTdgvT8O9zw+DGz6TqSZbDXdlNSJ7do75TPJh4c4By7fOGMtCJQPWBh4Ph 6tdp/+rWFsmNlnJVOcp6Cf4i93b+UJk6ByV042/Nq2Z7GN2RhbA1VooeTOtoK/8j08D95S+7n7UG 4P5eH1dJbK7Fmew8d+npUpVckJHYMdzO4z8a8nrxA1PKT9QbJdjGBProZnvQXysQ3hSVePQKCNO4 FZKx03Vk3eojySZE2cUs1mcoeqUWbm7CgeGKen9OsXH5Dd17hd+tyQkQwNkuQl6l+amEj9WFkb7/ ExaGhlsGEcwj4OsL9BUti3K0Z38OpBoOgrh5qGlub06wjemyfZoFp+gySUH4teAWaQnCCoXEiHFH Y5E5bAQdkB2uXqYlMYXjphAB/Gpwvd92n4AZAq2oYPV1xPKqS/dnQKHqjpbACoT+QoouIajf42lh a/TbsBgHFq8EKpRig0s5tXAipmSouQHJ03UmZgYFuSbwJYT/60dSatF2PtUg1FIjCoU/GWT5Y39X 1jJk0t7sooU8icF6iB5GkfKktRLP3gQ8xxZdpdEwjpMNOQPMn/sbP11YJA/ZSOGAj9bfckvpbr0Y 0t1G0o70mGlhm7yK8WzrFGQF/zLZz9QgMYz6Ck+pxa6Uw8Ax6HGVTrw6ebZoTzH+odNMm4xfDGRL Anw2Ee9ITyO/bmEQ0c5XKxjhfTqAKAhc+K6vB/RrFnm9GtUOp5I/aTh/ioy0yLwWcY5ymIgYMJP6 hvXvUtx+zcFqZk4/umY0yMxhuz5YQKz0c+NaUesy1aTM7zMx+MrPhwOLDl/Rn4GOtuBmZCgR7cKc vX6yPny8bYjS+xlrkjIIi4kaieGgfGMYN1ufsDBsp/cbDzETsMzFZ+Zh4HkSm5dGBsP4iwFdlwnn JtJLf3QHTdiRQDBnGxKFzCNN7lI0pvs/oSrWrMclukjUjRdbkaV4Ggyiu41jerp4uRLUOXo6c8He CdPFs31zW37hsFB+e8+RXUA0byO4V4bbgtB5I12DnYh3M5DAHZKpy+pIeTg3BiEz2BbDPoES1uJq z54HTGYd8iWcPiezxQ3ZyHKTy9O+Z7RBRkWrRE1gKp0r6lnZh2i8damaJI4IfXv6UTJ7s3gx7okd o6fMev7dmcj0WTEhEDKM1oi/DS4cB26X23e3MfVX71VORXUa6HazamBi68JQD4WhzUQG62j26vuj i2haQnDSYXO0DxWjM2NMW2U7Yye/Rve/vzdWC/iCxjYAFbw4nDWfvHbLrfTILNEuoZsjGUNEJPE3 VfrjUflNFnWRIHAUExW6xKbgGlMhgZ8BPqnUY33rNGdup1B5DlzqBM/9Zt+ecErxXcJ4+IR7S6RE fR4jVNUqmaenfPXggLrRvu3lq+qkVw2XMae1wHVWXrlpf9tLG0Nv/Q0wU3Sw0VVLinUo5mg951r+ Lh8ond1QNXw4Cf+XNM9Q0GXNo0Ohblq+byuF3ob8d1Q4OdOEMYGr4mzSQ/cktaJIPWCRofFCgt9b 3jnosmNmF4rJ0cNYoLn04c8X/VhvfgojfVPOxPmpZZmg4+w48E76bDzVjUehoF32/4PufqqjGft9 lsubcSPXVUT4ke5a34x2X+e4NxLVyWRw+6hbdKnUHMGC5I22jMydW5BIPzoW2UD0E4ivWrmJ7C2P 7rj0B/Ni5AsdEPbip5/Yb4ymcQBn1La7rXJeW3XkvQS+kmGq0QpsVMSEO5nVd8ZygKNj6fkdns8t 7msAumKV2DwDNwYd2j47h8u/BOS6kPoEbum7RQQEZaJSrkEbAztCs6wB8yc33eoEy7aLNWztkumB B6i8X9TEtihfGHtVk1KX/s3zPWneRVWr5WjZD8Tb4RI7xbOqj1Hl700Ur06+0yv6lXzlQNL48pYd bVjvVrrU8zN6hUkJ/T6rIAqC/RAthomGtfdeT7JSTi9oWJ8H4G1Pg+n+tlKmVjrE1YNI4TSxL+9o BhqHeiKLctthnBVDKQ/jFG8hVbgt2Jgb8JyuGnbOway4ryNl/+Xq7EfbLXj25Jn/pxDaEotXAtL0 5OplJd9ftQbhAhA3jL/jkdOlBvMl7nfKE/EhyIQkBKFC09nxo4li/uHiw2GuzyrN8VXXe1f6NFJL 0Hbua+tEMS6MiiDVd1OamlYa05qJCX+qVUtwW8EsItySapJatAorhqyXf0r3sZsOpJ6ePfMATYQx /wfDzZ3HUQBddEReuagYasCa4Rx1K27eMWUYBzWpJndgZi0GEXiyJ0P5S9lV/o6g9N9um0MLuTki lXJi+B9sNXOEeSYtVIJRYDQjV+/+YrP7fiGvo8deKt8s45u/lSv76Yx7eRiygxknwV0RUZPYsrUq 59OJSkBMjWdAGHpN4DYDFfPyf5o7eokiLx6kZeo70SskiFRjIvfsPGzgKmITRxpJwznMqCpt56P2 wejAevw77qT0DrJXfUJdMAHZi3yK5AjHaAVHnvcrP2T95kRlQKwxFXNAbgnSOXWunqxjwxnQuNbu yr7AV0VZkuoaMTKoV3fIs8jbrtiMj1GYEh02v7YljsM5hkfnUi6muQLby8e1EDowLH+UmrO/yf/O uWRdi9jNR9hE1l1TFjZYlBflfhFluEE9DN1pdDEX20fT+5xnWQ/TlJBnIKK7YVe0YhJwS+oCXtK+ rLykF6TeaXvC/mh61LRPbA06pMF1fl/R0D+1OCRFfuCRFRoW2WFKNtlRyZuLy8SENuMZDGdkxGGR buq1i0+p1BivETj4r9L9hzLHQ2lP/YmB03hwbqi6kLEzYQsBOArN2/QVk5ay9ujo9lBSm4j85Z8o SRB1OOFA8j8LEk/UnmruJw77ToCiOGpG97dbJV95FcRq2ocEnyCtu0xyWLASVJeAvUad3CGT0C03 exKshJVLtxotLTV4vYXRonPC0QLMLArKKn8A1sCzNmGWOjIdU8Y6ZzfW8Apk4gqpzuFbAJN5UkO/ gHomcL+ZMbrD1G64qO2SuMROoqvF8MVErDEgfQ5p/G/TAP1i6Th9mogwRujdffe779ru/W8tz/Cd BUQ5W+WUxcjUxrUA9ylY2vRYC9XGbrHovzZisythLIUDY2TbXbEJwgseNrroCeLxvgO9rpXYt3GV nc+cmTnhv5I4BPjD9YtUlxv7f7VGcd38De8gXxja6cY2CqvS5kQPT3unXk/DgqsnR7xbtfDkH7Km +d8uJI4hDir/cRpRN1OCBRd9iNrwzP02h2hZSQty5mv9sf9kjIky33vfIat9inBTP7g6TcOV5OW8 /JC1UlYrqPd0nY5kMlSgAotIHRLczTQV4NfX4WFE0hbg5SFB6QnypiyGxImLGLTJnHGlxb5c2rHM VnLbxk6JavsFCYmIUv5PdoqKavE5fH29y9ztr0MEx5yEiDYNiXFjV9hpOx/BO2n1LDx1jshRamsd nxuyJXr6Qit/ccvFDG+abfx3nYEBMdzL+FSgah/FOw0/mhB8hHawUyUJIBtN42ZX6XntS9tbVzIG umd+v1P+Q1orRuMr7npzhRihe41GCuaHA+ECjBG3fsbch0EfsE8bQXFMfP7GFaQ0miRJR7n052gZ fTh9pbOrG1uWAoa1ZqRVZcshWMJqhTSWklSH0vePcURNGMjl9UD6MFxfR5izlaKZxZMGQOXWd2KB 61DayXqTqiAX+uYGG2tOGeaNNxg4ynMBU6MNMg0eNxK47j2IgK6eDQCjoZpEFQ1UvcXhiV35fjMF iph7lyWKYSZ6lpHUTul6lXv/D5Y24XL51BP1eSc76nmwAau4jDCGuUsrkAyqQp/AyRIFERGYtZsv clGYTowieVIhhx8FUhMbj9FUCVlcjYwI8HhkEnhnv9Z9HeCO6Xoeww5P4xWu9+e7fwFQHT4OlWEi kAsEkIs3NNFZnrXEchnAhQLd8z/Qa5jlNQGJ+NOa9KkFfNXBCkD40Dw6MRqMjF4x0Eiw5FPQfr6g DelTf7HvxaRr251QxgT6h9cM5YRsWXkjxnGt0GORMEmBAy4Kr8KkCRAphWEHlRQc1OM1iSwVquwn hR4SBJ3wFDK62trW170na9RZQvyQ1+dGtDC4KkYUfrCns6JGDK7uielCjW2/ih0qIrksfTSKP7UK bB73N/h5VinrUCtl0BSVUSe6WI11mwtpLL79OUsVq9LUGfpk0CdBGxAfNyI2/kytAsHoTJPn0V2T BZcG6n5erWecWhHJ4q4dvzUVXRM/jvptHeERZ/np6C/aTWfFm2R6Q2fuqVIe6mId+mts49wlmVYq xVheVeAZkvZ4sD6IO4ntGb5zIiGMhnpA8F1SIvwidg646FPpdse8NlH3rgXQJfgpx/yk8L8Qq5ab X6puoXm+j/gTgbmdv07wTWWRdYUPC2V9ISflmZpW/LxFbP0J58YM9fvIksjaUPpj54ujQ/qPDSkq 4ajSkbYplAvCgsEHVGMMKw17dAT78J8PxfE2VxDrCHD2fJhrAJZI/igJ/I4oywRGKijRZhDRuh1o yvJVP4zOQ8go1yTKEp16Vi1hlzF3DvZbI7Bkc+2EVO4sFZbRYaHNNTBOSJEotGdtuEeQZm9wmt3o q1rjLddgcAxq1vOsppcXU1HXrevGCkhg3bFm8ADvplbxFQQCUAPaBOkamarmwA1Afng2okhm5zdv I2jlEXC91kp5TeXmlQTir1DMUV9eG1CTL7NsUMu0D2xNMwxYhNbiuHgZa/X+voL967Q3AJCm2Jvi ArwvPJH1tjy70wwKf2CmytmCspNL6M21pub8VeWoYdIIP4ntFIXnTBYSeNhuARI6/kKNmmcQVWxe /MescLs/ILDCh1K4a1PbfK306uEOzqfbhlCv3rtSvZLtLtisiwA500waSkHQCA6TDAEPxLjyp2+9 j8y3Rz9/QH+Bmo8BAJq0TLKjXSC8nFx9Sf2IazF8IqYpORadyS4vBdGQqmcrvyBhYl28JrTE8tNt NXU6BxJs+J8vH9yAkBvi0JUgjZ7QXjZXABMCzq/Vg57EOcVWvMuJetkhPHSlVQVVa2I7ctYxgSh8 mEj/VlfI9r3SPkf67tJoSNuAeXFDeh/HHyYgeAldHY5ysgQBK8b35vogvfqLgvgJGo2TFkHhAPO4 qVgb2/kFJ2n9euqUWAkq0CuSjS1Be0B9x3xyYR45OiUz2zAc46C/GRPX93XD9fkegvNWMNa3f1uI fhLFjPF1pXESI86DETz0Uzv9YQFenqnpgL5/lp2TCBR7feljuW2bYc9haaiVD+d76DK9uoA0dPG+ NEXTB5ISX8lsxoq8Q8oMq/Ye9WMtz2nRZguDePgIb9AgB/kKeoHenqbmH5UIJps8z4yMxCBEWXJC QPsxOjr8KophUxfQZOWkrIgsXTZ13w8RqWQsdBOeGx431PZ9FXES+O5RDrpA8/Qo9CM0lxj1gQth QhX3y5XlNC96iRZVeU01iBA5yguSWM7BpYBC6ttsVFsOfMXu62GAu7Rx3hWFx9IbAQJ4IG1GqDHl QRHmIZ/p5IurF28EEiIcj6rVQoWivdxeBcqjBQXmn2/N8yZ5prYQ689n/MPWjn6bYR3ZllLl3mzW zu125Tz+9ZajlXluPdYfODYRz7YcgxoXi7XV0O0EEH2+ZLeVHumGoi6adoZ6dbY4HXnu+t8XWGSZ RkM9/GmaLaBFHgdAzJ7KFCzBvrTiGukJ9K2FuFYxV4QfKQw6khTL19i3uNEhU3N/nUk68sT6amww SCHsushrwwyecJQ2RnIcMiegnwncNvj6R3CBzRpmCYmDzmJyWaq2JaJvNxPg9ResoNm2l94zksS9 DnjpmkJ7848ndq2jIYUblqP5lsh6eT7m2cLRO2F6bS/wnCYjyGpEu1Hg/oUUg646MqcfzRr33sFr 9+nlv/1LX3LP14PufmZ/Jb0TisA8bkDLiQdvVIiPBJa1huP6UURHVqq6G8Yk22spdN4Nw6nZR1xw 0xUnaT8jpB7EasyEAl55oFLnCi2MnDnqXp88BEjBkjh6h6u4jJumgeh0NslJhMBeM9fZPi/5YJ98 HRvhJ0Tg0HnqFcF1Cw97CzL+ZPNTe/ff9qZx9FWB//OjYNUx0/pbD0QecM7NCcuGHHZI9Qpcfw4R cyt5fhzJBVmJjO7ibBZ1QRJ16ad4YgM81/xBrSxZUHGtHBeZUEDhk/XiUGldUkKHXQM2E8mohFwO 9WTTZwVvO0a/7udIVSwapCiaX7i5MwBW7ynu3cWgniSIRQ58wFujRY9AqVMp5nEFjrbKVXczT/ko 59OaIGrs9WhubavTxEG65BDm7GMc+HVn9fo1xJECe2GXn06C8MRJebgq1pd5LRQC/LGuAeyY1Fsw n9N4J3uGKSaiX1xahu6GgXnVUtSu/6KDE420htz6AAMh8WP+9fSEW/hx2gh1oaYCsV/gDF2tzVYw V5zeM7+FnLoFZyiFrV67k6MoMp/pCT2Uyn4VXAGWGTjmOcCQgnrARyaniriWzxF/N/y1phi856jH 82el5RshYNlzw3ly3nCuO43regU2D9qLK9dosrzV92WIUcH963PYuJ2whkIC2awm4yPpmleOBu4e OGANgDbOJ8i25yNej/ce6gZOyJoNpXzxKN5dnDmiaCC64koQ4l2KjZIkbw01439icx7l6+prsykX 2+5v1DQMRJd5gvKtu/oCYuQPldEOadwbaFUiB4MtWoo+EHZa3eG8/2QljOxg9K4lOaEKJYW/8A2e cnsIV+9HC+/2U9pSp3yJyQ2dhRmeOnKkBMW8iwjeltMZGutzNMEUAVJc3Qeo1OdDETJoYC0sSrbo DBHKu4uvwCXunXgMdlHUEpoX6pHqPydN1qWV5Jw1fM6l9vnFKWzOAosC7wihN+2KV3WxNQGGmWwm eGkkuhEr0mmvnHOL9wfFddX6X1EaLCemHOZ+OaWmhMQ7YcnzLDeogikyzfh2QCz84wdzQerWvw96 cEc7glZtJ6k1UDuJI8z+Pm7oG68OgA4Lg23Ha3BjQ3tV1byj7o/CJRvmuJIB4gCvTCIByjf+ngBH taNzfUlES0c8ENd+4oXbyL4mI3AeRVIUFHwAfTZ3aIcLO8pQdO1LX4mrqrAQ0Fvzz6/rPUacH8Iq WUtuwm8vrWRbajVbxKzjx4aIjTC2jwCGNwTEUJ/B4v7tPSNcx5SNw8kVLMXaGBXVEubi9Tie4teR ufVc6Ftap5G+rJPeiMAVSbfUFRK509jcj91gV06sEd+quHnfZyTqiVw7DDGxgvB7RlcozrbQikim C1oIEzA0zX4z7T2OnmbqzWQONyBOXraKz0CSOJw6cAzBK86eM1oqsQC3KmSInQ4w8jBtu49JpTNl yUfsfIUmATNe/N4fXmfjlab7f06K6ctMMhfmHtxdq6gu0OfD7GaINQz3nrmiVwAxQHIniYMALlCu ZSUJwG0zjsOB7ymg0ck6f3umAmVq+/xfdSvrMYsmFxpSPqG2BcfEDA1eNMJmb8grVaymt88Orqei FBpIr6wSI4u7RBAdC6mBOefvQGqJrb9Fos8uWAePockIIlIW4NxrvOVFRiKWV0DGdY4akEBXnlXC kynrpz8yUVHbVZGfhKP6rPsKIMT6yBMAR7ONvWNi9+zGArIH8oHuutlC8h985c6liT9b2UCDtcGn 9Tfpexo7a3Dp1qpBxC1d2J9Ds8Fu9m0UuOkrsJjKiMgTd0ktw7R9nL7ojHYnrZ3kSq26iUKPmySL 9O2aV/f76cWAH4S4804h1T5VemFbvhEg1N45vUNX73zQkLgmBeXLJba5tpuGcgxbi4gu3L8USE06 CAtuYhwj4s4qv49zI4ysbrBVZckoNZckgoH4zNhj/UnoJtBrt3hu9a8aYga1aH6bcT9ibfySOss9 HGjaXWglnCZx2zFSqaVsYA7XiVpcnbxweVZ82aemzBh+N6Un61WvU0yP1xSKwjpZssZqs1e4i73x 1zQMwD0euka05eUbLDq83wzzuQfckgOqGYQyr8CmRsrfQ+XV/TSkeHiEfmSHP+FAqP8ZpG77VhHR LTn/Jgftb955aRT0IpLWNYkBeEZDEnwXGEt2e4wJUrcq7Le5cBn+vGPZuNKaIG/+irCSf/FEtzb2 Z6bt9aQvl3Y9A9Df/nMHD7hk3Jg+GuTOo8TYmcUQtKZ+jG7vFqpolRv2ANWGxWJUh2GcaqP33SKR KpNP7YqXleEaFyKVOydgAnNnfxlQYKf+rGuPTvo19FL7k45mzS5CAflzzHIGe8G5BOjPWBRJZaSj GxrJKIb9yLGlxDY6ptDeY2gCNjwD/E4uYR8FFjPpxEZ3Vd8xmbfMu6+rg7aH0du6UsCDa74UK3Zz 0bWJf/oFm2WDB3ArP0Na2y4kgNaLMy8yZrXyZttsRbF9NLxX6degtHzAHXHwqd6K7sz1mZZDeDJu LEAuXaRoZ1U5UnrTe+h2Le+2DhXn9nZsHt/mh4NIuVQSlQHTmhEq9oLeCVVT6GeMu0U8P6GxLCIS xxdTDPjopVhMlQLrHdDNB0xVWBITtxrj6Wp/ztEMTC7pHEEU9amMch11uf47EwTuiRk7aTSD9KrT kSAVEHZcbnMTV+pnj+cd+lbObldkR/97+x83K29PtvIvGvmEhE+9fBDBthgbFodl7DoozbXzXBnk CIG79IGgLKsXPjL0Rm3AngaQHFFcvnDK01yad4hkfWEIhm0MreOfarNxofE5GzUkmSnitayBpY0n W4B6mryEsFvIg8gp2QJ5ObTktxRQIpv9D0C+ja0wYAZLCBCsNzjPNuaXwlAmx9DN4OVrNp8EH3Yy rZ9fuIZWOUzFhSwMiv0KPrWjlzQp+QNdeKfddTPYuyckFbu5l5nywSOf3b+KdWeNBGEMxhhkXVo4 JfjKMFpnwlV+jA8GLPhdmQQ8w/rNZTBBXv98UWQBxdzRDBjZ9tZFSmzPI14Ud0ZGEFKCSE10gJxI nGPRnjmX35J/F6Cmme9zWAF2MFMEZVGChwvXwUqxQ9ko7cg4mOqwYq0SfaqrWhSCdUgAh/DxDexJ w9EDpKSd/6KeUCcwQDW2rYQFMBCR5/so6ERFPJYdgH0EkaK+vlS2oDOMWp3VZzwQA/vmjykAEGFI tcRcxkvmbdFl2AvelsERk4lxMAdr3Nv+X8x3ES6j+kr5pYdnKsbhEnfa5qBX4omz4IXR7YB9pS/g uh8LnuzyC+ulSlnnx1vKbG3i+842QVxZfLLvFla6NgeJaAYq0YjB1g9e0BlEvtNG3pN6QeC8/Sof q3hlFjlplBDFUYhpVANJmSJl+eSIqkjTcFdNlfvOmsX9PuURqr+V1xSKTs/iLkUfe+gE0L0itfiq pjDBScZwz1xm18j/maYKffJbn1K3MwYsdi6c2Vqatd35XcvCW+crALhWY18MzTtduDnpEqIYV4If XVeHo+yoTG6Ju9CpURhQMdeQO1E1LszS2aoGiB5ii+z2pdpd7SneGV8D+pIP0HphnFfDlKsbr99K TVvFMLT4ZDPqqxQETsErkwiI0TZASlmKO5kR1rfKTHlvXuB2XisIRo4VbV8XJhMsJ3l3deZ6mJ+t 90Hgn2+e0NgY0TK8lK9KELXagmWslfIPYNzZ1IurXbnUDHkcIhYXkNzp/ezhhVd0gu6NQ6YbdWxl kSvGt/YdU8Rg4lg6x071rJcoZjU9Iu18ttT1h6TDdi+wMxvQyCVBdyoKE1npVTPcr+ti3vEt+t8y apoQ9lZE25RisFet2LCQ9zfBi/RiIgCtnRuNcJgtctWMGURdzi3gU+I50c+bESKxMiVwZpyJlAPE GwXkA6W2pf/i422SsPc+XsnbWrOu48sJyrvgwg2SI82NVh8CrTWsVAdfdZNS9SYZZHeuxO8HiDAv FDpdy/gtgoMzkzivAq36lnQ4XPkCiPrJ+9SSra+FKQgRXzFIbTJJNVc29BTUQc3hGRFgJBSE2NLa 7Ceu4g4ASmM37REJFNfWlDeP4UtcSL2/AoF6ZgHrTPVwAv2adoEw1s01ACrJXYLsp/XbtZ+6F3PP IvGMuDuRvn5q0mjYXoPteVZEENwV0Sht5OfyeZ3hkjeiWe4PjYyFcJdF++kYeYUhdYXCJfX7736v D9vRuq3WlsO31CP6gH+Z+AK4d5iL1FxqLPpUOBW397QJbaYPSAQ/j8rWr/7at7mUjXGJmm2vCf9N 2ZLew6jypG3/udaEZOBTH87paoHpNdwmQXWbvcuk/ktoNORGVkiot2ei/dcsjNKkTKm12l8EeTFX 9XswLJ2ir0zjr/ytWz4AdugoMiHf3QQeULPuW/TrIsbXmxSbxArZaK4IylNl9QyZDpM+cbgsEt5d I5u/3JfB5EhI1+hss++4nqr8G8nhrXo9kz+6YIqsiO2CDJMd0QR31r1llYqpekNfAobl7yToClV9 cYEaf+6B/o+wV/KAczhPD4GuE49gDmOhk3DZQ+cXwazkcsyr8Ay/0uJ6dKpvKyfa5gXtpG2vjYbv r1VgoczzuviWv6Xe6s4OijtJM1mtZET2sL3D10o4EL/BQoErsRrLsO3w2rpr1P4Pg980L2vic9Xd y61D9L9T7dTla5OMKiy2W4/DS4lPeHy5jDNDVL2gybQOpIluM+0QmTx5kTrhgV2TkGSNuz15m94e VDEsBT+O+0PWcve3nnuwC+k3BQfeM+xB9w/z3O7AIS8y85D2AsG/Rxlzq1HN8fqhF2s7JlYE3rMD o6GjJe6UfFC2s4qz6BG/3mHXgOnFLGwhAgHKREslT5XRCsjQfLQJi7H99KAdgcO2TC8o `protect end_protected
mit
b89857e38977ccbbb0edd7d38325151f
0.953246
1.828846
false
false
false
false
alemedeiros/flappy_vhdl
obstacles/generate_random.vhd
1
983
-- file: obstacles/generate_random.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Random number module. library ieee ; use ieee.std_logic_1164.all ; use ieee.std_logic_unsigned.all ; use ieee.numeric_std.all ; entity generate_random is generic ( V_RES : integer := 96 -- Vertical Resolution ) ; port ( seed : in std_logic_vector (4 downto 0) ; rand : out integer range 0 to (V_RES/2 - 1) ; clock : in std_logic ) ; end generate_random ; architecture behavior of generate_random is begin process (clock) variable lfsr : std_logic_vector (4 downto 0) := "10011" ; begin if rising_edge(clock) then lfsr(0) := lfsr(4) xor (lfsr(3) and lfsr(2)); lfsr(4 downto 1) := lfsr(3 downto 0); end if ; rand <= to_integer(unsigned(lfsr)) + 16 ; end process ; end behavior ;
bsd-3-clause
597abd368005e028ea5b24f9e62ec2f0
0.61648
3.473498
false
false
false
false
Kalugy/Procesadorarquitectura
Segmentado/Barra4.vhd
1
2,024
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:20:53 12/04/2017 -- Design Name: -- Module Name: Barra4 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Barra4 is Port ( Clk : in STD_LOGIC; Reset : in STD_LOGIC; datatomenout : out STD_LOGIC_VECTOR (31 downto 0); aluresultout : out STD_LOGIC_VECTOR (31 downto 0); datatomenin : in STD_LOGIC_VECTOR (31 downto 0); aluresultin : in STD_LOGIC_VECTOR (31 downto 0); pcin : in STD_LOGIC_VECTOR (31 downto 0); RD : in STD_LOGIC_VECTOR (5 downto 0); RDout : out STD_LOGIC_VECTOR (5 downto 0); rfsourcein : in STD_LOGIC_VECTOR (1 downto 0); rfsource : out STD_LOGIC_VECTOR (1 downto 0); pcout : out STD_LOGIC_VECTOR (31 downto 0)); end Barra4; architecture Behavioral of Barra4 is begin process(Clk,Reset,datatomenin,aluresultin,pcin,rfsourcein,RD) begin if reset='1' then datatomenout <= "00000000000000000000000000000000"; aluresultout <= "00000000000000000000000000000000"; rfsource <= "00"; pcout <= "00000000000000000000000000000000"; RDout <= "000000"; elsif(rising_edge(Clk)) then RDout <= RD; datatomenout <= datatomenin; aluresultout <= aluresultin; rfsource <= rfsourcein; pcout <= pcin; end if; end process; end Behavioral;
gpl-3.0
aadf33c06a8f0c7974563102f3650e63
0.599802
4.097166
false
false
false
false
loetlab-jena/das-atv
hdl/syn/hdmi2pal.vhd
1
2,961
-- DAS ATV-System Testplatform -- Pinout: -- HDMI_CLK: GPIO_1_IN0 -- HDMI_DE: GPIO_12 -- HDMI_VS: GPIO_11 -- HDMI_HS: GPIO_10 -- HDMI_R(5:0): GPIO_13, GPIO_14, GPIO_15, GPIO_16, GPIO_17, GPIO_18 -- HDMI_G(5:0): GPIO_110, GPIO_111, GPIO_112, GPIO_113, GPIO_114, GPIO_115 -- HDMI_B(5:0): GPIO_116, GPIO_117, GPIO_118, GPIO_119, GPIO_120, GPIO_121 -- DAC_CLK_I: GPIO_125 -- DAC_CLK_Q: GPIO_122 -- DAC_D(11:0): GPIO_21, GPIO_22, GPIO_20, GPIO_25, GPIO_23, GPIO_24, GPIO_26, -- GPIO_27, GPIO_28, GPIO_29, GPIO_210, GPIO_211 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity hdmi2pal is port ( CLK50 : in std_logic; HDMI_CLK : in std_logic; HDMI_DE : in std_logic; HDMI_VS : in std_logic; HDMI_HS : in std_logic; HDMI_R : in std_logic_vector(5 downto 0); HDMI_G : in std_logic_vector(5 downto 0); HDMI_B : in std_logic_vector(5 downto 0); DAC_CLK_I : out std_logic; DAC_CLK_Q : out std_logic; DAC_D : out std_logic_vector(11 downto 0); LED : out std_logic_vector(7 downto 0) ); end entity; architecture rtl of hdmi2pal is function to_fcw(frequency : real) return positive is constant sample_rate : real := 50_000_000.0; constant bit_width : integer := 16; begin return integer(frequency / sample_rate * real(2**bit_width)); end function; signal sync : std_logic; signal burst : std_logic; signal hdmi_freq : std_logic_vector(10 downto 0); signal hdmi_ok : std_logic; signal clk27 : std_logic; signal clk27_locked : std_logic; signal clk27_sw : std_logic; signal clk108 : std_logic; signal clk108_locked: std_logic; signal clksel : std_logic; signal sin_out : signed(11 downto 0); begin pll1 : entity work.pll port map( clkswitch => clksel, inclk0 => HDMI_CLK, inclk1 => clk27, c0 => clk108, locked => clk108_locked ); DAC_CLK_I <= CLK50; pll2 : entity work.pll2 port map( inclk0 => CLK50, c0 => clk27, locked => clk27_locked ); clksel <= '0' when hdmi_ok = '1' else '1'; ctrl : entity work.clkctrl port map( clkselect => clksel, ena => '1', inclk0x => HDMI_CLK, inclk1x => clk27, outclk => clk27_sw ); hdmi_ok <= '1' when unsigned(hdmi_freq) = 270 else '0'; fcnt : entity work.frequency_counter generic map( res => 11, gate => 500 ) port map( clk => CLK50, cnt_in => HDMI_CLK, cnt_out => hdmi_freq ); tgen : entity work.timing_gen port map( clk => HDMI_CLK, vs => HDMI_VS, hs => HDMI_HS, de => HDMI_DE, sync => sync, burst => burst ); sin_gen : entity work.nco generic map( A => 12, F => 16, P => 16, N => 14, FCW => to_fcw(1_000_000.0) ) port map( clk => CLK50, sin => sin_out, cos => open ); DAC_D <= std_logic_vector(b"1000_0000_0000" - sin_out); LED(7) <= sync; LED(6) <= HDMI_DE; LED(5) <= HDMI_HS; LED(4) <= HDMI_VS; LED(3) <= burst; LED(2) <= hdmi_ok; LED(1) <= clk27_locked; LED(0) <= clk108_locked; end rtl;
gpl-2.0
e8794c195b824364b2004bd17cf21247
0.613306
2.272448
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_1/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_1_tb.vhd
3
6,381
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_1_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg.ALL; ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_1_tb IS END ENTITY; ARCHITECTURE system_axi_vdma_0_wrapper_fifo_generator_v9_1_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_1_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL rd_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; CONSTANT rd_clk_period_by_2 : TIME := 200 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 110 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; PROCESS BEGIN WAIT FOR 110 ns;-- Wait for global reset WHILE 1 = 1 LOOP rd_clk <= '0'; WAIT FOR rd_clk_period_by_2; rd_clk <= '1'; WAIT FOR rd_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 4000 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from system_axi_vdma_0_wrapper_fifo_generator_v9_1_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Simulation Complete" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 100 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of system_axi_vdma_0_wrapper_fifo_generator_v9_1_synth system_axi_vdma_0_wrapper_fifo_generator_v9_1_synth_inst:system_axi_vdma_0_wrapper_fifo_generator_v9_1_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 44 ) PORT MAP( WR_CLK => wr_clk, RD_CLK => rd_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
bsd-3-clause
7e17d225daa5d3145857092ca8b97048
0.62404
3.975701
false
false
false
false
louis-bonicel/VHDL
Porte_AND/add4_tb.vhd
2
1,834
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:10:22 01/15/2015 -- Design Name: -- Module Name: add4_tb - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity add4_tb is end add4_tb; architecture Behavioral of add4_tb is component add4 is Port ( r0 : in STD_LOGIC; a,b : in STD_LOGIC_VECTOR (3 downto 0); s : out STD_LOGIC_VECTOR (4 downto 0)); end component; signal entree1 : std_logic; signal entree2, entree3 : std_logic_vector(3 downto 0); signal sortie : std_logic_vector(4 downto 0); begin uut : add4 port map (r0 => entree1, a => entree2, b => entree3, s => sortie); stimuli : process begin boucle1:for i in 0 to 15 loop entree2 <= std_logic_vector(to_unsigned(i,entree2'length)); boucle2:for j in 0 to 15 loop entree3 <= std_logic_vector(to_unsigned(j,entree3'length)); boucle3:for k in std_logic range '0' to '1' loop entree1 <= k; wait for 1 ns; end loop; end loop; end loop; end process; end Behavioral;
gpl-2.0
2f551d2a2559eb7c6d3f69a66b0152f5
0.565976
3.554264
false
false
false
false
Kalugy/Procesadorarquitectura
Terceryfullprocesador/TBMUX32.vhd
3
2,804
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:14:32 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBMUX32.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: MUX32 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBMUX32 IS END TBMUX32; ARCHITECTURE behavior OF TBMUX32 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT MUX32 PORT( SEUIMM : IN std_logic_vector(31 downto 0); CRS2 : IN std_logic_vector(31 downto 0); OPER2 : OUT std_logic_vector(31 downto 0); Instruction : IN std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal SEUIMM : std_logic_vector(31 downto 0) := (others => '0'); signal CRS2 : std_logic_vector(31 downto 0) := (others => '0'); signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal OPER2 : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: MUX32 PORT MAP ( SEUIMM => SEUIMM, CRS2 => CRS2, OPER2 => OPER2, Instruction => Instruction ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Instruction <= "00000000000000000000000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; Instruction <= "00000000000000000001000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; Instruction <= "00000000000000000010000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; -- insert stimulus here wait; end process; END;
gpl-3.0
d2d8fc71487930cca23e264179a20813
0.631241
4.32716
false
true
false
false
loetlab-jena/das-atv
hdl/sim/yuv_tb.vhd
1
2,544
-- RGB2YUV Testbench -- tests the rgb to yuv transormation -- -- file: yuv_tb.vhd -- author: Sebastian Weiss <[email protected]> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity yuv_tb is end entity yuv_tb; architecture sim of yuv_tb is signal clk : std_logic := '0'; signal r : std_logic_vector(7 downto 0) := (others => '0'); signal g : std_logic_vector(7 downto 0) := (others => '0'); signal b : std_logic_vector(7 downto 0) := (others => '0'); signal y : std_logic_vector(7 downto 0) := (others => '0'); signal u : std_logic_vector(7 downto 0) := (others => '0'); signal v : std_logic_vector(7 downto 0) := (others => '0'); begin clk <= not clk after 1 ns; dut : entity work.rgb2yuv generic map ( width => 8, resolution => 10 ) port map ( clk => clk, red => r, green => g, blue => b, y => y, u => u, v => v ); test : process begin wait until rising_edge(clk); r <= x"FF"; g <= x"FF"; b <= x"FF"; wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); assert (y = x"FF") report "check 1: failed (y wrong)" severity failure; assert (u = x"00") report "check 1: failed (u wrong)" severity failure; assert (v = x"00") report "check 1: failed (v wrong)" severity failure; report "check 1: ok"; r <= x"00"; g <= x"00"; b <= x"00"; wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); assert (y = x"00") report "check 2: failed (y wrong)" severity failure; assert (u = x"00") report "check 2: failed (u wrong)" severity failure; assert (v = x"00") report "check 2: failed (v wrong)" severity failure; report "check 2: ok"; r <= x"B2"; g <= x"B2"; b <= x"B2"; wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); assert (y = x"B2") report "check 3: failed (y wrong)" severity failure; assert (u = x"00") report "check 3: failed (u wrong)" severity failure; assert (v = x"00") report "check 3: failed (v wrong)" severity failure; report "check 3: ok"; r <= x"12"; g <= x"A3"; b <= x"E6"; wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); assert (y = x"7F") report "check 4: failed (y wrong)" severity failure; assert (u = x"32") report "check 4: failed (u wrong)" severity failure; assert (v = x"00") report "check 4: failed (v wrong)" severity failure; report "check 4: ok"; wait; end process test; end architecture sim;
gpl-2.0
afd395818d7ce28418e01ba41ce9ad5d
0.620283
2.729614
false
false
false
false
Kalugy/Procesadorarquitectura
Terceryfullprocesador/TextbSumador32bit.vhd
3
2,233
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:33:50 09/27/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/Procesador/TextbSumador32bit.vhd -- Project Name: Procesador -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Sumador32bit -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TextbSumador32bit IS END TextbSumador32bit; ARCHITECTURE behavior OF TextbSumador32bit IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Sumador32bit PORT( Oper1 : IN std_logic_vector(31 downto 0); Result : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal Oper1 : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal Result : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: Sumador32bit PORT MAP ( Oper1 => Oper1, Result => Result ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Oper1 <= "00000000000000000000000000000001"; wait for 100 ns; Oper1 <= "00000000000000000000000000000000"; wait for 100 ns; Oper1 <= "00000000000000000000000000000100"; wait for 100 ns; -- insert stimulus here wait; end process; END;
gpl-3.0
f50a9b8d86f0db000d55e60be3d75a45
0.622033
4.253333
false
true
false
false
kennethlyn/parallella-lcd-fpga
system/hdl/system_stub.vhd
3
6,782
------------------------------------------------------------------------------- -- system_stub.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_stub is port ( processing_system7_0_MIO : inout std_logic_vector(53 downto 0); processing_system7_0_PS_SRSTB_pin : in std_logic; processing_system7_0_PS_CLK_pin : in std_logic; processing_system7_0_PS_PORB_pin : in std_logic; processing_system7_0_DDR_Clk : inout std_logic; processing_system7_0_DDR_Clk_n : inout std_logic; processing_system7_0_DDR_CKE : inout std_logic; processing_system7_0_DDR_CS_n : inout std_logic; processing_system7_0_DDR_RAS_n : inout std_logic; processing_system7_0_DDR_CAS_n : inout std_logic; processing_system7_0_DDR_WEB_pin : out std_logic; processing_system7_0_DDR_BankAddr : inout std_logic_vector(2 downto 0); processing_system7_0_DDR_Addr : inout std_logic_vector(14 downto 0); processing_system7_0_DDR_ODT : inout std_logic; processing_system7_0_DDR_DRSTB : inout std_logic; processing_system7_0_DDR_DQ : inout std_logic_vector(31 downto 0); processing_system7_0_DDR_DM : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS_n : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_VRN : inout std_logic; processing_system7_0_DDR_VRP : inout std_logic; axi_dispctrl_0_HSYNC_O_pin : out std_logic; axi_dispctrl_0_VSYNC_O_pin : out std_logic; axi_dispctrl_0_PXL_CLK_O_pin : out std_logic; axi_dispctrl_0_DE_O_pin : out std_logic; axi_dispctrl_0_RED_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_GREEN_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_BLUE_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_ENABLE_O_pin : out std_logic; processing_system7_0_I2C0_SDA_pin : inout std_logic; processing_system7_0_I2C0_SCL_pin : inout std_logic; processing_system7_0_I2C0_INT_N_pin : in std_logic; processing_system7_0_FCLK_CLK0_pin : out std_logic ); end system_stub; architecture STRUCTURE of system_stub is component system is port ( processing_system7_0_MIO : inout std_logic_vector(53 downto 0); processing_system7_0_PS_SRSTB_pin : in std_logic; processing_system7_0_PS_CLK_pin : in std_logic; processing_system7_0_PS_PORB_pin : in std_logic; processing_system7_0_DDR_Clk : inout std_logic; processing_system7_0_DDR_Clk_n : inout std_logic; processing_system7_0_DDR_CKE : inout std_logic; processing_system7_0_DDR_CS_n : inout std_logic; processing_system7_0_DDR_RAS_n : inout std_logic; processing_system7_0_DDR_CAS_n : inout std_logic; processing_system7_0_DDR_WEB_pin : out std_logic; processing_system7_0_DDR_BankAddr : inout std_logic_vector(2 downto 0); processing_system7_0_DDR_Addr : inout std_logic_vector(14 downto 0); processing_system7_0_DDR_ODT : inout std_logic; processing_system7_0_DDR_DRSTB : inout std_logic; processing_system7_0_DDR_DQ : inout std_logic_vector(31 downto 0); processing_system7_0_DDR_DM : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS_n : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_VRN : inout std_logic; processing_system7_0_DDR_VRP : inout std_logic; axi_dispctrl_0_HSYNC_O_pin : out std_logic; axi_dispctrl_0_VSYNC_O_pin : out std_logic; axi_dispctrl_0_PXL_CLK_O_pin : out std_logic; axi_dispctrl_0_DE_O_pin : out std_logic; axi_dispctrl_0_RED_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_GREEN_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_BLUE_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_ENABLE_O_pin : out std_logic; processing_system7_0_I2C0_SDA_pin : inout std_logic; processing_system7_0_I2C0_SCL_pin : inout std_logic; processing_system7_0_I2C0_INT_N_pin : in std_logic; processing_system7_0_FCLK_CLK0_pin : out std_logic ); end component; attribute BOX_TYPE : STRING; attribute BOX_TYPE of system : component is "user_black_box"; begin system_i : system port map ( processing_system7_0_MIO => processing_system7_0_MIO, processing_system7_0_PS_SRSTB_pin => processing_system7_0_PS_SRSTB_pin, processing_system7_0_PS_CLK_pin => processing_system7_0_PS_CLK_pin, processing_system7_0_PS_PORB_pin => processing_system7_0_PS_PORB_pin, processing_system7_0_DDR_Clk => processing_system7_0_DDR_Clk, processing_system7_0_DDR_Clk_n => processing_system7_0_DDR_Clk_n, processing_system7_0_DDR_CKE => processing_system7_0_DDR_CKE, processing_system7_0_DDR_CS_n => processing_system7_0_DDR_CS_n, processing_system7_0_DDR_RAS_n => processing_system7_0_DDR_RAS_n, processing_system7_0_DDR_CAS_n => processing_system7_0_DDR_CAS_n, processing_system7_0_DDR_WEB_pin => processing_system7_0_DDR_WEB_pin, processing_system7_0_DDR_BankAddr => processing_system7_0_DDR_BankAddr, processing_system7_0_DDR_Addr => processing_system7_0_DDR_Addr, processing_system7_0_DDR_ODT => processing_system7_0_DDR_ODT, processing_system7_0_DDR_DRSTB => processing_system7_0_DDR_DRSTB, processing_system7_0_DDR_DQ => processing_system7_0_DDR_DQ, processing_system7_0_DDR_DM => processing_system7_0_DDR_DM, processing_system7_0_DDR_DQS => processing_system7_0_DDR_DQS, processing_system7_0_DDR_DQS_n => processing_system7_0_DDR_DQS_n, processing_system7_0_DDR_VRN => processing_system7_0_DDR_VRN, processing_system7_0_DDR_VRP => processing_system7_0_DDR_VRP, axi_dispctrl_0_HSYNC_O_pin => axi_dispctrl_0_HSYNC_O_pin, axi_dispctrl_0_VSYNC_O_pin => axi_dispctrl_0_VSYNC_O_pin, axi_dispctrl_0_PXL_CLK_O_pin => axi_dispctrl_0_PXL_CLK_O_pin, axi_dispctrl_0_DE_O_pin => axi_dispctrl_0_DE_O_pin, axi_dispctrl_0_RED_O_pin => axi_dispctrl_0_RED_O_pin, axi_dispctrl_0_GREEN_O_pin => axi_dispctrl_0_GREEN_O_pin, axi_dispctrl_0_BLUE_O_pin => axi_dispctrl_0_BLUE_O_pin, axi_dispctrl_0_ENABLE_O_pin => axi_dispctrl_0_ENABLE_O_pin, processing_system7_0_I2C0_SDA_pin => processing_system7_0_I2C0_SDA_pin, processing_system7_0_I2C0_SCL_pin => processing_system7_0_I2C0_SCL_pin, processing_system7_0_I2C0_INT_N_pin => processing_system7_0_I2C0_INT_N_pin, processing_system7_0_FCLK_CLK0_pin => processing_system7_0_FCLK_CLK0_pin ); end architecture STRUCTURE;
bsd-3-clause
4c6975678b28c90afef58ab1b10b26ee
0.676349
2.938475
false
false
false
false
dhesant/elec4320
Lab2/ipcore_dir/bram_decoder/example_design/bram_decoder_top.vhd
1
4,333
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v6.3 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_wrapper.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY bram_decoder_top IS PORT ( --Inputs - Port A ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); CLKA : IN STD_LOGIC ); END bram_decoder_top; ARCHITECTURE xilinx OF bram_decoder_top IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT bram_decoder IS PORT ( --Port A ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : bram_decoder PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
mit
a3e9952a94f2295e4578cf4a12679355
0.576967
4.8198
false
false
false
false
Kalugy/Procesadorarquitectura
Terceryfullprocesador/firstrpart.vhd
1
10,227
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:17:12 09/26/2017 -- Design Name: -- Module Name: firstrpart - arqfirstrpart -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity firstrpart is Port ( Resetext : in STD_LOGIC; Clkinext : in STD_LOGIC; Adressext : out STD_LOGIC_VECTOR (31 downto 0)); end firstrpart; architecture arqfirstrpart of firstrpart is COMPONENT Sumador32bit PORT( Oper1 : in STD_LOGIC_VECTOR (31 downto 0); Oper2 : in STD_LOGIC_VECTOR (31 downto 0); Result : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT NPC PORT( inNPC : in STD_LOGIC_VECTOR (31 downto 0); Reset : in STD_LOGIC; Clk : in STD_LOGIC; outNPC : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT PC PORT( inPC : in STD_LOGIC_VECTOR (31 downto 0); Reset : in STD_LOGIC; Clk : in STD_LOGIC; outPC : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT IM PORT( Address : in STD_LOGIC_VECTOR (31 downto 0); Reset : in STD_LOGIC; Instruction : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT UnidadControl PORT( op : in STD_LOGIC_VECTOR (1 downto 0); op3 : in STD_LOGIC_VECTOR (5 downto 0); op2 : in STD_LOGIC_VECTOR (2 downto 0); cond : in STD_LOGIC_VECTOR (3 downto 0); icc : in STD_LOGIC_VECTOR (3 downto 0); rfDest : out STD_LOGIC; rfSource : out STD_LOGIC_VECTOR (1 downto 0); wrEnMem : out STD_LOGIC; wrEnRF : out STD_LOGIC; pcSource : out STD_LOGIC_VECTOR (1 downto 0); AluOp : out STD_LOGIC_VECTOR (5 downto 0) ); END COMPONENT; COMPONENT SEU PORT( Instruction : in STD_LOGIC_VECTOR (31 downto 0); OUTSEU : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT MUX32 PORT( SEUIMM : in STD_LOGIC_VECTOR (31 downto 0); CRS2 : in STD_LOGIC_VECTOR (31 downto 0); OPER2 : out STD_LOGIC_VECTOR (31 downto 0); Instruction : in STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT ALU PORT( OPER1 : in STD_LOGIC_VECTOR (31 downto 0); OPER2 : in STD_LOGIC_VECTOR (31 downto 0); c :in STD_LOGIC; ALURESULT : out STD_LOGIC_VECTOR (31 downto 0); ALUOP : in STD_LOGIC_VECTOR (5 downto 0) ); END COMPONENT; COMPONENT RF PORT( rs1 : in STD_LOGIC_VECTOR (5 downto 0); rs2 : in STD_LOGIC_VECTOR (5 downto 0); rd : in STD_LOGIC_VECTOR (5 downto 0); dwr : in STD_LOGIC_VECTOR (31 downto 0); rst : in STD_LOGIC; wre : in STD_LOGIC; cRd : out STD_LOGIC_VECTOR (31 downto 0); crs1 : out STD_LOGIC_VECTOR (31 downto 0); crs2 : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT MuxRF PORT( Rd : in STD_LOGIC_VECTOR (5 downto 0); O7 : in STD_LOGIC_VECTOR (5 downto 0); RFDEST : in STD_LOGIC; nRD : out STD_LOGIC_VECTOR (5 downto 0) ); END COMPONENT; COMPONENT Windowsmanager PORT( cwp : in STD_LOGIC; rs1 : in STD_LOGIC_VECTOR (4 downto 0); rs2 : in STD_LOGIC_VECTOR (4 downto 0); rd : in STD_LOGIC_VECTOR (4 downto 0); op : in STD_LOGIC_VECTOR (1 downto 0); op3 : in STD_LOGIC_VECTOR (5 downto 0); cwpout : out STD_LOGIC; rs1out : out STD_LOGIC_VECTOR (5 downto 0); rs2out : out STD_LOGIC_VECTOR (5 downto 0); rdout : out STD_LOGIC_VECTOR (5 downto 0):=(others=>'0') ); END COMPONENT; COMPONENT PSR PORT( nzvc : in STD_LOGIC_VECTOR (3 downto 0); clk : in STD_LOGIC ; cwp : out STD_LOGIC; ncwp : in STD_LOGIC; icc : out STD_LOGIC_VECTOR (3 downto 0); rest : in STD_LOGIC; c : out STD_LOGIC ); END COMPONENT; COMPONENT PSR_Modifier PORT( oper1 : in STD_LOGIC_VECTOR (31 downto 0); oper2 : in STD_LOGIC_VECTOR (31 downto 0); aluop : in STD_LOGIC_VECTOR (5 downto 0); aluResult : in STD_LOGIC_VECTOR (31 downto 0); conditionalCodes : out STD_LOGIC_VECTOR (3 downto 0) ); END COMPONENT; COMPONENT SEU_22 PORT( Imm_22 : in STD_LOGIC_VECTOR (21 downto 0); Imm_32 : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT SEU_30 PORT( Imm_30 : in STD_LOGIC_VECTOR (29 downto 0); Imm_32 : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT MuxPC PORT( Disp30 : in STD_LOGIC_VECTOR (31 downto 0); Disp22 : in STD_LOGIC_VECTOR (31 downto 0); PC1 : in STD_LOGIC_VECTOR (31 downto 0); Direccion : in STD_LOGIC_VECTOR (31 downto 0); Selector : in STD_LOGIC_VECTOR (1 downto 0); Direccion_Out : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT DataMemory PORT( cRD : in STD_LOGIC_VECTOR (31 downto 0); AluResult : in STD_LOGIC_VECTOR (31 downto 0); WRENMEM : in STD_LOGIC; Reset : in STD_LOGIC; DataMem : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT MuxDM PORT( DataMem : in STD_LOGIC_VECTOR (31 downto 0); AluResult : in STD_LOGIC_VECTOR (31 downto 0); PC : in STD_LOGIC_VECTOR (31 downto 0); RFSC : in STD_LOGIC_VECTOR (1 downto 0); DWR : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; signal a1,a2,a3,a4,a5,a18,a19,a20,a21,a22,a23,a24,a10,a31,a25,a32,a33: std_logic_vector(31 downto 0); --ventanas signal a6,a7: STD_LOGIC; --nrs1, signal a8,a9,a26,a27,a16: std_logic_vector(5 downto 0); signal a11,a12,a14,a15,a29: STD_LOGIC; signal a30,a28: std_logic_vector(3 downto 0); signal a13,a17: std_logic_vector(1 downto 0); begin ints_NPC: PC PORT MAP( inPC => a1, Reset => Resetext, Clk => Clkinext, outPC => a2 ); ints_PC: PC PORT MAP( inPC => a2, Reset => Resetext, Clk => Clkinext, outPC => a5 ); ints_sum: Sumador32bit PORT MAP( Oper1 => a5, Oper2 =>"00000000000000000000000000000001", Result => a3 ); ints_IM: IM PORT MAP( Address => a5, Reset => Resetext, Instruction => a4 ); ints_sumdisp30: Sumador32bit PORT MAP( Oper1 => a31, Oper2 => a5, Result => a33 ); ints_sumdisp22: Sumador32bit PORT MAP( Oper1 => a10, Oper2 => a5, Result => a32 ); ints_windowsmanager: Windowsmanager PORT MAP( cwp =>a6, rs1 =>a4(18 downto 14), rs2 =>a4(4 downto 0), rd =>a4(29 downto 25), op =>a4(31 downto 30), op3 =>a4(24 downto 19), cwpout=> a7, rs1out=>a9, rs2out=> a26, rdout=> a8 ); ints_rf: RF PORT MAP( rs1 => a9, rs2 => a26, rd => a27, dwr => a25, rst => Resetext, wre => a11, cRd => a18, crs1 => a19, crs2 => a20 ); ints_muxrf: MuxRF PORT MAP( Rd => a8, O7 => "001111", RFDEST => a12, nRD => a27 ); ints_CU: UnidadControl PORT MAP( op =>a4(31 downto 30), op3 =>a4(24 downto 19), op2 =>a4(24 downto 22), cond =>a4(28 downto 25), icc =>a30, rfDest =>a12, rfSource =>a13, wrEnMem =>a14, wrEnRF =>a11, pcSource =>a17, AluOp =>a16 ); ints_seu: SEU PORT MAP( Instruction =>a4, OUTSEU =>a21 ); ints_mux32: MUX32 PORT MAP( SEUIMM => a21, CRS2 => a20, OPER2 => a22, Instruction => a4 ); ints_alu: ALU PORT MAP( OPER1 => a19, OPER2 => a22, c =>a29, ALURESULT => a23, ALUOP => a16 ); ints_psr: PSR PORT MAP( nzvc => a28, clk => Clkinext, cwp => a6, rest => Resetext, ncwp => a7, icc => a30, c => a29 ); ints_psrmodifier: PSR_Modifier PORT MAP( oper1 => a19, oper2 => a22, aluop => a16, aluResult => a23, conditionalCodes => a28 ); ints_seu22: SEU_22 PORT MAP( Imm_22 => a4(21 downto 0), Imm_32 => a10 ); ints_seu30: SEU_30 PORT MAP( Imm_30 => a4(29 downto 0), Imm_32 => a31 ); ints_muxPC: MuxPC PORT MAP( Disp30 => a33, Disp22 => a32, PC1 => a3, Direccion => a23, Selector => a17, Direccion_Out => a1 ); ints_datamemmory: DataMemory PORT MAP( cRD => a18, AluResult => a23, WRENMEM => a14, Reset => Resetext, DataMem => a24 ); ints_muxdatamemory: MuxDM PORT MAP( DataMem => a24, AluResult => a23, PC => a5, RFSC => a13, DWR => a25 ); Adressext<=a25; end arqfirstrpart;
gpl-3.0
b3bed9a09c65982ddf6984c6d5b45b54
0.513836
3.486874
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/f4229bb6/hdl/src/vhdl/axi_datamover_s2mm_basic_wrap.vhd
1
50,332
------------------------------------------------------------------------------- -- axi_datamover_s2mm_basic_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_basic_wrap.vhd -- -- Description: -- This file implements the DataMover S2MM Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset; use axi_datamover_v5_1.axi_datamover_cmd_status; use axi_datamover_v5_1.axi_datamover_scc; use axi_datamover_v5_1.axi_datamover_addr_cntl; use axi_datamover_v5_1.axi_datamover_wrdata_cntl; use axi_datamover_v5_1.axi_datamover_wr_status_cntl; Use axi_datamover_v5_1.axi_datamover_skid2mm_buf; Use axi_datamover_v5_1.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_basic_wrap is generic ( C_INCLUDE_S2MM : Integer range 0 to 2 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_S2MM_AWID : Integer range 0 to 255 := 9; -- Specifies the constant value to output on -- the ARID output port C_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_S2MM_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S2MM_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 2 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_MICRO_DMA : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- S2MM Primary Clock and reset inputs ----------------------------- s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------------- -- S2MM Halt request input control --------------------------------- s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------------- -- S2MM Error discrete output -------------------------------------- s2mm_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------------- -- Optional Command/Status Interface Clock and Reset Inputs ------- -- Only used when C_S2MM_STSCMD_IS_ASYNC = 1 -- -- s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------------ s2mm_cmd_wvalid : in std_logic; -- s2mm_cmd_wready : out std_logic; -- s2mm_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_S2MM_ADDR_WIDTH+36)-1 downto 0); -- --------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ s2mm_sts_wvalid : out std_logic; -- s2mm_sts_wready : in std_logic; -- s2mm_sts_wdata : out std_logic_vector(7 downto 0); -- s2mm_sts_wstrb : out std_logic_vector(0 downto 0); -- s2mm_sts_wlast : out std_logic; -- -------------------------------------------------------------------- -- Address posting controls ---------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- -------------------------------------------------------------------- -- S2MM AXI Address Channel I/O -------------------------------------- s2mm_awid : out std_logic_vector(C_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- s2mm_awaddr : out std_logic_vector(C_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel PROT output -- s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel PROT output -- -- s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ----------- -- s2mm__awlock : out std_logic_vector(2 downto 0); -- -- s2mm__awcache : out std_logic_vector(4 downto 0); -- -- s2mm__awqos : out std_logic_vector(3 downto 0); -- -- s2mm__awregion : out std_logic_vector(3 downto 0); -- ----------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O --------------------------------------------- s2mm_wdata : Out std_logic_vector(C_S2MM_MDATA_WIDTH-1 downto 0); -- s2mm_wstrb : Out std_logic_vector((C_S2MM_MDATA_WIDTH/8)-1 downto 0); -- s2mm_wlast : Out std_logic; -- s2mm_wvalid : Out std_logic; -- s2mm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ----------------------------------------- s2mm_bresp : In std_logic_vector(1 downto 0); -- s2mm_bvalid : In std_logic; -- s2mm_bready : Out std_logic; -- -------------------------------------------------------------------------------------- -- S2MM AXI Master Stream Channel I/O ----------------------------------------------- s2mm_strm_wdata : In std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0); -- s2mm_strm_wstrb : In std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0); -- s2mm_strm_wlast : In std_logic; -- s2mm_strm_wvalid : In std_logic; -- s2mm_strm_wready : Out std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ----------------------------------------------------------------- ); end entity axi_datamover_s2mm_basic_wrap; architecture implementation of axi_datamover_s2mm_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_wdemux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Write Strobe demux select control. -- ------------------------------------------------------------------- function func_calc_wdemux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; end case; Return (num_addr_bits_needed); end function func_calc_wdemux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant S2MM_AWID_VALUE : integer range 0 to 255 := C_S2MM_AWID; Constant S2MM_AWID_WIDTH : integer range 1 to 8 := C_S2MM_ID_WIDTH; Constant S2MM_ADDR_WIDTH : integer range 32 to 64 := C_S2MM_ADDR_WIDTH; Constant S2MM_MDATA_WIDTH : integer range 32 to 256 := C_S2MM_MDATA_WIDTH; Constant S2MM_SDATA_WIDTH : integer range 8 to 256 := C_S2MM_SDATA_WIDTH; Constant S2MM_CMD_WIDTH : integer := (C_TAG_WIDTH+C_S2MM_ADDR_WIDTH+32); Constant S2MM_STS_WIDTH : integer := 8; -- always 8 for S2MM Basic Version Constant INCLUDE_S2MM_STSFIFO : integer range 0 to 1 := 1; Constant S2MM_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_S2MM_STSCMD_FIFO_DEPTH; Constant S2MM_STSCMD_IS_ASYNC : integer range 0 to 1 := C_S2MM_STSCMD_IS_ASYNC; Constant S2MM_BURST_SIZE : integer range 16 to 256 := 16; Constant WR_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH; Constant WR_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH; Constant WR_STATUS_CNTL_FIFO_DEPTH : integer range 1 to 32 := WR_DATA_CNTL_FIFO_DEPTH+2;-- 2 added for going -- full thresholding -- in WSC Constant SEL_ADDR_WIDTH : integer := func_calc_wdemux_sel_bits(S2MM_MDATA_WIDTH); Constant INCLUDE_S2MM_DRE : integer range 0 to 1 := 1; Constant OMIT_S2MM_DRE : integer range 0 to 1 := 0; Constant OMIT_INDET_BTT : integer := 0; Constant SF_BYTES_RCVD_WIDTH : integer := 1; Constant ZEROS_8_BIT : std_logic_vector(7 downto 0) := (others => '0'); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_s2mm_cmd_wdata : std_logic_vector(S2MM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(S2MM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(S2MM_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_last : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2addr_data_rdy : std_logic := '0'; signal sig_data2all_tlast_error : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2wsc_calc_error : std_logic := '0'; signal sig_addr2wsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2wsc_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal sig_data2wsc_cmd_empty : std_logic := '0'; signal sig_data2wsc_calc_err : std_logic := '0'; signal sig_data2wsc_cmd_cmplt : std_logic := '0'; signal sig_data2wsc_last_err : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_wsc2stat_status : std_logic_vector(7 downto 0) := (others => '0'); signal sig_stat2wsc_status_ready : std_logic := '0'; signal sig_wsc2stat_status_valid : std_logic := '0'; signal sig_wsc2mstr_halt_pipe : std_logic := '0'; signal sig_data2wsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_addr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wvalid : std_logic := '0'; signal sig_skid2data_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_skid2axi_wvalid : std_logic := '0'; signal sig_axi2skid_wready : std_logic := '0'; signal sig_skid2axi_wdata : std_logic_vector(C_S2MM_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_skid2axi_wstrb : std_logic_vector((C_S2MM_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_skid2axi_wlast : std_logic := '0'; signal sig_data2wsc_sof : std_logic := '0'; signal sig_data2wsc_eof : std_logic := '0'; signal sig_data2wsc_valid : std_logic := '0'; signal sig_wsc2data_ready : std_logic := '0'; signal sig_data2wsc_eop : std_logic := '0'; signal sig_data2wsc_bytes_rcvd : std_logic_vector(SF_BYTES_RCVD_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_wsc2rst_stop_cmplt : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_realign2wdc_eop_error : std_logic := '0'; signal skid2wdc_wvalid : std_logic := '0'; signal wdc2skid_wready : std_logic := '0'; signal skid2wdc_wdata : std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0) := (others => '0'); signal skid2wdc_wstrb : std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal skid2wdc_wlast : std_logic := '0'; signal s2mm_awcache_int : std_logic_vector (3 downto 0); signal sig_cache2mstr_command : std_logic_vector (7 downto 0); begin --(architecture implementation) -- Debug Port Assignments s2mm_dbg_data <= sig_dbg_data_mux_out; -- Note that only the s2mm_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (s2mm_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"CAFE2222" ; -- 32 bit Constant indicating S2MM Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2wsc_status_ready; sig_dbg_data_1(7) <= sig_wsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2wsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_wsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_wsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_wsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_wsc2stat_status(6) ; -- Slave Error --sig_dbg_data_1(19) <= sig_wsc2stat_status(7) ; -- OKAY sig_dbg_data_1(19) <= '0' ; -- OKAY not used by TB sig_dbg_data_1(20) <= sig_stat2wsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_wsc2stat_status_valid ; -- Status Valid Handshake sig_dbg_data_1(29 downto 22) <= sig_mstr2data_len ; -- WDC Cmd FIFO LEN input sig_dbg_data_1(30) <= sig_mstr2data_cmd_valid ; -- WDC Cmd FIFO Valid Inpute sig_dbg_data_1(31) <= sig_data2mstr_cmd_ready ; -- WDC Cmd FIFO Ready Output -- Write Data Channel I/O s2mm_wvalid <= sig_skid2axi_wvalid; sig_axi2skid_wready <= s2mm_wready ; s2mm_wdata <= sig_skid2axi_wdata ; s2mm_wstrb <= sig_skid2axi_wstrb ; s2mm_wlast <= sig_skid2axi_wlast ; GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off s2mm_awcache <= "0011"; -- pre Interface-X guidelines for Masters s2mm_awuser <= "0000"; -- pre Interface-X guidelines for Masters sig_s2mm_cache_data <= (others => '0'); --s2mm_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off s2mm_awcache <= "0011"; --sg_ctl (3 downto 0); -- SG Cache from register s2mm_awuser <= "0000"; --sg_ctl (7 downto 4); -- SG Cache from register sig_s2mm_cache_data <= s2mm_cmd_wdata(79+(C_S2MM_ADDR_WIDTH-32) downto 72+(C_S2MM_ADDR_WIDTH-32)); -- sig_s2mm_cache_data <= s2mm_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Internal error output discrete s2mm_err <= sig_calc2dm_calc_err or sig_data2all_tlast_error; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_s2mm_cmd_wdata <= s2mm_cmd_wdata(S2MM_CMD_WIDTH-1 downto 0); -- No Realigner in S2MM Basic sig_realign2wdc_eop_error <= '0'; ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => S2MM_STSCMD_IS_ASYNC ) port map ( primary_aclk => s2mm_aclk , primary_aresetn => s2mm_aresetn , secondary_awclk => s2mm_cmdsts_awclk , secondary_aresetn => s2mm_cmdsts_aresetn , halt_req => s2mm_halt , halt_cmplt => s2mm_halt_cmplt , flush_stop_request => sig_rst2all_stop_request, data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => sig_wsc2rst_stop_cmplt , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_S2MM_STSFIFO , C_STSCMD_FIFO_DEPTH => S2MM_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => S2MM_STSCMD_IS_ASYNC , C_CMD_WIDTH => S2MM_CMD_WIDTH , C_STS_WIDTH => S2MM_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , secondary_awclk => s2mm_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => s2mm_cmd_wvalid , cmd_wready => s2mm_cmd_wready , cmd_wdata => sig_s2mm_cmd_wdata , cache_data => sig_s2mm_cache_data , sts_wvalid => s2mm_sts_wvalid , sts_wready => s2mm_sts_wready , sts_wdata => s2mm_sts_wdata , sts_wstrb => s2mm_sts_wstrb , sts_wlast => s2mm_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_wsc2stat_status , stat2mstr_status_ready => sig_stat2wsc_status_ready , mst2stst_status_valid => sig_wsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Write Status Controller Block -- ------------------------------------------------------------ I_WR_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_wr_status_cntl generic map ( C_ENABLE_INDET_BTT => OMIT_INDET_BTT , C_SF_BYTES_RCVD_WIDTH => SF_BYTES_RCVD_WIDTH , C_STS_FIFO_DEPTH => WR_STATUS_CNTL_FIFO_DEPTH , C_STS_WIDTH => S2MM_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , rst2wsc_stop_request => sig_rst2all_stop_request , wsc2rst_stop_cmplt => sig_wsc2rst_stop_cmplt , addr2wsc_addr_posted => sig_addr2data_addr_posted , s2mm_bresp => s2mm_bresp , s2mm_bvalid => s2mm_bvalid , s2mm_bready => s2mm_bready , calc2wsc_calc_error => sig_calc2dm_calc_err , addr2wsc_calc_error => sig_addr2wsc_calc_error , addr2wsc_fifo_empty => sig_addr2wsc_cmd_fifo_empty , data2wsc_tag => sig_data2wsc_tag , data2wsc_calc_error => sig_data2wsc_calc_err , data2wsc_last_error => sig_data2wsc_last_err , data2wsc_cmd_cmplt => sig_data2wsc_cmd_cmplt , data2wsc_valid => sig_data2wsc_valid , wsc2data_ready => sig_wsc2data_ready , data2wsc_eop => sig_data2wsc_eop , data2wsc_bytes_rcvd => sig_data2wsc_bytes_rcvd , wsc2stat_status => sig_wsc2stat_status , stat2wsc_status_ready => sig_stat2wsc_status_ready , wsc2stat_status_valid => sig_wsc2stat_status_valid , wsc2mstr_halt_pipe => sig_wsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_datamover_v5_1.axi_datamover_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_MAX_BURST_LEN => C_S2MM_BURST_SIZE , C_CMD_WIDTH => S2MM_CMD_WIDTH , C_MICRO_DMA => C_MICRO_DMA , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_last , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => ENABLE_WAIT_FOR_DATA , C_ADDR_FIFO_DEPTH => WR_ADDR_CNTL_FIFO_DEPTH , --C_ADDR_FIFO_DEPTH => S2MM_STSCMD_FIFO_DEPTH , C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_ADDR_ID => S2MM_AWID_VALUE , C_ADDR_ID_WIDTH => S2MM_AWID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => s2mm_awid , addr2axi_aaddr => s2mm_awaddr , addr2axi_alen => s2mm_awlen , addr2axi_asize => s2mm_awsize , addr2axi_aburst => s2mm_awburst , addr2axi_aprot => s2mm_awprot , addr2axi_avalid => s2mm_awvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => s2mm_awready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => s2mm_allow_addr_req , addr_req_posted => s2mm_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => sig_data2addr_data_rdy , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2wsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2wsc_cmd_fifo_empty ); ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(4) = '1' generate begin ------------------------------------------------------------ -- Instance: I_S2MM_STRM_SKID_BUF -- -- Description: -- Instance for the S2MM Skid Buffer which provides for -- registerd Slave Stream inputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_S2MM_STRM_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => S2MM_SDATA_WIDTH ) port map ( -- System Ports aclk => s2mm_aclk , arst => sig_mmap_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => s2mm_strm_wvalid , s_ready => s2mm_strm_wready , s_data => s2mm_strm_wdata , s_strb => s2mm_strm_wstrb , s_last => s2mm_strm_wlast , -- Master Side (Stream Data Output m_valid => skid2wdc_wvalid , m_ready => wdc2skid_wready , m_data => skid2wdc_wdata , m_strb => skid2wdc_wstrb , m_last => skid2wdc_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(4) = '0' generate begin skid2wdc_wvalid <= s2mm_strm_wvalid; s2mm_strm_wready <= wdc2skid_wready; skid2wdc_wdata <= s2mm_strm_wdata; skid2wdc_wstrb <= s2mm_strm_wstrb; skid2wdc_wlast <= s2mm_strm_wlast; end generate DISABLE_AXIS_SKID; ------------------------------------------------------------ -- Instance: I_WR_DATA_CNTL -- -- Description: -- Write Data Controller Block -- ------------------------------------------------------------ I_WR_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_wrdata_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => ENABLE_WAIT_FOR_DATA , C_REALIGNER_INCLUDED => OMIT_S2MM_DRE , C_ENABLE_INDET_BTT => OMIT_INDET_BTT , C_SF_BYTES_RCVD_WIDTH => SF_BYTES_RCVD_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => WR_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => S2MM_MDATA_WIDTH , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , data2skid_saddr_lsb => sig_data2skid_addr_lsb , data2skid_wdata => sig_data2skid_wdata , data2skid_wstrb => sig_data2skid_wstrb , data2skid_wlast => sig_data2skid_wlast , data2skid_wvalid => sig_data2skid_wvalid , skid2data_wready => sig_skid2data_wready , s2mm_strm_wvalid => skid2wdc_wvalid , s2mm_strm_wready => wdc2skid_wready , s2mm_strm_wdata => skid2wdc_wdata , s2mm_strm_wstrb => skid2wdc_wstrb , s2mm_strm_wlast => skid2wdc_wlast , s2mm_strm_eop => skid2wdc_wlast , s2mm_stbs_asserted => ZEROS_8_BIT , realign2wdc_eop_error => sig_realign2wdc_eop_error , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_last , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => sig_data2addr_data_rdy , data2all_tlast_error => sig_data2all_tlast_error , data2all_dcntlr_halted => sig_data2all_dcntlr_halted , data2skid_halt => sig_data2skid_halt , data2wsc_tag => sig_data2wsc_tag , data2wsc_calc_err => sig_data2wsc_calc_err , data2wsc_last_err => sig_data2wsc_last_err , data2wsc_cmd_cmplt => sig_data2wsc_cmd_cmplt , wsc2data_ready => sig_wsc2data_ready , data2wsc_valid => sig_data2wsc_valid , data2wsc_eop => sig_data2wsc_eop , data2wsc_bytes_rcvd => sig_data2wsc_bytes_rcvd , wsc2mstr_halt_pipe => sig_wsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_S2MM_MMAP_SKID_BUF -- -- Description: -- Instance for the S2MM Skid Buffer which provides for -- registered outputs and supports bi-dir throttling. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- ------------------------------------------------------------ I_S2MM_MMAP_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid2mm_buf generic map ( C_MDATA_WIDTH => S2MM_MDATA_WIDTH , C_SDATA_WIDTH => S2MM_SDATA_WIDTH , C_ADDR_LSB_WIDTH => SEL_ADDR_WIDTH ) port map ( -- System Ports ACLK => s2mm_aclk , ARST => sig_stream_rst , -- Slave Side (Wr Data Controller Input Side ) S_ADDR_LSB => sig_data2skid_addr_lsb, S_VALID => sig_data2skid_wvalid , S_READY => sig_skid2data_wready , S_Data => sig_data2skid_wdata , S_STRB => sig_data2skid_wstrb , S_Last => sig_data2skid_wlast , -- Master Side (MMap Write Data Output Side) M_VALID => sig_skid2axi_wvalid , M_READY => sig_axi2skid_wready , M_Data => sig_skid2axi_wdata , M_STRB => sig_skid2axi_wstrb , M_Last => sig_skid2axi_wlast ); end implementation;
mit
511d632facbb5589ea3cb39ea2a5b3ec
0.442979
4.141188
false
false
false
false
alemedeiros/flappy_vhdl
output/pixel_counter.vhd
1
1,448
-- file: output/pixel_counter.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Sweeps through each bit of a VGA screen. library ieee ; use ieee.std_logic_1164.all ; entity pixel_counter is generic ( H_RES : natural := 128 ; -- Horizontal Resolution V_RES : natural := 96 -- Vertical Resolution ) ; port ( lin : out integer range 0 to V_RES - 1 ; col : out integer range 0 to H_RES - 1 ; clock : in std_logic ; reset : in std_logic ; enable : in std_logic ) ; end pixel_counter ; architecture behavior of pixel_counter is signal my_lin : integer range 0 to V_RES - 1 ; signal my_col : integer range 0 to H_RES - 1 ; begin -- Columns counter count_col: process (clock, reset) begin if reset = '1' then my_col <= 0 ; elsif rising_edge(clock) then if enable = '1' then if my_col = H_RES - 1 then my_col <= 0 ; else my_col <= my_col + 1 ; end if ; end if ; end if ; end process count_col ; -- Lines counter count_lin: process (clock, reset) begin if reset = '1' then my_lin <= 0; elsif rising_edge(clock) then if enable = '1' and my_col = H_RES - 1 then if my_lin = V_RES - 1 then my_lin <= 0 ; else my_lin <= my_lin + 1 ; end if; end if; end if; end process count_lin ; lin <= my_lin ; col <= my_col ; end behavior ;
bsd-3-clause
2e8c69354bcf16623f881e34903d5f4d
0.609116
2.991736
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/impl/vhdl/tri_intersect_data_array.vhd
3
4,553
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tri_intersect_data_array_ram is generic( mem_type : string := "block"; dwidth : integer := 576; awidth : integer := 5; mem_size : integer := 20 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; d1 : in std_logic_vector(dwidth-1 downto 0); we1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of tri_intersect_data_array_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array; attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then if (we1 = '1') then ram(CONV_INTEGER(addr1_tmp)) := d1; end if; q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity tri_intersect_data_array is generic ( DataWidth : INTEGER := 576; AddressRange : INTEGER := 20; AddressWidth : INTEGER := 5); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of tri_intersect_data_array is component tri_intersect_data_array_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR; we1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin tri_intersect_data_array_ram_U : component tri_intersect_data_array_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, d1 => d1, we1 => we1, q1 => q1); end architecture;
mit
c34785d17523c7d28fe69a224932eb63
0.537228
3.499616
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/pcores/axi_dispctrl_v1_00_a/hdl/vhdl/vdma_to_vga.vhd
3
13,029
-------------------------------------------------------------------------------- -- -- File: -- vdma_to_vga.vhd -- -- Module: -- AXIS Display Controller -- -- Author: -- Sam Bobrowicz -- -- Description: -- AXI Display Controller -- -- Copyright notice: -- Copyright (C) 2014 Digilent Inc. -- -- License: -- This program is free software; distributed under the terms of -- BSD 3-clause license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED -- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. -- IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE -- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED -- OF THE POSSIBILITY OF SUCH DAMAGE. -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; entity vdma_to_vga is generic ( C_RED_WIDTH : integer := 8; C_GREEN_WIDTH : integer := 8; C_BLUE_WIDTH : integer := 8; C_S_AXIS_TDATA_WIDTH : integer := 32 --must be 32 ); Port ( LOCKED_I : in STD_LOGIC; ENABLE_I : in STD_LOGIC; RUNNING_O : out STD_LOGIC; FSYNC_O : out STD_LOGIC; S_AXIS_ACLK : in STD_LOGIC; S_AXIS_ARESETN : in std_logic; S_AXIS_TDATA : in STD_LOGIC_VECTOR (31 downto 0); S_AXIS_TSTRB : in std_logic_vector((C_S_AXIS_TDATA_WIDTH/8)-1 downto 0); S_AXIS_TVALID : in STD_LOGIC; S_AXIS_TLAST : in std_logic; S_AXIS_TREADY : out STD_LOGIC; DEBUG_O : out STD_LOGIC_VECTOR (31 downto 0); HSYNC_O : out STD_LOGIC; VSYNC_O : out STD_LOGIC; DE_O : out STD_LOGIC; RED_O : out STD_LOGIC_VECTOR (C_RED_WIDTH-1 downto 0); GREEN_O : out STD_LOGIC_VECTOR (C_GREEN_WIDTH-1 downto 0); BLUE_O : out STD_LOGIC_VECTOR (C_BLUE_WIDTH-1 downto 0); USR_WIDTH_I : in STD_LOGIC_VECTOR (11 downto 0); USR_HEIGHT_I : in STD_LOGIC_VECTOR (11 downto 0); USR_HPS_I : in STD_LOGIC_VECTOR (11 downto 0); USR_HPE_I : in STD_LOGIC_VECTOR (11 downto 0); USR_HPOL_I : in STD_LOGIC; USR_HMAX_I : in STD_LOGIC_VECTOR (11 downto 0); USR_VPS_I : in STD_LOGIC_VECTOR (11 downto 0); USR_VPE_I : in STD_LOGIC_VECTOR (11 downto 0); USR_VPOL_I : in STD_LOGIC; USR_VMAX_I : in STD_LOGIC_VECTOR (11 downto 0)); end vdma_to_vga; architecture Behavioral of vdma_to_vga is type VGA_STATE_TYPE is (VGA_RESET, VGA_WAIT_EN, VGA_LATCH, VGA_INIT, VGA_WAIT_VLD, VGA_RUN); signal pxl_clk : std_logic; signal locked : std_logic; signal vga_running : std_logic; signal frame_edge : std_logic; signal running_reg : std_logic := '0'; signal vga_en : std_logic := '0'; signal frm_width : std_logic_vector(11 downto 0) := (others =>'0'); signal frm_height : std_logic_vector(11 downto 0) := (others =>'0'); signal h_ps : std_logic_vector(11 downto 0) := (others =>'0'); signal h_pe : std_logic_vector(11 downto 0) := (others =>'0'); signal h_max : std_logic_vector(11 downto 0) := (others =>'0'); signal v_ps : std_logic_vector(11 downto 0) := (others =>'0'); signal v_pe : std_logic_vector(11 downto 0) := (others =>'0'); signal v_max : std_logic_vector(11 downto 0) := (others =>'0'); signal h_pol : std_logic := '0'; signal v_pol : std_logic := '0'; signal h_cntr_reg : std_logic_vector(11 downto 0) := (others =>'0'); signal v_cntr_reg : std_logic_vector(11 downto 0) := (others =>'0'); signal h_sync_reg : std_logic := '0'; signal v_sync_reg : std_logic := '0'; signal h_sync_dly : std_logic := '0'; signal v_sync_dly : std_logic := '0'; signal fsync_reg : std_logic := '0'; signal video_dv : std_logic := '0'; signal video_dv_dly : std_logic := '0'; signal red_reg : std_logic_vector(7 downto 0) := (others =>'0'); signal green_reg : std_logic_vector(7 downto 0) := (others =>'0'); signal blue_reg : std_logic_vector(7 downto 0) := (others =>'0'); signal vga_state : VGA_STATE_TYPE := VGA_RESET; begin locked <= LOCKED_I; pxl_clk <= S_AXIS_ACLK; DEBUG_O(11 downto 0) <= h_cntr_reg; DEBUG_O(23 downto 12) <= v_cntr_reg; DEBUG_O(24) <= vga_running; DEBUG_O(25) <= frame_edge; DEBUG_O(26) <= fsync_reg; DEBUG_O(27) <= h_sync_dly; DEBUG_O(28) <= v_sync_dly; DEBUG_O(29) <= video_dv_dly; --Data valid DEBUG_O(30) <= video_dv; --TREADY DEBUG_O(31) <= S_AXIS_TVALID; ------------------------------------------------------------------ ------ CONTROL STATE MACHINE ------- ------------------------------------------------------------------ --Synchronize ENABLE_I signal from axi_lite domain to pixel clock --domain process (pxl_clk, locked) begin if (locked = '0') then vga_en <= '0'; elsif (rising_edge(pxl_clk)) then vga_en <= ENABLE_I; end if; end process; process (pxl_clk, locked) begin if (locked = '0') then vga_state <= VGA_RESET; elsif (rising_edge(pxl_clk)) then case vga_state is when VGA_RESET => vga_state <= VGA_WAIT_EN; when VGA_WAIT_EN => if (vga_en = '1') then vga_state <= VGA_LATCH; end if; when VGA_LATCH => vga_state <= VGA_INIT; when VGA_INIT => vga_state <= VGA_WAIT_VLD; when VGA_WAIT_VLD => --It seems the first frame requires a bit of time for the linebuffer to fill. This --State ensures we do not begin requesting data before the VDMA reports it is valid if (S_AXIS_TVALID = '1') then vga_state <= VGA_RUN; end if; when VGA_RUN => if (vga_en = '0' and frame_edge = '1') then vga_state <= VGA_WAIT_EN; end if; when others => --Never reached vga_state <= VGA_RESET; end case; end if; end process; --This component treats the first pixel of the first non-visible line as the beginning --of the frame. frame_edge <= '1' when ((v_cntr_reg = frm_height) and (h_cntr_reg = 0)) else '0'; vga_running <= '1' when vga_state = VGA_RUN else '0'; process (pxl_clk, locked) begin if (locked = '0') then running_reg <= '0'; elsif (rising_edge(pxl_clk)) then running_reg <= vga_running; end if; end process; RUNNING_O <= running_reg; ------------------------------------------------------------------ ------ USER REGISTER LATCH ------- ------------------------------------------------------------------ --Note that the USR_ inputs are crossing from the axi_lite clock domain --to the pixel clock domain process (pxl_clk, locked) begin if (locked = '0') then frm_width <= (others => '0'); frm_height <= (others => '0'); h_ps <= (others => '0'); h_pe <= (others => '0'); h_pol <= '0'; h_max <= (others => '0'); v_ps <= (others => '0'); v_pe <= (others => '0'); v_pol <= '0'; v_max <= (others => '0'); elsif (rising_edge(pxl_clk)) then if (vga_state = VGA_LATCH) then frm_width <= USR_WIDTH_I; frm_height <= USR_HEIGHT_I; h_ps <= USR_HPS_I; h_pe <= USR_HPE_I; h_pol <= USR_HPOL_I; h_max <= USR_HMAX_I; v_ps <= USR_VPS_I; v_pe <= USR_VPE_I; v_pol <= USR_VPOL_I; v_max <= USR_VMAX_I; end if; end if; end process; ------------------------------------------------------------------ ------ PIXEL ADDRESS COUNTERS ------- ------------------------------------------------------------------ process (pxl_clk, locked) begin if (locked = '0') then h_cntr_reg <= (others => '0'); elsif (rising_edge(pxl_clk)) then if (vga_state = VGA_WAIT_VLD) then h_cntr_reg <= (others =>'0'); --Note that the first frame starts on the second non-visible line, right after when FSYNC would pulse elsif (vga_running = '1') then if (h_cntr_reg = h_max) then h_cntr_reg <= (others => '0'); else h_cntr_reg <= h_cntr_reg + 1; end if; else h_cntr_reg <= (others =>'0'); end if; end if; end process; process (pxl_clk, locked) begin if (locked = '0') then v_cntr_reg <= (others => '0'); elsif (rising_edge(pxl_clk)) then if (vga_state = VGA_WAIT_VLD) then v_cntr_reg <= frm_height + 1; --Note that the first frame starts on the second non-visible line, right after when FSYNC would pulse elsif (vga_running = '1') then if ((h_cntr_reg = h_max) and (v_cntr_reg = v_max))then v_cntr_reg <= (others => '0'); elsif (h_cntr_reg = h_max) then v_cntr_reg <= v_cntr_reg + 1; end if; else v_cntr_reg <= (others =>'0'); end if; end if; end process; ------------------------------------------------------------------ ------ SYNC GENERATION ------- ------------------------------------------------------------------ process (pxl_clk, locked) begin if (locked = '0') then h_sync_reg <= '0'; elsif (rising_edge(pxl_clk)) then if (vga_running = '1') then if ((h_cntr_reg >= h_ps) and (h_cntr_reg < h_pe)) then h_sync_reg <= h_pol; else h_sync_reg <= not(h_pol); end if; else h_sync_reg <= '0'; end if; end if; end process; process (pxl_clk, locked) begin if (locked = '0') then v_sync_reg <= '0'; elsif (rising_edge(pxl_clk)) then if (vga_running = '1') then if ((v_cntr_reg >= v_ps) and (v_cntr_reg < v_pe)) then v_sync_reg <= v_pol; else v_sync_reg <= not(v_pol); end if; else v_sync_reg <= '0'; end if; end if; end process; process (pxl_clk, locked) begin if (locked = '0') then v_sync_dly <= '0'; h_sync_dly <= '0'; elsif (rising_edge(pxl_clk)) then v_sync_dly <= v_sync_reg; h_sync_dly <= h_sync_reg; end if; end process; HSYNC_O <= h_sync_dly; VSYNC_O <= v_sync_dly; --Signal a new frame to the VDMA at the end of the first non-visible line. This --should allow plenty of time for the line buffer to fill between frames, before --data is required. The first fsync pulse is signaled during the VGA_INIT state. process (pxl_clk, locked) begin if (locked = '0') then fsync_reg <= '0'; elsif (rising_edge(pxl_clk)) then if ((((v_cntr_reg = frm_height) and (h_cntr_reg = h_max)) and (vga_running = '1')) or (vga_state = VGA_INIT)) then fsync_reg <= '1'; else fsync_reg <= '0'; end if; end if; end process; FSYNC_O <= fsync_reg; ------------------------------------------------------------------ ------ DATA CAPTURE ------- ------------------------------------------------------------------ process (pxl_clk, locked) begin if (locked = '0') then video_dv <= '0'; video_dv_dly <= '0'; elsif (rising_edge(pxl_clk)) then video_dv_dly <= video_dv; if ((vga_running = '1') and (v_cntr_reg < frm_height) and (h_cntr_reg < frm_width)) then video_dv <= '1'; else video_dv <= '0'; end if; end if; end process; process (pxl_clk, locked) begin if (locked = '0') then red_reg <= (others => '0'); green_reg <= (others => '0'); blue_reg <= (others => '0'); elsif (rising_edge(pxl_clk)) then if (video_dv = '1') then red_reg <= S_AXIS_TDATA(23 downto 16); green_reg <= S_AXIS_TDATA(15 downto 8); blue_reg <= S_AXIS_TDATA(7 downto 0); else red_reg <= (others => '0'); green_reg <= (others => '0'); blue_reg <= (others => '0'); end if; end if; end process; S_AXIS_TREADY <= video_dv; DE_O <= video_dv_dly; RED_O <= red_reg(7 downto 8-C_RED_WIDTH); GREEN_O <= green_reg(7 downto 8-C_GREEN_WIDTH); BLUE_O <= blue_reg(7 downto 8-C_BLUE_WIDTH); end Behavioral;
bsd-3-clause
ce96ac1d00e4d83df9d047e8dfd1b656
0.555837
3.150907
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/impl/vhdl/tri_intersect.vhd
3
950,495
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tri_intersect is port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; ins_TDATA : IN STD_LOGIC_VECTOR (31 downto 0); ins_TVALID : IN STD_LOGIC; ins_TREADY : OUT STD_LOGIC; ins_TKEEP : IN STD_LOGIC_VECTOR (3 downto 0); ins_TSTRB : IN STD_LOGIC_VECTOR (3 downto 0); ins_TUSER : IN STD_LOGIC_VECTOR (0 downto 0); ins_TLAST : IN STD_LOGIC_VECTOR (0 downto 0); ins_TID : IN STD_LOGIC_VECTOR (0 downto 0); ins_TDEST : IN STD_LOGIC_VECTOR (0 downto 0); outs_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0); outs_TVALID : OUT STD_LOGIC; outs_TREADY : IN STD_LOGIC; outs_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0); outs_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0); outs_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0); outs_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0); outs_TID : OUT STD_LOGIC_VECTOR (0 downto 0); outs_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0) ); end; architecture behav of tri_intersect is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "tri_intersect,hls_ip_2015_1,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=5.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=4.353000,HLS_SYN_LAT=463,HLS_SYN_TPT=none,HLS_SYN_MEM=32,HLS_SYN_DSP=127,HLS_SYN_FF=20827,HLS_SYN_LUT=27149}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010"; constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100"; constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000"; constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000"; constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000"; constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000"; constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000"; constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000"; constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000"; constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000"; constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000"; constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000"; constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000"; constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000"; constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000"; constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000"; constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000"; constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000"; constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000"; constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000"; constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000"; constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000"; constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000"; constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000"; constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000"; constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000"; constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000"; constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000"; constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000"; constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000"; constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000"; constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000"; constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000"; constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000"; constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000"; constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000"; constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000"; constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000"; constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000"; constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000"; constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000"; constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000"; constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000"; constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000"; constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000"; constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000"; constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000"; constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000"; constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000"; constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000"; constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000"; constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000"; constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000"; constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000"; constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000"; constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000"; constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st151_fsm_150 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st152_fsm_151 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st153_fsm_152 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st154_fsm_153 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st155_fsm_154 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st156_fsm_155 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st157_fsm_156 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st158_fsm_157 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st159_fsm_158 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st160_fsm_159 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st161_fsm_160 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st162_fsm_161 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st163_fsm_162 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st164_fsm_163 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st165_fsm_164 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st166_fsm_165 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st167_fsm_166 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st168_fsm_167 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st169_fsm_168 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st170_fsm_169 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st171_fsm_170 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st172_fsm_171 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st173_fsm_172 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st174_fsm_173 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st175_fsm_174 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st176_fsm_175 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st177_fsm_176 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st178_fsm_177 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st179_fsm_178 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st180_fsm_179 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st181_fsm_180 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st182_fsm_181 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st183_fsm_182 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st184_fsm_183 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st185_fsm_184 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st186_fsm_185 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st187_fsm_186 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st188_fsm_187 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st189_fsm_188 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st190_fsm_189 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st191_fsm_190 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st192_fsm_191 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st193_fsm_192 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st194_fsm_193 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st195_fsm_194 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st196_fsm_195 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st197_fsm_196 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st198_fsm_197 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st199_fsm_198 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st200_fsm_199 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st201_fsm_200 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st202_fsm_201 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st203_fsm_202 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st204_fsm_203 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st205_fsm_204 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st206_fsm_205 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st207_fsm_206 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st208_fsm_207 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st209_fsm_208 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st210_fsm_209 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st211_fsm_210 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st212_fsm_211 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st213_fsm_212 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st214_fsm_213 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st215_fsm_214 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st216_fsm_215 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st217_fsm_216 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st218_fsm_217 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st219_fsm_218 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st220_fsm_219 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st221_fsm_220 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st222_fsm_221 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st223_fsm_222 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st224_fsm_223 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st225_fsm_224 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st226_fsm_225 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st227_fsm_226 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st228_fsm_227 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st229_fsm_228 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st230_fsm_229 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st231_fsm_230 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st232_fsm_231 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st233_fsm_232 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st234_fsm_233 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st235_fsm_234 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st236_fsm_235 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st237_fsm_236 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st238_fsm_237 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st239_fsm_238 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st240_fsm_239 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st241_fsm_240 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st242_fsm_241 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st243_fsm_242 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st244_fsm_243 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st245_fsm_244 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st246_fsm_245 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st247_fsm_246 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st248_fsm_247 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st249_fsm_248 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st250_fsm_249 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st251_fsm_250 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st252_fsm_251 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st253_fsm_252 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st254_fsm_253 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st255_fsm_254 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st256_fsm_255 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st257_fsm_256 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st258_fsm_257 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st259_fsm_258 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st260_fsm_259 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st261_fsm_260 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st262_fsm_261 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st263_fsm_262 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st264_fsm_263 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st265_fsm_264 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st266_fsm_265 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st267_fsm_266 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st268_fsm_267 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st269_fsm_268 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st270_fsm_269 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st271_fsm_270 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st272_fsm_271 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st273_fsm_272 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st274_fsm_273 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st275_fsm_274 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st276_fsm_275 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st277_fsm_276 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st278_fsm_277 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st279_fsm_278 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st280_fsm_279 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st281_fsm_280 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st282_fsm_281 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st283_fsm_282 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st284_fsm_283 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st285_fsm_284 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st286_fsm_285 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st287_fsm_286 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st288_fsm_287 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st289_fsm_288 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st290_fsm_289 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st291_fsm_290 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st292_fsm_291 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st293_fsm_292 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st294_fsm_293 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st295_fsm_294 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st296_fsm_295 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st297_fsm_296 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st298_fsm_297 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st299_fsm_298 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st300_fsm_299 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_pp0_stg0_fsm_300 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st385_fsm_301 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st386_fsm_302 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st387_fsm_303 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st388_fsm_304 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st389_fsm_305 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st390_fsm_306 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st391_fsm_307 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st392_fsm_308 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st393_fsm_309 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st394_fsm_310 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st395_fsm_311 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st396_fsm_312 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st397_fsm_313 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st398_fsm_314 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st399_fsm_315 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st400_fsm_316 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st401_fsm_317 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st402_fsm_318 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st403_fsm_319 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st404_fsm_320 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st405_fsm_321 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st406_fsm_322 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st407_fsm_323 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st408_fsm_324 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st409_fsm_325 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st410_fsm_326 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st411_fsm_327 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st412_fsm_328 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st413_fsm_329 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st414_fsm_330 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st415_fsm_331 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st416_fsm_332 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st417_fsm_333 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st418_fsm_334 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st419_fsm_335 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st420_fsm_336 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st421_fsm_337 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st422_fsm_338 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st423_fsm_339 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st424_fsm_340 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st425_fsm_341 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st426_fsm_342 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st427_fsm_343 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st428_fsm_344 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st429_fsm_345 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st430_fsm_346 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st431_fsm_347 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st432_fsm_348 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st433_fsm_349 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st434_fsm_350 : STD_LOGIC_VECTOR (361 downto 0) := "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st435_fsm_351 : STD_LOGIC_VECTOR (361 downto 0) := "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st436_fsm_352 : STD_LOGIC_VECTOR (361 downto 0) := "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st437_fsm_353 : STD_LOGIC_VECTOR (361 downto 0) := "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st438_fsm_354 : STD_LOGIC_VECTOR (361 downto 0) := "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st439_fsm_355 : STD_LOGIC_VECTOR (361 downto 0) := "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st440_fsm_356 : STD_LOGIC_VECTOR (361 downto 0) := "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st441_fsm_357 : STD_LOGIC_VECTOR (361 downto 0) := "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st442_fsm_358 : STD_LOGIC_VECTOR (361 downto 0) := "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st443_fsm_359 : STD_LOGIC_VECTOR (361 downto 0) := "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st444_fsm_360 : STD_LOGIC_VECTOR (361 downto 0) := "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st445_fsm_361 : STD_LOGIC_VECTOR (361 downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_true : BOOLEAN := true; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_48 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001000"; constant ap_const_lv32_4B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001011"; constant ap_const_lv32_5A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011010"; constant ap_const_lv32_69 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101001"; constant ap_const_lv32_78 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111000"; constant ap_const_lv32_87 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000111"; constant ap_const_lv32_96 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010110"; constant ap_const_lv32_A5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100101"; constant ap_const_lv32_B4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010110100"; constant ap_const_lv32_C3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000011"; constant ap_const_lv32_D2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011010010"; constant ap_const_lv32_E1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100001"; constant ap_const_lv32_F0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011110000"; constant ap_const_lv32_FF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111111"; constant ap_const_lv32_10E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100001110"; constant ap_const_lv32_11D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011101"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_49 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001001"; constant ap_const_lv32_4C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001100"; constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011"; constant ap_const_lv32_6A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101010"; constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001"; constant ap_const_lv32_88 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010001000"; constant ap_const_lv32_97 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010111"; constant ap_const_lv32_A6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100110"; constant ap_const_lv32_B5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010110101"; constant ap_const_lv32_C4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000100"; constant ap_const_lv32_D3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011010011"; constant ap_const_lv32_E2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100010"; constant ap_const_lv32_F1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011110001"; constant ap_const_lv32_100 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000000"; constant ap_const_lv32_10F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100001111"; constant ap_const_lv32_11E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011110"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_4D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001101"; constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100"; constant ap_const_lv32_6B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101011"; constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010"; constant ap_const_lv32_89 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010001001"; constant ap_const_lv32_98 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011000"; constant ap_const_lv32_A7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100111"; constant ap_const_lv32_B6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010110110"; constant ap_const_lv32_C5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000101"; constant ap_const_lv32_D4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011010100"; constant ap_const_lv32_E3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100011"; constant ap_const_lv32_F2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011110010"; constant ap_const_lv32_101 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000001"; constant ap_const_lv32_110 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100010000"; constant ap_const_lv32_11F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011111"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001110"; constant ap_const_lv32_5D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011101"; constant ap_const_lv32_6C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101100"; constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011"; constant ap_const_lv32_8A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010001010"; constant ap_const_lv32_99 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011001"; constant ap_const_lv32_A8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010101000"; constant ap_const_lv32_B7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010110111"; constant ap_const_lv32_C6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000110"; constant ap_const_lv32_D5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011010101"; constant ap_const_lv32_E4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100100"; constant ap_const_lv32_F3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011110011"; constant ap_const_lv32_102 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000010"; constant ap_const_lv32_111 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100010001"; constant ap_const_lv32_120 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_4F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001111"; constant ap_const_lv32_5E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011110"; constant ap_const_lv32_6D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101101"; constant ap_const_lv32_7C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111100"; constant ap_const_lv32_8B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010001011"; constant ap_const_lv32_9A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011010"; constant ap_const_lv32_A9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010101001"; constant ap_const_lv32_B8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111000"; constant ap_const_lv32_C7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000111"; constant ap_const_lv32_D6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011010110"; constant ap_const_lv32_E5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100101"; constant ap_const_lv32_F4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011110100"; constant ap_const_lv32_103 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000011"; constant ap_const_lv32_112 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100010010"; constant ap_const_lv32_121 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100001"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_50 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010000"; constant ap_const_lv32_5F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011111"; constant ap_const_lv32_6E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101110"; constant ap_const_lv32_7D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111101"; constant ap_const_lv32_8C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010001100"; constant ap_const_lv32_9B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011011"; constant ap_const_lv32_AA : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010101010"; constant ap_const_lv32_B9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111001"; constant ap_const_lv32_C8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011001000"; constant ap_const_lv32_D7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011010111"; constant ap_const_lv32_E6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100110"; constant ap_const_lv32_F5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011110101"; constant ap_const_lv32_104 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000100"; constant ap_const_lv32_113 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100010011"; constant ap_const_lv32_122 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100010"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_51 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010001"; constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000"; constant ap_const_lv32_6F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101111"; constant ap_const_lv32_7E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111110"; constant ap_const_lv32_8D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010001101"; constant ap_const_lv32_9C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011100"; constant ap_const_lv32_AB : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010101011"; constant ap_const_lv32_BA : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111010"; constant ap_const_lv32_C9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011001001"; constant ap_const_lv32_D8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011000"; constant ap_const_lv32_E7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100111"; constant ap_const_lv32_F6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011110110"; constant ap_const_lv32_105 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000101"; constant ap_const_lv32_114 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100010100"; constant ap_const_lv32_123 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100011"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_52 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010010"; constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001"; constant ap_const_lv32_70 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110000"; constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111"; constant ap_const_lv32_8E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010001110"; constant ap_const_lv32_9D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011101"; constant ap_const_lv32_AC : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010101100"; constant ap_const_lv32_BB : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111011"; constant ap_const_lv32_CA : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011001010"; constant ap_const_lv32_D9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011001"; constant ap_const_lv32_E8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011101000"; constant ap_const_lv32_F7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011110111"; constant ap_const_lv32_106 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000110"; constant ap_const_lv32_115 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100010101"; constant ap_const_lv32_124 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100100"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_53 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010011"; constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010"; constant ap_const_lv32_71 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110001"; constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000"; constant ap_const_lv32_8F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010001111"; constant ap_const_lv32_9E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011110"; constant ap_const_lv32_AD : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010101101"; constant ap_const_lv32_BC : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111100"; constant ap_const_lv32_CB : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011001011"; constant ap_const_lv32_DA : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011010"; constant ap_const_lv32_E9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011101001"; constant ap_const_lv32_F8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111000"; constant ap_const_lv32_107 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000111"; constant ap_const_lv32_116 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100010110"; constant ap_const_lv32_125 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100101"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant ap_const_lv32_54 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010100"; constant ap_const_lv32_63 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100011"; constant ap_const_lv32_72 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110010"; constant ap_const_lv32_81 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000001"; constant ap_const_lv32_90 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010000"; constant ap_const_lv32_9F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011111"; constant ap_const_lv32_AE : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010101110"; constant ap_const_lv32_BD : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111101"; constant ap_const_lv32_CC : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011001100"; constant ap_const_lv32_DB : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011011"; constant ap_const_lv32_EA : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011101010"; constant ap_const_lv32_F9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111001"; constant ap_const_lv32_108 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100001000"; constant ap_const_lv32_117 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100010111"; constant ap_const_lv32_126 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100110"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv32_55 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010101"; constant ap_const_lv32_64 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100100"; constant ap_const_lv32_73 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110011"; constant ap_const_lv32_82 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000010"; constant ap_const_lv32_91 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010001"; constant ap_const_lv32_A0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100000"; constant ap_const_lv32_AF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010101111"; constant ap_const_lv32_BE : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111110"; constant ap_const_lv32_CD : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011001101"; constant ap_const_lv32_DC : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011100"; constant ap_const_lv32_EB : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011101011"; constant ap_const_lv32_FA : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111010"; constant ap_const_lv32_109 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100001001"; constant ap_const_lv32_118 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011000"; constant ap_const_lv32_127 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100111"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110"; constant ap_const_lv32_65 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100101"; constant ap_const_lv32_74 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110100"; constant ap_const_lv32_83 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000011"; constant ap_const_lv32_92 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010010"; constant ap_const_lv32_A1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100001"; constant ap_const_lv32_B0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010110000"; constant ap_const_lv32_BF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111111"; constant ap_const_lv32_CE : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011001110"; constant ap_const_lv32_DD : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011101"; constant ap_const_lv32_EC : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011101100"; constant ap_const_lv32_FB : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111011"; constant ap_const_lv32_10A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100001010"; constant ap_const_lv32_119 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011001"; constant ap_const_lv32_128 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100101000"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111"; constant ap_const_lv32_66 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100110"; constant ap_const_lv32_75 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110101"; constant ap_const_lv32_84 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000100"; constant ap_const_lv32_93 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010011"; constant ap_const_lv32_A2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100010"; constant ap_const_lv32_B1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010110001"; constant ap_const_lv32_C0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000000"; constant ap_const_lv32_CF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011001111"; constant ap_const_lv32_DE : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011110"; constant ap_const_lv32_ED : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011101101"; constant ap_const_lv32_FC : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111100"; constant ap_const_lv32_10B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100001011"; constant ap_const_lv32_11A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011010"; constant ap_const_lv32_129 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100101001"; constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101"; constant ap_const_lv32_58 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011000"; constant ap_const_lv32_67 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100111"; constant ap_const_lv32_76 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110110"; constant ap_const_lv32_85 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000101"; constant ap_const_lv32_94 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010100"; constant ap_const_lv32_A3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100011"; constant ap_const_lv32_B2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010110010"; constant ap_const_lv32_C1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000001"; constant ap_const_lv32_D0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011010000"; constant ap_const_lv32_DF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011111"; constant ap_const_lv32_EE : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011101110"; constant ap_const_lv32_FD : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111101"; constant ap_const_lv32_10C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100001100"; constant ap_const_lv32_11B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011011"; constant ap_const_lv32_12A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100101010"; constant ap_const_lv32_47 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000111"; constant ap_const_lv32_12C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100101100"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_12E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100101110"; constant ap_const_lv32_131 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100110001"; constant ap_const_lv32_134 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100110100"; constant ap_const_lv32_137 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100110111"; constant ap_const_lv32_13A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111010"; constant ap_const_lv32_13D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111101"; constant ap_const_lv32_140 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000000"; constant ap_const_lv32_143 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000011"; constant ap_const_lv32_146 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000110"; constant ap_const_lv32_149 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101001001"; constant ap_const_lv32_14C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101001100"; constant ap_const_lv32_14F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101001111"; constant ap_const_lv32_152 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101010010"; constant ap_const_lv32_155 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101010101"; constant ap_const_lv32_158 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011000"; constant ap_const_lv32_15B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011011"; constant ap_const_lv32_15E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011110"; constant ap_const_lv32_161 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100001"; constant ap_const_lv32_164 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100100"; constant ap_const_lv32_167 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100111"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001111"; constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000"; constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001"; constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010"; constant ap_const_lv32_13 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010011"; constant ap_const_lv32_14 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010100"; constant ap_const_lv32_15 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010101"; constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110"; constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111"; constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000"; constant ap_const_lv32_19 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011001"; constant ap_const_lv32_1A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011010"; constant ap_const_lv32_1B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011011"; constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100"; constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101"; constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv32_20 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100000"; constant ap_const_lv32_21 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100001"; constant ap_const_lv32_22 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100010"; constant ap_const_lv32_23 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100011"; constant ap_const_lv32_24 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100100"; constant ap_const_lv32_25 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100101"; constant ap_const_lv32_26 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100110"; constant ap_const_lv32_27 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100111"; constant ap_const_lv32_28 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101000"; constant ap_const_lv32_29 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101001"; constant ap_const_lv32_2A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101010"; constant ap_const_lv32_2B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101011"; constant ap_const_lv32_2C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101100"; constant ap_const_lv32_2D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101101"; constant ap_const_lv32_2E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101110"; constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111"; constant ap_const_lv32_30 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110000"; constant ap_const_lv32_31 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110001"; constant ap_const_lv32_32 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110010"; constant ap_const_lv32_33 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110011"; constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100"; constant ap_const_lv32_35 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110101"; constant ap_const_lv32_36 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110110"; constant ap_const_lv32_37 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110111"; constant ap_const_lv32_38 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111000"; constant ap_const_lv32_39 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111001"; constant ap_const_lv32_3A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111010"; constant ap_const_lv32_3B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111011"; constant ap_const_lv32_3C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111100"; constant ap_const_lv32_3D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111101"; constant ap_const_lv32_3E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111110"; constant ap_const_lv32_3F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111111"; constant ap_const_lv32_40 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000000"; constant ap_const_lv32_41 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000001"; constant ap_const_lv32_42 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000010"; constant ap_const_lv32_43 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000011"; constant ap_const_lv32_44 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000100"; constant ap_const_lv32_45 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000101"; constant ap_const_lv32_46 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000110"; constant ap_const_lv32_4A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001010"; constant ap_const_lv32_59 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011001"; constant ap_const_lv32_68 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101000"; constant ap_const_lv32_77 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110111"; constant ap_const_lv32_86 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000110"; constant ap_const_lv32_95 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010101"; constant ap_const_lv32_A4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100100"; constant ap_const_lv32_B3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010110011"; constant ap_const_lv32_C2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000010"; constant ap_const_lv32_D1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011010001"; constant ap_const_lv32_E0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100000"; constant ap_const_lv32_EF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011101111"; constant ap_const_lv32_12B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100101011"; constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000"; constant ap_const_lv64_10 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010000"; constant ap_const_lv64_12 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010010"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv64_2 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000010"; constant ap_const_lv64_4 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000100"; constant ap_const_lv64_11 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010001"; constant ap_const_lv64_13 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010011"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv64_3 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000011"; constant ap_const_lv64_5 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000101"; constant ap_const_lv64_6 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000110"; constant ap_const_lv64_7 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000111"; constant ap_const_lv64_8 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001000"; constant ap_const_lv64_9 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001001"; constant ap_const_lv64_A : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001010"; constant ap_const_lv64_B : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001011"; constant ap_const_lv64_C : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001100"; constant ap_const_lv64_D : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001101"; constant ap_const_lv64_E : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001110"; constant ap_const_lv64_F : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001111"; constant ap_const_lv32_FE : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111110"; constant ap_const_lv32_10D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100001101"; constant ap_const_lv32_11C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011100"; constant ap_const_lv32_12F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100101111"; constant ap_const_lv32_130 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100110000"; constant ap_const_lv32_132 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100110010"; constant ap_const_lv32_133 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100110011"; constant ap_const_lv32_135 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100110101"; constant ap_const_lv32_136 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100110110"; constant ap_const_lv32_138 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111000"; constant ap_const_lv32_139 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111001"; constant ap_const_lv32_13B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111011"; constant ap_const_lv32_13C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111100"; constant ap_const_lv32_13E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111110"; constant ap_const_lv32_13F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111111"; constant ap_const_lv32_141 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000001"; constant ap_const_lv32_142 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000010"; constant ap_const_lv32_144 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000100"; constant ap_const_lv32_145 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000101"; constant ap_const_lv32_147 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000111"; constant ap_const_lv32_148 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101001000"; constant ap_const_lv32_14A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101001010"; constant ap_const_lv32_14B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101001011"; constant ap_const_lv32_14D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101001101"; constant ap_const_lv32_14E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101001110"; constant ap_const_lv32_150 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101010000"; constant ap_const_lv32_151 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101010001"; constant ap_const_lv32_153 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101010011"; constant ap_const_lv32_154 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101010100"; constant ap_const_lv32_156 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101010110"; constant ap_const_lv32_157 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101010111"; constant ap_const_lv32_159 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011001"; constant ap_const_lv32_15A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011010"; constant ap_const_lv32_15C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011100"; constant ap_const_lv32_15D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011101"; constant ap_const_lv32_15F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011111"; constant ap_const_lv32_160 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100000"; constant ap_const_lv32_162 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100010"; constant ap_const_lv32_163 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100011"; constant ap_const_lv32_165 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100101"; constant ap_const_lv32_166 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100110"; constant ap_const_lv32_168 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101101000"; constant ap_const_lv32_169 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101101001"; constant ap_const_lv32_12D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100101101"; constant ap_const_lv32_3F800000 : STD_LOGIC_VECTOR (31 downto 0) := "00111111100000000000000000000000"; constant ap_const_lv32_1E0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000111100000"; constant ap_const_lv32_1FF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000111111111"; constant ap_const_lv32_200 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001000000000"; constant ap_const_lv32_21F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001000011111"; constant ap_const_lv32_220 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001000100000"; constant ap_const_lv32_23F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001000111111"; constant ap_const_lv576_lc_1 : STD_LOGIC_VECTOR (575 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv32_1DF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000111011111"; constant ap_const_lv5_14 : STD_LOGIC_VECTOR (4 downto 0) := "10100"; constant ap_const_lv5_1 : STD_LOGIC_VECTOR (4 downto 0) := "00001"; constant ap_const_lv32_17F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101111111"; constant ap_const_lv32_180 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000110000000"; constant ap_const_lv32_19F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000110011111"; constant ap_const_lv32_1A0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000110100000"; constant ap_const_lv32_1BF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000110111111"; constant ap_const_lv32_1C0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000111000000"; constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000"; signal ap_rst_n_inv : STD_LOGIC; signal i1_reg_418 : STD_LOGIC_VECTOR (4 downto 0); signal reg_669 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm : STD_LOGIC_VECTOR (361 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_399 : BOOLEAN; signal ap_sig_cseq_ST_st73_fsm_72 : STD_LOGIC; signal ap_sig_bdd_410 : BOOLEAN; signal ap_sig_cseq_ST_st76_fsm_75 : STD_LOGIC; signal ap_sig_bdd_419 : BOOLEAN; signal ap_sig_cseq_ST_st91_fsm_90 : STD_LOGIC; signal ap_sig_bdd_428 : BOOLEAN; signal ap_sig_cseq_ST_st106_fsm_105 : STD_LOGIC; signal ap_sig_bdd_437 : BOOLEAN; signal ap_sig_cseq_ST_st121_fsm_120 : STD_LOGIC; signal ap_sig_bdd_446 : BOOLEAN; signal ap_sig_cseq_ST_st136_fsm_135 : STD_LOGIC; signal ap_sig_bdd_455 : BOOLEAN; signal ap_sig_cseq_ST_st151_fsm_150 : STD_LOGIC; signal ap_sig_bdd_464 : BOOLEAN; signal ap_sig_cseq_ST_st166_fsm_165 : STD_LOGIC; signal ap_sig_bdd_473 : BOOLEAN; signal ap_sig_cseq_ST_st181_fsm_180 : STD_LOGIC; signal ap_sig_bdd_482 : BOOLEAN; signal ap_sig_cseq_ST_st196_fsm_195 : STD_LOGIC; signal ap_sig_bdd_491 : BOOLEAN; signal ap_sig_cseq_ST_st211_fsm_210 : STD_LOGIC; signal ap_sig_bdd_500 : BOOLEAN; signal ap_sig_cseq_ST_st226_fsm_225 : STD_LOGIC; signal ap_sig_bdd_509 : BOOLEAN; signal ap_sig_cseq_ST_st241_fsm_240 : STD_LOGIC; signal ap_sig_bdd_518 : BOOLEAN; signal ap_sig_cseq_ST_st256_fsm_255 : STD_LOGIC; signal ap_sig_bdd_527 : BOOLEAN; signal ap_sig_cseq_ST_st271_fsm_270 : STD_LOGIC; signal ap_sig_bdd_536 : BOOLEAN; signal ap_sig_cseq_ST_st286_fsm_285 : STD_LOGIC; signal ap_sig_bdd_545 : BOOLEAN; signal reg_673 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC; signal ap_sig_bdd_555 : BOOLEAN; signal ap_sig_cseq_ST_st74_fsm_73 : STD_LOGIC; signal ap_sig_bdd_563 : BOOLEAN; signal ap_sig_cseq_ST_st77_fsm_76 : STD_LOGIC; signal ap_sig_bdd_572 : BOOLEAN; signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC; signal ap_sig_bdd_581 : BOOLEAN; signal ap_sig_cseq_ST_st107_fsm_106 : STD_LOGIC; signal ap_sig_bdd_590 : BOOLEAN; signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC; signal ap_sig_bdd_599 : BOOLEAN; signal ap_sig_cseq_ST_st137_fsm_136 : STD_LOGIC; signal ap_sig_bdd_608 : BOOLEAN; signal ap_sig_cseq_ST_st152_fsm_151 : STD_LOGIC; signal ap_sig_bdd_617 : BOOLEAN; signal ap_sig_cseq_ST_st167_fsm_166 : STD_LOGIC; signal ap_sig_bdd_626 : BOOLEAN; signal ap_sig_cseq_ST_st182_fsm_181 : STD_LOGIC; signal ap_sig_bdd_635 : BOOLEAN; signal ap_sig_cseq_ST_st197_fsm_196 : STD_LOGIC; signal ap_sig_bdd_644 : BOOLEAN; signal ap_sig_cseq_ST_st212_fsm_211 : STD_LOGIC; signal ap_sig_bdd_653 : BOOLEAN; signal ap_sig_cseq_ST_st227_fsm_226 : STD_LOGIC; signal ap_sig_bdd_662 : BOOLEAN; signal ap_sig_cseq_ST_st242_fsm_241 : STD_LOGIC; signal ap_sig_bdd_671 : BOOLEAN; signal ap_sig_cseq_ST_st257_fsm_256 : STD_LOGIC; signal ap_sig_bdd_680 : BOOLEAN; signal ap_sig_cseq_ST_st272_fsm_271 : STD_LOGIC; signal ap_sig_bdd_689 : BOOLEAN; signal ap_sig_cseq_ST_st287_fsm_286 : STD_LOGIC; signal ap_sig_bdd_698 : BOOLEAN; signal reg_677 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC; signal ap_sig_bdd_708 : BOOLEAN; signal ap_sig_cseq_ST_st78_fsm_77 : STD_LOGIC; signal ap_sig_bdd_716 : BOOLEAN; signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC; signal ap_sig_bdd_725 : BOOLEAN; signal ap_sig_cseq_ST_st108_fsm_107 : STD_LOGIC; signal ap_sig_bdd_734 : BOOLEAN; signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC; signal ap_sig_bdd_743 : BOOLEAN; signal ap_sig_cseq_ST_st138_fsm_137 : STD_LOGIC; signal ap_sig_bdd_752 : BOOLEAN; signal ap_sig_cseq_ST_st153_fsm_152 : STD_LOGIC; signal ap_sig_bdd_761 : BOOLEAN; signal ap_sig_cseq_ST_st168_fsm_167 : STD_LOGIC; signal ap_sig_bdd_770 : BOOLEAN; signal ap_sig_cseq_ST_st183_fsm_182 : STD_LOGIC; signal ap_sig_bdd_779 : BOOLEAN; signal ap_sig_cseq_ST_st198_fsm_197 : STD_LOGIC; signal ap_sig_bdd_788 : BOOLEAN; signal ap_sig_cseq_ST_st213_fsm_212 : STD_LOGIC; signal ap_sig_bdd_797 : BOOLEAN; signal ap_sig_cseq_ST_st228_fsm_227 : STD_LOGIC; signal ap_sig_bdd_806 : BOOLEAN; signal ap_sig_cseq_ST_st243_fsm_242 : STD_LOGIC; signal ap_sig_bdd_815 : BOOLEAN; signal ap_sig_cseq_ST_st258_fsm_257 : STD_LOGIC; signal ap_sig_bdd_824 : BOOLEAN; signal ap_sig_cseq_ST_st273_fsm_272 : STD_LOGIC; signal ap_sig_bdd_833 : BOOLEAN; signal ap_sig_cseq_ST_st288_fsm_287 : STD_LOGIC; signal ap_sig_bdd_842 : BOOLEAN; signal reg_681 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC; signal ap_sig_bdd_852 : BOOLEAN; signal ap_sig_cseq_ST_st79_fsm_78 : STD_LOGIC; signal ap_sig_bdd_860 : BOOLEAN; signal ap_sig_cseq_ST_st94_fsm_93 : STD_LOGIC; signal ap_sig_bdd_869 : BOOLEAN; signal ap_sig_cseq_ST_st109_fsm_108 : STD_LOGIC; signal ap_sig_bdd_878 : BOOLEAN; signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC; signal ap_sig_bdd_887 : BOOLEAN; signal ap_sig_cseq_ST_st139_fsm_138 : STD_LOGIC; signal ap_sig_bdd_896 : BOOLEAN; signal ap_sig_cseq_ST_st154_fsm_153 : STD_LOGIC; signal ap_sig_bdd_905 : BOOLEAN; signal ap_sig_cseq_ST_st169_fsm_168 : STD_LOGIC; signal ap_sig_bdd_914 : BOOLEAN; signal ap_sig_cseq_ST_st184_fsm_183 : STD_LOGIC; signal ap_sig_bdd_923 : BOOLEAN; signal ap_sig_cseq_ST_st199_fsm_198 : STD_LOGIC; signal ap_sig_bdd_932 : BOOLEAN; signal ap_sig_cseq_ST_st214_fsm_213 : STD_LOGIC; signal ap_sig_bdd_941 : BOOLEAN; signal ap_sig_cseq_ST_st229_fsm_228 : STD_LOGIC; signal ap_sig_bdd_950 : BOOLEAN; signal ap_sig_cseq_ST_st244_fsm_243 : STD_LOGIC; signal ap_sig_bdd_959 : BOOLEAN; signal ap_sig_cseq_ST_st259_fsm_258 : STD_LOGIC; signal ap_sig_bdd_968 : BOOLEAN; signal ap_sig_cseq_ST_st274_fsm_273 : STD_LOGIC; signal ap_sig_bdd_977 : BOOLEAN; signal ap_sig_cseq_ST_st289_fsm_288 : STD_LOGIC; signal ap_sig_bdd_986 : BOOLEAN; signal reg_685 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC; signal ap_sig_bdd_996 : BOOLEAN; signal ap_sig_cseq_ST_st80_fsm_79 : STD_LOGIC; signal ap_sig_bdd_1004 : BOOLEAN; signal ap_sig_cseq_ST_st95_fsm_94 : STD_LOGIC; signal ap_sig_bdd_1013 : BOOLEAN; signal ap_sig_cseq_ST_st110_fsm_109 : STD_LOGIC; signal ap_sig_bdd_1022 : BOOLEAN; signal ap_sig_cseq_ST_st125_fsm_124 : STD_LOGIC; signal ap_sig_bdd_1031 : BOOLEAN; signal ap_sig_cseq_ST_st140_fsm_139 : STD_LOGIC; signal ap_sig_bdd_1040 : BOOLEAN; signal ap_sig_cseq_ST_st155_fsm_154 : STD_LOGIC; signal ap_sig_bdd_1049 : BOOLEAN; signal ap_sig_cseq_ST_st170_fsm_169 : STD_LOGIC; signal ap_sig_bdd_1058 : BOOLEAN; signal ap_sig_cseq_ST_st185_fsm_184 : STD_LOGIC; signal ap_sig_bdd_1067 : BOOLEAN; signal ap_sig_cseq_ST_st200_fsm_199 : STD_LOGIC; signal ap_sig_bdd_1076 : BOOLEAN; signal ap_sig_cseq_ST_st215_fsm_214 : STD_LOGIC; signal ap_sig_bdd_1085 : BOOLEAN; signal ap_sig_cseq_ST_st230_fsm_229 : STD_LOGIC; signal ap_sig_bdd_1094 : BOOLEAN; signal ap_sig_cseq_ST_st245_fsm_244 : STD_LOGIC; signal ap_sig_bdd_1103 : BOOLEAN; signal ap_sig_cseq_ST_st260_fsm_259 : STD_LOGIC; signal ap_sig_bdd_1112 : BOOLEAN; signal ap_sig_cseq_ST_st275_fsm_274 : STD_LOGIC; signal ap_sig_bdd_1121 : BOOLEAN; signal ap_sig_cseq_ST_st290_fsm_289 : STD_LOGIC; signal ap_sig_bdd_1130 : BOOLEAN; signal reg_689 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st6_fsm_5 : STD_LOGIC; signal ap_sig_bdd_1140 : BOOLEAN; signal ap_sig_cseq_ST_st81_fsm_80 : STD_LOGIC; signal ap_sig_bdd_1148 : BOOLEAN; signal ap_sig_cseq_ST_st96_fsm_95 : STD_LOGIC; signal ap_sig_bdd_1157 : BOOLEAN; signal ap_sig_cseq_ST_st111_fsm_110 : STD_LOGIC; signal ap_sig_bdd_1166 : BOOLEAN; signal ap_sig_cseq_ST_st126_fsm_125 : STD_LOGIC; signal ap_sig_bdd_1175 : BOOLEAN; signal ap_sig_cseq_ST_st141_fsm_140 : STD_LOGIC; signal ap_sig_bdd_1184 : BOOLEAN; signal ap_sig_cseq_ST_st156_fsm_155 : STD_LOGIC; signal ap_sig_bdd_1193 : BOOLEAN; signal ap_sig_cseq_ST_st171_fsm_170 : STD_LOGIC; signal ap_sig_bdd_1202 : BOOLEAN; signal ap_sig_cseq_ST_st186_fsm_185 : STD_LOGIC; signal ap_sig_bdd_1211 : BOOLEAN; signal ap_sig_cseq_ST_st201_fsm_200 : STD_LOGIC; signal ap_sig_bdd_1220 : BOOLEAN; signal ap_sig_cseq_ST_st216_fsm_215 : STD_LOGIC; signal ap_sig_bdd_1229 : BOOLEAN; signal ap_sig_cseq_ST_st231_fsm_230 : STD_LOGIC; signal ap_sig_bdd_1238 : BOOLEAN; signal ap_sig_cseq_ST_st246_fsm_245 : STD_LOGIC; signal ap_sig_bdd_1247 : BOOLEAN; signal ap_sig_cseq_ST_st261_fsm_260 : STD_LOGIC; signal ap_sig_bdd_1256 : BOOLEAN; signal ap_sig_cseq_ST_st276_fsm_275 : STD_LOGIC; signal ap_sig_bdd_1265 : BOOLEAN; signal ap_sig_cseq_ST_st291_fsm_290 : STD_LOGIC; signal ap_sig_bdd_1274 : BOOLEAN; signal reg_693 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st7_fsm_6 : STD_LOGIC; signal ap_sig_bdd_1284 : BOOLEAN; signal ap_sig_cseq_ST_st82_fsm_81 : STD_LOGIC; signal ap_sig_bdd_1292 : BOOLEAN; signal ap_sig_cseq_ST_st97_fsm_96 : STD_LOGIC; signal ap_sig_bdd_1301 : BOOLEAN; signal ap_sig_cseq_ST_st112_fsm_111 : STD_LOGIC; signal ap_sig_bdd_1310 : BOOLEAN; signal ap_sig_cseq_ST_st127_fsm_126 : STD_LOGIC; signal ap_sig_bdd_1319 : BOOLEAN; signal ap_sig_cseq_ST_st142_fsm_141 : STD_LOGIC; signal ap_sig_bdd_1328 : BOOLEAN; signal ap_sig_cseq_ST_st157_fsm_156 : STD_LOGIC; signal ap_sig_bdd_1337 : BOOLEAN; signal ap_sig_cseq_ST_st172_fsm_171 : STD_LOGIC; signal ap_sig_bdd_1346 : BOOLEAN; signal ap_sig_cseq_ST_st187_fsm_186 : STD_LOGIC; signal ap_sig_bdd_1355 : BOOLEAN; signal ap_sig_cseq_ST_st202_fsm_201 : STD_LOGIC; signal ap_sig_bdd_1364 : BOOLEAN; signal ap_sig_cseq_ST_st217_fsm_216 : STD_LOGIC; signal ap_sig_bdd_1373 : BOOLEAN; signal ap_sig_cseq_ST_st232_fsm_231 : STD_LOGIC; signal ap_sig_bdd_1382 : BOOLEAN; signal ap_sig_cseq_ST_st247_fsm_246 : STD_LOGIC; signal ap_sig_bdd_1391 : BOOLEAN; signal ap_sig_cseq_ST_st262_fsm_261 : STD_LOGIC; signal ap_sig_bdd_1400 : BOOLEAN; signal ap_sig_cseq_ST_st277_fsm_276 : STD_LOGIC; signal ap_sig_bdd_1409 : BOOLEAN; signal ap_sig_cseq_ST_st292_fsm_291 : STD_LOGIC; signal ap_sig_bdd_1418 : BOOLEAN; signal reg_697 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st8_fsm_7 : STD_LOGIC; signal ap_sig_bdd_1428 : BOOLEAN; signal ap_sig_cseq_ST_st83_fsm_82 : STD_LOGIC; signal ap_sig_bdd_1436 : BOOLEAN; signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC; signal ap_sig_bdd_1445 : BOOLEAN; signal ap_sig_cseq_ST_st113_fsm_112 : STD_LOGIC; signal ap_sig_bdd_1454 : BOOLEAN; signal ap_sig_cseq_ST_st128_fsm_127 : STD_LOGIC; signal ap_sig_bdd_1463 : BOOLEAN; signal ap_sig_cseq_ST_st143_fsm_142 : STD_LOGIC; signal ap_sig_bdd_1472 : BOOLEAN; signal ap_sig_cseq_ST_st158_fsm_157 : STD_LOGIC; signal ap_sig_bdd_1481 : BOOLEAN; signal ap_sig_cseq_ST_st173_fsm_172 : STD_LOGIC; signal ap_sig_bdd_1490 : BOOLEAN; signal ap_sig_cseq_ST_st188_fsm_187 : STD_LOGIC; signal ap_sig_bdd_1499 : BOOLEAN; signal ap_sig_cseq_ST_st203_fsm_202 : STD_LOGIC; signal ap_sig_bdd_1508 : BOOLEAN; signal ap_sig_cseq_ST_st218_fsm_217 : STD_LOGIC; signal ap_sig_bdd_1517 : BOOLEAN; signal ap_sig_cseq_ST_st233_fsm_232 : STD_LOGIC; signal ap_sig_bdd_1526 : BOOLEAN; signal ap_sig_cseq_ST_st248_fsm_247 : STD_LOGIC; signal ap_sig_bdd_1535 : BOOLEAN; signal ap_sig_cseq_ST_st263_fsm_262 : STD_LOGIC; signal ap_sig_bdd_1544 : BOOLEAN; signal ap_sig_cseq_ST_st278_fsm_277 : STD_LOGIC; signal ap_sig_bdd_1553 : BOOLEAN; signal ap_sig_cseq_ST_st293_fsm_292 : STD_LOGIC; signal ap_sig_bdd_1562 : BOOLEAN; signal reg_701 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st9_fsm_8 : STD_LOGIC; signal ap_sig_bdd_1572 : BOOLEAN; signal ap_sig_cseq_ST_st84_fsm_83 : STD_LOGIC; signal ap_sig_bdd_1580 : BOOLEAN; signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC; signal ap_sig_bdd_1589 : BOOLEAN; signal ap_sig_cseq_ST_st114_fsm_113 : STD_LOGIC; signal ap_sig_bdd_1598 : BOOLEAN; signal ap_sig_cseq_ST_st129_fsm_128 : STD_LOGIC; signal ap_sig_bdd_1607 : BOOLEAN; signal ap_sig_cseq_ST_st144_fsm_143 : STD_LOGIC; signal ap_sig_bdd_1616 : BOOLEAN; signal ap_sig_cseq_ST_st159_fsm_158 : STD_LOGIC; signal ap_sig_bdd_1625 : BOOLEAN; signal ap_sig_cseq_ST_st174_fsm_173 : STD_LOGIC; signal ap_sig_bdd_1634 : BOOLEAN; signal ap_sig_cseq_ST_st189_fsm_188 : STD_LOGIC; signal ap_sig_bdd_1643 : BOOLEAN; signal ap_sig_cseq_ST_st204_fsm_203 : STD_LOGIC; signal ap_sig_bdd_1652 : BOOLEAN; signal ap_sig_cseq_ST_st219_fsm_218 : STD_LOGIC; signal ap_sig_bdd_1661 : BOOLEAN; signal ap_sig_cseq_ST_st234_fsm_233 : STD_LOGIC; signal ap_sig_bdd_1670 : BOOLEAN; signal ap_sig_cseq_ST_st249_fsm_248 : STD_LOGIC; signal ap_sig_bdd_1679 : BOOLEAN; signal ap_sig_cseq_ST_st264_fsm_263 : STD_LOGIC; signal ap_sig_bdd_1688 : BOOLEAN; signal ap_sig_cseq_ST_st279_fsm_278 : STD_LOGIC; signal ap_sig_bdd_1697 : BOOLEAN; signal ap_sig_cseq_ST_st294_fsm_293 : STD_LOGIC; signal ap_sig_bdd_1706 : BOOLEAN; signal reg_705 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC; signal ap_sig_bdd_1716 : BOOLEAN; signal ap_sig_cseq_ST_st85_fsm_84 : STD_LOGIC; signal ap_sig_bdd_1724 : BOOLEAN; signal ap_sig_cseq_ST_st100_fsm_99 : STD_LOGIC; signal ap_sig_bdd_1733 : BOOLEAN; signal ap_sig_cseq_ST_st115_fsm_114 : STD_LOGIC; signal ap_sig_bdd_1742 : BOOLEAN; signal ap_sig_cseq_ST_st130_fsm_129 : STD_LOGIC; signal ap_sig_bdd_1751 : BOOLEAN; signal ap_sig_cseq_ST_st145_fsm_144 : STD_LOGIC; signal ap_sig_bdd_1760 : BOOLEAN; signal ap_sig_cseq_ST_st160_fsm_159 : STD_LOGIC; signal ap_sig_bdd_1769 : BOOLEAN; signal ap_sig_cseq_ST_st175_fsm_174 : STD_LOGIC; signal ap_sig_bdd_1778 : BOOLEAN; signal ap_sig_cseq_ST_st190_fsm_189 : STD_LOGIC; signal ap_sig_bdd_1787 : BOOLEAN; signal ap_sig_cseq_ST_st205_fsm_204 : STD_LOGIC; signal ap_sig_bdd_1796 : BOOLEAN; signal ap_sig_cseq_ST_st220_fsm_219 : STD_LOGIC; signal ap_sig_bdd_1805 : BOOLEAN; signal ap_sig_cseq_ST_st235_fsm_234 : STD_LOGIC; signal ap_sig_bdd_1814 : BOOLEAN; signal ap_sig_cseq_ST_st250_fsm_249 : STD_LOGIC; signal ap_sig_bdd_1823 : BOOLEAN; signal ap_sig_cseq_ST_st265_fsm_264 : STD_LOGIC; signal ap_sig_bdd_1832 : BOOLEAN; signal ap_sig_cseq_ST_st280_fsm_279 : STD_LOGIC; signal ap_sig_bdd_1841 : BOOLEAN; signal ap_sig_cseq_ST_st295_fsm_294 : STD_LOGIC; signal ap_sig_bdd_1850 : BOOLEAN; signal reg_709 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC; signal ap_sig_bdd_1860 : BOOLEAN; signal ap_sig_cseq_ST_st86_fsm_85 : STD_LOGIC; signal ap_sig_bdd_1868 : BOOLEAN; signal ap_sig_cseq_ST_st101_fsm_100 : STD_LOGIC; signal ap_sig_bdd_1877 : BOOLEAN; signal ap_sig_cseq_ST_st116_fsm_115 : STD_LOGIC; signal ap_sig_bdd_1886 : BOOLEAN; signal ap_sig_cseq_ST_st131_fsm_130 : STD_LOGIC; signal ap_sig_bdd_1895 : BOOLEAN; signal ap_sig_cseq_ST_st146_fsm_145 : STD_LOGIC; signal ap_sig_bdd_1904 : BOOLEAN; signal ap_sig_cseq_ST_st161_fsm_160 : STD_LOGIC; signal ap_sig_bdd_1913 : BOOLEAN; signal ap_sig_cseq_ST_st176_fsm_175 : STD_LOGIC; signal ap_sig_bdd_1922 : BOOLEAN; signal ap_sig_cseq_ST_st191_fsm_190 : STD_LOGIC; signal ap_sig_bdd_1931 : BOOLEAN; signal ap_sig_cseq_ST_st206_fsm_205 : STD_LOGIC; signal ap_sig_bdd_1940 : BOOLEAN; signal ap_sig_cseq_ST_st221_fsm_220 : STD_LOGIC; signal ap_sig_bdd_1949 : BOOLEAN; signal ap_sig_cseq_ST_st236_fsm_235 : STD_LOGIC; signal ap_sig_bdd_1958 : BOOLEAN; signal ap_sig_cseq_ST_st251_fsm_250 : STD_LOGIC; signal ap_sig_bdd_1967 : BOOLEAN; signal ap_sig_cseq_ST_st266_fsm_265 : STD_LOGIC; signal ap_sig_bdd_1976 : BOOLEAN; signal ap_sig_cseq_ST_st281_fsm_280 : STD_LOGIC; signal ap_sig_bdd_1985 : BOOLEAN; signal ap_sig_cseq_ST_st296_fsm_295 : STD_LOGIC; signal ap_sig_bdd_1994 : BOOLEAN; signal reg_713 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC; signal ap_sig_bdd_2004 : BOOLEAN; signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC; signal ap_sig_bdd_2012 : BOOLEAN; signal ap_sig_cseq_ST_st102_fsm_101 : STD_LOGIC; signal ap_sig_bdd_2021 : BOOLEAN; signal ap_sig_cseq_ST_st117_fsm_116 : STD_LOGIC; signal ap_sig_bdd_2030 : BOOLEAN; signal ap_sig_cseq_ST_st132_fsm_131 : STD_LOGIC; signal ap_sig_bdd_2039 : BOOLEAN; signal ap_sig_cseq_ST_st147_fsm_146 : STD_LOGIC; signal ap_sig_bdd_2048 : BOOLEAN; signal ap_sig_cseq_ST_st162_fsm_161 : STD_LOGIC; signal ap_sig_bdd_2057 : BOOLEAN; signal ap_sig_cseq_ST_st177_fsm_176 : STD_LOGIC; signal ap_sig_bdd_2066 : BOOLEAN; signal ap_sig_cseq_ST_st192_fsm_191 : STD_LOGIC; signal ap_sig_bdd_2075 : BOOLEAN; signal ap_sig_cseq_ST_st207_fsm_206 : STD_LOGIC; signal ap_sig_bdd_2084 : BOOLEAN; signal ap_sig_cseq_ST_st222_fsm_221 : STD_LOGIC; signal ap_sig_bdd_2093 : BOOLEAN; signal ap_sig_cseq_ST_st237_fsm_236 : STD_LOGIC; signal ap_sig_bdd_2102 : BOOLEAN; signal ap_sig_cseq_ST_st252_fsm_251 : STD_LOGIC; signal ap_sig_bdd_2111 : BOOLEAN; signal ap_sig_cseq_ST_st267_fsm_266 : STD_LOGIC; signal ap_sig_bdd_2120 : BOOLEAN; signal ap_sig_cseq_ST_st282_fsm_281 : STD_LOGIC; signal ap_sig_bdd_2129 : BOOLEAN; signal ap_sig_cseq_ST_st297_fsm_296 : STD_LOGIC; signal ap_sig_bdd_2138 : BOOLEAN; signal reg_717 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC; signal ap_sig_bdd_2148 : BOOLEAN; signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC; signal ap_sig_bdd_2156 : BOOLEAN; signal ap_sig_cseq_ST_st103_fsm_102 : STD_LOGIC; signal ap_sig_bdd_2165 : BOOLEAN; signal ap_sig_cseq_ST_st118_fsm_117 : STD_LOGIC; signal ap_sig_bdd_2174 : BOOLEAN; signal ap_sig_cseq_ST_st133_fsm_132 : STD_LOGIC; signal ap_sig_bdd_2183 : BOOLEAN; signal ap_sig_cseq_ST_st148_fsm_147 : STD_LOGIC; signal ap_sig_bdd_2192 : BOOLEAN; signal ap_sig_cseq_ST_st163_fsm_162 : STD_LOGIC; signal ap_sig_bdd_2201 : BOOLEAN; signal ap_sig_cseq_ST_st178_fsm_177 : STD_LOGIC; signal ap_sig_bdd_2210 : BOOLEAN; signal ap_sig_cseq_ST_st193_fsm_192 : STD_LOGIC; signal ap_sig_bdd_2219 : BOOLEAN; signal ap_sig_cseq_ST_st208_fsm_207 : STD_LOGIC; signal ap_sig_bdd_2228 : BOOLEAN; signal ap_sig_cseq_ST_st223_fsm_222 : STD_LOGIC; signal ap_sig_bdd_2237 : BOOLEAN; signal ap_sig_cseq_ST_st238_fsm_237 : STD_LOGIC; signal ap_sig_bdd_2246 : BOOLEAN; signal ap_sig_cseq_ST_st253_fsm_252 : STD_LOGIC; signal ap_sig_bdd_2255 : BOOLEAN; signal ap_sig_cseq_ST_st268_fsm_267 : STD_LOGIC; signal ap_sig_bdd_2264 : BOOLEAN; signal ap_sig_cseq_ST_st283_fsm_282 : STD_LOGIC; signal ap_sig_bdd_2273 : BOOLEAN; signal ap_sig_cseq_ST_st298_fsm_297 : STD_LOGIC; signal ap_sig_bdd_2282 : BOOLEAN; signal reg_721 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC; signal ap_sig_bdd_2292 : BOOLEAN; signal ap_sig_cseq_ST_st89_fsm_88 : STD_LOGIC; signal ap_sig_bdd_2300 : BOOLEAN; signal ap_sig_cseq_ST_st104_fsm_103 : STD_LOGIC; signal ap_sig_bdd_2309 : BOOLEAN; signal ap_sig_cseq_ST_st119_fsm_118 : STD_LOGIC; signal ap_sig_bdd_2318 : BOOLEAN; signal ap_sig_cseq_ST_st134_fsm_133 : STD_LOGIC; signal ap_sig_bdd_2327 : BOOLEAN; signal ap_sig_cseq_ST_st149_fsm_148 : STD_LOGIC; signal ap_sig_bdd_2336 : BOOLEAN; signal ap_sig_cseq_ST_st164_fsm_163 : STD_LOGIC; signal ap_sig_bdd_2345 : BOOLEAN; signal ap_sig_cseq_ST_st179_fsm_178 : STD_LOGIC; signal ap_sig_bdd_2354 : BOOLEAN; signal ap_sig_cseq_ST_st194_fsm_193 : STD_LOGIC; signal ap_sig_bdd_2363 : BOOLEAN; signal ap_sig_cseq_ST_st209_fsm_208 : STD_LOGIC; signal ap_sig_bdd_2372 : BOOLEAN; signal ap_sig_cseq_ST_st224_fsm_223 : STD_LOGIC; signal ap_sig_bdd_2381 : BOOLEAN; signal ap_sig_cseq_ST_st239_fsm_238 : STD_LOGIC; signal ap_sig_bdd_2390 : BOOLEAN; signal ap_sig_cseq_ST_st254_fsm_253 : STD_LOGIC; signal ap_sig_bdd_2399 : BOOLEAN; signal ap_sig_cseq_ST_st269_fsm_268 : STD_LOGIC; signal ap_sig_bdd_2408 : BOOLEAN; signal ap_sig_cseq_ST_st284_fsm_283 : STD_LOGIC; signal ap_sig_bdd_2417 : BOOLEAN; signal ap_sig_cseq_ST_st299_fsm_298 : STD_LOGIC; signal ap_sig_bdd_2426 : BOOLEAN; signal data_array_q0 : STD_LOGIC_VECTOR (575 downto 0); signal reg_725 : STD_LOGIC_VECTOR (575 downto 0); signal ap_sig_cseq_ST_st72_fsm_71 : STD_LOGIC; signal ap_sig_bdd_2437 : BOOLEAN; signal ap_reg_ppstg_reg_725_pp0_it2 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppiten_pp0_it0 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it5 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it6 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it7 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it8 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it9 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it10 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it11 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it12 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it13 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it14 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it15 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it16 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it17 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it18 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it19 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it20 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it21 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it22 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it23 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it24 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it25 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it26 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it27 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it28 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it29 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it30 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it31 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it32 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it33 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it34 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it35 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it36 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it37 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it38 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it39 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it40 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it41 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it42 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it43 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it44 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it45 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it46 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it47 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it48 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it49 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it50 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it51 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it52 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it53 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it54 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it55 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it56 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it57 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it58 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it59 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it60 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it61 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it62 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it63 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it64 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it65 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it66 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it67 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it68 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it69 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it70 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it71 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it72 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it73 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it74 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it75 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it76 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it77 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it78 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it79 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it80 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it81 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it82 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it83 : STD_LOGIC := '0'; signal ap_reg_ppstg_reg_725_pp0_it3 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it4 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it5 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it6 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it7 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it8 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it9 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it10 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it11 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it12 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it13 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it14 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it15 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it16 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it17 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it18 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it19 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it20 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it21 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it22 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it23 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it24 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it25 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it26 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it27 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it28 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it29 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it30 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it31 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it32 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it33 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it34 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it35 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it36 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it37 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it38 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it39 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it40 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it41 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it42 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it43 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it44 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it45 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it46 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it47 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it48 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it49 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it50 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it51 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it52 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it53 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it54 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it55 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it56 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it57 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it58 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it59 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it60 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it61 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it62 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it63 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it64 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it65 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it66 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it67 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it68 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it69 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it70 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it71 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it72 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it73 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it74 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it75 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it76 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it77 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it78 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it79 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it80 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_reg_725_pp0_it81 : STD_LOGIC_VECTOR (575 downto 0); signal ap_sig_cseq_ST_pp0_stg0_fsm_300 : STD_LOGIC; signal ap_sig_bdd_2694 : BOOLEAN; signal exitcond2_reg_3854 : STD_LOGIC_VECTOR (0 downto 0); signal reg_729 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st386_fsm_302 : STD_LOGIC; signal ap_sig_bdd_2708 : BOOLEAN; signal ap_sig_ioackin_outs_TREADY : STD_LOGIC; signal ap_sig_cseq_ST_st389_fsm_305 : STD_LOGIC; signal ap_sig_bdd_2719 : BOOLEAN; signal ap_sig_cseq_ST_st392_fsm_308 : STD_LOGIC; signal ap_sig_bdd_2728 : BOOLEAN; signal ap_sig_cseq_ST_st395_fsm_311 : STD_LOGIC; signal ap_sig_bdd_2737 : BOOLEAN; signal ap_sig_cseq_ST_st398_fsm_314 : STD_LOGIC; signal ap_sig_bdd_2746 : BOOLEAN; signal ap_sig_cseq_ST_st401_fsm_317 : STD_LOGIC; signal ap_sig_bdd_2755 : BOOLEAN; signal ap_sig_cseq_ST_st404_fsm_320 : STD_LOGIC; signal ap_sig_bdd_2764 : BOOLEAN; signal ap_sig_cseq_ST_st407_fsm_323 : STD_LOGIC; signal ap_sig_bdd_2773 : BOOLEAN; signal ap_sig_cseq_ST_st410_fsm_326 : STD_LOGIC; signal ap_sig_bdd_2782 : BOOLEAN; signal ap_sig_cseq_ST_st413_fsm_329 : STD_LOGIC; signal ap_sig_bdd_2791 : BOOLEAN; signal ap_sig_cseq_ST_st416_fsm_332 : STD_LOGIC; signal ap_sig_bdd_2800 : BOOLEAN; signal ap_sig_cseq_ST_st419_fsm_335 : STD_LOGIC; signal ap_sig_bdd_2809 : BOOLEAN; signal ap_sig_cseq_ST_st422_fsm_338 : STD_LOGIC; signal ap_sig_bdd_2818 : BOOLEAN; signal ap_sig_cseq_ST_st425_fsm_341 : STD_LOGIC; signal ap_sig_bdd_2827 : BOOLEAN; signal ap_sig_cseq_ST_st428_fsm_344 : STD_LOGIC; signal ap_sig_bdd_2836 : BOOLEAN; signal ap_sig_cseq_ST_st431_fsm_347 : STD_LOGIC; signal ap_sig_bdd_2845 : BOOLEAN; signal ap_sig_cseq_ST_st434_fsm_350 : STD_LOGIC; signal ap_sig_bdd_2854 : BOOLEAN; signal ap_sig_cseq_ST_st437_fsm_353 : STD_LOGIC; signal ap_sig_bdd_2863 : BOOLEAN; signal ap_sig_cseq_ST_st440_fsm_356 : STD_LOGIC; signal ap_sig_bdd_2872 : BOOLEAN; signal ap_sig_cseq_ST_st443_fsm_359 : STD_LOGIC; signal ap_sig_bdd_2881 : BOOLEAN; signal reg_733 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_val14_reg_3415 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC; signal ap_sig_bdd_2893 : BOOLEAN; signal ins_data_val15_reg_3420 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st16_fsm_15 : STD_LOGIC; signal ap_sig_bdd_2902 : BOOLEAN; signal ins_data_val16_reg_3425 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st17_fsm_16 : STD_LOGIC; signal ap_sig_bdd_2911 : BOOLEAN; signal ins_data_val17_reg_3430 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC; signal ap_sig_bdd_2920 : BOOLEAN; signal ins_data_val18_reg_3435 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC; signal ap_sig_bdd_2929 : BOOLEAN; signal ins_data_val19_reg_3440 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st20_fsm_19 : STD_LOGIC; signal ap_sig_bdd_2938 : BOOLEAN; signal ins_data_val20_reg_3445 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st21_fsm_20 : STD_LOGIC; signal ap_sig_bdd_2947 : BOOLEAN; signal ins_data_val21_reg_3450 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st22_fsm_21 : STD_LOGIC; signal ap_sig_bdd_2956 : BOOLEAN; signal ins_data_val22_reg_3455 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC; signal ap_sig_bdd_2965 : BOOLEAN; signal ins_data_val23_reg_3460 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC; signal ap_sig_bdd_2974 : BOOLEAN; signal ins_data_val24_reg_3465 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC; signal ap_sig_bdd_2983 : BOOLEAN; signal ins_data_val25_reg_3470 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st26_fsm_25 : STD_LOGIC; signal ap_sig_bdd_2992 : BOOLEAN; signal ins_data_val26_reg_3475 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st27_fsm_26 : STD_LOGIC; signal ap_sig_bdd_3001 : BOOLEAN; signal ins_data_val27_reg_3480 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st28_fsm_27 : STD_LOGIC; signal ap_sig_bdd_3010 : BOOLEAN; signal ins_data_val28_reg_3485 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC; signal ap_sig_bdd_3019 : BOOLEAN; signal ins_data_val29_reg_3490 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC; signal ap_sig_bdd_3028 : BOOLEAN; signal ins_data_val30_reg_3495 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st31_fsm_30 : STD_LOGIC; signal ap_sig_bdd_3037 : BOOLEAN; signal ins_data_val31_reg_3500 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st32_fsm_31 : STD_LOGIC; signal ap_sig_bdd_3046 : BOOLEAN; signal ins_data_val32_reg_3505 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st33_fsm_32 : STD_LOGIC; signal ap_sig_bdd_3055 : BOOLEAN; signal ins_data_val33_reg_3510 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st34_fsm_33 : STD_LOGIC; signal ap_sig_bdd_3064 : BOOLEAN; signal ins_data_val34_reg_3515 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st35_fsm_34 : STD_LOGIC; signal ap_sig_bdd_3073 : BOOLEAN; signal ins_data_val35_reg_3520 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st36_fsm_35 : STD_LOGIC; signal ap_sig_bdd_3082 : BOOLEAN; signal ins_data_val36_reg_3525 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st37_fsm_36 : STD_LOGIC; signal ap_sig_bdd_3091 : BOOLEAN; signal ins_data_val37_reg_3530 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st38_fsm_37 : STD_LOGIC; signal ap_sig_bdd_3100 : BOOLEAN; signal ins_data_val38_reg_3535 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st39_fsm_38 : STD_LOGIC; signal ap_sig_bdd_3109 : BOOLEAN; signal ins_data_val39_reg_3540 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st40_fsm_39 : STD_LOGIC; signal ap_sig_bdd_3118 : BOOLEAN; signal ins_data_val40_reg_3545 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st41_fsm_40 : STD_LOGIC; signal ap_sig_bdd_3127 : BOOLEAN; signal ins_data_val41_reg_3550 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st42_fsm_41 : STD_LOGIC; signal ap_sig_bdd_3136 : BOOLEAN; signal ins_data_val42_reg_3555 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st43_fsm_42 : STD_LOGIC; signal ap_sig_bdd_3145 : BOOLEAN; signal ins_data_val43_reg_3560 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st44_fsm_43 : STD_LOGIC; signal ap_sig_bdd_3154 : BOOLEAN; signal ins_data_val44_reg_3565 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st45_fsm_44 : STD_LOGIC; signal ap_sig_bdd_3163 : BOOLEAN; signal ins_data_val45_reg_3570 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st46_fsm_45 : STD_LOGIC; signal ap_sig_bdd_3172 : BOOLEAN; signal ins_data_val46_reg_3575 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st47_fsm_46 : STD_LOGIC; signal ap_sig_bdd_3181 : BOOLEAN; signal ins_data_val47_reg_3580 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st48_fsm_47 : STD_LOGIC; signal ap_sig_bdd_3190 : BOOLEAN; signal ins_data_val48_reg_3585 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st49_fsm_48 : STD_LOGIC; signal ap_sig_bdd_3199 : BOOLEAN; signal ins_data_val49_reg_3590 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st50_fsm_49 : STD_LOGIC; signal ap_sig_bdd_3208 : BOOLEAN; signal ins_data_val50_reg_3595 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st51_fsm_50 : STD_LOGIC; signal ap_sig_bdd_3217 : BOOLEAN; signal ins_data_val51_reg_3600 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st52_fsm_51 : STD_LOGIC; signal ap_sig_bdd_3226 : BOOLEAN; signal ins_data_val52_reg_3605 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st53_fsm_52 : STD_LOGIC; signal ap_sig_bdd_3235 : BOOLEAN; signal ins_data_val53_reg_3610 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st54_fsm_53 : STD_LOGIC; signal ap_sig_bdd_3244 : BOOLEAN; signal ins_data_val54_reg_3615 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st55_fsm_54 : STD_LOGIC; signal ap_sig_bdd_3253 : BOOLEAN; signal ins_data_val55_reg_3620 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st56_fsm_55 : STD_LOGIC; signal ap_sig_bdd_3262 : BOOLEAN; signal ins_data_val56_reg_3625 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st57_fsm_56 : STD_LOGIC; signal ap_sig_bdd_3271 : BOOLEAN; signal ins_data_val57_reg_3630 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st58_fsm_57 : STD_LOGIC; signal ap_sig_bdd_3280 : BOOLEAN; signal ins_data_val58_reg_3635 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st59_fsm_58 : STD_LOGIC; signal ap_sig_bdd_3289 : BOOLEAN; signal ins_data_val59_reg_3640 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st60_fsm_59 : STD_LOGIC; signal ap_sig_bdd_3298 : BOOLEAN; signal ins_data_val60_reg_3645 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st61_fsm_60 : STD_LOGIC; signal ap_sig_bdd_3307 : BOOLEAN; signal ins_data_val61_reg_3650 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st62_fsm_61 : STD_LOGIC; signal ap_sig_bdd_3316 : BOOLEAN; signal ins_data_val62_reg_3655 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st63_fsm_62 : STD_LOGIC; signal ap_sig_bdd_3325 : BOOLEAN; signal ins_data_val63_reg_3660 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st64_fsm_63 : STD_LOGIC; signal ap_sig_bdd_3334 : BOOLEAN; signal ins_data_val64_reg_3665 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st65_fsm_64 : STD_LOGIC; signal ap_sig_bdd_3343 : BOOLEAN; signal ins_data_val65_reg_3670 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st66_fsm_65 : STD_LOGIC; signal ap_sig_bdd_3352 : BOOLEAN; signal ins_data_val66_reg_3675 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st67_fsm_66 : STD_LOGIC; signal ap_sig_bdd_3361 : BOOLEAN; signal ins_data_val67_reg_3680 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st68_fsm_67 : STD_LOGIC; signal ap_sig_bdd_3370 : BOOLEAN; signal ins_data_val68_reg_3685 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st69_fsm_68 : STD_LOGIC; signal ap_sig_bdd_3379 : BOOLEAN; signal ins_data_val69_reg_3690 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st70_fsm_69 : STD_LOGIC; signal ap_sig_bdd_3388 : BOOLEAN; signal ins_data_val70_reg_3695 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st71_fsm_70 : STD_LOGIC; signal ap_sig_bdd_3397 : BOOLEAN; signal data_array_addr_16_gep_fu_244_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_16_reg_3700 : STD_LOGIC_VECTOR (4 downto 0); signal ins_data_val71_reg_3706 : STD_LOGIC_VECTOR (31 downto 0); signal data_array_addr_18_gep_fu_256_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_18_reg_3711 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_gep_fu_264_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_reg_3717 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_load_2_reg_3722 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_addr_2_gep_fu_272_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_2_reg_3727 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_4_gep_fu_280_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_4_reg_3732 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st75_fsm_74 : STD_LOGIC; signal ap_sig_bdd_3417 : BOOLEAN; signal data_array_addr_17_gep_fu_288_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_17_reg_3737 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_load_1_reg_3743 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_addr_19_gep_fu_296_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_19_reg_3748 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_1_gep_fu_304_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_1_reg_3754 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_load_3_reg_3759 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_addr_3_gep_fu_312_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_3_reg_3764 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_5_gep_fu_320_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_5_reg_3769 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st90_fsm_89 : STD_LOGIC; signal ap_sig_bdd_3437 : BOOLEAN; signal data_array_addr_6_gep_fu_328_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_6_reg_3774 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st105_fsm_104 : STD_LOGIC; signal ap_sig_bdd_3447 : BOOLEAN; signal data_array_addr_7_gep_fu_336_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_7_reg_3779 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st120_fsm_119 : STD_LOGIC; signal ap_sig_bdd_3457 : BOOLEAN; signal data_array_addr_8_gep_fu_344_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_8_reg_3784 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st135_fsm_134 : STD_LOGIC; signal ap_sig_bdd_3467 : BOOLEAN; signal data_array_addr_9_gep_fu_352_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_9_reg_3789 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st150_fsm_149 : STD_LOGIC; signal ap_sig_bdd_3477 : BOOLEAN; signal data_array_addr_10_gep_fu_360_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_10_reg_3794 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st165_fsm_164 : STD_LOGIC; signal ap_sig_bdd_3487 : BOOLEAN; signal data_array_addr_11_gep_fu_368_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_11_reg_3799 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st180_fsm_179 : STD_LOGIC; signal ap_sig_bdd_3497 : BOOLEAN; signal data_array_addr_12_gep_fu_376_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_12_reg_3804 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st195_fsm_194 : STD_LOGIC; signal ap_sig_bdd_3507 : BOOLEAN; signal data_array_addr_13_gep_fu_384_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_13_reg_3809 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st210_fsm_209 : STD_LOGIC; signal ap_sig_bdd_3517 : BOOLEAN; signal data_array_addr_14_gep_fu_392_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_14_reg_3814 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st225_fsm_224 : STD_LOGIC; signal ap_sig_bdd_3527 : BOOLEAN; signal data_array_addr_15_gep_fu_400_p3 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_15_reg_3819 : STD_LOGIC_VECTOR (4 downto 0); signal ap_sig_cseq_ST_st240_fsm_239 : STD_LOGIC; signal ap_sig_bdd_3537 : BOOLEAN; signal ins_keep_V_val_reg_3824 : STD_LOGIC_VECTOR (3 downto 0); signal ap_sig_cseq_ST_st300_fsm_299 : STD_LOGIC; signal ap_sig_bdd_3547 : BOOLEAN; signal ins_strb_V_val_reg_3829 : STD_LOGIC_VECTOR (3 downto 0); signal ins_user_V_val_reg_3834 : STD_LOGIC_VECTOR (0 downto 0); signal ins_last_V_val_reg_3839 : STD_LOGIC_VECTOR (0 downto 0); signal ins_id_V_val_reg_3844 : STD_LOGIC_VECTOR (0 downto 0); signal ins_dest_V_val_reg_3849 : STD_LOGIC_VECTOR (0 downto 0); signal exitcond2_fu_2840_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it3 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it4 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it5 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it6 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it7 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it8 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it9 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it10 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it11 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it12 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it13 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it14 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it15 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it16 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it17 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it18 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it19 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it20 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it21 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it22 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it23 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it24 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it25 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it26 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it27 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it28 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it29 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it30 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it31 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it32 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it33 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it34 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it35 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it36 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it37 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it38 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it39 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it40 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it41 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it42 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it43 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it44 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it45 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it46 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it47 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it48 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it49 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it50 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it51 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it52 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it53 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it54 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it55 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it56 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it57 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it58 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it59 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it60 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it61 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it62 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it63 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it64 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it65 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it66 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it67 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it68 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it69 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it70 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it71 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it72 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it73 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it74 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it75 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it76 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it77 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it78 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it79 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it80 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it81 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_3854_pp0_it82 : STD_LOGIC_VECTOR (0 downto 0); signal i_fu_2846_p2 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_addr_20_reg_3863 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it1 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it2 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it3 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it4 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it5 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it6 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it7 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it8 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it9 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it10 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it11 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it12 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it13 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it14 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it15 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it16 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it17 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it18 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it19 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it20 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it21 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it22 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it23 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it24 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it25 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it26 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it27 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it28 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it29 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it30 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it31 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it32 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it33 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it34 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it35 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it36 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it37 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it38 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it39 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it40 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it41 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it42 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it43 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it44 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it45 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it46 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it47 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it48 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it49 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it50 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it51 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it52 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it53 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it54 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it55 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it56 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it57 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it58 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it59 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it60 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it61 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it62 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it63 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it64 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it65 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it66 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it67 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it68 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it69 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it70 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it71 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it72 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it73 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it74 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it75 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it76 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it77 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it78 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it79 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it80 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it81 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it82 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_22_fu_2857_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_22_reg_3869 : STD_LOGIC_VECTOR (31 downto 0); signal v0y_assign_new_reg_3874 : STD_LOGIC_VECTOR (31 downto 0); signal v0z_assign_new_reg_3879 : STD_LOGIC_VECTOR (31 downto 0); signal v1x_assign_new_reg_3884 : STD_LOGIC_VECTOR (31 downto 0); signal v1y_assign_new_reg_3889 : STD_LOGIC_VECTOR (31 downto 0); signal v1z_assign_new_reg_3894 : STD_LOGIC_VECTOR (31 downto 0); signal v2x_assign_new_reg_3899 : STD_LOGIC_VECTOR (31 downto 0); signal v2y_assign_new_reg_3904 : STD_LOGIC_VECTOR (31 downto 0); signal v2z_assign_new_reg_3909 : STD_LOGIC_VECTOR (31 downto 0); signal rdx_assign_new_reg_3914 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it4 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it5 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it6 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it7 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it9 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal rdy_assign_new_reg_3919 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it4 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it5 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it6 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it7 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it9 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal rdz_assign_new_reg_3924 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it4 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it5 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it6 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it7 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it9 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal rex_assign_new_reg_3929 : STD_LOGIC_VECTOR (31 downto 0); signal rey_assign_new_reg_3934 : STD_LOGIC_VECTOR (31 downto 0); signal rez_assign_new_reg_3939 : STD_LOGIC_VECTOR (31 downto 0); signal v0x_assign4_fu_3001_p1 : STD_LOGIC_VECTOR (31 downto 0); signal v0y_assign_fu_3007_p1 : STD_LOGIC_VECTOR (31 downto 0); signal v0z_assign_fu_3013_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_430_p2 : STD_LOGIC_VECTOR (31 downto 0); signal a_reg_4010 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_4010_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_434_p2 : STD_LOGIC_VECTOR (31 downto 0); signal b_reg_4017 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_4017_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_438_p2 : STD_LOGIC_VECTOR (31 downto 0); signal c_reg_4024 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it25 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it26 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it27 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it28 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it29 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it30 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it31 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it32 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_4024_pp0_it33 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_442_p2 : STD_LOGIC_VECTOR (31 downto 0); signal d_reg_4031 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it25 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it26 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it27 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it28 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it29 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it30 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it31 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it32 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_4031_pp0_it33 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_446_p2 : STD_LOGIC_VECTOR (31 downto 0); signal e_reg_4038 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_4038_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_450_p2 : STD_LOGIC_VECTOR (31 downto 0); signal f_reg_4045 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_4045_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_454_p2 : STD_LOGIC_VECTOR (31 downto 0); signal j_reg_4052 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_4052_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_458_p2 : STD_LOGIC_VECTOR (31 downto 0); signal k_reg_4059 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_4059_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_462_p2 : STD_LOGIC_VECTOR (31 downto 0); signal l_reg_4066 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it25 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it26 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it27 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it28 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it29 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it30 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it31 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it32 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_4066_pp0_it33 : STD_LOGIC_VECTOR (31 downto 0); signal g_fu_3055_p1 : STD_LOGIC_VECTOR (31 downto 0); signal g_reg_4073 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it25 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it26 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it27 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it28 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it29 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it30 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it31 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it32 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_4073_pp0_it33 : STD_LOGIC_VECTOR (31 downto 0); signal h_fu_3059_p1 : STD_LOGIC_VECTOR (31 downto 0); signal h_reg_4080 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_4080_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal i_1_fu_3063_p1 : STD_LOGIC_VECTOR (31 downto 0); signal i_1_reg_4087 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_4087_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_522_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_i_reg_4094 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_526_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_i_311_reg_4099 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_530_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_3_i_reg_4104 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_534_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_4_i_reg_4109 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_538_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_12_i_reg_4114 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_542_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_13_i_reg_4119 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_546_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_16_i_reg_4124 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_550_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_17_i_reg_4129 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_466_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_1_i_reg_4134 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_470_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_5_i_reg_4140 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_554_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_i_reg_4146 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_558_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_9_i_reg_4151 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_474_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_14_i_reg_4156 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_478_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_18_i_reg_4162 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_562_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_21_i_reg_4168 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_566_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_22_i_reg_4173 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_570_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_i_reg_4178 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_574_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_6_i_reg_4183 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_578_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_15_i_reg_4188 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_582_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_19_i_reg_4193 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_586_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_27_i_reg_4198 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_590_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_28_i_reg_4203 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_594_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_32_i_reg_4208 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_598_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_33_i_reg_4213 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_482_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_10_i_reg_4218 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_486_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_23_i_reg_4224 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_490_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_7_i_reg_4230 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_602_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_11_i_reg_4235 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_494_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_20_i_reg_4240 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_606_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_24_i_reg_4245 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_498_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_29_i_reg_4250 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_610_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_30_i_reg_4255 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_502_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_34_i_reg_4260 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_614_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_35_i_reg_4265 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_506_p2 : STD_LOGIC_VECTOR (31 downto 0); signal m_reg_4270 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_510_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_25_i_reg_4275 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it48 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it49 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it50 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it51 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it52 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it53 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it54 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it55 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it56 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it57 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it58 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it60 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it61 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it62 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it63 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it64 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it65 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it66 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it67 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it68 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it69 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it70 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it71 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it72 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it73 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it74 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it75 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it76 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_514_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_31_i_reg_4280 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it48 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it49 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it50 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it51 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it52 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it53 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it54 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it55 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it56 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it57 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it58 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it60 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it61 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it62 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it63 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it64 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it65 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it66 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it67 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it68 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it69 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it70 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it71 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it72 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it73 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it74 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it75 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it76 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it77 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_518_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_36_i_reg_4285 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it48 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it49 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it50 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it51 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it52 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it53 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it54 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it55 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it56 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it57 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it58 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it60 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it61 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it62 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it63 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it64 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it65 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it66 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it67 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it68 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it69 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it70 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it71 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it72 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it73 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it74 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it75 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it76 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it77 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_630_p2 : STD_LOGIC_VECTOR (31 downto 0); signal im_reg_4290 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_61_neg_i_fu_3071_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_61_neg_i_reg_4297 : STD_LOGIC_VECTOR (31 downto 0); signal beta_addr_111281129_part_set_fu_3103_p5 : STD_LOGIC_VECTOR (575 downto 0); signal beta_addr_111281129_part_set_reg_4307 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_address0 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_ce0 : STD_LOGIC; signal data_array_we0 : STD_LOGIC; signal data_array_d0 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_address1 : STD_LOGIC_VECTOR (4 downto 0); signal data_array_ce1 : STD_LOGIC; signal data_array_we1 : STD_LOGIC; signal data_array_d1 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_q1 : STD_LOGIC_VECTOR (575 downto 0); signal tmp_1_fu_2852_p1 : STD_LOGIC_VECTOR (63 downto 0); signal ap_sig_cseq_ST_st255_fsm_254 : STD_LOGIC; signal ap_sig_bdd_5023 : BOOLEAN; signal ap_sig_cseq_ST_st270_fsm_269 : STD_LOGIC; signal ap_sig_bdd_5046 : BOOLEAN; signal ap_sig_cseq_ST_st285_fsm_284 : STD_LOGIC; signal ap_sig_bdd_5069 : BOOLEAN; signal t_load_fu_3115_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_fu_3120_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st387_fsm_303 : STD_LOGIC; signal ap_sig_bdd_5096 : BOOLEAN; signal beta_load_fu_3125_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st388_fsm_304 : STD_LOGIC; signal ap_sig_bdd_5104 : BOOLEAN; signal t_load_s_fu_3130_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_s_fu_3135_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st390_fsm_306 : STD_LOGIC; signal ap_sig_bdd_5113 : BOOLEAN; signal beta_load_s_fu_3140_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st391_fsm_307 : STD_LOGIC; signal ap_sig_bdd_5121 : BOOLEAN; signal t_load_1_fu_3145_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_1_fu_3150_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st393_fsm_309 : STD_LOGIC; signal ap_sig_bdd_5130 : BOOLEAN; signal beta_load_1_fu_3155_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st394_fsm_310 : STD_LOGIC; signal ap_sig_bdd_5138 : BOOLEAN; signal t_load_2_fu_3160_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_2_fu_3165_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st396_fsm_312 : STD_LOGIC; signal ap_sig_bdd_5147 : BOOLEAN; signal beta_load_2_fu_3170_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st397_fsm_313 : STD_LOGIC; signal ap_sig_bdd_5155 : BOOLEAN; signal t_load_3_fu_3175_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_3_fu_3180_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st399_fsm_315 : STD_LOGIC; signal ap_sig_bdd_5164 : BOOLEAN; signal beta_load_3_fu_3185_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st400_fsm_316 : STD_LOGIC; signal ap_sig_bdd_5172 : BOOLEAN; signal t_load_4_fu_3190_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_4_fu_3195_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st402_fsm_318 : STD_LOGIC; signal ap_sig_bdd_5181 : BOOLEAN; signal beta_load_4_fu_3200_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st403_fsm_319 : STD_LOGIC; signal ap_sig_bdd_5189 : BOOLEAN; signal t_load_5_fu_3205_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_5_fu_3210_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st405_fsm_321 : STD_LOGIC; signal ap_sig_bdd_5198 : BOOLEAN; signal beta_load_5_fu_3215_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st406_fsm_322 : STD_LOGIC; signal ap_sig_bdd_5206 : BOOLEAN; signal t_load_6_fu_3220_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_6_fu_3225_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st408_fsm_324 : STD_LOGIC; signal ap_sig_bdd_5215 : BOOLEAN; signal beta_load_6_fu_3230_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st409_fsm_325 : STD_LOGIC; signal ap_sig_bdd_5223 : BOOLEAN; signal t_load_7_fu_3235_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_7_fu_3240_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st411_fsm_327 : STD_LOGIC; signal ap_sig_bdd_5232 : BOOLEAN; signal beta_load_7_fu_3245_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st412_fsm_328 : STD_LOGIC; signal ap_sig_bdd_5240 : BOOLEAN; signal t_load_8_fu_3250_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_8_fu_3255_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st414_fsm_330 : STD_LOGIC; signal ap_sig_bdd_5249 : BOOLEAN; signal beta_load_8_fu_3260_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st415_fsm_331 : STD_LOGIC; signal ap_sig_bdd_5257 : BOOLEAN; signal t_load_9_fu_3265_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_9_fu_3270_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st417_fsm_333 : STD_LOGIC; signal ap_sig_bdd_5266 : BOOLEAN; signal beta_load_9_fu_3275_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st418_fsm_334 : STD_LOGIC; signal ap_sig_bdd_5274 : BOOLEAN; signal t_load_10_fu_3280_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_10_fu_3285_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st420_fsm_336 : STD_LOGIC; signal ap_sig_bdd_5283 : BOOLEAN; signal beta_load_10_fu_3290_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st421_fsm_337 : STD_LOGIC; signal ap_sig_bdd_5291 : BOOLEAN; signal t_load_11_fu_3295_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_11_fu_3300_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st423_fsm_339 : STD_LOGIC; signal ap_sig_bdd_5300 : BOOLEAN; signal beta_load_11_fu_3305_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st424_fsm_340 : STD_LOGIC; signal ap_sig_bdd_5308 : BOOLEAN; signal t_load_12_fu_3310_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_12_fu_3315_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st426_fsm_342 : STD_LOGIC; signal ap_sig_bdd_5317 : BOOLEAN; signal beta_load_12_fu_3320_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st427_fsm_343 : STD_LOGIC; signal ap_sig_bdd_5325 : BOOLEAN; signal t_load_13_fu_3325_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_13_fu_3330_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st429_fsm_345 : STD_LOGIC; signal ap_sig_bdd_5334 : BOOLEAN; signal beta_load_13_fu_3335_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st430_fsm_346 : STD_LOGIC; signal ap_sig_bdd_5342 : BOOLEAN; signal t_load_14_fu_3340_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_14_fu_3345_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st432_fsm_348 : STD_LOGIC; signal ap_sig_bdd_5351 : BOOLEAN; signal beta_load_14_fu_3350_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st433_fsm_349 : STD_LOGIC; signal ap_sig_bdd_5359 : BOOLEAN; signal t_load_15_fu_3355_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_15_fu_3360_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st435_fsm_351 : STD_LOGIC; signal ap_sig_bdd_5368 : BOOLEAN; signal beta_load_15_fu_3365_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st436_fsm_352 : STD_LOGIC; signal ap_sig_bdd_5376 : BOOLEAN; signal t_load_16_fu_3370_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_16_fu_3375_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st438_fsm_354 : STD_LOGIC; signal ap_sig_bdd_5385 : BOOLEAN; signal beta_load_16_fu_3380_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st439_fsm_355 : STD_LOGIC; signal ap_sig_bdd_5393 : BOOLEAN; signal t_load_17_fu_3385_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_17_fu_3390_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st441_fsm_357 : STD_LOGIC; signal ap_sig_bdd_5402 : BOOLEAN; signal beta_load_17_fu_3395_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st442_fsm_358 : STD_LOGIC; signal ap_sig_bdd_5410 : BOOLEAN; signal t_load_18_fu_3400_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_18_fu_3405_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st444_fsm_360 : STD_LOGIC; signal ap_sig_bdd_5419 : BOOLEAN; signal beta_load_18_fu_3410_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st445_fsm_361 : STD_LOGIC; signal ap_sig_bdd_5427 : BOOLEAN; signal ap_reg_ioackin_outs_TREADY : STD_LOGIC := '0'; signal rez_addr959960_part_set_fu_830_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_3953954_part_set_fu_922_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_5947948_part_set_fu_1017_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_1956957_part_set_fu_1109_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_4950951_part_set_fu_1201_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_6944945_part_set_fu_1308_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_7941942_part_set_fu_1415_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_8938939_part_set_fu_1522_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_9935936_part_set_fu_1629_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_10932933_part_set_fu_1736_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_11929930_part_set_fu_1843_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_12926927_part_set_fu_1950_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_13923924_part_set_fu_2057_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_14920921_part_set_fu_2164_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_15917918_part_set_fu_2271_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_16914915_part_set_fu_2378_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_17911912_part_set_fu_2485_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_18908909_part_set_fu_2592_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_19905906_part_set_fu_2698_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_20902903_part_set_fu_2828_p5 : STD_LOGIC_VECTOR (575 downto 0); signal ap_sig_cseq_ST_st385_fsm_301 : STD_LOGIC; signal ap_sig_bdd_5902 : BOOLEAN; signal grp_fu_430_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_430_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_434_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_434_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_438_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_438_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_442_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_442_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_446_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_446_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_450_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_450_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_454_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_454_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_458_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_458_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_462_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_462_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_466_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_466_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_470_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_470_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_474_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_474_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_478_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_478_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_482_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_482_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_486_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_486_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_490_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_490_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_494_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_494_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_498_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_498_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_502_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_502_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_506_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_506_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_510_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_510_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_514_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_514_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_518_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_518_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_522_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_522_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_526_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_526_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_530_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_530_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_534_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_534_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_538_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_538_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_542_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_542_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_546_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_546_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_550_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_550_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_554_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_554_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_558_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_558_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_562_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_562_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_566_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_566_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_570_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_570_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_574_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_574_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_578_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_578_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_582_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_582_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_586_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_586_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_590_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_590_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_594_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_594_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_598_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_598_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_602_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_602_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_606_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_606_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_610_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_610_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_614_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_614_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_618_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_618_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_622_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_622_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_626_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_626_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_630_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_630_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_14_toint_fu_793_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_13_toint_fu_789_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_12_toint_fu_785_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_11_toint_fu_781_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_10_toint_fu_777_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_9_toint_fu_773_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_8_toint_fu_769_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_7_toint_fu_765_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_6_toint_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_5_toint_fu_757_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_4_toint_fu_753_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_3_toint_fu_749_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_2_toint_fu_745_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_1_toint_fu_741_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_toint_fu_737_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_fu_796_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_44_toint_fu_885_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_43_toint_fu_882_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_42_toint_fu_879_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_41_toint_fu_876_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_40_toint_fu_873_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_39_toint_fu_870_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_38_toint_fu_867_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_37_toint_fu_864_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_36_toint_fu_861_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_35_toint_fu_858_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_34_toint_fu_855_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_33_toint_fu_852_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_32_toint_fu_849_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_31_toint_fu_846_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_30_toint_fu_843_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_3_fu_888_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_74_toint_fu_979_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_73_toint_fu_975_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_72_toint_fu_971_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_71_toint_fu_968_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_70_toint_fu_965_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_69_toint_fu_962_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_68_toint_fu_959_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_67_toint_fu_956_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_66_toint_fu_953_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_65_toint_fu_950_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_64_toint_fu_947_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_63_toint_fu_944_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_62_toint_fu_941_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_61_toint_fu_938_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_60_toint_fu_935_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_5_fu_983_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_29_toint_fu_1072_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_28_toint_fu_1069_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_27_toint_fu_1066_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_26_toint_fu_1063_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_25_toint_fu_1060_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_24_toint_fu_1057_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_23_toint_fu_1054_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_22_toint_fu_1051_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_21_toint_fu_1048_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_20_toint_fu_1045_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_19_toint_fu_1042_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_18_toint_fu_1039_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_17_toint_fu_1036_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_16_toint_fu_1033_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_15_toint_fu_1030_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_fu_1075_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_59_toint_fu_1164_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_58_toint_fu_1161_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_57_toint_fu_1158_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_56_toint_fu_1155_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_55_toint_fu_1152_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_54_toint_fu_1149_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_53_toint_fu_1146_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_52_toint_fu_1143_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_51_toint_fu_1140_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_50_toint_fu_1137_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_49_toint_fu_1134_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_48_toint_fu_1131_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_47_toint_fu_1128_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_46_toint_fu_1125_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_45_toint_fu_1122_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_4_fu_1167_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_89_toint_fu_1270_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_88_toint_fu_1266_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_87_toint_fu_1262_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_86_toint_fu_1258_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_85_toint_fu_1254_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_84_toint_fu_1250_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_83_toint_fu_1246_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_82_toint_fu_1242_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_81_toint_fu_1238_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_80_toint_fu_1234_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_79_toint_fu_1230_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_78_toint_fu_1226_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_77_toint_fu_1222_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_76_toint_fu_1218_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_75_toint_fu_1214_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_6_fu_1274_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_104_toint_fu_1377_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_103_toint_fu_1373_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_102_toint_fu_1369_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_101_toint_fu_1365_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_100_toint_fu_1361_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_99_toint_fu_1357_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_98_toint_fu_1353_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_97_toint_fu_1349_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_96_toint_fu_1345_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_95_toint_fu_1341_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_94_toint_fu_1337_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_93_toint_fu_1333_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_92_toint_fu_1329_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_91_toint_fu_1325_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_90_toint_fu_1321_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_7_fu_1381_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_119_toint_fu_1484_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_118_toint_fu_1480_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_117_toint_fu_1476_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_116_toint_fu_1472_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_115_toint_fu_1468_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_114_toint_fu_1464_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_113_toint_fu_1460_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_112_toint_fu_1456_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_111_toint_fu_1452_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_110_toint_fu_1448_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_109_toint_fu_1444_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_108_toint_fu_1440_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_107_toint_fu_1436_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_106_toint_fu_1432_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_105_toint_fu_1428_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_fu_1488_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_134_toint_fu_1591_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_133_toint_fu_1587_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_132_toint_fu_1583_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_131_toint_fu_1579_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_130_toint_fu_1575_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_129_toint_fu_1571_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_128_toint_fu_1567_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_127_toint_fu_1563_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_126_toint_fu_1559_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_125_toint_fu_1555_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_124_toint_fu_1551_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_123_toint_fu_1547_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_122_toint_fu_1543_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_121_toint_fu_1539_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_120_toint_fu_1535_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_9_fu_1595_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_149_toint_fu_1698_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_148_toint_fu_1694_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_147_toint_fu_1690_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_146_toint_fu_1686_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_145_toint_fu_1682_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_144_toint_fu_1678_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_143_toint_fu_1674_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_142_toint_fu_1670_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_141_toint_fu_1666_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_140_toint_fu_1662_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_139_toint_fu_1658_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_138_toint_fu_1654_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_137_toint_fu_1650_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_136_toint_fu_1646_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_135_toint_fu_1642_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_10_fu_1702_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_164_toint_fu_1805_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_163_toint_fu_1801_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_162_toint_fu_1797_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_161_toint_fu_1793_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_160_toint_fu_1789_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_159_toint_fu_1785_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_158_toint_fu_1781_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_157_toint_fu_1777_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_156_toint_fu_1773_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_155_toint_fu_1769_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_154_toint_fu_1765_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_153_toint_fu_1761_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_152_toint_fu_1757_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_151_toint_fu_1753_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_150_toint_fu_1749_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_11_fu_1809_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_179_toint_fu_1912_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_178_toint_fu_1908_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_177_toint_fu_1904_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_176_toint_fu_1900_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_175_toint_fu_1896_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_174_toint_fu_1892_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_173_toint_fu_1888_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_172_toint_fu_1884_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_171_toint_fu_1880_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_170_toint_fu_1876_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_169_toint_fu_1872_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_168_toint_fu_1868_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_167_toint_fu_1864_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_166_toint_fu_1860_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_165_toint_fu_1856_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_12_fu_1916_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_194_toint_fu_2019_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_193_toint_fu_2015_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_192_toint_fu_2011_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_191_toint_fu_2007_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_190_toint_fu_2003_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_189_toint_fu_1999_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_188_toint_fu_1995_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_187_toint_fu_1991_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_186_toint_fu_1987_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_185_toint_fu_1983_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_184_toint_fu_1979_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_183_toint_fu_1975_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_182_toint_fu_1971_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_181_toint_fu_1967_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_180_toint_fu_1963_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_13_fu_2023_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_209_toint_fu_2126_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_208_toint_fu_2122_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_207_toint_fu_2118_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_206_toint_fu_2114_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_205_toint_fu_2110_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_204_toint_fu_2106_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_203_toint_fu_2102_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_202_toint_fu_2098_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_201_toint_fu_2094_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_200_toint_fu_2090_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_199_toint_fu_2086_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_198_toint_fu_2082_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_197_toint_fu_2078_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_196_toint_fu_2074_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_195_toint_fu_2070_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_14_fu_2130_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_224_toint_fu_2233_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_223_toint_fu_2229_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_222_toint_fu_2225_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_221_toint_fu_2221_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_220_toint_fu_2217_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_219_toint_fu_2213_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_218_toint_fu_2209_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_217_toint_fu_2205_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_216_toint_fu_2201_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_215_toint_fu_2197_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_214_toint_fu_2193_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_213_toint_fu_2189_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_212_toint_fu_2185_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_211_toint_fu_2181_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_210_toint_fu_2177_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_15_fu_2237_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_239_toint_fu_2340_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_238_toint_fu_2336_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_237_toint_fu_2332_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_236_toint_fu_2328_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_235_toint_fu_2324_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_234_toint_fu_2320_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_233_toint_fu_2316_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_232_toint_fu_2312_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_231_toint_fu_2308_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_230_toint_fu_2304_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_229_toint_fu_2300_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_228_toint_fu_2296_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_227_toint_fu_2292_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_226_toint_fu_2288_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_225_toint_fu_2284_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_16_fu_2344_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_254_toint_fu_2447_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_253_toint_fu_2443_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_252_toint_fu_2439_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_251_toint_fu_2435_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_250_toint_fu_2431_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_249_toint_fu_2427_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_248_toint_fu_2423_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_247_toint_fu_2419_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_246_toint_fu_2415_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_245_toint_fu_2411_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_244_toint_fu_2407_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_243_toint_fu_2403_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_242_toint_fu_2399_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_241_toint_fu_2395_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_240_toint_fu_2391_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_17_fu_2451_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_269_toint_fu_2554_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_268_toint_fu_2550_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_267_toint_fu_2546_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_266_toint_fu_2542_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_265_toint_fu_2538_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_264_toint_fu_2534_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_263_toint_fu_2530_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_262_toint_fu_2526_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_261_toint_fu_2522_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_260_toint_fu_2518_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_259_toint_fu_2514_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_258_toint_fu_2510_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_257_toint_fu_2506_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_256_toint_fu_2502_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_255_toint_fu_2498_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_18_fu_2558_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_284_toint_fu_2660_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_283_toint_fu_2656_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_282_toint_fu_2652_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_281_toint_fu_2648_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_280_toint_fu_2644_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_279_toint_fu_2640_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_278_toint_fu_2636_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_277_toint_fu_2632_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_276_toint_fu_2628_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_275_toint_fu_2624_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_274_toint_fu_2620_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_273_toint_fu_2616_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_272_toint_fu_2612_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_271_toint_fu_2608_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_270_toint_fu_2604_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_19_fu_2664_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_299_toint_fu_2790_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_298_toint_fu_2762_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_297_toint_fu_2758_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_296_toint_fu_2754_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_295_toint_fu_2750_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_294_toint_fu_2746_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_293_toint_fu_2742_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_292_toint_fu_2738_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_291_toint_fu_2734_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_290_toint_fu_2730_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_289_toint_fu_2726_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_288_toint_fu_2722_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_287_toint_fu_2718_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_286_toint_fu_2714_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_285_toint_fu_2710_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_20_fu_2794_p16 : STD_LOGIC_VECTOR (479 downto 0); signal tmp_61_to_int_i_fu_3068_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_618_p2 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_622_p2 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_626_p2 : STD_LOGIC_VECTOR (31 downto 0); signal beta_write_assign_toint_fu_3089_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_write_assign_toint_fu_3085_p1 : STD_LOGIC_VECTOR (31 downto 0); signal t_write_assign_toint_fu_3081_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_21_fu_3093_p4 : STD_LOGIC_VECTOR (95 downto 0); signal grp_fu_639_p4 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_430_ce : STD_LOGIC; signal grp_fu_434_ce : STD_LOGIC; signal grp_fu_438_ce : STD_LOGIC; signal grp_fu_442_ce : STD_LOGIC; signal grp_fu_446_ce : STD_LOGIC; signal grp_fu_450_ce : STD_LOGIC; signal grp_fu_454_ce : STD_LOGIC; signal grp_fu_458_ce : STD_LOGIC; signal grp_fu_462_ce : STD_LOGIC; signal grp_fu_466_ce : STD_LOGIC; signal grp_fu_470_ce : STD_LOGIC; signal grp_fu_474_ce : STD_LOGIC; signal grp_fu_478_ce : STD_LOGIC; signal grp_fu_482_ce : STD_LOGIC; signal grp_fu_486_ce : STD_LOGIC; signal grp_fu_490_ce : STD_LOGIC; signal grp_fu_494_ce : STD_LOGIC; signal grp_fu_498_ce : STD_LOGIC; signal grp_fu_502_ce : STD_LOGIC; signal grp_fu_506_ce : STD_LOGIC; signal grp_fu_510_ce : STD_LOGIC; signal grp_fu_514_ce : STD_LOGIC; signal grp_fu_518_ce : STD_LOGIC; signal grp_fu_522_ce : STD_LOGIC; signal grp_fu_526_ce : STD_LOGIC; signal grp_fu_530_ce : STD_LOGIC; signal grp_fu_534_ce : STD_LOGIC; signal grp_fu_538_ce : STD_LOGIC; signal grp_fu_542_ce : STD_LOGIC; signal grp_fu_546_ce : STD_LOGIC; signal grp_fu_550_ce : STD_LOGIC; signal grp_fu_554_ce : STD_LOGIC; signal grp_fu_558_ce : STD_LOGIC; signal grp_fu_562_ce : STD_LOGIC; signal grp_fu_566_ce : STD_LOGIC; signal grp_fu_570_ce : STD_LOGIC; signal grp_fu_574_ce : STD_LOGIC; signal grp_fu_578_ce : STD_LOGIC; signal grp_fu_582_ce : STD_LOGIC; signal grp_fu_586_ce : STD_LOGIC; signal grp_fu_590_ce : STD_LOGIC; signal grp_fu_594_ce : STD_LOGIC; signal grp_fu_598_ce : STD_LOGIC; signal grp_fu_602_ce : STD_LOGIC; signal grp_fu_606_ce : STD_LOGIC; signal grp_fu_610_ce : STD_LOGIC; signal grp_fu_614_ce : STD_LOGIC; signal grp_fu_618_ce : STD_LOGIC; signal grp_fu_622_ce : STD_LOGIC; signal grp_fu_626_ce : STD_LOGIC; signal grp_fu_630_ce : STD_LOGIC; signal ap_NS_fsm : STD_LOGIC_VECTOR (361 downto 0); component tri_intersect_fsub_32ns_32ns_32_9_full_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component tri_intersect_fadd_32ns_32ns_32_9_full_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component tri_intersect_fmul_32ns_32ns_32_5_max_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component tri_intersect_fdiv_32ns_32ns_32_30 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component tri_intersect_data_array IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (4 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (575 downto 0); q0 : OUT STD_LOGIC_VECTOR (575 downto 0); address1 : IN STD_LOGIC_VECTOR (4 downto 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR (575 downto 0); q1 : OUT STD_LOGIC_VECTOR (575 downto 0) ); end component; begin data_array_U : component tri_intersect_data_array generic map ( DataWidth => 576, AddressRange => 20, AddressWidth => 5) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => data_array_address0, ce0 => data_array_ce0, we0 => data_array_we0, d0 => data_array_d0, q0 => data_array_q0, address1 => data_array_address1, ce1 => data_array_ce1, we1 => data_array_we1, d1 => data_array_d1, q1 => data_array_q1); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U0 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_430_p0, din1 => grp_fu_430_p1, ce => grp_fu_430_ce, dout => grp_fu_430_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U1 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_434_p0, din1 => grp_fu_434_p1, ce => grp_fu_434_ce, dout => grp_fu_434_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U2 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_438_p0, din1 => grp_fu_438_p1, ce => grp_fu_438_ce, dout => grp_fu_438_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U3 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_442_p0, din1 => grp_fu_442_p1, ce => grp_fu_442_ce, dout => grp_fu_442_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U4 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_446_p0, din1 => grp_fu_446_p1, ce => grp_fu_446_ce, dout => grp_fu_446_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U5 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_450_p0, din1 => grp_fu_450_p1, ce => grp_fu_450_ce, dout => grp_fu_450_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U6 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_454_p0, din1 => grp_fu_454_p1, ce => grp_fu_454_ce, dout => grp_fu_454_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U7 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_458_p0, din1 => grp_fu_458_p1, ce => grp_fu_458_ce, dout => grp_fu_458_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U8 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_462_p0, din1 => grp_fu_462_p1, ce => grp_fu_462_ce, dout => grp_fu_462_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U9 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_466_p0, din1 => grp_fu_466_p1, ce => grp_fu_466_ce, dout => grp_fu_466_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U10 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_470_p0, din1 => grp_fu_470_p1, ce => grp_fu_470_ce, dout => grp_fu_470_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U11 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_474_p0, din1 => grp_fu_474_p1, ce => grp_fu_474_ce, dout => grp_fu_474_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U12 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_478_p0, din1 => grp_fu_478_p1, ce => grp_fu_478_ce, dout => grp_fu_478_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U13 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_482_p0, din1 => grp_fu_482_p1, ce => grp_fu_482_ce, dout => grp_fu_482_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U14 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_486_p0, din1 => grp_fu_486_p1, ce => grp_fu_486_ce, dout => grp_fu_486_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U15 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_490_p0, din1 => grp_fu_490_p1, ce => grp_fu_490_ce, dout => grp_fu_490_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U16 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_494_p0, din1 => grp_fu_494_p1, ce => grp_fu_494_ce, dout => grp_fu_494_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U17 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_498_p0, din1 => grp_fu_498_p1, ce => grp_fu_498_ce, dout => grp_fu_498_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U18 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_502_p0, din1 => grp_fu_502_p1, ce => grp_fu_502_ce, dout => grp_fu_502_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U19 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_506_p0, din1 => grp_fu_506_p1, ce => grp_fu_506_ce, dout => grp_fu_506_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U20 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_510_p0, din1 => grp_fu_510_p1, ce => grp_fu_510_ce, dout => grp_fu_510_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U21 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_514_p0, din1 => grp_fu_514_p1, ce => grp_fu_514_ce, dout => grp_fu_514_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U22 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_518_p0, din1 => grp_fu_518_p1, ce => grp_fu_518_ce, dout => grp_fu_518_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U23 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_522_p0, din1 => grp_fu_522_p1, ce => grp_fu_522_ce, dout => grp_fu_522_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U24 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_526_p0, din1 => grp_fu_526_p1, ce => grp_fu_526_ce, dout => grp_fu_526_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U25 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_530_p0, din1 => grp_fu_530_p1, ce => grp_fu_530_ce, dout => grp_fu_530_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U26 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_534_p0, din1 => grp_fu_534_p1, ce => grp_fu_534_ce, dout => grp_fu_534_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U27 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_538_p0, din1 => grp_fu_538_p1, ce => grp_fu_538_ce, dout => grp_fu_538_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U28 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_542_p0, din1 => grp_fu_542_p1, ce => grp_fu_542_ce, dout => grp_fu_542_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U29 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_546_p0, din1 => grp_fu_546_p1, ce => grp_fu_546_ce, dout => grp_fu_546_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U30 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_550_p0, din1 => grp_fu_550_p1, ce => grp_fu_550_ce, dout => grp_fu_550_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U31 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_554_p0, din1 => grp_fu_554_p1, ce => grp_fu_554_ce, dout => grp_fu_554_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U32 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_558_p0, din1 => grp_fu_558_p1, ce => grp_fu_558_ce, dout => grp_fu_558_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U33 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_562_p0, din1 => grp_fu_562_p1, ce => grp_fu_562_ce, dout => grp_fu_562_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U34 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_566_p0, din1 => grp_fu_566_p1, ce => grp_fu_566_ce, dout => grp_fu_566_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U35 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_570_p0, din1 => grp_fu_570_p1, ce => grp_fu_570_ce, dout => grp_fu_570_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U36 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_574_p0, din1 => grp_fu_574_p1, ce => grp_fu_574_ce, dout => grp_fu_574_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U37 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_578_p0, din1 => grp_fu_578_p1, ce => grp_fu_578_ce, dout => grp_fu_578_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U38 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_582_p0, din1 => grp_fu_582_p1, ce => grp_fu_582_ce, dout => grp_fu_582_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U39 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_586_p0, din1 => grp_fu_586_p1, ce => grp_fu_586_ce, dout => grp_fu_586_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U40 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_590_p0, din1 => grp_fu_590_p1, ce => grp_fu_590_ce, dout => grp_fu_590_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U41 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_594_p0, din1 => grp_fu_594_p1, ce => grp_fu_594_ce, dout => grp_fu_594_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U42 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_598_p0, din1 => grp_fu_598_p1, ce => grp_fu_598_ce, dout => grp_fu_598_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U43 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_602_p0, din1 => grp_fu_602_p1, ce => grp_fu_602_ce, dout => grp_fu_602_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U44 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_606_p0, din1 => grp_fu_606_p1, ce => grp_fu_606_ce, dout => grp_fu_606_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U45 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_610_p0, din1 => grp_fu_610_p1, ce => grp_fu_610_ce, dout => grp_fu_610_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U46 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_614_p0, din1 => grp_fu_614_p1, ce => grp_fu_614_ce, dout => grp_fu_614_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U47 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_618_p0, din1 => grp_fu_618_p1, ce => grp_fu_618_ce, dout => grp_fu_618_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U48 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_622_p0, din1 => grp_fu_622_p1, ce => grp_fu_622_ce, dout => grp_fu_622_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U49 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_626_p0, din1 => grp_fu_626_p1, ce => grp_fu_626_ce, dout => grp_fu_626_p2); tri_intersect_fdiv_32ns_32ns_32_30_U50 : component tri_intersect_fdiv_32ns_32ns_32_30 generic map ( ID => 1, NUM_STAGE => 30, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_630_p0, din1 => grp_fu_630_p1, ce => grp_fu_630_ce, dout => grp_fu_630_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ioackin_outs_TREADY assign process. -- ap_reg_ioackin_outs_TREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ioackin_outs_TREADY <= ap_const_logic_0; else if ((((ap_const_logic_1 = ap_sig_cseq_ST_st386_fsm_302) and not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st389_fsm_305)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st392_fsm_308)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st395_fsm_311)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st398_fsm_314)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st401_fsm_317)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st404_fsm_320)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st407_fsm_323)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st410_fsm_326)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st413_fsm_329)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st416_fsm_332)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st419_fsm_335)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st422_fsm_338)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st425_fsm_341)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st428_fsm_344)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st431_fsm_347)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st434_fsm_350)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st437_fsm_353)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st440_fsm_356)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st443_fsm_359)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st387_fsm_303)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st388_fsm_304)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st390_fsm_306)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st391_fsm_307)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st393_fsm_309)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st394_fsm_310)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st396_fsm_312)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st397_fsm_313)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st399_fsm_315)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st400_fsm_316)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st402_fsm_318)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st403_fsm_319)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st405_fsm_321)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st406_fsm_322)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st408_fsm_324)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st409_fsm_325)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st411_fsm_327)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st412_fsm_328)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st414_fsm_330)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st415_fsm_331)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st417_fsm_333)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st418_fsm_334)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st420_fsm_336)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st421_fsm_337)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st423_fsm_339)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st424_fsm_340)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st426_fsm_342)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st427_fsm_343)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st429_fsm_345)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st430_fsm_346)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st432_fsm_348)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st433_fsm_349)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st435_fsm_351)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st436_fsm_352)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st438_fsm_354)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st439_fsm_355)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st441_fsm_357)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st442_fsm_358)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st444_fsm_360)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st445_fsm_361)))) then ap_reg_ioackin_outs_TREADY <= ap_const_logic_0; elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st386_fsm_302) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st387_fsm_303) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st388_fsm_304) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st389_fsm_305) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st390_fsm_306) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st391_fsm_307) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st392_fsm_308) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st393_fsm_309) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st394_fsm_310) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st395_fsm_311) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st396_fsm_312) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st397_fsm_313) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st398_fsm_314) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st399_fsm_315) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st400_fsm_316) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st401_fsm_317) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st402_fsm_318) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st403_fsm_319) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st404_fsm_320) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st405_fsm_321) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st406_fsm_322) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st407_fsm_323) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st408_fsm_324) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st409_fsm_325) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st410_fsm_326) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st411_fsm_327) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st412_fsm_328) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st413_fsm_329) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st414_fsm_330) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st415_fsm_331) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st416_fsm_332) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st417_fsm_333) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st418_fsm_334) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st419_fsm_335) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st420_fsm_336) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st421_fsm_337) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st422_fsm_338) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st423_fsm_339) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st424_fsm_340) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st425_fsm_341) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st426_fsm_342) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st427_fsm_343) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st428_fsm_344) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st429_fsm_345) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st430_fsm_346) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st431_fsm_347) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st432_fsm_348) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st433_fsm_349) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st434_fsm_350) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st435_fsm_351) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st436_fsm_352) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st437_fsm_353) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st438_fsm_354) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st439_fsm_355) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st440_fsm_356) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st441_fsm_357) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st442_fsm_358) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st443_fsm_359) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st444_fsm_360) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st445_fsm_361) and (ap_const_logic_1 = outs_TREADY)))) then ap_reg_ioackin_outs_TREADY <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300) and not((ap_const_lv1_0 = exitcond2_fu_2840_p2)))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; elsif ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300) and (ap_const_lv1_0 = exitcond2_fu_2840_p2))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_1; elsif (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299)) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300) and not((ap_const_lv1_0 = exitcond2_fu_2840_p2))))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it10 assign process. -- ap_reg_ppiten_pp0_it10_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it10 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it10 <= ap_reg_ppiten_pp0_it9; end if; end if; end process; -- ap_reg_ppiten_pp0_it11 assign process. -- ap_reg_ppiten_pp0_it11_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it11 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it11 <= ap_reg_ppiten_pp0_it10; end if; end if; end process; -- ap_reg_ppiten_pp0_it12 assign process. -- ap_reg_ppiten_pp0_it12_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it12 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it12 <= ap_reg_ppiten_pp0_it11; end if; end if; end process; -- ap_reg_ppiten_pp0_it13 assign process. -- ap_reg_ppiten_pp0_it13_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it13 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it13 <= ap_reg_ppiten_pp0_it12; end if; end if; end process; -- ap_reg_ppiten_pp0_it14 assign process. -- ap_reg_ppiten_pp0_it14_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it14 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it14 <= ap_reg_ppiten_pp0_it13; end if; end if; end process; -- ap_reg_ppiten_pp0_it15 assign process. -- ap_reg_ppiten_pp0_it15_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it15 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it15 <= ap_reg_ppiten_pp0_it14; end if; end if; end process; -- ap_reg_ppiten_pp0_it16 assign process. -- ap_reg_ppiten_pp0_it16_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it16 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it16 <= ap_reg_ppiten_pp0_it15; end if; end if; end process; -- ap_reg_ppiten_pp0_it17 assign process. -- ap_reg_ppiten_pp0_it17_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it17 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it17 <= ap_reg_ppiten_pp0_it16; end if; end if; end process; -- ap_reg_ppiten_pp0_it18 assign process. -- ap_reg_ppiten_pp0_it18_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it18 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it18 <= ap_reg_ppiten_pp0_it17; end if; end if; end process; -- ap_reg_ppiten_pp0_it19 assign process. -- ap_reg_ppiten_pp0_it19_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it19 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it19 <= ap_reg_ppiten_pp0_it18; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end process; -- ap_reg_ppiten_pp0_it20 assign process. -- ap_reg_ppiten_pp0_it20_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it20 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it20 <= ap_reg_ppiten_pp0_it19; end if; end if; end process; -- ap_reg_ppiten_pp0_it21 assign process. -- ap_reg_ppiten_pp0_it21_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it21 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it21 <= ap_reg_ppiten_pp0_it20; end if; end if; end process; -- ap_reg_ppiten_pp0_it22 assign process. -- ap_reg_ppiten_pp0_it22_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it22 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it22 <= ap_reg_ppiten_pp0_it21; end if; end if; end process; -- ap_reg_ppiten_pp0_it23 assign process. -- ap_reg_ppiten_pp0_it23_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it23 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it23 <= ap_reg_ppiten_pp0_it22; end if; end if; end process; -- ap_reg_ppiten_pp0_it24 assign process. -- ap_reg_ppiten_pp0_it24_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it24 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it24 <= ap_reg_ppiten_pp0_it23; end if; end if; end process; -- ap_reg_ppiten_pp0_it25 assign process. -- ap_reg_ppiten_pp0_it25_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it25 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it25 <= ap_reg_ppiten_pp0_it24; end if; end if; end process; -- ap_reg_ppiten_pp0_it26 assign process. -- ap_reg_ppiten_pp0_it26_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it26 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it26 <= ap_reg_ppiten_pp0_it25; end if; end if; end process; -- ap_reg_ppiten_pp0_it27 assign process. -- ap_reg_ppiten_pp0_it27_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it27 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it27 <= ap_reg_ppiten_pp0_it26; end if; end if; end process; -- ap_reg_ppiten_pp0_it28 assign process. -- ap_reg_ppiten_pp0_it28_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it28 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it28 <= ap_reg_ppiten_pp0_it27; end if; end if; end process; -- ap_reg_ppiten_pp0_it29 assign process. -- ap_reg_ppiten_pp0_it29_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it29 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it29 <= ap_reg_ppiten_pp0_it28; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end process; -- ap_reg_ppiten_pp0_it30 assign process. -- ap_reg_ppiten_pp0_it30_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it30 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it30 <= ap_reg_ppiten_pp0_it29; end if; end if; end process; -- ap_reg_ppiten_pp0_it31 assign process. -- ap_reg_ppiten_pp0_it31_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it31 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it31 <= ap_reg_ppiten_pp0_it30; end if; end if; end process; -- ap_reg_ppiten_pp0_it32 assign process. -- ap_reg_ppiten_pp0_it32_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it32 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it32 <= ap_reg_ppiten_pp0_it31; end if; end if; end process; -- ap_reg_ppiten_pp0_it33 assign process. -- ap_reg_ppiten_pp0_it33_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it33 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it33 <= ap_reg_ppiten_pp0_it32; end if; end if; end process; -- ap_reg_ppiten_pp0_it34 assign process. -- ap_reg_ppiten_pp0_it34_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it34 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it34 <= ap_reg_ppiten_pp0_it33; end if; end if; end process; -- ap_reg_ppiten_pp0_it35 assign process. -- ap_reg_ppiten_pp0_it35_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it35 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it35 <= ap_reg_ppiten_pp0_it34; end if; end if; end process; -- ap_reg_ppiten_pp0_it36 assign process. -- ap_reg_ppiten_pp0_it36_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it36 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it36 <= ap_reg_ppiten_pp0_it35; end if; end if; end process; -- ap_reg_ppiten_pp0_it37 assign process. -- ap_reg_ppiten_pp0_it37_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it37 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it37 <= ap_reg_ppiten_pp0_it36; end if; end if; end process; -- ap_reg_ppiten_pp0_it38 assign process. -- ap_reg_ppiten_pp0_it38_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it38 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it38 <= ap_reg_ppiten_pp0_it37; end if; end if; end process; -- ap_reg_ppiten_pp0_it39 assign process. -- ap_reg_ppiten_pp0_it39_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it39 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it39 <= ap_reg_ppiten_pp0_it38; end if; end if; end process; -- ap_reg_ppiten_pp0_it4 assign process. -- ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3; end if; end if; end process; -- ap_reg_ppiten_pp0_it40 assign process. -- ap_reg_ppiten_pp0_it40_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it40 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it40 <= ap_reg_ppiten_pp0_it39; end if; end if; end process; -- ap_reg_ppiten_pp0_it41 assign process. -- ap_reg_ppiten_pp0_it41_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it41 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it41 <= ap_reg_ppiten_pp0_it40; end if; end if; end process; -- ap_reg_ppiten_pp0_it42 assign process. -- ap_reg_ppiten_pp0_it42_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it42 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it42 <= ap_reg_ppiten_pp0_it41; end if; end if; end process; -- ap_reg_ppiten_pp0_it43 assign process. -- ap_reg_ppiten_pp0_it43_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it43 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it43 <= ap_reg_ppiten_pp0_it42; end if; end if; end process; -- ap_reg_ppiten_pp0_it44 assign process. -- ap_reg_ppiten_pp0_it44_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it44 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it44 <= ap_reg_ppiten_pp0_it43; end if; end if; end process; -- ap_reg_ppiten_pp0_it45 assign process. -- ap_reg_ppiten_pp0_it45_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it45 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it45 <= ap_reg_ppiten_pp0_it44; end if; end if; end process; -- ap_reg_ppiten_pp0_it46 assign process. -- ap_reg_ppiten_pp0_it46_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it46 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it46 <= ap_reg_ppiten_pp0_it45; end if; end if; end process; -- ap_reg_ppiten_pp0_it47 assign process. -- ap_reg_ppiten_pp0_it47_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it47 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it47 <= ap_reg_ppiten_pp0_it46; end if; end if; end process; -- ap_reg_ppiten_pp0_it48 assign process. -- ap_reg_ppiten_pp0_it48_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it48 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it48 <= ap_reg_ppiten_pp0_it47; end if; end if; end process; -- ap_reg_ppiten_pp0_it49 assign process. -- ap_reg_ppiten_pp0_it49_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it49 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it49 <= ap_reg_ppiten_pp0_it48; end if; end if; end process; -- ap_reg_ppiten_pp0_it5 assign process. -- ap_reg_ppiten_pp0_it5_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it5 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it5 <= ap_reg_ppiten_pp0_it4; end if; end if; end process; -- ap_reg_ppiten_pp0_it50 assign process. -- ap_reg_ppiten_pp0_it50_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it50 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it50 <= ap_reg_ppiten_pp0_it49; end if; end if; end process; -- ap_reg_ppiten_pp0_it51 assign process. -- ap_reg_ppiten_pp0_it51_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it51 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it51 <= ap_reg_ppiten_pp0_it50; end if; end if; end process; -- ap_reg_ppiten_pp0_it52 assign process. -- ap_reg_ppiten_pp0_it52_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it52 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it52 <= ap_reg_ppiten_pp0_it51; end if; end if; end process; -- ap_reg_ppiten_pp0_it53 assign process. -- ap_reg_ppiten_pp0_it53_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it53 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it53 <= ap_reg_ppiten_pp0_it52; end if; end if; end process; -- ap_reg_ppiten_pp0_it54 assign process. -- ap_reg_ppiten_pp0_it54_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it54 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it54 <= ap_reg_ppiten_pp0_it53; end if; end if; end process; -- ap_reg_ppiten_pp0_it55 assign process. -- ap_reg_ppiten_pp0_it55_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it55 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it55 <= ap_reg_ppiten_pp0_it54; end if; end if; end process; -- ap_reg_ppiten_pp0_it56 assign process. -- ap_reg_ppiten_pp0_it56_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it56 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it56 <= ap_reg_ppiten_pp0_it55; end if; end if; end process; -- ap_reg_ppiten_pp0_it57 assign process. -- ap_reg_ppiten_pp0_it57_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it57 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it57 <= ap_reg_ppiten_pp0_it56; end if; end if; end process; -- ap_reg_ppiten_pp0_it58 assign process. -- ap_reg_ppiten_pp0_it58_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it58 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it58 <= ap_reg_ppiten_pp0_it57; end if; end if; end process; -- ap_reg_ppiten_pp0_it59 assign process. -- ap_reg_ppiten_pp0_it59_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it59 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it59 <= ap_reg_ppiten_pp0_it58; end if; end if; end process; -- ap_reg_ppiten_pp0_it6 assign process. -- ap_reg_ppiten_pp0_it6_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it6 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it6 <= ap_reg_ppiten_pp0_it5; end if; end if; end process; -- ap_reg_ppiten_pp0_it60 assign process. -- ap_reg_ppiten_pp0_it60_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it60 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it60 <= ap_reg_ppiten_pp0_it59; end if; end if; end process; -- ap_reg_ppiten_pp0_it61 assign process. -- ap_reg_ppiten_pp0_it61_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it61 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it61 <= ap_reg_ppiten_pp0_it60; end if; end if; end process; -- ap_reg_ppiten_pp0_it62 assign process. -- ap_reg_ppiten_pp0_it62_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it62 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it62 <= ap_reg_ppiten_pp0_it61; end if; end if; end process; -- ap_reg_ppiten_pp0_it63 assign process. -- ap_reg_ppiten_pp0_it63_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it63 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it63 <= ap_reg_ppiten_pp0_it62; end if; end if; end process; -- ap_reg_ppiten_pp0_it64 assign process. -- ap_reg_ppiten_pp0_it64_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it64 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it64 <= ap_reg_ppiten_pp0_it63; end if; end if; end process; -- ap_reg_ppiten_pp0_it65 assign process. -- ap_reg_ppiten_pp0_it65_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it65 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it65 <= ap_reg_ppiten_pp0_it64; end if; end if; end process; -- ap_reg_ppiten_pp0_it66 assign process. -- ap_reg_ppiten_pp0_it66_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it66 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it66 <= ap_reg_ppiten_pp0_it65; end if; end if; end process; -- ap_reg_ppiten_pp0_it67 assign process. -- ap_reg_ppiten_pp0_it67_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it67 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it67 <= ap_reg_ppiten_pp0_it66; end if; end if; end process; -- ap_reg_ppiten_pp0_it68 assign process. -- ap_reg_ppiten_pp0_it68_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it68 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it68 <= ap_reg_ppiten_pp0_it67; end if; end if; end process; -- ap_reg_ppiten_pp0_it69 assign process. -- ap_reg_ppiten_pp0_it69_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it69 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it69 <= ap_reg_ppiten_pp0_it68; end if; end if; end process; -- ap_reg_ppiten_pp0_it7 assign process. -- ap_reg_ppiten_pp0_it7_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it7 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it7 <= ap_reg_ppiten_pp0_it6; end if; end if; end process; -- ap_reg_ppiten_pp0_it70 assign process. -- ap_reg_ppiten_pp0_it70_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it70 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it70 <= ap_reg_ppiten_pp0_it69; end if; end if; end process; -- ap_reg_ppiten_pp0_it71 assign process. -- ap_reg_ppiten_pp0_it71_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it71 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it71 <= ap_reg_ppiten_pp0_it70; end if; end if; end process; -- ap_reg_ppiten_pp0_it72 assign process. -- ap_reg_ppiten_pp0_it72_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it72 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it72 <= ap_reg_ppiten_pp0_it71; end if; end if; end process; -- ap_reg_ppiten_pp0_it73 assign process. -- ap_reg_ppiten_pp0_it73_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it73 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it73 <= ap_reg_ppiten_pp0_it72; end if; end if; end process; -- ap_reg_ppiten_pp0_it74 assign process. -- ap_reg_ppiten_pp0_it74_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it74 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it74 <= ap_reg_ppiten_pp0_it73; end if; end if; end process; -- ap_reg_ppiten_pp0_it75 assign process. -- ap_reg_ppiten_pp0_it75_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it75 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it75 <= ap_reg_ppiten_pp0_it74; end if; end if; end process; -- ap_reg_ppiten_pp0_it76 assign process. -- ap_reg_ppiten_pp0_it76_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it76 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it76 <= ap_reg_ppiten_pp0_it75; end if; end if; end process; -- ap_reg_ppiten_pp0_it77 assign process. -- ap_reg_ppiten_pp0_it77_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it77 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it77 <= ap_reg_ppiten_pp0_it76; end if; end if; end process; -- ap_reg_ppiten_pp0_it78 assign process. -- ap_reg_ppiten_pp0_it78_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it78 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it78 <= ap_reg_ppiten_pp0_it77; end if; end if; end process; -- ap_reg_ppiten_pp0_it79 assign process. -- ap_reg_ppiten_pp0_it79_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it79 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it79 <= ap_reg_ppiten_pp0_it78; end if; end if; end process; -- ap_reg_ppiten_pp0_it8 assign process. -- ap_reg_ppiten_pp0_it8_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it8 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it8 <= ap_reg_ppiten_pp0_it7; end if; end if; end process; -- ap_reg_ppiten_pp0_it80 assign process. -- ap_reg_ppiten_pp0_it80_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it80 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it80 <= ap_reg_ppiten_pp0_it79; end if; end if; end process; -- ap_reg_ppiten_pp0_it81 assign process. -- ap_reg_ppiten_pp0_it81_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it81 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it81 <= ap_reg_ppiten_pp0_it80; end if; end if; end process; -- ap_reg_ppiten_pp0_it82 assign process. -- ap_reg_ppiten_pp0_it82_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it82 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it82 <= ap_reg_ppiten_pp0_it81; end if; end if; end process; -- ap_reg_ppiten_pp0_it83 assign process. -- ap_reg_ppiten_pp0_it83_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it83 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it83 <= ap_reg_ppiten_pp0_it82; end if; end if; end process; -- ap_reg_ppiten_pp0_it9 assign process. -- ap_reg_ppiten_pp0_it9_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it9 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it9 <= ap_reg_ppiten_pp0_it8; end if; end if; end process; -- i1_reg_418 assign process. -- i1_reg_418_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299))) then i1_reg_418 <= ap_const_lv5_0; elsif (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300) and (ap_const_lv1_0 = exitcond2_fu_2840_p2))) then i1_reg_418 <= i_fu_2846_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it9)) then a_reg_4010 <= grp_fu_430_p2; b_reg_4017 <= grp_fu_434_p2; c_reg_4024 <= grp_fu_438_p2; d_reg_4031 <= grp_fu_442_p2; e_reg_4038 <= grp_fu_446_p2; f_reg_4045 <= grp_fu_450_p2; j_reg_4052 <= grp_fu_454_p2; k_reg_4059 <= grp_fu_458_p2; l_reg_4066 <= grp_fu_462_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_true = ap_true)) then ap_reg_ppstg_a_reg_4010_pp0_it11 <= a_reg_4010; ap_reg_ppstg_a_reg_4010_pp0_it12 <= ap_reg_ppstg_a_reg_4010_pp0_it11; ap_reg_ppstg_a_reg_4010_pp0_it13 <= ap_reg_ppstg_a_reg_4010_pp0_it12; ap_reg_ppstg_a_reg_4010_pp0_it14 <= ap_reg_ppstg_a_reg_4010_pp0_it13; ap_reg_ppstg_a_reg_4010_pp0_it15 <= ap_reg_ppstg_a_reg_4010_pp0_it14; ap_reg_ppstg_a_reg_4010_pp0_it16 <= ap_reg_ppstg_a_reg_4010_pp0_it15; ap_reg_ppstg_a_reg_4010_pp0_it17 <= ap_reg_ppstg_a_reg_4010_pp0_it16; ap_reg_ppstg_a_reg_4010_pp0_it18 <= ap_reg_ppstg_a_reg_4010_pp0_it17; ap_reg_ppstg_a_reg_4010_pp0_it19 <= ap_reg_ppstg_a_reg_4010_pp0_it18; ap_reg_ppstg_a_reg_4010_pp0_it20 <= ap_reg_ppstg_a_reg_4010_pp0_it19; ap_reg_ppstg_a_reg_4010_pp0_it21 <= ap_reg_ppstg_a_reg_4010_pp0_it20; ap_reg_ppstg_a_reg_4010_pp0_it22 <= ap_reg_ppstg_a_reg_4010_pp0_it21; ap_reg_ppstg_a_reg_4010_pp0_it23 <= ap_reg_ppstg_a_reg_4010_pp0_it22; ap_reg_ppstg_a_reg_4010_pp0_it24 <= ap_reg_ppstg_a_reg_4010_pp0_it23; ap_reg_ppstg_b_reg_4017_pp0_it11 <= b_reg_4017; ap_reg_ppstg_b_reg_4017_pp0_it12 <= ap_reg_ppstg_b_reg_4017_pp0_it11; ap_reg_ppstg_b_reg_4017_pp0_it13 <= ap_reg_ppstg_b_reg_4017_pp0_it12; ap_reg_ppstg_b_reg_4017_pp0_it14 <= ap_reg_ppstg_b_reg_4017_pp0_it13; ap_reg_ppstg_b_reg_4017_pp0_it15 <= ap_reg_ppstg_b_reg_4017_pp0_it14; ap_reg_ppstg_b_reg_4017_pp0_it16 <= ap_reg_ppstg_b_reg_4017_pp0_it15; ap_reg_ppstg_b_reg_4017_pp0_it17 <= ap_reg_ppstg_b_reg_4017_pp0_it16; ap_reg_ppstg_b_reg_4017_pp0_it18 <= ap_reg_ppstg_b_reg_4017_pp0_it17; ap_reg_ppstg_b_reg_4017_pp0_it19 <= ap_reg_ppstg_b_reg_4017_pp0_it18; ap_reg_ppstg_b_reg_4017_pp0_it20 <= ap_reg_ppstg_b_reg_4017_pp0_it19; ap_reg_ppstg_b_reg_4017_pp0_it21 <= ap_reg_ppstg_b_reg_4017_pp0_it20; ap_reg_ppstg_b_reg_4017_pp0_it22 <= ap_reg_ppstg_b_reg_4017_pp0_it21; ap_reg_ppstg_b_reg_4017_pp0_it23 <= ap_reg_ppstg_b_reg_4017_pp0_it22; ap_reg_ppstg_b_reg_4017_pp0_it24 <= ap_reg_ppstg_b_reg_4017_pp0_it23; ap_reg_ppstg_c_reg_4024_pp0_it11 <= c_reg_4024; ap_reg_ppstg_c_reg_4024_pp0_it12 <= ap_reg_ppstg_c_reg_4024_pp0_it11; ap_reg_ppstg_c_reg_4024_pp0_it13 <= ap_reg_ppstg_c_reg_4024_pp0_it12; ap_reg_ppstg_c_reg_4024_pp0_it14 <= ap_reg_ppstg_c_reg_4024_pp0_it13; ap_reg_ppstg_c_reg_4024_pp0_it15 <= ap_reg_ppstg_c_reg_4024_pp0_it14; ap_reg_ppstg_c_reg_4024_pp0_it16 <= ap_reg_ppstg_c_reg_4024_pp0_it15; ap_reg_ppstg_c_reg_4024_pp0_it17 <= ap_reg_ppstg_c_reg_4024_pp0_it16; ap_reg_ppstg_c_reg_4024_pp0_it18 <= ap_reg_ppstg_c_reg_4024_pp0_it17; ap_reg_ppstg_c_reg_4024_pp0_it19 <= ap_reg_ppstg_c_reg_4024_pp0_it18; ap_reg_ppstg_c_reg_4024_pp0_it20 <= ap_reg_ppstg_c_reg_4024_pp0_it19; ap_reg_ppstg_c_reg_4024_pp0_it21 <= ap_reg_ppstg_c_reg_4024_pp0_it20; ap_reg_ppstg_c_reg_4024_pp0_it22 <= ap_reg_ppstg_c_reg_4024_pp0_it21; ap_reg_ppstg_c_reg_4024_pp0_it23 <= ap_reg_ppstg_c_reg_4024_pp0_it22; ap_reg_ppstg_c_reg_4024_pp0_it24 <= ap_reg_ppstg_c_reg_4024_pp0_it23; ap_reg_ppstg_c_reg_4024_pp0_it25 <= ap_reg_ppstg_c_reg_4024_pp0_it24; ap_reg_ppstg_c_reg_4024_pp0_it26 <= ap_reg_ppstg_c_reg_4024_pp0_it25; ap_reg_ppstg_c_reg_4024_pp0_it27 <= ap_reg_ppstg_c_reg_4024_pp0_it26; ap_reg_ppstg_c_reg_4024_pp0_it28 <= ap_reg_ppstg_c_reg_4024_pp0_it27; ap_reg_ppstg_c_reg_4024_pp0_it29 <= ap_reg_ppstg_c_reg_4024_pp0_it28; ap_reg_ppstg_c_reg_4024_pp0_it30 <= ap_reg_ppstg_c_reg_4024_pp0_it29; ap_reg_ppstg_c_reg_4024_pp0_it31 <= ap_reg_ppstg_c_reg_4024_pp0_it30; ap_reg_ppstg_c_reg_4024_pp0_it32 <= ap_reg_ppstg_c_reg_4024_pp0_it31; ap_reg_ppstg_c_reg_4024_pp0_it33 <= ap_reg_ppstg_c_reg_4024_pp0_it32; ap_reg_ppstg_d_reg_4031_pp0_it11 <= d_reg_4031; ap_reg_ppstg_d_reg_4031_pp0_it12 <= ap_reg_ppstg_d_reg_4031_pp0_it11; ap_reg_ppstg_d_reg_4031_pp0_it13 <= ap_reg_ppstg_d_reg_4031_pp0_it12; ap_reg_ppstg_d_reg_4031_pp0_it14 <= ap_reg_ppstg_d_reg_4031_pp0_it13; ap_reg_ppstg_d_reg_4031_pp0_it15 <= ap_reg_ppstg_d_reg_4031_pp0_it14; ap_reg_ppstg_d_reg_4031_pp0_it16 <= ap_reg_ppstg_d_reg_4031_pp0_it15; ap_reg_ppstg_d_reg_4031_pp0_it17 <= ap_reg_ppstg_d_reg_4031_pp0_it16; ap_reg_ppstg_d_reg_4031_pp0_it18 <= ap_reg_ppstg_d_reg_4031_pp0_it17; ap_reg_ppstg_d_reg_4031_pp0_it19 <= ap_reg_ppstg_d_reg_4031_pp0_it18; ap_reg_ppstg_d_reg_4031_pp0_it20 <= ap_reg_ppstg_d_reg_4031_pp0_it19; ap_reg_ppstg_d_reg_4031_pp0_it21 <= ap_reg_ppstg_d_reg_4031_pp0_it20; ap_reg_ppstg_d_reg_4031_pp0_it22 <= ap_reg_ppstg_d_reg_4031_pp0_it21; ap_reg_ppstg_d_reg_4031_pp0_it23 <= ap_reg_ppstg_d_reg_4031_pp0_it22; ap_reg_ppstg_d_reg_4031_pp0_it24 <= ap_reg_ppstg_d_reg_4031_pp0_it23; ap_reg_ppstg_d_reg_4031_pp0_it25 <= ap_reg_ppstg_d_reg_4031_pp0_it24; ap_reg_ppstg_d_reg_4031_pp0_it26 <= ap_reg_ppstg_d_reg_4031_pp0_it25; ap_reg_ppstg_d_reg_4031_pp0_it27 <= ap_reg_ppstg_d_reg_4031_pp0_it26; ap_reg_ppstg_d_reg_4031_pp0_it28 <= ap_reg_ppstg_d_reg_4031_pp0_it27; ap_reg_ppstg_d_reg_4031_pp0_it29 <= ap_reg_ppstg_d_reg_4031_pp0_it28; ap_reg_ppstg_d_reg_4031_pp0_it30 <= ap_reg_ppstg_d_reg_4031_pp0_it29; ap_reg_ppstg_d_reg_4031_pp0_it31 <= ap_reg_ppstg_d_reg_4031_pp0_it30; ap_reg_ppstg_d_reg_4031_pp0_it32 <= ap_reg_ppstg_d_reg_4031_pp0_it31; ap_reg_ppstg_d_reg_4031_pp0_it33 <= ap_reg_ppstg_d_reg_4031_pp0_it32; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it10 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it9; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it11 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it10; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it12 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it11; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it13 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it12; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it14 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it13; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it15 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it14; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it16 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it15; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it17 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it16; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it18 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it17; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it19 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it18; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it2 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it1; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it20 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it19; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it21 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it20; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it22 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it21; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it23 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it22; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it24 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it23; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it25 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it24; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it26 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it25; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it27 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it26; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it28 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it27; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it29 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it28; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it3 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it2; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it30 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it29; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it31 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it30; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it32 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it31; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it33 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it32; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it34 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it33; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it35 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it34; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it36 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it35; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it37 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it36; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it38 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it37; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it39 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it38; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it4 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it3; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it40 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it39; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it41 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it40; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it42 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it41; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it43 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it42; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it44 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it43; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it45 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it44; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it46 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it45; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it47 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it46; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it48 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it47; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it49 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it48; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it5 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it4; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it50 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it49; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it51 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it50; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it52 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it51; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it53 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it52; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it54 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it53; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it55 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it54; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it56 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it55; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it57 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it56; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it58 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it57; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it59 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it58; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it6 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it5; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it60 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it59; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it61 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it60; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it62 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it61; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it63 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it62; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it64 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it63; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it65 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it64; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it66 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it65; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it67 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it66; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it68 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it67; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it69 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it68; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it7 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it6; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it70 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it69; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it71 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it70; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it72 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it71; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it73 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it72; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it74 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it73; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it75 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it74; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it76 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it75; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it77 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it76; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it78 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it77; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it79 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it78; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it8 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it7; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it80 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it79; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it81 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it80; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it82 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it81; ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it9 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it8; ap_reg_ppstg_e_reg_4038_pp0_it11 <= e_reg_4038; ap_reg_ppstg_e_reg_4038_pp0_it12 <= ap_reg_ppstg_e_reg_4038_pp0_it11; ap_reg_ppstg_e_reg_4038_pp0_it13 <= ap_reg_ppstg_e_reg_4038_pp0_it12; ap_reg_ppstg_e_reg_4038_pp0_it14 <= ap_reg_ppstg_e_reg_4038_pp0_it13; ap_reg_ppstg_e_reg_4038_pp0_it15 <= ap_reg_ppstg_e_reg_4038_pp0_it14; ap_reg_ppstg_e_reg_4038_pp0_it16 <= ap_reg_ppstg_e_reg_4038_pp0_it15; ap_reg_ppstg_e_reg_4038_pp0_it17 <= ap_reg_ppstg_e_reg_4038_pp0_it16; ap_reg_ppstg_e_reg_4038_pp0_it18 <= ap_reg_ppstg_e_reg_4038_pp0_it17; ap_reg_ppstg_e_reg_4038_pp0_it19 <= ap_reg_ppstg_e_reg_4038_pp0_it18; ap_reg_ppstg_e_reg_4038_pp0_it20 <= ap_reg_ppstg_e_reg_4038_pp0_it19; ap_reg_ppstg_e_reg_4038_pp0_it21 <= ap_reg_ppstg_e_reg_4038_pp0_it20; ap_reg_ppstg_e_reg_4038_pp0_it22 <= ap_reg_ppstg_e_reg_4038_pp0_it21; ap_reg_ppstg_e_reg_4038_pp0_it23 <= ap_reg_ppstg_e_reg_4038_pp0_it22; ap_reg_ppstg_e_reg_4038_pp0_it24 <= ap_reg_ppstg_e_reg_4038_pp0_it23; ap_reg_ppstg_exitcond2_reg_3854_pp0_it10 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it9; ap_reg_ppstg_exitcond2_reg_3854_pp0_it11 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it10; ap_reg_ppstg_exitcond2_reg_3854_pp0_it12 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it11; ap_reg_ppstg_exitcond2_reg_3854_pp0_it13 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it12; ap_reg_ppstg_exitcond2_reg_3854_pp0_it14 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it13; ap_reg_ppstg_exitcond2_reg_3854_pp0_it15 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it14; ap_reg_ppstg_exitcond2_reg_3854_pp0_it16 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it15; ap_reg_ppstg_exitcond2_reg_3854_pp0_it17 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it16; ap_reg_ppstg_exitcond2_reg_3854_pp0_it18 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it17; ap_reg_ppstg_exitcond2_reg_3854_pp0_it19 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it18; ap_reg_ppstg_exitcond2_reg_3854_pp0_it2 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it1; ap_reg_ppstg_exitcond2_reg_3854_pp0_it20 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it19; ap_reg_ppstg_exitcond2_reg_3854_pp0_it21 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it20; ap_reg_ppstg_exitcond2_reg_3854_pp0_it22 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it21; ap_reg_ppstg_exitcond2_reg_3854_pp0_it23 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it22; ap_reg_ppstg_exitcond2_reg_3854_pp0_it24 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it23; ap_reg_ppstg_exitcond2_reg_3854_pp0_it25 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it24; ap_reg_ppstg_exitcond2_reg_3854_pp0_it26 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it25; ap_reg_ppstg_exitcond2_reg_3854_pp0_it27 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it26; ap_reg_ppstg_exitcond2_reg_3854_pp0_it28 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it27; ap_reg_ppstg_exitcond2_reg_3854_pp0_it29 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it28; ap_reg_ppstg_exitcond2_reg_3854_pp0_it3 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it2; ap_reg_ppstg_exitcond2_reg_3854_pp0_it30 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it29; ap_reg_ppstg_exitcond2_reg_3854_pp0_it31 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it30; ap_reg_ppstg_exitcond2_reg_3854_pp0_it32 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it31; ap_reg_ppstg_exitcond2_reg_3854_pp0_it33 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it32; ap_reg_ppstg_exitcond2_reg_3854_pp0_it34 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it33; ap_reg_ppstg_exitcond2_reg_3854_pp0_it35 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it34; ap_reg_ppstg_exitcond2_reg_3854_pp0_it36 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it35; ap_reg_ppstg_exitcond2_reg_3854_pp0_it37 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it36; ap_reg_ppstg_exitcond2_reg_3854_pp0_it38 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it37; ap_reg_ppstg_exitcond2_reg_3854_pp0_it39 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it38; ap_reg_ppstg_exitcond2_reg_3854_pp0_it4 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it3; ap_reg_ppstg_exitcond2_reg_3854_pp0_it40 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it39; ap_reg_ppstg_exitcond2_reg_3854_pp0_it41 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it40; ap_reg_ppstg_exitcond2_reg_3854_pp0_it42 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it41; ap_reg_ppstg_exitcond2_reg_3854_pp0_it43 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it42; ap_reg_ppstg_exitcond2_reg_3854_pp0_it44 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it43; ap_reg_ppstg_exitcond2_reg_3854_pp0_it45 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it44; ap_reg_ppstg_exitcond2_reg_3854_pp0_it46 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it45; ap_reg_ppstg_exitcond2_reg_3854_pp0_it47 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it46; ap_reg_ppstg_exitcond2_reg_3854_pp0_it48 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it47; ap_reg_ppstg_exitcond2_reg_3854_pp0_it49 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it48; ap_reg_ppstg_exitcond2_reg_3854_pp0_it5 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it4; ap_reg_ppstg_exitcond2_reg_3854_pp0_it50 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it49; ap_reg_ppstg_exitcond2_reg_3854_pp0_it51 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it50; ap_reg_ppstg_exitcond2_reg_3854_pp0_it52 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it51; ap_reg_ppstg_exitcond2_reg_3854_pp0_it53 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it52; ap_reg_ppstg_exitcond2_reg_3854_pp0_it54 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it53; ap_reg_ppstg_exitcond2_reg_3854_pp0_it55 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it54; ap_reg_ppstg_exitcond2_reg_3854_pp0_it56 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it55; ap_reg_ppstg_exitcond2_reg_3854_pp0_it57 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it56; ap_reg_ppstg_exitcond2_reg_3854_pp0_it58 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it57; ap_reg_ppstg_exitcond2_reg_3854_pp0_it59 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it58; ap_reg_ppstg_exitcond2_reg_3854_pp0_it6 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it5; ap_reg_ppstg_exitcond2_reg_3854_pp0_it60 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it59; ap_reg_ppstg_exitcond2_reg_3854_pp0_it61 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it60; ap_reg_ppstg_exitcond2_reg_3854_pp0_it62 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it61; ap_reg_ppstg_exitcond2_reg_3854_pp0_it63 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it62; ap_reg_ppstg_exitcond2_reg_3854_pp0_it64 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it63; ap_reg_ppstg_exitcond2_reg_3854_pp0_it65 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it64; ap_reg_ppstg_exitcond2_reg_3854_pp0_it66 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it65; ap_reg_ppstg_exitcond2_reg_3854_pp0_it67 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it66; ap_reg_ppstg_exitcond2_reg_3854_pp0_it68 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it67; ap_reg_ppstg_exitcond2_reg_3854_pp0_it69 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it68; ap_reg_ppstg_exitcond2_reg_3854_pp0_it7 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it6; ap_reg_ppstg_exitcond2_reg_3854_pp0_it70 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it69; ap_reg_ppstg_exitcond2_reg_3854_pp0_it71 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it70; ap_reg_ppstg_exitcond2_reg_3854_pp0_it72 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it71; ap_reg_ppstg_exitcond2_reg_3854_pp0_it73 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it72; ap_reg_ppstg_exitcond2_reg_3854_pp0_it74 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it73; ap_reg_ppstg_exitcond2_reg_3854_pp0_it75 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it74; ap_reg_ppstg_exitcond2_reg_3854_pp0_it76 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it75; ap_reg_ppstg_exitcond2_reg_3854_pp0_it77 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it76; ap_reg_ppstg_exitcond2_reg_3854_pp0_it78 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it77; ap_reg_ppstg_exitcond2_reg_3854_pp0_it79 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it78; ap_reg_ppstg_exitcond2_reg_3854_pp0_it8 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it7; ap_reg_ppstg_exitcond2_reg_3854_pp0_it80 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it79; ap_reg_ppstg_exitcond2_reg_3854_pp0_it81 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it80; ap_reg_ppstg_exitcond2_reg_3854_pp0_it82 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it81; ap_reg_ppstg_exitcond2_reg_3854_pp0_it9 <= ap_reg_ppstg_exitcond2_reg_3854_pp0_it8; ap_reg_ppstg_f_reg_4045_pp0_it11 <= f_reg_4045; ap_reg_ppstg_f_reg_4045_pp0_it12 <= ap_reg_ppstg_f_reg_4045_pp0_it11; ap_reg_ppstg_f_reg_4045_pp0_it13 <= ap_reg_ppstg_f_reg_4045_pp0_it12; ap_reg_ppstg_f_reg_4045_pp0_it14 <= ap_reg_ppstg_f_reg_4045_pp0_it13; ap_reg_ppstg_f_reg_4045_pp0_it15 <= ap_reg_ppstg_f_reg_4045_pp0_it14; ap_reg_ppstg_f_reg_4045_pp0_it16 <= ap_reg_ppstg_f_reg_4045_pp0_it15; ap_reg_ppstg_f_reg_4045_pp0_it17 <= ap_reg_ppstg_f_reg_4045_pp0_it16; ap_reg_ppstg_f_reg_4045_pp0_it18 <= ap_reg_ppstg_f_reg_4045_pp0_it17; ap_reg_ppstg_f_reg_4045_pp0_it19 <= ap_reg_ppstg_f_reg_4045_pp0_it18; ap_reg_ppstg_f_reg_4045_pp0_it20 <= ap_reg_ppstg_f_reg_4045_pp0_it19; ap_reg_ppstg_f_reg_4045_pp0_it21 <= ap_reg_ppstg_f_reg_4045_pp0_it20; ap_reg_ppstg_f_reg_4045_pp0_it22 <= ap_reg_ppstg_f_reg_4045_pp0_it21; ap_reg_ppstg_f_reg_4045_pp0_it23 <= ap_reg_ppstg_f_reg_4045_pp0_it22; ap_reg_ppstg_f_reg_4045_pp0_it24 <= ap_reg_ppstg_f_reg_4045_pp0_it23; ap_reg_ppstg_g_reg_4073_pp0_it12 <= g_reg_4073; ap_reg_ppstg_g_reg_4073_pp0_it13 <= ap_reg_ppstg_g_reg_4073_pp0_it12; ap_reg_ppstg_g_reg_4073_pp0_it14 <= ap_reg_ppstg_g_reg_4073_pp0_it13; ap_reg_ppstg_g_reg_4073_pp0_it15 <= ap_reg_ppstg_g_reg_4073_pp0_it14; ap_reg_ppstg_g_reg_4073_pp0_it16 <= ap_reg_ppstg_g_reg_4073_pp0_it15; ap_reg_ppstg_g_reg_4073_pp0_it17 <= ap_reg_ppstg_g_reg_4073_pp0_it16; ap_reg_ppstg_g_reg_4073_pp0_it18 <= ap_reg_ppstg_g_reg_4073_pp0_it17; ap_reg_ppstg_g_reg_4073_pp0_it19 <= ap_reg_ppstg_g_reg_4073_pp0_it18; ap_reg_ppstg_g_reg_4073_pp0_it20 <= ap_reg_ppstg_g_reg_4073_pp0_it19; ap_reg_ppstg_g_reg_4073_pp0_it21 <= ap_reg_ppstg_g_reg_4073_pp0_it20; ap_reg_ppstg_g_reg_4073_pp0_it22 <= ap_reg_ppstg_g_reg_4073_pp0_it21; ap_reg_ppstg_g_reg_4073_pp0_it23 <= ap_reg_ppstg_g_reg_4073_pp0_it22; ap_reg_ppstg_g_reg_4073_pp0_it24 <= ap_reg_ppstg_g_reg_4073_pp0_it23; ap_reg_ppstg_g_reg_4073_pp0_it25 <= ap_reg_ppstg_g_reg_4073_pp0_it24; ap_reg_ppstg_g_reg_4073_pp0_it26 <= ap_reg_ppstg_g_reg_4073_pp0_it25; ap_reg_ppstg_g_reg_4073_pp0_it27 <= ap_reg_ppstg_g_reg_4073_pp0_it26; ap_reg_ppstg_g_reg_4073_pp0_it28 <= ap_reg_ppstg_g_reg_4073_pp0_it27; ap_reg_ppstg_g_reg_4073_pp0_it29 <= ap_reg_ppstg_g_reg_4073_pp0_it28; ap_reg_ppstg_g_reg_4073_pp0_it30 <= ap_reg_ppstg_g_reg_4073_pp0_it29; ap_reg_ppstg_g_reg_4073_pp0_it31 <= ap_reg_ppstg_g_reg_4073_pp0_it30; ap_reg_ppstg_g_reg_4073_pp0_it32 <= ap_reg_ppstg_g_reg_4073_pp0_it31; ap_reg_ppstg_g_reg_4073_pp0_it33 <= ap_reg_ppstg_g_reg_4073_pp0_it32; ap_reg_ppstg_h_reg_4080_pp0_it12 <= h_reg_4080; ap_reg_ppstg_h_reg_4080_pp0_it13 <= ap_reg_ppstg_h_reg_4080_pp0_it12; ap_reg_ppstg_h_reg_4080_pp0_it14 <= ap_reg_ppstg_h_reg_4080_pp0_it13; ap_reg_ppstg_h_reg_4080_pp0_it15 <= ap_reg_ppstg_h_reg_4080_pp0_it14; ap_reg_ppstg_h_reg_4080_pp0_it16 <= ap_reg_ppstg_h_reg_4080_pp0_it15; ap_reg_ppstg_h_reg_4080_pp0_it17 <= ap_reg_ppstg_h_reg_4080_pp0_it16; ap_reg_ppstg_h_reg_4080_pp0_it18 <= ap_reg_ppstg_h_reg_4080_pp0_it17; ap_reg_ppstg_h_reg_4080_pp0_it19 <= ap_reg_ppstg_h_reg_4080_pp0_it18; ap_reg_ppstg_h_reg_4080_pp0_it20 <= ap_reg_ppstg_h_reg_4080_pp0_it19; ap_reg_ppstg_h_reg_4080_pp0_it21 <= ap_reg_ppstg_h_reg_4080_pp0_it20; ap_reg_ppstg_h_reg_4080_pp0_it22 <= ap_reg_ppstg_h_reg_4080_pp0_it21; ap_reg_ppstg_h_reg_4080_pp0_it23 <= ap_reg_ppstg_h_reg_4080_pp0_it22; ap_reg_ppstg_h_reg_4080_pp0_it24 <= ap_reg_ppstg_h_reg_4080_pp0_it23; ap_reg_ppstg_i_1_reg_4087_pp0_it12 <= i_1_reg_4087; ap_reg_ppstg_i_1_reg_4087_pp0_it13 <= ap_reg_ppstg_i_1_reg_4087_pp0_it12; ap_reg_ppstg_i_1_reg_4087_pp0_it14 <= ap_reg_ppstg_i_1_reg_4087_pp0_it13; ap_reg_ppstg_i_1_reg_4087_pp0_it15 <= ap_reg_ppstg_i_1_reg_4087_pp0_it14; ap_reg_ppstg_i_1_reg_4087_pp0_it16 <= ap_reg_ppstg_i_1_reg_4087_pp0_it15; ap_reg_ppstg_i_1_reg_4087_pp0_it17 <= ap_reg_ppstg_i_1_reg_4087_pp0_it16; ap_reg_ppstg_i_1_reg_4087_pp0_it18 <= ap_reg_ppstg_i_1_reg_4087_pp0_it17; ap_reg_ppstg_i_1_reg_4087_pp0_it19 <= ap_reg_ppstg_i_1_reg_4087_pp0_it18; ap_reg_ppstg_i_1_reg_4087_pp0_it20 <= ap_reg_ppstg_i_1_reg_4087_pp0_it19; ap_reg_ppstg_i_1_reg_4087_pp0_it21 <= ap_reg_ppstg_i_1_reg_4087_pp0_it20; ap_reg_ppstg_i_1_reg_4087_pp0_it22 <= ap_reg_ppstg_i_1_reg_4087_pp0_it21; ap_reg_ppstg_i_1_reg_4087_pp0_it23 <= ap_reg_ppstg_i_1_reg_4087_pp0_it22; ap_reg_ppstg_i_1_reg_4087_pp0_it24 <= ap_reg_ppstg_i_1_reg_4087_pp0_it23; ap_reg_ppstg_j_reg_4052_pp0_it11 <= j_reg_4052; ap_reg_ppstg_j_reg_4052_pp0_it12 <= ap_reg_ppstg_j_reg_4052_pp0_it11; ap_reg_ppstg_j_reg_4052_pp0_it13 <= ap_reg_ppstg_j_reg_4052_pp0_it12; ap_reg_ppstg_j_reg_4052_pp0_it14 <= ap_reg_ppstg_j_reg_4052_pp0_it13; ap_reg_ppstg_j_reg_4052_pp0_it15 <= ap_reg_ppstg_j_reg_4052_pp0_it14; ap_reg_ppstg_j_reg_4052_pp0_it16 <= ap_reg_ppstg_j_reg_4052_pp0_it15; ap_reg_ppstg_j_reg_4052_pp0_it17 <= ap_reg_ppstg_j_reg_4052_pp0_it16; ap_reg_ppstg_j_reg_4052_pp0_it18 <= ap_reg_ppstg_j_reg_4052_pp0_it17; ap_reg_ppstg_j_reg_4052_pp0_it19 <= ap_reg_ppstg_j_reg_4052_pp0_it18; ap_reg_ppstg_j_reg_4052_pp0_it20 <= ap_reg_ppstg_j_reg_4052_pp0_it19; ap_reg_ppstg_j_reg_4052_pp0_it21 <= ap_reg_ppstg_j_reg_4052_pp0_it20; ap_reg_ppstg_j_reg_4052_pp0_it22 <= ap_reg_ppstg_j_reg_4052_pp0_it21; ap_reg_ppstg_j_reg_4052_pp0_it23 <= ap_reg_ppstg_j_reg_4052_pp0_it22; ap_reg_ppstg_j_reg_4052_pp0_it24 <= ap_reg_ppstg_j_reg_4052_pp0_it23; ap_reg_ppstg_k_reg_4059_pp0_it11 <= k_reg_4059; ap_reg_ppstg_k_reg_4059_pp0_it12 <= ap_reg_ppstg_k_reg_4059_pp0_it11; ap_reg_ppstg_k_reg_4059_pp0_it13 <= ap_reg_ppstg_k_reg_4059_pp0_it12; ap_reg_ppstg_k_reg_4059_pp0_it14 <= ap_reg_ppstg_k_reg_4059_pp0_it13; ap_reg_ppstg_k_reg_4059_pp0_it15 <= ap_reg_ppstg_k_reg_4059_pp0_it14; ap_reg_ppstg_k_reg_4059_pp0_it16 <= ap_reg_ppstg_k_reg_4059_pp0_it15; ap_reg_ppstg_k_reg_4059_pp0_it17 <= ap_reg_ppstg_k_reg_4059_pp0_it16; ap_reg_ppstg_k_reg_4059_pp0_it18 <= ap_reg_ppstg_k_reg_4059_pp0_it17; ap_reg_ppstg_k_reg_4059_pp0_it19 <= ap_reg_ppstg_k_reg_4059_pp0_it18; ap_reg_ppstg_k_reg_4059_pp0_it20 <= ap_reg_ppstg_k_reg_4059_pp0_it19; ap_reg_ppstg_k_reg_4059_pp0_it21 <= ap_reg_ppstg_k_reg_4059_pp0_it20; ap_reg_ppstg_k_reg_4059_pp0_it22 <= ap_reg_ppstg_k_reg_4059_pp0_it21; ap_reg_ppstg_k_reg_4059_pp0_it23 <= ap_reg_ppstg_k_reg_4059_pp0_it22; ap_reg_ppstg_k_reg_4059_pp0_it24 <= ap_reg_ppstg_k_reg_4059_pp0_it23; ap_reg_ppstg_l_reg_4066_pp0_it11 <= l_reg_4066; ap_reg_ppstg_l_reg_4066_pp0_it12 <= ap_reg_ppstg_l_reg_4066_pp0_it11; ap_reg_ppstg_l_reg_4066_pp0_it13 <= ap_reg_ppstg_l_reg_4066_pp0_it12; ap_reg_ppstg_l_reg_4066_pp0_it14 <= ap_reg_ppstg_l_reg_4066_pp0_it13; ap_reg_ppstg_l_reg_4066_pp0_it15 <= ap_reg_ppstg_l_reg_4066_pp0_it14; ap_reg_ppstg_l_reg_4066_pp0_it16 <= ap_reg_ppstg_l_reg_4066_pp0_it15; ap_reg_ppstg_l_reg_4066_pp0_it17 <= ap_reg_ppstg_l_reg_4066_pp0_it16; ap_reg_ppstg_l_reg_4066_pp0_it18 <= ap_reg_ppstg_l_reg_4066_pp0_it17; ap_reg_ppstg_l_reg_4066_pp0_it19 <= ap_reg_ppstg_l_reg_4066_pp0_it18; ap_reg_ppstg_l_reg_4066_pp0_it20 <= ap_reg_ppstg_l_reg_4066_pp0_it19; ap_reg_ppstg_l_reg_4066_pp0_it21 <= ap_reg_ppstg_l_reg_4066_pp0_it20; ap_reg_ppstg_l_reg_4066_pp0_it22 <= ap_reg_ppstg_l_reg_4066_pp0_it21; ap_reg_ppstg_l_reg_4066_pp0_it23 <= ap_reg_ppstg_l_reg_4066_pp0_it22; ap_reg_ppstg_l_reg_4066_pp0_it24 <= ap_reg_ppstg_l_reg_4066_pp0_it23; ap_reg_ppstg_l_reg_4066_pp0_it25 <= ap_reg_ppstg_l_reg_4066_pp0_it24; ap_reg_ppstg_l_reg_4066_pp0_it26 <= ap_reg_ppstg_l_reg_4066_pp0_it25; ap_reg_ppstg_l_reg_4066_pp0_it27 <= ap_reg_ppstg_l_reg_4066_pp0_it26; ap_reg_ppstg_l_reg_4066_pp0_it28 <= ap_reg_ppstg_l_reg_4066_pp0_it27; ap_reg_ppstg_l_reg_4066_pp0_it29 <= ap_reg_ppstg_l_reg_4066_pp0_it28; ap_reg_ppstg_l_reg_4066_pp0_it30 <= ap_reg_ppstg_l_reg_4066_pp0_it29; ap_reg_ppstg_l_reg_4066_pp0_it31 <= ap_reg_ppstg_l_reg_4066_pp0_it30; ap_reg_ppstg_l_reg_4066_pp0_it32 <= ap_reg_ppstg_l_reg_4066_pp0_it31; ap_reg_ppstg_l_reg_4066_pp0_it33 <= ap_reg_ppstg_l_reg_4066_pp0_it32; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it10 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it9; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it2 <= rdx_assign_new_reg_3914; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it3 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it2; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it4 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it3; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it5 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it4; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it6 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it5; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it7 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it6; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it8 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it7; ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it9 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it8; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it10 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it9; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it2 <= rdy_assign_new_reg_3919; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it3 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it2; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it4 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it3; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it5 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it4; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it6 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it5; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it7 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it6; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it8 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it7; ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it9 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it8; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it10 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it9; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it2 <= rdz_assign_new_reg_3924; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it3 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it2; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it4 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it3; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it5 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it4; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it6 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it5; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it7 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it6; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it8 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it7; ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it9 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it8; ap_reg_ppstg_reg_725_pp0_it10 <= ap_reg_ppstg_reg_725_pp0_it9; ap_reg_ppstg_reg_725_pp0_it11 <= ap_reg_ppstg_reg_725_pp0_it10; ap_reg_ppstg_reg_725_pp0_it12 <= ap_reg_ppstg_reg_725_pp0_it11; ap_reg_ppstg_reg_725_pp0_it13 <= ap_reg_ppstg_reg_725_pp0_it12; ap_reg_ppstg_reg_725_pp0_it14 <= ap_reg_ppstg_reg_725_pp0_it13; ap_reg_ppstg_reg_725_pp0_it15 <= ap_reg_ppstg_reg_725_pp0_it14; ap_reg_ppstg_reg_725_pp0_it16 <= ap_reg_ppstg_reg_725_pp0_it15; ap_reg_ppstg_reg_725_pp0_it17 <= ap_reg_ppstg_reg_725_pp0_it16; ap_reg_ppstg_reg_725_pp0_it18 <= ap_reg_ppstg_reg_725_pp0_it17; ap_reg_ppstg_reg_725_pp0_it19 <= ap_reg_ppstg_reg_725_pp0_it18; ap_reg_ppstg_reg_725_pp0_it2 <= reg_725; ap_reg_ppstg_reg_725_pp0_it20 <= ap_reg_ppstg_reg_725_pp0_it19; ap_reg_ppstg_reg_725_pp0_it21 <= ap_reg_ppstg_reg_725_pp0_it20; ap_reg_ppstg_reg_725_pp0_it22 <= ap_reg_ppstg_reg_725_pp0_it21; ap_reg_ppstg_reg_725_pp0_it23 <= ap_reg_ppstg_reg_725_pp0_it22; ap_reg_ppstg_reg_725_pp0_it24 <= ap_reg_ppstg_reg_725_pp0_it23; ap_reg_ppstg_reg_725_pp0_it25 <= ap_reg_ppstg_reg_725_pp0_it24; ap_reg_ppstg_reg_725_pp0_it26 <= ap_reg_ppstg_reg_725_pp0_it25; ap_reg_ppstg_reg_725_pp0_it27 <= ap_reg_ppstg_reg_725_pp0_it26; ap_reg_ppstg_reg_725_pp0_it28 <= ap_reg_ppstg_reg_725_pp0_it27; ap_reg_ppstg_reg_725_pp0_it29 <= ap_reg_ppstg_reg_725_pp0_it28; ap_reg_ppstg_reg_725_pp0_it3 <= ap_reg_ppstg_reg_725_pp0_it2; ap_reg_ppstg_reg_725_pp0_it30 <= ap_reg_ppstg_reg_725_pp0_it29; ap_reg_ppstg_reg_725_pp0_it31 <= ap_reg_ppstg_reg_725_pp0_it30; ap_reg_ppstg_reg_725_pp0_it32 <= ap_reg_ppstg_reg_725_pp0_it31; ap_reg_ppstg_reg_725_pp0_it33 <= ap_reg_ppstg_reg_725_pp0_it32; ap_reg_ppstg_reg_725_pp0_it34 <= ap_reg_ppstg_reg_725_pp0_it33; ap_reg_ppstg_reg_725_pp0_it35 <= ap_reg_ppstg_reg_725_pp0_it34; ap_reg_ppstg_reg_725_pp0_it36 <= ap_reg_ppstg_reg_725_pp0_it35; ap_reg_ppstg_reg_725_pp0_it37 <= ap_reg_ppstg_reg_725_pp0_it36; ap_reg_ppstg_reg_725_pp0_it38 <= ap_reg_ppstg_reg_725_pp0_it37; ap_reg_ppstg_reg_725_pp0_it39 <= ap_reg_ppstg_reg_725_pp0_it38; ap_reg_ppstg_reg_725_pp0_it4 <= ap_reg_ppstg_reg_725_pp0_it3; ap_reg_ppstg_reg_725_pp0_it40 <= ap_reg_ppstg_reg_725_pp0_it39; ap_reg_ppstg_reg_725_pp0_it41 <= ap_reg_ppstg_reg_725_pp0_it40; ap_reg_ppstg_reg_725_pp0_it42 <= ap_reg_ppstg_reg_725_pp0_it41; ap_reg_ppstg_reg_725_pp0_it43 <= ap_reg_ppstg_reg_725_pp0_it42; ap_reg_ppstg_reg_725_pp0_it44 <= ap_reg_ppstg_reg_725_pp0_it43; ap_reg_ppstg_reg_725_pp0_it45 <= ap_reg_ppstg_reg_725_pp0_it44; ap_reg_ppstg_reg_725_pp0_it46 <= ap_reg_ppstg_reg_725_pp0_it45; ap_reg_ppstg_reg_725_pp0_it47 <= ap_reg_ppstg_reg_725_pp0_it46; ap_reg_ppstg_reg_725_pp0_it48 <= ap_reg_ppstg_reg_725_pp0_it47; ap_reg_ppstg_reg_725_pp0_it49 <= ap_reg_ppstg_reg_725_pp0_it48; ap_reg_ppstg_reg_725_pp0_it5 <= ap_reg_ppstg_reg_725_pp0_it4; ap_reg_ppstg_reg_725_pp0_it50 <= ap_reg_ppstg_reg_725_pp0_it49; ap_reg_ppstg_reg_725_pp0_it51 <= ap_reg_ppstg_reg_725_pp0_it50; ap_reg_ppstg_reg_725_pp0_it52 <= ap_reg_ppstg_reg_725_pp0_it51; ap_reg_ppstg_reg_725_pp0_it53 <= ap_reg_ppstg_reg_725_pp0_it52; ap_reg_ppstg_reg_725_pp0_it54 <= ap_reg_ppstg_reg_725_pp0_it53; ap_reg_ppstg_reg_725_pp0_it55 <= ap_reg_ppstg_reg_725_pp0_it54; ap_reg_ppstg_reg_725_pp0_it56 <= ap_reg_ppstg_reg_725_pp0_it55; ap_reg_ppstg_reg_725_pp0_it57 <= ap_reg_ppstg_reg_725_pp0_it56; ap_reg_ppstg_reg_725_pp0_it58 <= ap_reg_ppstg_reg_725_pp0_it57; ap_reg_ppstg_reg_725_pp0_it59 <= ap_reg_ppstg_reg_725_pp0_it58; ap_reg_ppstg_reg_725_pp0_it6 <= ap_reg_ppstg_reg_725_pp0_it5; ap_reg_ppstg_reg_725_pp0_it60 <= ap_reg_ppstg_reg_725_pp0_it59; ap_reg_ppstg_reg_725_pp0_it61 <= ap_reg_ppstg_reg_725_pp0_it60; ap_reg_ppstg_reg_725_pp0_it62 <= ap_reg_ppstg_reg_725_pp0_it61; ap_reg_ppstg_reg_725_pp0_it63 <= ap_reg_ppstg_reg_725_pp0_it62; ap_reg_ppstg_reg_725_pp0_it64 <= ap_reg_ppstg_reg_725_pp0_it63; ap_reg_ppstg_reg_725_pp0_it65 <= ap_reg_ppstg_reg_725_pp0_it64; ap_reg_ppstg_reg_725_pp0_it66 <= ap_reg_ppstg_reg_725_pp0_it65; ap_reg_ppstg_reg_725_pp0_it67 <= ap_reg_ppstg_reg_725_pp0_it66; ap_reg_ppstg_reg_725_pp0_it68 <= ap_reg_ppstg_reg_725_pp0_it67; ap_reg_ppstg_reg_725_pp0_it69 <= ap_reg_ppstg_reg_725_pp0_it68; ap_reg_ppstg_reg_725_pp0_it7 <= ap_reg_ppstg_reg_725_pp0_it6; ap_reg_ppstg_reg_725_pp0_it70 <= ap_reg_ppstg_reg_725_pp0_it69; ap_reg_ppstg_reg_725_pp0_it71 <= ap_reg_ppstg_reg_725_pp0_it70; ap_reg_ppstg_reg_725_pp0_it72 <= ap_reg_ppstg_reg_725_pp0_it71; ap_reg_ppstg_reg_725_pp0_it73 <= ap_reg_ppstg_reg_725_pp0_it72; ap_reg_ppstg_reg_725_pp0_it74 <= ap_reg_ppstg_reg_725_pp0_it73; ap_reg_ppstg_reg_725_pp0_it75 <= ap_reg_ppstg_reg_725_pp0_it74; ap_reg_ppstg_reg_725_pp0_it76 <= ap_reg_ppstg_reg_725_pp0_it75; ap_reg_ppstg_reg_725_pp0_it77 <= ap_reg_ppstg_reg_725_pp0_it76; ap_reg_ppstg_reg_725_pp0_it78 <= ap_reg_ppstg_reg_725_pp0_it77; ap_reg_ppstg_reg_725_pp0_it79 <= ap_reg_ppstg_reg_725_pp0_it78; ap_reg_ppstg_reg_725_pp0_it8 <= ap_reg_ppstg_reg_725_pp0_it7; ap_reg_ppstg_reg_725_pp0_it80 <= ap_reg_ppstg_reg_725_pp0_it79; ap_reg_ppstg_reg_725_pp0_it81 <= ap_reg_ppstg_reg_725_pp0_it80; ap_reg_ppstg_reg_725_pp0_it9 <= ap_reg_ppstg_reg_725_pp0_it8; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it48 <= tmp_25_i_reg_4275; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it49 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it48; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it50 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it49; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it51 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it50; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it52 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it51; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it53 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it52; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it54 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it53; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it55 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it54; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it56 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it55; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it57 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it56; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it58 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it57; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it59 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it58; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it60 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it59; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it61 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it60; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it62 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it61; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it63 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it62; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it64 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it63; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it65 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it64; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it66 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it65; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it67 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it66; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it68 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it67; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it69 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it68; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it70 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it69; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it71 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it70; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it72 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it71; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it73 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it72; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it74 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it73; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it75 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it74; ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it76 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it75; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it48 <= tmp_31_i_reg_4280; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it49 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it48; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it50 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it49; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it51 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it50; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it52 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it51; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it53 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it52; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it54 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it53; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it55 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it54; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it56 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it55; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it57 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it56; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it58 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it57; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it59 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it58; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it60 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it59; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it61 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it60; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it62 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it61; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it63 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it62; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it64 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it63; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it65 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it64; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it66 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it65; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it67 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it66; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it68 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it67; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it69 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it68; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it70 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it69; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it71 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it70; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it72 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it71; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it73 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it72; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it74 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it73; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it75 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it74; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it76 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it75; ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it77 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it76; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it48 <= tmp_36_i_reg_4285; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it49 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it48; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it50 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it49; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it51 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it50; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it52 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it51; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it53 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it52; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it54 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it53; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it55 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it54; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it56 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it55; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it57 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it56; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it58 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it57; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it59 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it58; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it60 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it59; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it61 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it60; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it62 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it61; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it63 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it62; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it64 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it63; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it65 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it64; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it66 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it65; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it67 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it66; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it68 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it67; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it69 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it68; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it70 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it69; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it71 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it70; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it72 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it71; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it73 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it72; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it74 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it73; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it75 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it74; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it76 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it75; ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it77 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it76; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300)) then ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it1 <= data_array_addr_20_reg_3863; ap_reg_ppstg_exitcond2_reg_3854_pp0_it1 <= exitcond2_reg_3854; exitcond2_reg_3854 <= exitcond2_fu_2840_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it81)) then beta_addr_111281129_part_set_reg_4307 <= beta_addr_111281129_part_set_fu_3103_p5; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300) and (ap_const_lv1_0 = exitcond2_fu_2840_p2))) then data_array_addr_20_reg_3863 <= tmp_1_fu_2852_p1(5 - 1 downto 0); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86))) then data_array_load_1_reg_3743 <= data_array_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st73_fsm_72))) then data_array_load_2_reg_3722 <= data_array_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87))) then data_array_load_3_reg_3759 <= data_array_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it10)) then g_reg_4073 <= g_fu_3055_p1; h_reg_4080 <= h_fu_3059_p1; i_1_reg_4087 <= i_1_fu_3063_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it76)) then im_reg_4290 <= grp_fu_630_p2; tmp_61_neg_i_reg_4297 <= tmp_61_neg_i_fu_3071_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14))) then ins_data_val14_reg_3415 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st16_fsm_15))) then ins_data_val15_reg_3420 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st17_fsm_16))) then ins_data_val16_reg_3425 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17))) then ins_data_val17_reg_3430 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18))) then ins_data_val18_reg_3435 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st20_fsm_19))) then ins_data_val19_reg_3440 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st21_fsm_20))) then ins_data_val20_reg_3445 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st22_fsm_21))) then ins_data_val21_reg_3450 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22))) then ins_data_val22_reg_3455 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23))) then ins_data_val23_reg_3460 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24))) then ins_data_val24_reg_3465 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st26_fsm_25))) then ins_data_val25_reg_3470 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st27_fsm_26))) then ins_data_val26_reg_3475 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st28_fsm_27))) then ins_data_val27_reg_3480 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28))) then ins_data_val28_reg_3485 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29))) then ins_data_val29_reg_3490 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st31_fsm_30))) then ins_data_val30_reg_3495 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st32_fsm_31))) then ins_data_val31_reg_3500 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st33_fsm_32))) then ins_data_val32_reg_3505 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st34_fsm_33))) then ins_data_val33_reg_3510 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st35_fsm_34))) then ins_data_val34_reg_3515 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st36_fsm_35))) then ins_data_val35_reg_3520 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st37_fsm_36))) then ins_data_val36_reg_3525 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st38_fsm_37))) then ins_data_val37_reg_3530 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st39_fsm_38))) then ins_data_val38_reg_3535 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st40_fsm_39))) then ins_data_val39_reg_3540 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st41_fsm_40))) then ins_data_val40_reg_3545 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st42_fsm_41))) then ins_data_val41_reg_3550 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st43_fsm_42))) then ins_data_val42_reg_3555 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st44_fsm_43))) then ins_data_val43_reg_3560 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st45_fsm_44))) then ins_data_val44_reg_3565 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st46_fsm_45))) then ins_data_val45_reg_3570 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st47_fsm_46))) then ins_data_val46_reg_3575 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47))) then ins_data_val47_reg_3580 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st49_fsm_48))) then ins_data_val48_reg_3585 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st50_fsm_49))) then ins_data_val49_reg_3590 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st51_fsm_50))) then ins_data_val50_reg_3595 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st52_fsm_51))) then ins_data_val51_reg_3600 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52))) then ins_data_val52_reg_3605 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st54_fsm_53))) then ins_data_val53_reg_3610 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st55_fsm_54))) then ins_data_val54_reg_3615 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st56_fsm_55))) then ins_data_val55_reg_3620 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st57_fsm_56))) then ins_data_val56_reg_3625 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st58_fsm_57))) then ins_data_val57_reg_3630 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st59_fsm_58))) then ins_data_val58_reg_3635 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st60_fsm_59))) then ins_data_val59_reg_3640 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st61_fsm_60))) then ins_data_val60_reg_3645 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st62_fsm_61))) then ins_data_val61_reg_3650 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st63_fsm_62))) then ins_data_val62_reg_3655 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st64_fsm_63))) then ins_data_val63_reg_3660 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st65_fsm_64))) then ins_data_val64_reg_3665 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st66_fsm_65))) then ins_data_val65_reg_3670 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st67_fsm_66))) then ins_data_val66_reg_3675 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st68_fsm_67))) then ins_data_val67_reg_3680 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st69_fsm_68))) then ins_data_val68_reg_3685 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st70_fsm_69))) then ins_data_val69_reg_3690 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st71_fsm_70))) then ins_data_val70_reg_3695 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st72_fsm_71))) then ins_data_val71_reg_3706 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299))) then ins_dest_V_val_reg_3849 <= ins_TDEST; ins_id_V_val_reg_3844 <= ins_TID; ins_keep_V_val_reg_3824 <= ins_TKEEP; ins_last_V_val_reg_3839 <= ins_TLAST; ins_strb_V_val_reg_3829 <= ins_TSTRB; ins_user_V_val_reg_3834 <= ins_TUSER; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it46)) then m_reg_4270 <= grp_fu_506_p2; tmp_25_i_reg_4275 <= grp_fu_510_p2; tmp_31_i_reg_4280 <= grp_fu_514_p2; tmp_36_i_reg_4285 <= grp_fu_518_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300) and (exitcond2_reg_3854 = ap_const_lv1_0))) then rdx_assign_new_reg_3914 <= data_array_q0(319 downto 288); rdy_assign_new_reg_3919 <= data_array_q0(351 downto 320); rdz_assign_new_reg_3924 <= data_array_q0(383 downto 352); rex_assign_new_reg_3929 <= data_array_q0(415 downto 384); rey_assign_new_reg_3934 <= data_array_q0(447 downto 416); rez_assign_new_reg_3939 <= data_array_q0(479 downto 448); tmp_22_reg_3869 <= tmp_22_fu_2857_p1; v0y_assign_new_reg_3874 <= data_array_q0(63 downto 32); v0z_assign_new_reg_3879 <= data_array_q0(95 downto 64); v1x_assign_new_reg_3884 <= data_array_q0(127 downto 96); v1y_assign_new_reg_3889 <= data_array_q0(159 downto 128); v1z_assign_new_reg_3894 <= data_array_q0(191 downto 160); v2x_assign_new_reg_3899 <= data_array_q0(223 downto 192); v2y_assign_new_reg_3904 <= data_array_q0(255 downto 224); v2z_assign_new_reg_3909 <= data_array_q0(287 downto 256); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ins_TVALID = ap_const_logic_0))) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st73_fsm_72)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st76_fsm_75)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st91_fsm_90)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st106_fsm_105)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_120)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st136_fsm_135)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st151_fsm_150)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st181_fsm_180)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st196_fsm_195)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st211_fsm_210)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st226_fsm_225)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st241_fsm_240)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st256_fsm_255)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st271_fsm_270)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st286_fsm_285)))) then reg_669 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st74_fsm_73)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st77_fsm_76)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st107_fsm_106)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st137_fsm_136)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st152_fsm_151)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st167_fsm_166)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st182_fsm_181)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st197_fsm_196)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st212_fsm_211)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st227_fsm_226)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st242_fsm_241)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st257_fsm_256)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st272_fsm_271)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st287_fsm_286)))) then reg_673 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st78_fsm_77)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st108_fsm_107)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st138_fsm_137)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st153_fsm_152)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st168_fsm_167)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st183_fsm_182)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st198_fsm_197)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st213_fsm_212)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st228_fsm_227)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st243_fsm_242)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st258_fsm_257)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st273_fsm_272)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st288_fsm_287)))) then reg_677 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st79_fsm_78)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st94_fsm_93)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st109_fsm_108)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st139_fsm_138)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st154_fsm_153)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st169_fsm_168)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st184_fsm_183)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st199_fsm_198)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st214_fsm_213)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st229_fsm_228)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st244_fsm_243)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st259_fsm_258)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st274_fsm_273)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st289_fsm_288)))) then reg_681 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st95_fsm_94)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st110_fsm_109)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st125_fsm_124)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st140_fsm_139)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st155_fsm_154)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st170_fsm_169)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st185_fsm_184)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st200_fsm_199)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st215_fsm_214)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st230_fsm_229)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st245_fsm_244)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st260_fsm_259)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st275_fsm_274)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st290_fsm_289)))) then reg_685 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st6_fsm_5)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st81_fsm_80)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st96_fsm_95)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st111_fsm_110)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st126_fsm_125)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st141_fsm_140)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st171_fsm_170)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st186_fsm_185)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st201_fsm_200)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st216_fsm_215)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st231_fsm_230)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st246_fsm_245)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st261_fsm_260)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st276_fsm_275)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st291_fsm_290)))) then reg_689 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st7_fsm_6)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st82_fsm_81)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st112_fsm_111)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st127_fsm_126)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st142_fsm_141)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st157_fsm_156)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st172_fsm_171)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st187_fsm_186)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st202_fsm_201)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st217_fsm_216)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st232_fsm_231)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st247_fsm_246)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st262_fsm_261)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st277_fsm_276)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st292_fsm_291)))) then reg_693 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st8_fsm_7)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st83_fsm_82)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st113_fsm_112)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st143_fsm_142)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st158_fsm_157)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st173_fsm_172)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st188_fsm_187)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st203_fsm_202)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st218_fsm_217)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st233_fsm_232)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st248_fsm_247)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st263_fsm_262)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st278_fsm_277)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st293_fsm_292)))) then reg_697 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st9_fsm_8)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st114_fsm_113)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st144_fsm_143)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st159_fsm_158)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st174_fsm_173)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st189_fsm_188)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st204_fsm_203)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st219_fsm_218)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st234_fsm_233)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st249_fsm_248)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st264_fsm_263)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st279_fsm_278)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st294_fsm_293)))) then reg_701 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st100_fsm_99)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st115_fsm_114)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st145_fsm_144)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st160_fsm_159)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st175_fsm_174)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st190_fsm_189)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st205_fsm_204)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st220_fsm_219)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st235_fsm_234)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st250_fsm_249)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st265_fsm_264)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st280_fsm_279)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st295_fsm_294)))) then reg_705 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st101_fsm_100)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_115)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st131_fsm_130)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st161_fsm_160)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st176_fsm_175)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st191_fsm_190)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st206_fsm_205)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st221_fsm_220)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st236_fsm_235)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st251_fsm_250)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st266_fsm_265)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st281_fsm_280)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st296_fsm_295)))) then reg_709 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st102_fsm_101)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_116)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st132_fsm_131)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st162_fsm_161)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st177_fsm_176)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st192_fsm_191)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st207_fsm_206)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st222_fsm_221)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st237_fsm_236)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st252_fsm_251)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st267_fsm_266)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st282_fsm_281)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st297_fsm_296)))) then reg_713 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_117)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st133_fsm_132)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st163_fsm_162)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st178_fsm_177)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st193_fsm_192)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st208_fsm_207)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st223_fsm_222)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st238_fsm_237)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st253_fsm_252)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st268_fsm_267)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st283_fsm_282)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st298_fsm_297)))) then reg_717 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st119_fsm_118)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st134_fsm_133)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st164_fsm_163)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st179_fsm_178)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st194_fsm_193)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st209_fsm_208)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st224_fsm_223)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st239_fsm_238)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st254_fsm_253)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st269_fsm_268)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st284_fsm_283)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st299_fsm_298)))) then reg_721 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st72_fsm_71)) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300) and (exitcond2_reg_3854 = ap_const_lv1_0)))) then reg_725 <= data_array_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_sig_cseq_ST_st386_fsm_302) and not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st389_fsm_305)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st392_fsm_308)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st395_fsm_311)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st398_fsm_314)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st401_fsm_317)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st404_fsm_320)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st407_fsm_323)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st410_fsm_326)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st413_fsm_329)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st416_fsm_332)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st419_fsm_335)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st422_fsm_338)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st425_fsm_341)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st428_fsm_344)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st431_fsm_347)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st434_fsm_350)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st437_fsm_353)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st440_fsm_356)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st443_fsm_359)))) then reg_729 <= data_array_q1(543 downto 512); reg_733 <= data_array_q1(575 downto 544); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it32)) then tmp_10_i_reg_4218 <= grp_fu_482_p2; tmp_23_i_reg_4224 <= grp_fu_486_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it37)) then tmp_11_i_reg_4235 <= grp_fu_602_p2; tmp_20_i_reg_4240 <= grp_fu_494_p2; tmp_24_i_reg_4245 <= grp_fu_606_p2; tmp_29_i_reg_4250 <= grp_fu_498_p2; tmp_30_i_reg_4255 <= grp_fu_610_p2; tmp_34_i_reg_4260 <= grp_fu_502_p2; tmp_35_i_reg_4265 <= grp_fu_614_p2; tmp_7_i_reg_4230 <= grp_fu_490_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it14)) then tmp_12_i_reg_4114 <= grp_fu_538_p2; tmp_13_i_reg_4119 <= grp_fu_542_p2; tmp_16_i_reg_4124 <= grp_fu_546_p2; tmp_17_i_reg_4129 <= grp_fu_550_p2; tmp_3_i_reg_4104 <= grp_fu_530_p2; tmp_4_i_reg_4109 <= grp_fu_534_p2; tmp_i_311_reg_4099 <= grp_fu_526_p2; tmp_i_reg_4094 <= grp_fu_522_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it23)) then tmp_14_i_reg_4156 <= grp_fu_474_p2; tmp_18_i_reg_4162 <= grp_fu_478_p2; tmp_1_i_reg_4134 <= grp_fu_466_p2; tmp_21_i_reg_4168 <= grp_fu_562_p2; tmp_22_i_reg_4173 <= grp_fu_566_p2; tmp_5_i_reg_4140 <= grp_fu_470_p2; tmp_8_i_reg_4146 <= grp_fu_554_p2; tmp_9_i_reg_4151 <= grp_fu_558_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it28)) then tmp_15_i_reg_4188 <= grp_fu_578_p2; tmp_19_i_reg_4193 <= grp_fu_582_p2; tmp_27_i_reg_4198 <= grp_fu_586_p2; tmp_28_i_reg_4203 <= grp_fu_590_p2; tmp_2_i_reg_4178 <= grp_fu_570_p2; tmp_32_i_reg_4208 <= grp_fu_594_p2; tmp_33_i_reg_4213 <= grp_fu_598_p2; tmp_6_i_reg_4183 <= grp_fu_574_p2; end if; end if; end process; data_array_addr_16_reg_3700(4 downto 0) <= "10000"; data_array_addr_18_reg_3711(4 downto 0) <= "10010"; data_array_addr_reg_3717(4 downto 0) <= "00000"; data_array_addr_2_reg_3727(4 downto 0) <= "00010"; data_array_addr_4_reg_3732(4 downto 0) <= "00100"; data_array_addr_17_reg_3737(4 downto 0) <= "10001"; data_array_addr_19_reg_3748(4 downto 0) <= "10011"; data_array_addr_1_reg_3754(4 downto 0) <= "00001"; data_array_addr_3_reg_3764(4 downto 0) <= "00011"; data_array_addr_5_reg_3769(4 downto 0) <= "00101"; data_array_addr_6_reg_3774(4 downto 0) <= "00110"; data_array_addr_7_reg_3779(4 downto 0) <= "00111"; data_array_addr_8_reg_3784(4 downto 0) <= "01000"; data_array_addr_9_reg_3789(4 downto 0) <= "01001"; data_array_addr_10_reg_3794(4 downto 0) <= "01010"; data_array_addr_11_reg_3799(4 downto 0) <= "01011"; data_array_addr_12_reg_3804(4 downto 0) <= "01100"; data_array_addr_13_reg_3809(4 downto 0) <= "01101"; data_array_addr_14_reg_3814(4 downto 0) <= "01110"; data_array_addr_15_reg_3819(4 downto 0) <= "01111"; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ins_TVALID, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it82, ap_reg_ppiten_pp0_it83, ap_sig_ioackin_outs_TREADY, exitcond2_fu_2840_p2) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st3_fsm_2; else ap_NS_fsm <= ap_ST_st2_fsm_1; end if; when ap_ST_st3_fsm_2 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st4_fsm_3; else ap_NS_fsm <= ap_ST_st3_fsm_2; end if; when ap_ST_st4_fsm_3 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st5_fsm_4; else ap_NS_fsm <= ap_ST_st4_fsm_3; end if; when ap_ST_st5_fsm_4 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st6_fsm_5; else ap_NS_fsm <= ap_ST_st5_fsm_4; end if; when ap_ST_st6_fsm_5 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st7_fsm_6; else ap_NS_fsm <= ap_ST_st6_fsm_5; end if; when ap_ST_st7_fsm_6 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st8_fsm_7; else ap_NS_fsm <= ap_ST_st7_fsm_6; end if; when ap_ST_st8_fsm_7 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st9_fsm_8; else ap_NS_fsm <= ap_ST_st8_fsm_7; end if; when ap_ST_st9_fsm_8 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st10_fsm_9; else ap_NS_fsm <= ap_ST_st9_fsm_8; end if; when ap_ST_st10_fsm_9 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st11_fsm_10; else ap_NS_fsm <= ap_ST_st10_fsm_9; end if; when ap_ST_st11_fsm_10 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st12_fsm_11; else ap_NS_fsm <= ap_ST_st11_fsm_10; end if; when ap_ST_st12_fsm_11 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st13_fsm_12; else ap_NS_fsm <= ap_ST_st12_fsm_11; end if; when ap_ST_st13_fsm_12 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st14_fsm_13; else ap_NS_fsm <= ap_ST_st13_fsm_12; end if; when ap_ST_st14_fsm_13 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st15_fsm_14; else ap_NS_fsm <= ap_ST_st14_fsm_13; end if; when ap_ST_st15_fsm_14 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st16_fsm_15; else ap_NS_fsm <= ap_ST_st15_fsm_14; end if; when ap_ST_st16_fsm_15 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st17_fsm_16; else ap_NS_fsm <= ap_ST_st16_fsm_15; end if; when ap_ST_st17_fsm_16 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st18_fsm_17; else ap_NS_fsm <= ap_ST_st17_fsm_16; end if; when ap_ST_st18_fsm_17 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st19_fsm_18; else ap_NS_fsm <= ap_ST_st18_fsm_17; end if; when ap_ST_st19_fsm_18 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st20_fsm_19; else ap_NS_fsm <= ap_ST_st19_fsm_18; end if; when ap_ST_st20_fsm_19 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st21_fsm_20; else ap_NS_fsm <= ap_ST_st20_fsm_19; end if; when ap_ST_st21_fsm_20 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st22_fsm_21; else ap_NS_fsm <= ap_ST_st21_fsm_20; end if; when ap_ST_st22_fsm_21 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st23_fsm_22; else ap_NS_fsm <= ap_ST_st22_fsm_21; end if; when ap_ST_st23_fsm_22 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st24_fsm_23; else ap_NS_fsm <= ap_ST_st23_fsm_22; end if; when ap_ST_st24_fsm_23 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st25_fsm_24; else ap_NS_fsm <= ap_ST_st24_fsm_23; end if; when ap_ST_st25_fsm_24 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st26_fsm_25; else ap_NS_fsm <= ap_ST_st25_fsm_24; end if; when ap_ST_st26_fsm_25 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st27_fsm_26; else ap_NS_fsm <= ap_ST_st26_fsm_25; end if; when ap_ST_st27_fsm_26 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st28_fsm_27; else ap_NS_fsm <= ap_ST_st27_fsm_26; end if; when ap_ST_st28_fsm_27 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st29_fsm_28; else ap_NS_fsm <= ap_ST_st28_fsm_27; end if; when ap_ST_st29_fsm_28 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st30_fsm_29; else ap_NS_fsm <= ap_ST_st29_fsm_28; end if; when ap_ST_st30_fsm_29 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st31_fsm_30; else ap_NS_fsm <= ap_ST_st30_fsm_29; end if; when ap_ST_st31_fsm_30 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st32_fsm_31; else ap_NS_fsm <= ap_ST_st31_fsm_30; end if; when ap_ST_st32_fsm_31 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st33_fsm_32; else ap_NS_fsm <= ap_ST_st32_fsm_31; end if; when ap_ST_st33_fsm_32 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st34_fsm_33; else ap_NS_fsm <= ap_ST_st33_fsm_32; end if; when ap_ST_st34_fsm_33 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st35_fsm_34; else ap_NS_fsm <= ap_ST_st34_fsm_33; end if; when ap_ST_st35_fsm_34 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st36_fsm_35; else ap_NS_fsm <= ap_ST_st35_fsm_34; end if; when ap_ST_st36_fsm_35 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st37_fsm_36; else ap_NS_fsm <= ap_ST_st36_fsm_35; end if; when ap_ST_st37_fsm_36 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st38_fsm_37; else ap_NS_fsm <= ap_ST_st37_fsm_36; end if; when ap_ST_st38_fsm_37 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st39_fsm_38; else ap_NS_fsm <= ap_ST_st38_fsm_37; end if; when ap_ST_st39_fsm_38 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st40_fsm_39; else ap_NS_fsm <= ap_ST_st39_fsm_38; end if; when ap_ST_st40_fsm_39 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st41_fsm_40; else ap_NS_fsm <= ap_ST_st40_fsm_39; end if; when ap_ST_st41_fsm_40 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st42_fsm_41; else ap_NS_fsm <= ap_ST_st41_fsm_40; end if; when ap_ST_st42_fsm_41 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st43_fsm_42; else ap_NS_fsm <= ap_ST_st42_fsm_41; end if; when ap_ST_st43_fsm_42 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st44_fsm_43; else ap_NS_fsm <= ap_ST_st43_fsm_42; end if; when ap_ST_st44_fsm_43 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st45_fsm_44; else ap_NS_fsm <= ap_ST_st44_fsm_43; end if; when ap_ST_st45_fsm_44 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st46_fsm_45; else ap_NS_fsm <= ap_ST_st45_fsm_44; end if; when ap_ST_st46_fsm_45 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st47_fsm_46; else ap_NS_fsm <= ap_ST_st46_fsm_45; end if; when ap_ST_st47_fsm_46 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st48_fsm_47; else ap_NS_fsm <= ap_ST_st47_fsm_46; end if; when ap_ST_st48_fsm_47 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st49_fsm_48; else ap_NS_fsm <= ap_ST_st48_fsm_47; end if; when ap_ST_st49_fsm_48 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st50_fsm_49; else ap_NS_fsm <= ap_ST_st49_fsm_48; end if; when ap_ST_st50_fsm_49 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st51_fsm_50; else ap_NS_fsm <= ap_ST_st50_fsm_49; end if; when ap_ST_st51_fsm_50 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st52_fsm_51; else ap_NS_fsm <= ap_ST_st51_fsm_50; end if; when ap_ST_st52_fsm_51 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st53_fsm_52; else ap_NS_fsm <= ap_ST_st52_fsm_51; end if; when ap_ST_st53_fsm_52 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st54_fsm_53; else ap_NS_fsm <= ap_ST_st53_fsm_52; end if; when ap_ST_st54_fsm_53 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st55_fsm_54; else ap_NS_fsm <= ap_ST_st54_fsm_53; end if; when ap_ST_st55_fsm_54 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st56_fsm_55; else ap_NS_fsm <= ap_ST_st55_fsm_54; end if; when ap_ST_st56_fsm_55 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st57_fsm_56; else ap_NS_fsm <= ap_ST_st56_fsm_55; end if; when ap_ST_st57_fsm_56 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st58_fsm_57; else ap_NS_fsm <= ap_ST_st57_fsm_56; end if; when ap_ST_st58_fsm_57 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st59_fsm_58; else ap_NS_fsm <= ap_ST_st58_fsm_57; end if; when ap_ST_st59_fsm_58 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st60_fsm_59; else ap_NS_fsm <= ap_ST_st59_fsm_58; end if; when ap_ST_st60_fsm_59 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st61_fsm_60; else ap_NS_fsm <= ap_ST_st60_fsm_59; end if; when ap_ST_st61_fsm_60 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st62_fsm_61; else ap_NS_fsm <= ap_ST_st61_fsm_60; end if; when ap_ST_st62_fsm_61 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st63_fsm_62; else ap_NS_fsm <= ap_ST_st62_fsm_61; end if; when ap_ST_st63_fsm_62 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st64_fsm_63; else ap_NS_fsm <= ap_ST_st63_fsm_62; end if; when ap_ST_st64_fsm_63 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st65_fsm_64; else ap_NS_fsm <= ap_ST_st64_fsm_63; end if; when ap_ST_st65_fsm_64 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st66_fsm_65; else ap_NS_fsm <= ap_ST_st65_fsm_64; end if; when ap_ST_st66_fsm_65 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st67_fsm_66; else ap_NS_fsm <= ap_ST_st66_fsm_65; end if; when ap_ST_st67_fsm_66 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st68_fsm_67; else ap_NS_fsm <= ap_ST_st67_fsm_66; end if; when ap_ST_st68_fsm_67 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st69_fsm_68; else ap_NS_fsm <= ap_ST_st68_fsm_67; end if; when ap_ST_st69_fsm_68 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st70_fsm_69; else ap_NS_fsm <= ap_ST_st69_fsm_68; end if; when ap_ST_st70_fsm_69 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st71_fsm_70; else ap_NS_fsm <= ap_ST_st70_fsm_69; end if; when ap_ST_st71_fsm_70 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st72_fsm_71; else ap_NS_fsm <= ap_ST_st71_fsm_70; end if; when ap_ST_st72_fsm_71 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st73_fsm_72; else ap_NS_fsm <= ap_ST_st72_fsm_71; end if; when ap_ST_st73_fsm_72 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st74_fsm_73; else ap_NS_fsm <= ap_ST_st73_fsm_72; end if; when ap_ST_st74_fsm_73 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st75_fsm_74; else ap_NS_fsm <= ap_ST_st74_fsm_73; end if; when ap_ST_st75_fsm_74 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st76_fsm_75; else ap_NS_fsm <= ap_ST_st75_fsm_74; end if; when ap_ST_st76_fsm_75 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st77_fsm_76; else ap_NS_fsm <= ap_ST_st76_fsm_75; end if; when ap_ST_st77_fsm_76 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st78_fsm_77; else ap_NS_fsm <= ap_ST_st77_fsm_76; end if; when ap_ST_st78_fsm_77 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st79_fsm_78; else ap_NS_fsm <= ap_ST_st78_fsm_77; end if; when ap_ST_st79_fsm_78 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st80_fsm_79; else ap_NS_fsm <= ap_ST_st79_fsm_78; end if; when ap_ST_st80_fsm_79 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st81_fsm_80; else ap_NS_fsm <= ap_ST_st80_fsm_79; end if; when ap_ST_st81_fsm_80 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st82_fsm_81; else ap_NS_fsm <= ap_ST_st81_fsm_80; end if; when ap_ST_st82_fsm_81 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st83_fsm_82; else ap_NS_fsm <= ap_ST_st82_fsm_81; end if; when ap_ST_st83_fsm_82 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st84_fsm_83; else ap_NS_fsm <= ap_ST_st83_fsm_82; end if; when ap_ST_st84_fsm_83 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st85_fsm_84; else ap_NS_fsm <= ap_ST_st84_fsm_83; end if; when ap_ST_st85_fsm_84 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st86_fsm_85; else ap_NS_fsm <= ap_ST_st85_fsm_84; end if; when ap_ST_st86_fsm_85 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st87_fsm_86; else ap_NS_fsm <= ap_ST_st86_fsm_85; end if; when ap_ST_st87_fsm_86 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st88_fsm_87; else ap_NS_fsm <= ap_ST_st87_fsm_86; end if; when ap_ST_st88_fsm_87 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st89_fsm_88; else ap_NS_fsm <= ap_ST_st88_fsm_87; end if; when ap_ST_st89_fsm_88 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st90_fsm_89; else ap_NS_fsm <= ap_ST_st89_fsm_88; end if; when ap_ST_st90_fsm_89 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st91_fsm_90; else ap_NS_fsm <= ap_ST_st90_fsm_89; end if; when ap_ST_st91_fsm_90 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st92_fsm_91; else ap_NS_fsm <= ap_ST_st91_fsm_90; end if; when ap_ST_st92_fsm_91 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st93_fsm_92; else ap_NS_fsm <= ap_ST_st92_fsm_91; end if; when ap_ST_st93_fsm_92 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st94_fsm_93; else ap_NS_fsm <= ap_ST_st93_fsm_92; end if; when ap_ST_st94_fsm_93 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st95_fsm_94; else ap_NS_fsm <= ap_ST_st94_fsm_93; end if; when ap_ST_st95_fsm_94 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st96_fsm_95; else ap_NS_fsm <= ap_ST_st95_fsm_94; end if; when ap_ST_st96_fsm_95 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st97_fsm_96; else ap_NS_fsm <= ap_ST_st96_fsm_95; end if; when ap_ST_st97_fsm_96 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st98_fsm_97; else ap_NS_fsm <= ap_ST_st97_fsm_96; end if; when ap_ST_st98_fsm_97 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st99_fsm_98; else ap_NS_fsm <= ap_ST_st98_fsm_97; end if; when ap_ST_st99_fsm_98 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st100_fsm_99; else ap_NS_fsm <= ap_ST_st99_fsm_98; end if; when ap_ST_st100_fsm_99 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st101_fsm_100; else ap_NS_fsm <= ap_ST_st100_fsm_99; end if; when ap_ST_st101_fsm_100 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st102_fsm_101; else ap_NS_fsm <= ap_ST_st101_fsm_100; end if; when ap_ST_st102_fsm_101 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st103_fsm_102; else ap_NS_fsm <= ap_ST_st102_fsm_101; end if; when ap_ST_st103_fsm_102 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st104_fsm_103; else ap_NS_fsm <= ap_ST_st103_fsm_102; end if; when ap_ST_st104_fsm_103 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st105_fsm_104; else ap_NS_fsm <= ap_ST_st104_fsm_103; end if; when ap_ST_st105_fsm_104 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st106_fsm_105; else ap_NS_fsm <= ap_ST_st105_fsm_104; end if; when ap_ST_st106_fsm_105 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st107_fsm_106; else ap_NS_fsm <= ap_ST_st106_fsm_105; end if; when ap_ST_st107_fsm_106 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st108_fsm_107; else ap_NS_fsm <= ap_ST_st107_fsm_106; end if; when ap_ST_st108_fsm_107 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st109_fsm_108; else ap_NS_fsm <= ap_ST_st108_fsm_107; end if; when ap_ST_st109_fsm_108 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st110_fsm_109; else ap_NS_fsm <= ap_ST_st109_fsm_108; end if; when ap_ST_st110_fsm_109 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st111_fsm_110; else ap_NS_fsm <= ap_ST_st110_fsm_109; end if; when ap_ST_st111_fsm_110 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st112_fsm_111; else ap_NS_fsm <= ap_ST_st111_fsm_110; end if; when ap_ST_st112_fsm_111 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st113_fsm_112; else ap_NS_fsm <= ap_ST_st112_fsm_111; end if; when ap_ST_st113_fsm_112 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st114_fsm_113; else ap_NS_fsm <= ap_ST_st113_fsm_112; end if; when ap_ST_st114_fsm_113 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st115_fsm_114; else ap_NS_fsm <= ap_ST_st114_fsm_113; end if; when ap_ST_st115_fsm_114 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st116_fsm_115; else ap_NS_fsm <= ap_ST_st115_fsm_114; end if; when ap_ST_st116_fsm_115 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st117_fsm_116; else ap_NS_fsm <= ap_ST_st116_fsm_115; end if; when ap_ST_st117_fsm_116 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st118_fsm_117; else ap_NS_fsm <= ap_ST_st117_fsm_116; end if; when ap_ST_st118_fsm_117 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st119_fsm_118; else ap_NS_fsm <= ap_ST_st118_fsm_117; end if; when ap_ST_st119_fsm_118 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st120_fsm_119; else ap_NS_fsm <= ap_ST_st119_fsm_118; end if; when ap_ST_st120_fsm_119 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st121_fsm_120; else ap_NS_fsm <= ap_ST_st120_fsm_119; end if; when ap_ST_st121_fsm_120 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st122_fsm_121; else ap_NS_fsm <= ap_ST_st121_fsm_120; end if; when ap_ST_st122_fsm_121 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st123_fsm_122; else ap_NS_fsm <= ap_ST_st122_fsm_121; end if; when ap_ST_st123_fsm_122 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st124_fsm_123; else ap_NS_fsm <= ap_ST_st123_fsm_122; end if; when ap_ST_st124_fsm_123 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st125_fsm_124; else ap_NS_fsm <= ap_ST_st124_fsm_123; end if; when ap_ST_st125_fsm_124 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st126_fsm_125; else ap_NS_fsm <= ap_ST_st125_fsm_124; end if; when ap_ST_st126_fsm_125 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st127_fsm_126; else ap_NS_fsm <= ap_ST_st126_fsm_125; end if; when ap_ST_st127_fsm_126 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st128_fsm_127; else ap_NS_fsm <= ap_ST_st127_fsm_126; end if; when ap_ST_st128_fsm_127 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st129_fsm_128; else ap_NS_fsm <= ap_ST_st128_fsm_127; end if; when ap_ST_st129_fsm_128 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st130_fsm_129; else ap_NS_fsm <= ap_ST_st129_fsm_128; end if; when ap_ST_st130_fsm_129 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st131_fsm_130; else ap_NS_fsm <= ap_ST_st130_fsm_129; end if; when ap_ST_st131_fsm_130 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st132_fsm_131; else ap_NS_fsm <= ap_ST_st131_fsm_130; end if; when ap_ST_st132_fsm_131 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st133_fsm_132; else ap_NS_fsm <= ap_ST_st132_fsm_131; end if; when ap_ST_st133_fsm_132 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st134_fsm_133; else ap_NS_fsm <= ap_ST_st133_fsm_132; end if; when ap_ST_st134_fsm_133 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st135_fsm_134; else ap_NS_fsm <= ap_ST_st134_fsm_133; end if; when ap_ST_st135_fsm_134 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st136_fsm_135; else ap_NS_fsm <= ap_ST_st135_fsm_134; end if; when ap_ST_st136_fsm_135 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st137_fsm_136; else ap_NS_fsm <= ap_ST_st136_fsm_135; end if; when ap_ST_st137_fsm_136 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st138_fsm_137; else ap_NS_fsm <= ap_ST_st137_fsm_136; end if; when ap_ST_st138_fsm_137 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st139_fsm_138; else ap_NS_fsm <= ap_ST_st138_fsm_137; end if; when ap_ST_st139_fsm_138 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st140_fsm_139; else ap_NS_fsm <= ap_ST_st139_fsm_138; end if; when ap_ST_st140_fsm_139 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st141_fsm_140; else ap_NS_fsm <= ap_ST_st140_fsm_139; end if; when ap_ST_st141_fsm_140 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st142_fsm_141; else ap_NS_fsm <= ap_ST_st141_fsm_140; end if; when ap_ST_st142_fsm_141 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st143_fsm_142; else ap_NS_fsm <= ap_ST_st142_fsm_141; end if; when ap_ST_st143_fsm_142 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st144_fsm_143; else ap_NS_fsm <= ap_ST_st143_fsm_142; end if; when ap_ST_st144_fsm_143 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st145_fsm_144; else ap_NS_fsm <= ap_ST_st144_fsm_143; end if; when ap_ST_st145_fsm_144 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st146_fsm_145; else ap_NS_fsm <= ap_ST_st145_fsm_144; end if; when ap_ST_st146_fsm_145 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st147_fsm_146; else ap_NS_fsm <= ap_ST_st146_fsm_145; end if; when ap_ST_st147_fsm_146 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st148_fsm_147; else ap_NS_fsm <= ap_ST_st147_fsm_146; end if; when ap_ST_st148_fsm_147 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st149_fsm_148; else ap_NS_fsm <= ap_ST_st148_fsm_147; end if; when ap_ST_st149_fsm_148 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st150_fsm_149; else ap_NS_fsm <= ap_ST_st149_fsm_148; end if; when ap_ST_st150_fsm_149 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st151_fsm_150; else ap_NS_fsm <= ap_ST_st150_fsm_149; end if; when ap_ST_st151_fsm_150 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st152_fsm_151; else ap_NS_fsm <= ap_ST_st151_fsm_150; end if; when ap_ST_st152_fsm_151 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st153_fsm_152; else ap_NS_fsm <= ap_ST_st152_fsm_151; end if; when ap_ST_st153_fsm_152 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st154_fsm_153; else ap_NS_fsm <= ap_ST_st153_fsm_152; end if; when ap_ST_st154_fsm_153 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st155_fsm_154; else ap_NS_fsm <= ap_ST_st154_fsm_153; end if; when ap_ST_st155_fsm_154 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st156_fsm_155; else ap_NS_fsm <= ap_ST_st155_fsm_154; end if; when ap_ST_st156_fsm_155 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st157_fsm_156; else ap_NS_fsm <= ap_ST_st156_fsm_155; end if; when ap_ST_st157_fsm_156 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st158_fsm_157; else ap_NS_fsm <= ap_ST_st157_fsm_156; end if; when ap_ST_st158_fsm_157 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st159_fsm_158; else ap_NS_fsm <= ap_ST_st158_fsm_157; end if; when ap_ST_st159_fsm_158 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st160_fsm_159; else ap_NS_fsm <= ap_ST_st159_fsm_158; end if; when ap_ST_st160_fsm_159 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st161_fsm_160; else ap_NS_fsm <= ap_ST_st160_fsm_159; end if; when ap_ST_st161_fsm_160 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st162_fsm_161; else ap_NS_fsm <= ap_ST_st161_fsm_160; end if; when ap_ST_st162_fsm_161 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st163_fsm_162; else ap_NS_fsm <= ap_ST_st162_fsm_161; end if; when ap_ST_st163_fsm_162 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st164_fsm_163; else ap_NS_fsm <= ap_ST_st163_fsm_162; end if; when ap_ST_st164_fsm_163 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st165_fsm_164; else ap_NS_fsm <= ap_ST_st164_fsm_163; end if; when ap_ST_st165_fsm_164 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st166_fsm_165; else ap_NS_fsm <= ap_ST_st165_fsm_164; end if; when ap_ST_st166_fsm_165 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st167_fsm_166; else ap_NS_fsm <= ap_ST_st166_fsm_165; end if; when ap_ST_st167_fsm_166 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st168_fsm_167; else ap_NS_fsm <= ap_ST_st167_fsm_166; end if; when ap_ST_st168_fsm_167 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st169_fsm_168; else ap_NS_fsm <= ap_ST_st168_fsm_167; end if; when ap_ST_st169_fsm_168 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st170_fsm_169; else ap_NS_fsm <= ap_ST_st169_fsm_168; end if; when ap_ST_st170_fsm_169 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st171_fsm_170; else ap_NS_fsm <= ap_ST_st170_fsm_169; end if; when ap_ST_st171_fsm_170 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st172_fsm_171; else ap_NS_fsm <= ap_ST_st171_fsm_170; end if; when ap_ST_st172_fsm_171 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st173_fsm_172; else ap_NS_fsm <= ap_ST_st172_fsm_171; end if; when ap_ST_st173_fsm_172 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st174_fsm_173; else ap_NS_fsm <= ap_ST_st173_fsm_172; end if; when ap_ST_st174_fsm_173 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st175_fsm_174; else ap_NS_fsm <= ap_ST_st174_fsm_173; end if; when ap_ST_st175_fsm_174 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st176_fsm_175; else ap_NS_fsm <= ap_ST_st175_fsm_174; end if; when ap_ST_st176_fsm_175 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st177_fsm_176; else ap_NS_fsm <= ap_ST_st176_fsm_175; end if; when ap_ST_st177_fsm_176 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st178_fsm_177; else ap_NS_fsm <= ap_ST_st177_fsm_176; end if; when ap_ST_st178_fsm_177 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st179_fsm_178; else ap_NS_fsm <= ap_ST_st178_fsm_177; end if; when ap_ST_st179_fsm_178 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st180_fsm_179; else ap_NS_fsm <= ap_ST_st179_fsm_178; end if; when ap_ST_st180_fsm_179 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st181_fsm_180; else ap_NS_fsm <= ap_ST_st180_fsm_179; end if; when ap_ST_st181_fsm_180 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st182_fsm_181; else ap_NS_fsm <= ap_ST_st181_fsm_180; end if; when ap_ST_st182_fsm_181 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st183_fsm_182; else ap_NS_fsm <= ap_ST_st182_fsm_181; end if; when ap_ST_st183_fsm_182 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st184_fsm_183; else ap_NS_fsm <= ap_ST_st183_fsm_182; end if; when ap_ST_st184_fsm_183 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st185_fsm_184; else ap_NS_fsm <= ap_ST_st184_fsm_183; end if; when ap_ST_st185_fsm_184 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st186_fsm_185; else ap_NS_fsm <= ap_ST_st185_fsm_184; end if; when ap_ST_st186_fsm_185 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st187_fsm_186; else ap_NS_fsm <= ap_ST_st186_fsm_185; end if; when ap_ST_st187_fsm_186 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st188_fsm_187; else ap_NS_fsm <= ap_ST_st187_fsm_186; end if; when ap_ST_st188_fsm_187 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st189_fsm_188; else ap_NS_fsm <= ap_ST_st188_fsm_187; end if; when ap_ST_st189_fsm_188 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st190_fsm_189; else ap_NS_fsm <= ap_ST_st189_fsm_188; end if; when ap_ST_st190_fsm_189 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st191_fsm_190; else ap_NS_fsm <= ap_ST_st190_fsm_189; end if; when ap_ST_st191_fsm_190 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st192_fsm_191; else ap_NS_fsm <= ap_ST_st191_fsm_190; end if; when ap_ST_st192_fsm_191 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st193_fsm_192; else ap_NS_fsm <= ap_ST_st192_fsm_191; end if; when ap_ST_st193_fsm_192 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st194_fsm_193; else ap_NS_fsm <= ap_ST_st193_fsm_192; end if; when ap_ST_st194_fsm_193 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st195_fsm_194; else ap_NS_fsm <= ap_ST_st194_fsm_193; end if; when ap_ST_st195_fsm_194 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st196_fsm_195; else ap_NS_fsm <= ap_ST_st195_fsm_194; end if; when ap_ST_st196_fsm_195 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st197_fsm_196; else ap_NS_fsm <= ap_ST_st196_fsm_195; end if; when ap_ST_st197_fsm_196 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st198_fsm_197; else ap_NS_fsm <= ap_ST_st197_fsm_196; end if; when ap_ST_st198_fsm_197 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st199_fsm_198; else ap_NS_fsm <= ap_ST_st198_fsm_197; end if; when ap_ST_st199_fsm_198 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st200_fsm_199; else ap_NS_fsm <= ap_ST_st199_fsm_198; end if; when ap_ST_st200_fsm_199 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st201_fsm_200; else ap_NS_fsm <= ap_ST_st200_fsm_199; end if; when ap_ST_st201_fsm_200 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st202_fsm_201; else ap_NS_fsm <= ap_ST_st201_fsm_200; end if; when ap_ST_st202_fsm_201 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st203_fsm_202; else ap_NS_fsm <= ap_ST_st202_fsm_201; end if; when ap_ST_st203_fsm_202 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st204_fsm_203; else ap_NS_fsm <= ap_ST_st203_fsm_202; end if; when ap_ST_st204_fsm_203 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st205_fsm_204; else ap_NS_fsm <= ap_ST_st204_fsm_203; end if; when ap_ST_st205_fsm_204 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st206_fsm_205; else ap_NS_fsm <= ap_ST_st205_fsm_204; end if; when ap_ST_st206_fsm_205 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st207_fsm_206; else ap_NS_fsm <= ap_ST_st206_fsm_205; end if; when ap_ST_st207_fsm_206 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st208_fsm_207; else ap_NS_fsm <= ap_ST_st207_fsm_206; end if; when ap_ST_st208_fsm_207 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st209_fsm_208; else ap_NS_fsm <= ap_ST_st208_fsm_207; end if; when ap_ST_st209_fsm_208 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st210_fsm_209; else ap_NS_fsm <= ap_ST_st209_fsm_208; end if; when ap_ST_st210_fsm_209 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st211_fsm_210; else ap_NS_fsm <= ap_ST_st210_fsm_209; end if; when ap_ST_st211_fsm_210 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st212_fsm_211; else ap_NS_fsm <= ap_ST_st211_fsm_210; end if; when ap_ST_st212_fsm_211 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st213_fsm_212; else ap_NS_fsm <= ap_ST_st212_fsm_211; end if; when ap_ST_st213_fsm_212 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st214_fsm_213; else ap_NS_fsm <= ap_ST_st213_fsm_212; end if; when ap_ST_st214_fsm_213 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st215_fsm_214; else ap_NS_fsm <= ap_ST_st214_fsm_213; end if; when ap_ST_st215_fsm_214 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st216_fsm_215; else ap_NS_fsm <= ap_ST_st215_fsm_214; end if; when ap_ST_st216_fsm_215 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st217_fsm_216; else ap_NS_fsm <= ap_ST_st216_fsm_215; end if; when ap_ST_st217_fsm_216 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st218_fsm_217; else ap_NS_fsm <= ap_ST_st217_fsm_216; end if; when ap_ST_st218_fsm_217 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st219_fsm_218; else ap_NS_fsm <= ap_ST_st218_fsm_217; end if; when ap_ST_st219_fsm_218 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st220_fsm_219; else ap_NS_fsm <= ap_ST_st219_fsm_218; end if; when ap_ST_st220_fsm_219 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st221_fsm_220; else ap_NS_fsm <= ap_ST_st220_fsm_219; end if; when ap_ST_st221_fsm_220 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st222_fsm_221; else ap_NS_fsm <= ap_ST_st221_fsm_220; end if; when ap_ST_st222_fsm_221 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st223_fsm_222; else ap_NS_fsm <= ap_ST_st222_fsm_221; end if; when ap_ST_st223_fsm_222 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st224_fsm_223; else ap_NS_fsm <= ap_ST_st223_fsm_222; end if; when ap_ST_st224_fsm_223 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st225_fsm_224; else ap_NS_fsm <= ap_ST_st224_fsm_223; end if; when ap_ST_st225_fsm_224 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st226_fsm_225; else ap_NS_fsm <= ap_ST_st225_fsm_224; end if; when ap_ST_st226_fsm_225 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st227_fsm_226; else ap_NS_fsm <= ap_ST_st226_fsm_225; end if; when ap_ST_st227_fsm_226 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st228_fsm_227; else ap_NS_fsm <= ap_ST_st227_fsm_226; end if; when ap_ST_st228_fsm_227 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st229_fsm_228; else ap_NS_fsm <= ap_ST_st228_fsm_227; end if; when ap_ST_st229_fsm_228 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st230_fsm_229; else ap_NS_fsm <= ap_ST_st229_fsm_228; end if; when ap_ST_st230_fsm_229 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st231_fsm_230; else ap_NS_fsm <= ap_ST_st230_fsm_229; end if; when ap_ST_st231_fsm_230 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st232_fsm_231; else ap_NS_fsm <= ap_ST_st231_fsm_230; end if; when ap_ST_st232_fsm_231 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st233_fsm_232; else ap_NS_fsm <= ap_ST_st232_fsm_231; end if; when ap_ST_st233_fsm_232 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st234_fsm_233; else ap_NS_fsm <= ap_ST_st233_fsm_232; end if; when ap_ST_st234_fsm_233 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st235_fsm_234; else ap_NS_fsm <= ap_ST_st234_fsm_233; end if; when ap_ST_st235_fsm_234 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st236_fsm_235; else ap_NS_fsm <= ap_ST_st235_fsm_234; end if; when ap_ST_st236_fsm_235 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st237_fsm_236; else ap_NS_fsm <= ap_ST_st236_fsm_235; end if; when ap_ST_st237_fsm_236 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st238_fsm_237; else ap_NS_fsm <= ap_ST_st237_fsm_236; end if; when ap_ST_st238_fsm_237 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st239_fsm_238; else ap_NS_fsm <= ap_ST_st238_fsm_237; end if; when ap_ST_st239_fsm_238 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st240_fsm_239; else ap_NS_fsm <= ap_ST_st239_fsm_238; end if; when ap_ST_st240_fsm_239 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st241_fsm_240; else ap_NS_fsm <= ap_ST_st240_fsm_239; end if; when ap_ST_st241_fsm_240 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st242_fsm_241; else ap_NS_fsm <= ap_ST_st241_fsm_240; end if; when ap_ST_st242_fsm_241 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st243_fsm_242; else ap_NS_fsm <= ap_ST_st242_fsm_241; end if; when ap_ST_st243_fsm_242 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st244_fsm_243; else ap_NS_fsm <= ap_ST_st243_fsm_242; end if; when ap_ST_st244_fsm_243 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st245_fsm_244; else ap_NS_fsm <= ap_ST_st244_fsm_243; end if; when ap_ST_st245_fsm_244 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st246_fsm_245; else ap_NS_fsm <= ap_ST_st245_fsm_244; end if; when ap_ST_st246_fsm_245 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st247_fsm_246; else ap_NS_fsm <= ap_ST_st246_fsm_245; end if; when ap_ST_st247_fsm_246 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st248_fsm_247; else ap_NS_fsm <= ap_ST_st247_fsm_246; end if; when ap_ST_st248_fsm_247 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st249_fsm_248; else ap_NS_fsm <= ap_ST_st248_fsm_247; end if; when ap_ST_st249_fsm_248 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st250_fsm_249; else ap_NS_fsm <= ap_ST_st249_fsm_248; end if; when ap_ST_st250_fsm_249 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st251_fsm_250; else ap_NS_fsm <= ap_ST_st250_fsm_249; end if; when ap_ST_st251_fsm_250 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st252_fsm_251; else ap_NS_fsm <= ap_ST_st251_fsm_250; end if; when ap_ST_st252_fsm_251 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st253_fsm_252; else ap_NS_fsm <= ap_ST_st252_fsm_251; end if; when ap_ST_st253_fsm_252 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st254_fsm_253; else ap_NS_fsm <= ap_ST_st253_fsm_252; end if; when ap_ST_st254_fsm_253 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st255_fsm_254; else ap_NS_fsm <= ap_ST_st254_fsm_253; end if; when ap_ST_st255_fsm_254 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st256_fsm_255; else ap_NS_fsm <= ap_ST_st255_fsm_254; end if; when ap_ST_st256_fsm_255 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st257_fsm_256; else ap_NS_fsm <= ap_ST_st256_fsm_255; end if; when ap_ST_st257_fsm_256 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st258_fsm_257; else ap_NS_fsm <= ap_ST_st257_fsm_256; end if; when ap_ST_st258_fsm_257 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st259_fsm_258; else ap_NS_fsm <= ap_ST_st258_fsm_257; end if; when ap_ST_st259_fsm_258 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st260_fsm_259; else ap_NS_fsm <= ap_ST_st259_fsm_258; end if; when ap_ST_st260_fsm_259 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st261_fsm_260; else ap_NS_fsm <= ap_ST_st260_fsm_259; end if; when ap_ST_st261_fsm_260 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st262_fsm_261; else ap_NS_fsm <= ap_ST_st261_fsm_260; end if; when ap_ST_st262_fsm_261 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st263_fsm_262; else ap_NS_fsm <= ap_ST_st262_fsm_261; end if; when ap_ST_st263_fsm_262 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st264_fsm_263; else ap_NS_fsm <= ap_ST_st263_fsm_262; end if; when ap_ST_st264_fsm_263 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st265_fsm_264; else ap_NS_fsm <= ap_ST_st264_fsm_263; end if; when ap_ST_st265_fsm_264 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st266_fsm_265; else ap_NS_fsm <= ap_ST_st265_fsm_264; end if; when ap_ST_st266_fsm_265 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st267_fsm_266; else ap_NS_fsm <= ap_ST_st266_fsm_265; end if; when ap_ST_st267_fsm_266 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st268_fsm_267; else ap_NS_fsm <= ap_ST_st267_fsm_266; end if; when ap_ST_st268_fsm_267 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st269_fsm_268; else ap_NS_fsm <= ap_ST_st268_fsm_267; end if; when ap_ST_st269_fsm_268 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st270_fsm_269; else ap_NS_fsm <= ap_ST_st269_fsm_268; end if; when ap_ST_st270_fsm_269 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st271_fsm_270; else ap_NS_fsm <= ap_ST_st270_fsm_269; end if; when ap_ST_st271_fsm_270 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st272_fsm_271; else ap_NS_fsm <= ap_ST_st271_fsm_270; end if; when ap_ST_st272_fsm_271 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st273_fsm_272; else ap_NS_fsm <= ap_ST_st272_fsm_271; end if; when ap_ST_st273_fsm_272 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st274_fsm_273; else ap_NS_fsm <= ap_ST_st273_fsm_272; end if; when ap_ST_st274_fsm_273 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st275_fsm_274; else ap_NS_fsm <= ap_ST_st274_fsm_273; end if; when ap_ST_st275_fsm_274 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st276_fsm_275; else ap_NS_fsm <= ap_ST_st275_fsm_274; end if; when ap_ST_st276_fsm_275 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st277_fsm_276; else ap_NS_fsm <= ap_ST_st276_fsm_275; end if; when ap_ST_st277_fsm_276 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st278_fsm_277; else ap_NS_fsm <= ap_ST_st277_fsm_276; end if; when ap_ST_st278_fsm_277 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st279_fsm_278; else ap_NS_fsm <= ap_ST_st278_fsm_277; end if; when ap_ST_st279_fsm_278 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st280_fsm_279; else ap_NS_fsm <= ap_ST_st279_fsm_278; end if; when ap_ST_st280_fsm_279 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st281_fsm_280; else ap_NS_fsm <= ap_ST_st280_fsm_279; end if; when ap_ST_st281_fsm_280 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st282_fsm_281; else ap_NS_fsm <= ap_ST_st281_fsm_280; end if; when ap_ST_st282_fsm_281 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st283_fsm_282; else ap_NS_fsm <= ap_ST_st282_fsm_281; end if; when ap_ST_st283_fsm_282 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st284_fsm_283; else ap_NS_fsm <= ap_ST_st283_fsm_282; end if; when ap_ST_st284_fsm_283 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st285_fsm_284; else ap_NS_fsm <= ap_ST_st284_fsm_283; end if; when ap_ST_st285_fsm_284 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st286_fsm_285; else ap_NS_fsm <= ap_ST_st285_fsm_284; end if; when ap_ST_st286_fsm_285 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st287_fsm_286; else ap_NS_fsm <= ap_ST_st286_fsm_285; end if; when ap_ST_st287_fsm_286 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st288_fsm_287; else ap_NS_fsm <= ap_ST_st287_fsm_286; end if; when ap_ST_st288_fsm_287 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st289_fsm_288; else ap_NS_fsm <= ap_ST_st288_fsm_287; end if; when ap_ST_st289_fsm_288 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st290_fsm_289; else ap_NS_fsm <= ap_ST_st289_fsm_288; end if; when ap_ST_st290_fsm_289 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st291_fsm_290; else ap_NS_fsm <= ap_ST_st290_fsm_289; end if; when ap_ST_st291_fsm_290 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st292_fsm_291; else ap_NS_fsm <= ap_ST_st291_fsm_290; end if; when ap_ST_st292_fsm_291 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st293_fsm_292; else ap_NS_fsm <= ap_ST_st292_fsm_291; end if; when ap_ST_st293_fsm_292 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st294_fsm_293; else ap_NS_fsm <= ap_ST_st293_fsm_292; end if; when ap_ST_st294_fsm_293 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st295_fsm_294; else ap_NS_fsm <= ap_ST_st294_fsm_293; end if; when ap_ST_st295_fsm_294 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st296_fsm_295; else ap_NS_fsm <= ap_ST_st295_fsm_294; end if; when ap_ST_st296_fsm_295 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st297_fsm_296; else ap_NS_fsm <= ap_ST_st296_fsm_295; end if; when ap_ST_st297_fsm_296 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st298_fsm_297; else ap_NS_fsm <= ap_ST_st297_fsm_296; end if; when ap_ST_st298_fsm_297 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st299_fsm_298; else ap_NS_fsm <= ap_ST_st298_fsm_297; end if; when ap_ST_st299_fsm_298 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st300_fsm_299; else ap_NS_fsm <= ap_ST_st299_fsm_298; end if; when ap_ST_st300_fsm_299 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_300; else ap_NS_fsm <= ap_ST_st300_fsm_299; end if; when ap_ST_pp0_stg0_fsm_300 => if ((not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it83) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it82)))) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((ap_const_lv1_0 = exitcond2_fu_2840_p2)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_300; elsif (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((ap_const_lv1_0 = exitcond2_fu_2840_p2)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))) then ap_NS_fsm <= ap_ST_st385_fsm_301; else ap_NS_fsm <= ap_ST_st385_fsm_301; end if; when ap_ST_st385_fsm_301 => ap_NS_fsm <= ap_ST_st386_fsm_302; when ap_ST_st386_fsm_302 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st387_fsm_303; else ap_NS_fsm <= ap_ST_st386_fsm_302; end if; when ap_ST_st387_fsm_303 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st388_fsm_304; else ap_NS_fsm <= ap_ST_st387_fsm_303; end if; when ap_ST_st388_fsm_304 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st389_fsm_305; else ap_NS_fsm <= ap_ST_st388_fsm_304; end if; when ap_ST_st389_fsm_305 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st390_fsm_306; else ap_NS_fsm <= ap_ST_st389_fsm_305; end if; when ap_ST_st390_fsm_306 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st391_fsm_307; else ap_NS_fsm <= ap_ST_st390_fsm_306; end if; when ap_ST_st391_fsm_307 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st392_fsm_308; else ap_NS_fsm <= ap_ST_st391_fsm_307; end if; when ap_ST_st392_fsm_308 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st393_fsm_309; else ap_NS_fsm <= ap_ST_st392_fsm_308; end if; when ap_ST_st393_fsm_309 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st394_fsm_310; else ap_NS_fsm <= ap_ST_st393_fsm_309; end if; when ap_ST_st394_fsm_310 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st395_fsm_311; else ap_NS_fsm <= ap_ST_st394_fsm_310; end if; when ap_ST_st395_fsm_311 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st396_fsm_312; else ap_NS_fsm <= ap_ST_st395_fsm_311; end if; when ap_ST_st396_fsm_312 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st397_fsm_313; else ap_NS_fsm <= ap_ST_st396_fsm_312; end if; when ap_ST_st397_fsm_313 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st398_fsm_314; else ap_NS_fsm <= ap_ST_st397_fsm_313; end if; when ap_ST_st398_fsm_314 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st399_fsm_315; else ap_NS_fsm <= ap_ST_st398_fsm_314; end if; when ap_ST_st399_fsm_315 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st400_fsm_316; else ap_NS_fsm <= ap_ST_st399_fsm_315; end if; when ap_ST_st400_fsm_316 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st401_fsm_317; else ap_NS_fsm <= ap_ST_st400_fsm_316; end if; when ap_ST_st401_fsm_317 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st402_fsm_318; else ap_NS_fsm <= ap_ST_st401_fsm_317; end if; when ap_ST_st402_fsm_318 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st403_fsm_319; else ap_NS_fsm <= ap_ST_st402_fsm_318; end if; when ap_ST_st403_fsm_319 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st404_fsm_320; else ap_NS_fsm <= ap_ST_st403_fsm_319; end if; when ap_ST_st404_fsm_320 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st405_fsm_321; else ap_NS_fsm <= ap_ST_st404_fsm_320; end if; when ap_ST_st405_fsm_321 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st406_fsm_322; else ap_NS_fsm <= ap_ST_st405_fsm_321; end if; when ap_ST_st406_fsm_322 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st407_fsm_323; else ap_NS_fsm <= ap_ST_st406_fsm_322; end if; when ap_ST_st407_fsm_323 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st408_fsm_324; else ap_NS_fsm <= ap_ST_st407_fsm_323; end if; when ap_ST_st408_fsm_324 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st409_fsm_325; else ap_NS_fsm <= ap_ST_st408_fsm_324; end if; when ap_ST_st409_fsm_325 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st410_fsm_326; else ap_NS_fsm <= ap_ST_st409_fsm_325; end if; when ap_ST_st410_fsm_326 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st411_fsm_327; else ap_NS_fsm <= ap_ST_st410_fsm_326; end if; when ap_ST_st411_fsm_327 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st412_fsm_328; else ap_NS_fsm <= ap_ST_st411_fsm_327; end if; when ap_ST_st412_fsm_328 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st413_fsm_329; else ap_NS_fsm <= ap_ST_st412_fsm_328; end if; when ap_ST_st413_fsm_329 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st414_fsm_330; else ap_NS_fsm <= ap_ST_st413_fsm_329; end if; when ap_ST_st414_fsm_330 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st415_fsm_331; else ap_NS_fsm <= ap_ST_st414_fsm_330; end if; when ap_ST_st415_fsm_331 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st416_fsm_332; else ap_NS_fsm <= ap_ST_st415_fsm_331; end if; when ap_ST_st416_fsm_332 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st417_fsm_333; else ap_NS_fsm <= ap_ST_st416_fsm_332; end if; when ap_ST_st417_fsm_333 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st418_fsm_334; else ap_NS_fsm <= ap_ST_st417_fsm_333; end if; when ap_ST_st418_fsm_334 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st419_fsm_335; else ap_NS_fsm <= ap_ST_st418_fsm_334; end if; when ap_ST_st419_fsm_335 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st420_fsm_336; else ap_NS_fsm <= ap_ST_st419_fsm_335; end if; when ap_ST_st420_fsm_336 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st421_fsm_337; else ap_NS_fsm <= ap_ST_st420_fsm_336; end if; when ap_ST_st421_fsm_337 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st422_fsm_338; else ap_NS_fsm <= ap_ST_st421_fsm_337; end if; when ap_ST_st422_fsm_338 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st423_fsm_339; else ap_NS_fsm <= ap_ST_st422_fsm_338; end if; when ap_ST_st423_fsm_339 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st424_fsm_340; else ap_NS_fsm <= ap_ST_st423_fsm_339; end if; when ap_ST_st424_fsm_340 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st425_fsm_341; else ap_NS_fsm <= ap_ST_st424_fsm_340; end if; when ap_ST_st425_fsm_341 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st426_fsm_342; else ap_NS_fsm <= ap_ST_st425_fsm_341; end if; when ap_ST_st426_fsm_342 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st427_fsm_343; else ap_NS_fsm <= ap_ST_st426_fsm_342; end if; when ap_ST_st427_fsm_343 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st428_fsm_344; else ap_NS_fsm <= ap_ST_st427_fsm_343; end if; when ap_ST_st428_fsm_344 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st429_fsm_345; else ap_NS_fsm <= ap_ST_st428_fsm_344; end if; when ap_ST_st429_fsm_345 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st430_fsm_346; else ap_NS_fsm <= ap_ST_st429_fsm_345; end if; when ap_ST_st430_fsm_346 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st431_fsm_347; else ap_NS_fsm <= ap_ST_st430_fsm_346; end if; when ap_ST_st431_fsm_347 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st432_fsm_348; else ap_NS_fsm <= ap_ST_st431_fsm_347; end if; when ap_ST_st432_fsm_348 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st433_fsm_349; else ap_NS_fsm <= ap_ST_st432_fsm_348; end if; when ap_ST_st433_fsm_349 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st434_fsm_350; else ap_NS_fsm <= ap_ST_st433_fsm_349; end if; when ap_ST_st434_fsm_350 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st435_fsm_351; else ap_NS_fsm <= ap_ST_st434_fsm_350; end if; when ap_ST_st435_fsm_351 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st436_fsm_352; else ap_NS_fsm <= ap_ST_st435_fsm_351; end if; when ap_ST_st436_fsm_352 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st437_fsm_353; else ap_NS_fsm <= ap_ST_st436_fsm_352; end if; when ap_ST_st437_fsm_353 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st438_fsm_354; else ap_NS_fsm <= ap_ST_st437_fsm_353; end if; when ap_ST_st438_fsm_354 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st439_fsm_355; else ap_NS_fsm <= ap_ST_st438_fsm_354; end if; when ap_ST_st439_fsm_355 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st440_fsm_356; else ap_NS_fsm <= ap_ST_st439_fsm_355; end if; when ap_ST_st440_fsm_356 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st441_fsm_357; else ap_NS_fsm <= ap_ST_st440_fsm_356; end if; when ap_ST_st441_fsm_357 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st442_fsm_358; else ap_NS_fsm <= ap_ST_st441_fsm_357; end if; when ap_ST_st442_fsm_358 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st443_fsm_359; else ap_NS_fsm <= ap_ST_st442_fsm_358; end if; when ap_ST_st443_fsm_359 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st444_fsm_360; else ap_NS_fsm <= ap_ST_st443_fsm_359; end if; when ap_ST_st444_fsm_360 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st445_fsm_361; else ap_NS_fsm <= ap_ST_st444_fsm_360; end if; when ap_ST_st445_fsm_361 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st1_fsm_0; else ap_NS_fsm <= ap_ST_st445_fsm_361; end if; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end case; end process; -- ap_rst_n_inv assign process. -- ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; -- ap_sig_bdd_1004 assign process. -- ap_sig_bdd_1004_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1004 <= (ap_const_lv1_1 = ap_CS_fsm(79 downto 79)); end process; -- ap_sig_bdd_1013 assign process. -- ap_sig_bdd_1013_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1013 <= (ap_const_lv1_1 = ap_CS_fsm(94 downto 94)); end process; -- ap_sig_bdd_1022 assign process. -- ap_sig_bdd_1022_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1022 <= (ap_const_lv1_1 = ap_CS_fsm(109 downto 109)); end process; -- ap_sig_bdd_1031 assign process. -- ap_sig_bdd_1031_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1031 <= (ap_const_lv1_1 = ap_CS_fsm(124 downto 124)); end process; -- ap_sig_bdd_1040 assign process. -- ap_sig_bdd_1040_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1040 <= (ap_const_lv1_1 = ap_CS_fsm(139 downto 139)); end process; -- ap_sig_bdd_1049 assign process. -- ap_sig_bdd_1049_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1049 <= (ap_const_lv1_1 = ap_CS_fsm(154 downto 154)); end process; -- ap_sig_bdd_1058 assign process. -- ap_sig_bdd_1058_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1058 <= (ap_const_lv1_1 = ap_CS_fsm(169 downto 169)); end process; -- ap_sig_bdd_1067 assign process. -- ap_sig_bdd_1067_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1067 <= (ap_const_lv1_1 = ap_CS_fsm(184 downto 184)); end process; -- ap_sig_bdd_1076 assign process. -- ap_sig_bdd_1076_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1076 <= (ap_const_lv1_1 = ap_CS_fsm(199 downto 199)); end process; -- ap_sig_bdd_1085 assign process. -- ap_sig_bdd_1085_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1085 <= (ap_const_lv1_1 = ap_CS_fsm(214 downto 214)); end process; -- ap_sig_bdd_1094 assign process. -- ap_sig_bdd_1094_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1094 <= (ap_const_lv1_1 = ap_CS_fsm(229 downto 229)); end process; -- ap_sig_bdd_1103 assign process. -- ap_sig_bdd_1103_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1103 <= (ap_const_lv1_1 = ap_CS_fsm(244 downto 244)); end process; -- ap_sig_bdd_1112 assign process. -- ap_sig_bdd_1112_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1112 <= (ap_const_lv1_1 = ap_CS_fsm(259 downto 259)); end process; -- ap_sig_bdd_1121 assign process. -- ap_sig_bdd_1121_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1121 <= (ap_const_lv1_1 = ap_CS_fsm(274 downto 274)); end process; -- ap_sig_bdd_1130 assign process. -- ap_sig_bdd_1130_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1130 <= (ap_const_lv1_1 = ap_CS_fsm(289 downto 289)); end process; -- ap_sig_bdd_1140 assign process. -- ap_sig_bdd_1140_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1140 <= (ap_const_lv1_1 = ap_CS_fsm(5 downto 5)); end process; -- ap_sig_bdd_1148 assign process. -- ap_sig_bdd_1148_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1148 <= (ap_const_lv1_1 = ap_CS_fsm(80 downto 80)); end process; -- ap_sig_bdd_1157 assign process. -- ap_sig_bdd_1157_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1157 <= (ap_const_lv1_1 = ap_CS_fsm(95 downto 95)); end process; -- ap_sig_bdd_1166 assign process. -- ap_sig_bdd_1166_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1166 <= (ap_const_lv1_1 = ap_CS_fsm(110 downto 110)); end process; -- ap_sig_bdd_1175 assign process. -- ap_sig_bdd_1175_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1175 <= (ap_const_lv1_1 = ap_CS_fsm(125 downto 125)); end process; -- ap_sig_bdd_1184 assign process. -- ap_sig_bdd_1184_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1184 <= (ap_const_lv1_1 = ap_CS_fsm(140 downto 140)); end process; -- ap_sig_bdd_1193 assign process. -- ap_sig_bdd_1193_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1193 <= (ap_const_lv1_1 = ap_CS_fsm(155 downto 155)); end process; -- ap_sig_bdd_1202 assign process. -- ap_sig_bdd_1202_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1202 <= (ap_const_lv1_1 = ap_CS_fsm(170 downto 170)); end process; -- ap_sig_bdd_1211 assign process. -- ap_sig_bdd_1211_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1211 <= (ap_const_lv1_1 = ap_CS_fsm(185 downto 185)); end process; -- ap_sig_bdd_1220 assign process. -- ap_sig_bdd_1220_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1220 <= (ap_const_lv1_1 = ap_CS_fsm(200 downto 200)); end process; -- ap_sig_bdd_1229 assign process. -- ap_sig_bdd_1229_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1229 <= (ap_const_lv1_1 = ap_CS_fsm(215 downto 215)); end process; -- ap_sig_bdd_1238 assign process. -- ap_sig_bdd_1238_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1238 <= (ap_const_lv1_1 = ap_CS_fsm(230 downto 230)); end process; -- ap_sig_bdd_1247 assign process. -- ap_sig_bdd_1247_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1247 <= (ap_const_lv1_1 = ap_CS_fsm(245 downto 245)); end process; -- ap_sig_bdd_1256 assign process. -- ap_sig_bdd_1256_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1256 <= (ap_const_lv1_1 = ap_CS_fsm(260 downto 260)); end process; -- ap_sig_bdd_1265 assign process. -- ap_sig_bdd_1265_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1265 <= (ap_const_lv1_1 = ap_CS_fsm(275 downto 275)); end process; -- ap_sig_bdd_1274 assign process. -- ap_sig_bdd_1274_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1274 <= (ap_const_lv1_1 = ap_CS_fsm(290 downto 290)); end process; -- ap_sig_bdd_1284 assign process. -- ap_sig_bdd_1284_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1284 <= (ap_const_lv1_1 = ap_CS_fsm(6 downto 6)); end process; -- ap_sig_bdd_1292 assign process. -- ap_sig_bdd_1292_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1292 <= (ap_const_lv1_1 = ap_CS_fsm(81 downto 81)); end process; -- ap_sig_bdd_1301 assign process. -- ap_sig_bdd_1301_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1301 <= (ap_const_lv1_1 = ap_CS_fsm(96 downto 96)); end process; -- ap_sig_bdd_1310 assign process. -- ap_sig_bdd_1310_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1310 <= (ap_const_lv1_1 = ap_CS_fsm(111 downto 111)); end process; -- ap_sig_bdd_1319 assign process. -- ap_sig_bdd_1319_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1319 <= (ap_const_lv1_1 = ap_CS_fsm(126 downto 126)); end process; -- ap_sig_bdd_1328 assign process. -- ap_sig_bdd_1328_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1328 <= (ap_const_lv1_1 = ap_CS_fsm(141 downto 141)); end process; -- ap_sig_bdd_1337 assign process. -- ap_sig_bdd_1337_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1337 <= (ap_const_lv1_1 = ap_CS_fsm(156 downto 156)); end process; -- ap_sig_bdd_1346 assign process. -- ap_sig_bdd_1346_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1346 <= (ap_const_lv1_1 = ap_CS_fsm(171 downto 171)); end process; -- ap_sig_bdd_1355 assign process. -- ap_sig_bdd_1355_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1355 <= (ap_const_lv1_1 = ap_CS_fsm(186 downto 186)); end process; -- ap_sig_bdd_1364 assign process. -- ap_sig_bdd_1364_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1364 <= (ap_const_lv1_1 = ap_CS_fsm(201 downto 201)); end process; -- ap_sig_bdd_1373 assign process. -- ap_sig_bdd_1373_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1373 <= (ap_const_lv1_1 = ap_CS_fsm(216 downto 216)); end process; -- ap_sig_bdd_1382 assign process. -- ap_sig_bdd_1382_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1382 <= (ap_const_lv1_1 = ap_CS_fsm(231 downto 231)); end process; -- ap_sig_bdd_1391 assign process. -- ap_sig_bdd_1391_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1391 <= (ap_const_lv1_1 = ap_CS_fsm(246 downto 246)); end process; -- ap_sig_bdd_1400 assign process. -- ap_sig_bdd_1400_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1400 <= (ap_const_lv1_1 = ap_CS_fsm(261 downto 261)); end process; -- ap_sig_bdd_1409 assign process. -- ap_sig_bdd_1409_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1409 <= (ap_const_lv1_1 = ap_CS_fsm(276 downto 276)); end process; -- ap_sig_bdd_1418 assign process. -- ap_sig_bdd_1418_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1418 <= (ap_const_lv1_1 = ap_CS_fsm(291 downto 291)); end process; -- ap_sig_bdd_1428 assign process. -- ap_sig_bdd_1428_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1428 <= (ap_const_lv1_1 = ap_CS_fsm(7 downto 7)); end process; -- ap_sig_bdd_1436 assign process. -- ap_sig_bdd_1436_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1436 <= (ap_const_lv1_1 = ap_CS_fsm(82 downto 82)); end process; -- ap_sig_bdd_1445 assign process. -- ap_sig_bdd_1445_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1445 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97)); end process; -- ap_sig_bdd_1454 assign process. -- ap_sig_bdd_1454_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1454 <= (ap_const_lv1_1 = ap_CS_fsm(112 downto 112)); end process; -- ap_sig_bdd_1463 assign process. -- ap_sig_bdd_1463_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1463 <= (ap_const_lv1_1 = ap_CS_fsm(127 downto 127)); end process; -- ap_sig_bdd_1472 assign process. -- ap_sig_bdd_1472_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1472 <= (ap_const_lv1_1 = ap_CS_fsm(142 downto 142)); end process; -- ap_sig_bdd_1481 assign process. -- ap_sig_bdd_1481_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1481 <= (ap_const_lv1_1 = ap_CS_fsm(157 downto 157)); end process; -- ap_sig_bdd_1490 assign process. -- ap_sig_bdd_1490_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1490 <= (ap_const_lv1_1 = ap_CS_fsm(172 downto 172)); end process; -- ap_sig_bdd_1499 assign process. -- ap_sig_bdd_1499_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1499 <= (ap_const_lv1_1 = ap_CS_fsm(187 downto 187)); end process; -- ap_sig_bdd_1508 assign process. -- ap_sig_bdd_1508_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1508 <= (ap_const_lv1_1 = ap_CS_fsm(202 downto 202)); end process; -- ap_sig_bdd_1517 assign process. -- ap_sig_bdd_1517_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1517 <= (ap_const_lv1_1 = ap_CS_fsm(217 downto 217)); end process; -- ap_sig_bdd_1526 assign process. -- ap_sig_bdd_1526_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1526 <= (ap_const_lv1_1 = ap_CS_fsm(232 downto 232)); end process; -- ap_sig_bdd_1535 assign process. -- ap_sig_bdd_1535_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1535 <= (ap_const_lv1_1 = ap_CS_fsm(247 downto 247)); end process; -- ap_sig_bdd_1544 assign process. -- ap_sig_bdd_1544_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1544 <= (ap_const_lv1_1 = ap_CS_fsm(262 downto 262)); end process; -- ap_sig_bdd_1553 assign process. -- ap_sig_bdd_1553_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1553 <= (ap_const_lv1_1 = ap_CS_fsm(277 downto 277)); end process; -- ap_sig_bdd_1562 assign process. -- ap_sig_bdd_1562_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1562 <= (ap_const_lv1_1 = ap_CS_fsm(292 downto 292)); end process; -- ap_sig_bdd_1572 assign process. -- ap_sig_bdd_1572_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1572 <= (ap_const_lv1_1 = ap_CS_fsm(8 downto 8)); end process; -- ap_sig_bdd_1580 assign process. -- ap_sig_bdd_1580_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1580 <= (ap_const_lv1_1 = ap_CS_fsm(83 downto 83)); end process; -- ap_sig_bdd_1589 assign process. -- ap_sig_bdd_1589_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1589 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98)); end process; -- ap_sig_bdd_1598 assign process. -- ap_sig_bdd_1598_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1598 <= (ap_const_lv1_1 = ap_CS_fsm(113 downto 113)); end process; -- ap_sig_bdd_1607 assign process. -- ap_sig_bdd_1607_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1607 <= (ap_const_lv1_1 = ap_CS_fsm(128 downto 128)); end process; -- ap_sig_bdd_1616 assign process. -- ap_sig_bdd_1616_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1616 <= (ap_const_lv1_1 = ap_CS_fsm(143 downto 143)); end process; -- ap_sig_bdd_1625 assign process. -- ap_sig_bdd_1625_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1625 <= (ap_const_lv1_1 = ap_CS_fsm(158 downto 158)); end process; -- ap_sig_bdd_1634 assign process. -- ap_sig_bdd_1634_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1634 <= (ap_const_lv1_1 = ap_CS_fsm(173 downto 173)); end process; -- ap_sig_bdd_1643 assign process. -- ap_sig_bdd_1643_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1643 <= (ap_const_lv1_1 = ap_CS_fsm(188 downto 188)); end process; -- ap_sig_bdd_1652 assign process. -- ap_sig_bdd_1652_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1652 <= (ap_const_lv1_1 = ap_CS_fsm(203 downto 203)); end process; -- ap_sig_bdd_1661 assign process. -- ap_sig_bdd_1661_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1661 <= (ap_const_lv1_1 = ap_CS_fsm(218 downto 218)); end process; -- ap_sig_bdd_1670 assign process. -- ap_sig_bdd_1670_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1670 <= (ap_const_lv1_1 = ap_CS_fsm(233 downto 233)); end process; -- ap_sig_bdd_1679 assign process. -- ap_sig_bdd_1679_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1679 <= (ap_const_lv1_1 = ap_CS_fsm(248 downto 248)); end process; -- ap_sig_bdd_1688 assign process. -- ap_sig_bdd_1688_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1688 <= (ap_const_lv1_1 = ap_CS_fsm(263 downto 263)); end process; -- ap_sig_bdd_1697 assign process. -- ap_sig_bdd_1697_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1697 <= (ap_const_lv1_1 = ap_CS_fsm(278 downto 278)); end process; -- ap_sig_bdd_1706 assign process. -- ap_sig_bdd_1706_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1706 <= (ap_const_lv1_1 = ap_CS_fsm(293 downto 293)); end process; -- ap_sig_bdd_1716 assign process. -- ap_sig_bdd_1716_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1716 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9)); end process; -- ap_sig_bdd_1724 assign process. -- ap_sig_bdd_1724_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1724 <= (ap_const_lv1_1 = ap_CS_fsm(84 downto 84)); end process; -- ap_sig_bdd_1733 assign process. -- ap_sig_bdd_1733_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1733 <= (ap_const_lv1_1 = ap_CS_fsm(99 downto 99)); end process; -- ap_sig_bdd_1742 assign process. -- ap_sig_bdd_1742_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1742 <= (ap_const_lv1_1 = ap_CS_fsm(114 downto 114)); end process; -- ap_sig_bdd_1751 assign process. -- ap_sig_bdd_1751_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1751 <= (ap_const_lv1_1 = ap_CS_fsm(129 downto 129)); end process; -- ap_sig_bdd_1760 assign process. -- ap_sig_bdd_1760_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1760 <= (ap_const_lv1_1 = ap_CS_fsm(144 downto 144)); end process; -- ap_sig_bdd_1769 assign process. -- ap_sig_bdd_1769_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1769 <= (ap_const_lv1_1 = ap_CS_fsm(159 downto 159)); end process; -- ap_sig_bdd_1778 assign process. -- ap_sig_bdd_1778_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1778 <= (ap_const_lv1_1 = ap_CS_fsm(174 downto 174)); end process; -- ap_sig_bdd_1787 assign process. -- ap_sig_bdd_1787_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1787 <= (ap_const_lv1_1 = ap_CS_fsm(189 downto 189)); end process; -- ap_sig_bdd_1796 assign process. -- ap_sig_bdd_1796_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1796 <= (ap_const_lv1_1 = ap_CS_fsm(204 downto 204)); end process; -- ap_sig_bdd_1805 assign process. -- ap_sig_bdd_1805_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1805 <= (ap_const_lv1_1 = ap_CS_fsm(219 downto 219)); end process; -- ap_sig_bdd_1814 assign process. -- ap_sig_bdd_1814_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1814 <= (ap_const_lv1_1 = ap_CS_fsm(234 downto 234)); end process; -- ap_sig_bdd_1823 assign process. -- ap_sig_bdd_1823_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1823 <= (ap_const_lv1_1 = ap_CS_fsm(249 downto 249)); end process; -- ap_sig_bdd_1832 assign process. -- ap_sig_bdd_1832_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1832 <= (ap_const_lv1_1 = ap_CS_fsm(264 downto 264)); end process; -- ap_sig_bdd_1841 assign process. -- ap_sig_bdd_1841_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1841 <= (ap_const_lv1_1 = ap_CS_fsm(279 downto 279)); end process; -- ap_sig_bdd_1850 assign process. -- ap_sig_bdd_1850_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1850 <= (ap_const_lv1_1 = ap_CS_fsm(294 downto 294)); end process; -- ap_sig_bdd_1860 assign process. -- ap_sig_bdd_1860_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1860 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10)); end process; -- ap_sig_bdd_1868 assign process. -- ap_sig_bdd_1868_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1868 <= (ap_const_lv1_1 = ap_CS_fsm(85 downto 85)); end process; -- ap_sig_bdd_1877 assign process. -- ap_sig_bdd_1877_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1877 <= (ap_const_lv1_1 = ap_CS_fsm(100 downto 100)); end process; -- ap_sig_bdd_1886 assign process. -- ap_sig_bdd_1886_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1886 <= (ap_const_lv1_1 = ap_CS_fsm(115 downto 115)); end process; -- ap_sig_bdd_1895 assign process. -- ap_sig_bdd_1895_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1895 <= (ap_const_lv1_1 = ap_CS_fsm(130 downto 130)); end process; -- ap_sig_bdd_1904 assign process. -- ap_sig_bdd_1904_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1904 <= (ap_const_lv1_1 = ap_CS_fsm(145 downto 145)); end process; -- ap_sig_bdd_1913 assign process. -- ap_sig_bdd_1913_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1913 <= (ap_const_lv1_1 = ap_CS_fsm(160 downto 160)); end process; -- ap_sig_bdd_1922 assign process. -- ap_sig_bdd_1922_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1922 <= (ap_const_lv1_1 = ap_CS_fsm(175 downto 175)); end process; -- ap_sig_bdd_1931 assign process. -- ap_sig_bdd_1931_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1931 <= (ap_const_lv1_1 = ap_CS_fsm(190 downto 190)); end process; -- ap_sig_bdd_1940 assign process. -- ap_sig_bdd_1940_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1940 <= (ap_const_lv1_1 = ap_CS_fsm(205 downto 205)); end process; -- ap_sig_bdd_1949 assign process. -- ap_sig_bdd_1949_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1949 <= (ap_const_lv1_1 = ap_CS_fsm(220 downto 220)); end process; -- ap_sig_bdd_1958 assign process. -- ap_sig_bdd_1958_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1958 <= (ap_const_lv1_1 = ap_CS_fsm(235 downto 235)); end process; -- ap_sig_bdd_1967 assign process. -- ap_sig_bdd_1967_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1967 <= (ap_const_lv1_1 = ap_CS_fsm(250 downto 250)); end process; -- ap_sig_bdd_1976 assign process. -- ap_sig_bdd_1976_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1976 <= (ap_const_lv1_1 = ap_CS_fsm(265 downto 265)); end process; -- ap_sig_bdd_1985 assign process. -- ap_sig_bdd_1985_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1985 <= (ap_const_lv1_1 = ap_CS_fsm(280 downto 280)); end process; -- ap_sig_bdd_1994 assign process. -- ap_sig_bdd_1994_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1994 <= (ap_const_lv1_1 = ap_CS_fsm(295 downto 295)); end process; -- ap_sig_bdd_2004 assign process. -- ap_sig_bdd_2004_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2004 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11)); end process; -- ap_sig_bdd_2012 assign process. -- ap_sig_bdd_2012_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2012 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86)); end process; -- ap_sig_bdd_2021 assign process. -- ap_sig_bdd_2021_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2021 <= (ap_const_lv1_1 = ap_CS_fsm(101 downto 101)); end process; -- ap_sig_bdd_2030 assign process. -- ap_sig_bdd_2030_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2030 <= (ap_const_lv1_1 = ap_CS_fsm(116 downto 116)); end process; -- ap_sig_bdd_2039 assign process. -- ap_sig_bdd_2039_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2039 <= (ap_const_lv1_1 = ap_CS_fsm(131 downto 131)); end process; -- ap_sig_bdd_2048 assign process. -- ap_sig_bdd_2048_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2048 <= (ap_const_lv1_1 = ap_CS_fsm(146 downto 146)); end process; -- ap_sig_bdd_2057 assign process. -- ap_sig_bdd_2057_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2057 <= (ap_const_lv1_1 = ap_CS_fsm(161 downto 161)); end process; -- ap_sig_bdd_2066 assign process. -- ap_sig_bdd_2066_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2066 <= (ap_const_lv1_1 = ap_CS_fsm(176 downto 176)); end process; -- ap_sig_bdd_2075 assign process. -- ap_sig_bdd_2075_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2075 <= (ap_const_lv1_1 = ap_CS_fsm(191 downto 191)); end process; -- ap_sig_bdd_2084 assign process. -- ap_sig_bdd_2084_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2084 <= (ap_const_lv1_1 = ap_CS_fsm(206 downto 206)); end process; -- ap_sig_bdd_2093 assign process. -- ap_sig_bdd_2093_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2093 <= (ap_const_lv1_1 = ap_CS_fsm(221 downto 221)); end process; -- ap_sig_bdd_2102 assign process. -- ap_sig_bdd_2102_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2102 <= (ap_const_lv1_1 = ap_CS_fsm(236 downto 236)); end process; -- ap_sig_bdd_2111 assign process. -- ap_sig_bdd_2111_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2111 <= (ap_const_lv1_1 = ap_CS_fsm(251 downto 251)); end process; -- ap_sig_bdd_2120 assign process. -- ap_sig_bdd_2120_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2120 <= (ap_const_lv1_1 = ap_CS_fsm(266 downto 266)); end process; -- ap_sig_bdd_2129 assign process. -- ap_sig_bdd_2129_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2129 <= (ap_const_lv1_1 = ap_CS_fsm(281 downto 281)); end process; -- ap_sig_bdd_2138 assign process. -- ap_sig_bdd_2138_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2138 <= (ap_const_lv1_1 = ap_CS_fsm(296 downto 296)); end process; -- ap_sig_bdd_2148 assign process. -- ap_sig_bdd_2148_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2148 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12)); end process; -- ap_sig_bdd_2156 assign process. -- ap_sig_bdd_2156_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2156 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87)); end process; -- ap_sig_bdd_2165 assign process. -- ap_sig_bdd_2165_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2165 <= (ap_const_lv1_1 = ap_CS_fsm(102 downto 102)); end process; -- ap_sig_bdd_2174 assign process. -- ap_sig_bdd_2174_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2174 <= (ap_const_lv1_1 = ap_CS_fsm(117 downto 117)); end process; -- ap_sig_bdd_2183 assign process. -- ap_sig_bdd_2183_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2183 <= (ap_const_lv1_1 = ap_CS_fsm(132 downto 132)); end process; -- ap_sig_bdd_2192 assign process. -- ap_sig_bdd_2192_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2192 <= (ap_const_lv1_1 = ap_CS_fsm(147 downto 147)); end process; -- ap_sig_bdd_2201 assign process. -- ap_sig_bdd_2201_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2201 <= (ap_const_lv1_1 = ap_CS_fsm(162 downto 162)); end process; -- ap_sig_bdd_2210 assign process. -- ap_sig_bdd_2210_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2210 <= (ap_const_lv1_1 = ap_CS_fsm(177 downto 177)); end process; -- ap_sig_bdd_2219 assign process. -- ap_sig_bdd_2219_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2219 <= (ap_const_lv1_1 = ap_CS_fsm(192 downto 192)); end process; -- ap_sig_bdd_2228 assign process. -- ap_sig_bdd_2228_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2228 <= (ap_const_lv1_1 = ap_CS_fsm(207 downto 207)); end process; -- ap_sig_bdd_2237 assign process. -- ap_sig_bdd_2237_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2237 <= (ap_const_lv1_1 = ap_CS_fsm(222 downto 222)); end process; -- ap_sig_bdd_2246 assign process. -- ap_sig_bdd_2246_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2246 <= (ap_const_lv1_1 = ap_CS_fsm(237 downto 237)); end process; -- ap_sig_bdd_2255 assign process. -- ap_sig_bdd_2255_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2255 <= (ap_const_lv1_1 = ap_CS_fsm(252 downto 252)); end process; -- ap_sig_bdd_2264 assign process. -- ap_sig_bdd_2264_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2264 <= (ap_const_lv1_1 = ap_CS_fsm(267 downto 267)); end process; -- ap_sig_bdd_2273 assign process. -- ap_sig_bdd_2273_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2273 <= (ap_const_lv1_1 = ap_CS_fsm(282 downto 282)); end process; -- ap_sig_bdd_2282 assign process. -- ap_sig_bdd_2282_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2282 <= (ap_const_lv1_1 = ap_CS_fsm(297 downto 297)); end process; -- ap_sig_bdd_2292 assign process. -- ap_sig_bdd_2292_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2292 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13)); end process; -- ap_sig_bdd_2300 assign process. -- ap_sig_bdd_2300_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2300 <= (ap_const_lv1_1 = ap_CS_fsm(88 downto 88)); end process; -- ap_sig_bdd_2309 assign process. -- ap_sig_bdd_2309_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2309 <= (ap_const_lv1_1 = ap_CS_fsm(103 downto 103)); end process; -- ap_sig_bdd_2318 assign process. -- ap_sig_bdd_2318_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2318 <= (ap_const_lv1_1 = ap_CS_fsm(118 downto 118)); end process; -- ap_sig_bdd_2327 assign process. -- ap_sig_bdd_2327_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2327 <= (ap_const_lv1_1 = ap_CS_fsm(133 downto 133)); end process; -- ap_sig_bdd_2336 assign process. -- ap_sig_bdd_2336_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2336 <= (ap_const_lv1_1 = ap_CS_fsm(148 downto 148)); end process; -- ap_sig_bdd_2345 assign process. -- ap_sig_bdd_2345_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2345 <= (ap_const_lv1_1 = ap_CS_fsm(163 downto 163)); end process; -- ap_sig_bdd_2354 assign process. -- ap_sig_bdd_2354_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2354 <= (ap_const_lv1_1 = ap_CS_fsm(178 downto 178)); end process; -- ap_sig_bdd_2363 assign process. -- ap_sig_bdd_2363_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2363 <= (ap_const_lv1_1 = ap_CS_fsm(193 downto 193)); end process; -- ap_sig_bdd_2372 assign process. -- ap_sig_bdd_2372_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2372 <= (ap_const_lv1_1 = ap_CS_fsm(208 downto 208)); end process; -- ap_sig_bdd_2381 assign process. -- ap_sig_bdd_2381_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2381 <= (ap_const_lv1_1 = ap_CS_fsm(223 downto 223)); end process; -- ap_sig_bdd_2390 assign process. -- ap_sig_bdd_2390_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2390 <= (ap_const_lv1_1 = ap_CS_fsm(238 downto 238)); end process; -- ap_sig_bdd_2399 assign process. -- ap_sig_bdd_2399_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2399 <= (ap_const_lv1_1 = ap_CS_fsm(253 downto 253)); end process; -- ap_sig_bdd_2408 assign process. -- ap_sig_bdd_2408_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2408 <= (ap_const_lv1_1 = ap_CS_fsm(268 downto 268)); end process; -- ap_sig_bdd_2417 assign process. -- ap_sig_bdd_2417_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2417 <= (ap_const_lv1_1 = ap_CS_fsm(283 downto 283)); end process; -- ap_sig_bdd_2426 assign process. -- ap_sig_bdd_2426_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2426 <= (ap_const_lv1_1 = ap_CS_fsm(298 downto 298)); end process; -- ap_sig_bdd_2437 assign process. -- ap_sig_bdd_2437_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2437 <= (ap_const_lv1_1 = ap_CS_fsm(71 downto 71)); end process; -- ap_sig_bdd_2694 assign process. -- ap_sig_bdd_2694_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2694 <= (ap_const_lv1_1 = ap_CS_fsm(300 downto 300)); end process; -- ap_sig_bdd_2708 assign process. -- ap_sig_bdd_2708_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2708 <= (ap_const_lv1_1 = ap_CS_fsm(302 downto 302)); end process; -- ap_sig_bdd_2719 assign process. -- ap_sig_bdd_2719_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2719 <= (ap_const_lv1_1 = ap_CS_fsm(305 downto 305)); end process; -- ap_sig_bdd_2728 assign process. -- ap_sig_bdd_2728_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2728 <= (ap_const_lv1_1 = ap_CS_fsm(308 downto 308)); end process; -- ap_sig_bdd_2737 assign process. -- ap_sig_bdd_2737_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2737 <= (ap_const_lv1_1 = ap_CS_fsm(311 downto 311)); end process; -- ap_sig_bdd_2746 assign process. -- ap_sig_bdd_2746_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2746 <= (ap_const_lv1_1 = ap_CS_fsm(314 downto 314)); end process; -- ap_sig_bdd_2755 assign process. -- ap_sig_bdd_2755_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2755 <= (ap_const_lv1_1 = ap_CS_fsm(317 downto 317)); end process; -- ap_sig_bdd_2764 assign process. -- ap_sig_bdd_2764_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2764 <= (ap_const_lv1_1 = ap_CS_fsm(320 downto 320)); end process; -- ap_sig_bdd_2773 assign process. -- ap_sig_bdd_2773_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2773 <= (ap_const_lv1_1 = ap_CS_fsm(323 downto 323)); end process; -- ap_sig_bdd_2782 assign process. -- ap_sig_bdd_2782_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2782 <= (ap_const_lv1_1 = ap_CS_fsm(326 downto 326)); end process; -- ap_sig_bdd_2791 assign process. -- ap_sig_bdd_2791_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2791 <= (ap_const_lv1_1 = ap_CS_fsm(329 downto 329)); end process; -- ap_sig_bdd_2800 assign process. -- ap_sig_bdd_2800_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2800 <= (ap_const_lv1_1 = ap_CS_fsm(332 downto 332)); end process; -- ap_sig_bdd_2809 assign process. -- ap_sig_bdd_2809_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2809 <= (ap_const_lv1_1 = ap_CS_fsm(335 downto 335)); end process; -- ap_sig_bdd_2818 assign process. -- ap_sig_bdd_2818_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2818 <= (ap_const_lv1_1 = ap_CS_fsm(338 downto 338)); end process; -- ap_sig_bdd_2827 assign process. -- ap_sig_bdd_2827_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2827 <= (ap_const_lv1_1 = ap_CS_fsm(341 downto 341)); end process; -- ap_sig_bdd_2836 assign process. -- ap_sig_bdd_2836_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2836 <= (ap_const_lv1_1 = ap_CS_fsm(344 downto 344)); end process; -- ap_sig_bdd_2845 assign process. -- ap_sig_bdd_2845_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2845 <= (ap_const_lv1_1 = ap_CS_fsm(347 downto 347)); end process; -- ap_sig_bdd_2854 assign process. -- ap_sig_bdd_2854_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2854 <= (ap_const_lv1_1 = ap_CS_fsm(350 downto 350)); end process; -- ap_sig_bdd_2863 assign process. -- ap_sig_bdd_2863_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2863 <= (ap_const_lv1_1 = ap_CS_fsm(353 downto 353)); end process; -- ap_sig_bdd_2872 assign process. -- ap_sig_bdd_2872_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2872 <= (ap_const_lv1_1 = ap_CS_fsm(356 downto 356)); end process; -- ap_sig_bdd_2881 assign process. -- ap_sig_bdd_2881_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2881 <= (ap_const_lv1_1 = ap_CS_fsm(359 downto 359)); end process; -- ap_sig_bdd_2893 assign process. -- ap_sig_bdd_2893_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2893 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14)); end process; -- ap_sig_bdd_2902 assign process. -- ap_sig_bdd_2902_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2902 <= (ap_const_lv1_1 = ap_CS_fsm(15 downto 15)); end process; -- ap_sig_bdd_2911 assign process. -- ap_sig_bdd_2911_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2911 <= (ap_const_lv1_1 = ap_CS_fsm(16 downto 16)); end process; -- ap_sig_bdd_2920 assign process. -- ap_sig_bdd_2920_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2920 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17)); end process; -- ap_sig_bdd_2929 assign process. -- ap_sig_bdd_2929_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2929 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18)); end process; -- ap_sig_bdd_2938 assign process. -- ap_sig_bdd_2938_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2938 <= (ap_const_lv1_1 = ap_CS_fsm(19 downto 19)); end process; -- ap_sig_bdd_2947 assign process. -- ap_sig_bdd_2947_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2947 <= (ap_const_lv1_1 = ap_CS_fsm(20 downto 20)); end process; -- ap_sig_bdd_2956 assign process. -- ap_sig_bdd_2956_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2956 <= (ap_const_lv1_1 = ap_CS_fsm(21 downto 21)); end process; -- ap_sig_bdd_2965 assign process. -- ap_sig_bdd_2965_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2965 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22)); end process; -- ap_sig_bdd_2974 assign process. -- ap_sig_bdd_2974_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2974 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23)); end process; -- ap_sig_bdd_2983 assign process. -- ap_sig_bdd_2983_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2983 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24)); end process; -- ap_sig_bdd_2992 assign process. -- ap_sig_bdd_2992_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_2992 <= (ap_const_lv1_1 = ap_CS_fsm(25 downto 25)); end process; -- ap_sig_bdd_3001 assign process. -- ap_sig_bdd_3001_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3001 <= (ap_const_lv1_1 = ap_CS_fsm(26 downto 26)); end process; -- ap_sig_bdd_3010 assign process. -- ap_sig_bdd_3010_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3010 <= (ap_const_lv1_1 = ap_CS_fsm(27 downto 27)); end process; -- ap_sig_bdd_3019 assign process. -- ap_sig_bdd_3019_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3019 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28)); end process; -- ap_sig_bdd_3028 assign process. -- ap_sig_bdd_3028_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3028 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29)); end process; -- ap_sig_bdd_3037 assign process. -- ap_sig_bdd_3037_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3037 <= (ap_const_lv1_1 = ap_CS_fsm(30 downto 30)); end process; -- ap_sig_bdd_3046 assign process. -- ap_sig_bdd_3046_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3046 <= (ap_const_lv1_1 = ap_CS_fsm(31 downto 31)); end process; -- ap_sig_bdd_3055 assign process. -- ap_sig_bdd_3055_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3055 <= (ap_const_lv1_1 = ap_CS_fsm(32 downto 32)); end process; -- ap_sig_bdd_3064 assign process. -- ap_sig_bdd_3064_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3064 <= (ap_const_lv1_1 = ap_CS_fsm(33 downto 33)); end process; -- ap_sig_bdd_3073 assign process. -- ap_sig_bdd_3073_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3073 <= (ap_const_lv1_1 = ap_CS_fsm(34 downto 34)); end process; -- ap_sig_bdd_3082 assign process. -- ap_sig_bdd_3082_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3082 <= (ap_const_lv1_1 = ap_CS_fsm(35 downto 35)); end process; -- ap_sig_bdd_3091 assign process. -- ap_sig_bdd_3091_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3091 <= (ap_const_lv1_1 = ap_CS_fsm(36 downto 36)); end process; -- ap_sig_bdd_3100 assign process. -- ap_sig_bdd_3100_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3100 <= (ap_const_lv1_1 = ap_CS_fsm(37 downto 37)); end process; -- ap_sig_bdd_3109 assign process. -- ap_sig_bdd_3109_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3109 <= (ap_const_lv1_1 = ap_CS_fsm(38 downto 38)); end process; -- ap_sig_bdd_3118 assign process. -- ap_sig_bdd_3118_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3118 <= (ap_const_lv1_1 = ap_CS_fsm(39 downto 39)); end process; -- ap_sig_bdd_3127 assign process. -- ap_sig_bdd_3127_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3127 <= (ap_const_lv1_1 = ap_CS_fsm(40 downto 40)); end process; -- ap_sig_bdd_3136 assign process. -- ap_sig_bdd_3136_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3136 <= (ap_const_lv1_1 = ap_CS_fsm(41 downto 41)); end process; -- ap_sig_bdd_3145 assign process. -- ap_sig_bdd_3145_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3145 <= (ap_const_lv1_1 = ap_CS_fsm(42 downto 42)); end process; -- ap_sig_bdd_3154 assign process. -- ap_sig_bdd_3154_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3154 <= (ap_const_lv1_1 = ap_CS_fsm(43 downto 43)); end process; -- ap_sig_bdd_3163 assign process. -- ap_sig_bdd_3163_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3163 <= (ap_const_lv1_1 = ap_CS_fsm(44 downto 44)); end process; -- ap_sig_bdd_3172 assign process. -- ap_sig_bdd_3172_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3172 <= (ap_const_lv1_1 = ap_CS_fsm(45 downto 45)); end process; -- ap_sig_bdd_3181 assign process. -- ap_sig_bdd_3181_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3181 <= (ap_const_lv1_1 = ap_CS_fsm(46 downto 46)); end process; -- ap_sig_bdd_3190 assign process. -- ap_sig_bdd_3190_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3190 <= (ap_const_lv1_1 = ap_CS_fsm(47 downto 47)); end process; -- ap_sig_bdd_3199 assign process. -- ap_sig_bdd_3199_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3199 <= (ap_const_lv1_1 = ap_CS_fsm(48 downto 48)); end process; -- ap_sig_bdd_3208 assign process. -- ap_sig_bdd_3208_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3208 <= (ap_const_lv1_1 = ap_CS_fsm(49 downto 49)); end process; -- ap_sig_bdd_3217 assign process. -- ap_sig_bdd_3217_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3217 <= (ap_const_lv1_1 = ap_CS_fsm(50 downto 50)); end process; -- ap_sig_bdd_3226 assign process. -- ap_sig_bdd_3226_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3226 <= (ap_const_lv1_1 = ap_CS_fsm(51 downto 51)); end process; -- ap_sig_bdd_3235 assign process. -- ap_sig_bdd_3235_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3235 <= (ap_const_lv1_1 = ap_CS_fsm(52 downto 52)); end process; -- ap_sig_bdd_3244 assign process. -- ap_sig_bdd_3244_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3244 <= (ap_const_lv1_1 = ap_CS_fsm(53 downto 53)); end process; -- ap_sig_bdd_3253 assign process. -- ap_sig_bdd_3253_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3253 <= (ap_const_lv1_1 = ap_CS_fsm(54 downto 54)); end process; -- ap_sig_bdd_3262 assign process. -- ap_sig_bdd_3262_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3262 <= (ap_const_lv1_1 = ap_CS_fsm(55 downto 55)); end process; -- ap_sig_bdd_3271 assign process. -- ap_sig_bdd_3271_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3271 <= (ap_const_lv1_1 = ap_CS_fsm(56 downto 56)); end process; -- ap_sig_bdd_3280 assign process. -- ap_sig_bdd_3280_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3280 <= (ap_const_lv1_1 = ap_CS_fsm(57 downto 57)); end process; -- ap_sig_bdd_3289 assign process. -- ap_sig_bdd_3289_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3289 <= (ap_const_lv1_1 = ap_CS_fsm(58 downto 58)); end process; -- ap_sig_bdd_3298 assign process. -- ap_sig_bdd_3298_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3298 <= (ap_const_lv1_1 = ap_CS_fsm(59 downto 59)); end process; -- ap_sig_bdd_3307 assign process. -- ap_sig_bdd_3307_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3307 <= (ap_const_lv1_1 = ap_CS_fsm(60 downto 60)); end process; -- ap_sig_bdd_3316 assign process. -- ap_sig_bdd_3316_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3316 <= (ap_const_lv1_1 = ap_CS_fsm(61 downto 61)); end process; -- ap_sig_bdd_3325 assign process. -- ap_sig_bdd_3325_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3325 <= (ap_const_lv1_1 = ap_CS_fsm(62 downto 62)); end process; -- ap_sig_bdd_3334 assign process. -- ap_sig_bdd_3334_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3334 <= (ap_const_lv1_1 = ap_CS_fsm(63 downto 63)); end process; -- ap_sig_bdd_3343 assign process. -- ap_sig_bdd_3343_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3343 <= (ap_const_lv1_1 = ap_CS_fsm(64 downto 64)); end process; -- ap_sig_bdd_3352 assign process. -- ap_sig_bdd_3352_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3352 <= (ap_const_lv1_1 = ap_CS_fsm(65 downto 65)); end process; -- ap_sig_bdd_3361 assign process. -- ap_sig_bdd_3361_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3361 <= (ap_const_lv1_1 = ap_CS_fsm(66 downto 66)); end process; -- ap_sig_bdd_3370 assign process. -- ap_sig_bdd_3370_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3370 <= (ap_const_lv1_1 = ap_CS_fsm(67 downto 67)); end process; -- ap_sig_bdd_3379 assign process. -- ap_sig_bdd_3379_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3379 <= (ap_const_lv1_1 = ap_CS_fsm(68 downto 68)); end process; -- ap_sig_bdd_3388 assign process. -- ap_sig_bdd_3388_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3388 <= (ap_const_lv1_1 = ap_CS_fsm(69 downto 69)); end process; -- ap_sig_bdd_3397 assign process. -- ap_sig_bdd_3397_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3397 <= (ap_const_lv1_1 = ap_CS_fsm(70 downto 70)); end process; -- ap_sig_bdd_3417 assign process. -- ap_sig_bdd_3417_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3417 <= (ap_const_lv1_1 = ap_CS_fsm(74 downto 74)); end process; -- ap_sig_bdd_3437 assign process. -- ap_sig_bdd_3437_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3437 <= (ap_const_lv1_1 = ap_CS_fsm(89 downto 89)); end process; -- ap_sig_bdd_3447 assign process. -- ap_sig_bdd_3447_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3447 <= (ap_const_lv1_1 = ap_CS_fsm(104 downto 104)); end process; -- ap_sig_bdd_3457 assign process. -- ap_sig_bdd_3457_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3457 <= (ap_const_lv1_1 = ap_CS_fsm(119 downto 119)); end process; -- ap_sig_bdd_3467 assign process. -- ap_sig_bdd_3467_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3467 <= (ap_const_lv1_1 = ap_CS_fsm(134 downto 134)); end process; -- ap_sig_bdd_3477 assign process. -- ap_sig_bdd_3477_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3477 <= (ap_const_lv1_1 = ap_CS_fsm(149 downto 149)); end process; -- ap_sig_bdd_3487 assign process. -- ap_sig_bdd_3487_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3487 <= (ap_const_lv1_1 = ap_CS_fsm(164 downto 164)); end process; -- ap_sig_bdd_3497 assign process. -- ap_sig_bdd_3497_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3497 <= (ap_const_lv1_1 = ap_CS_fsm(179 downto 179)); end process; -- ap_sig_bdd_3507 assign process. -- ap_sig_bdd_3507_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3507 <= (ap_const_lv1_1 = ap_CS_fsm(194 downto 194)); end process; -- ap_sig_bdd_3517 assign process. -- ap_sig_bdd_3517_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3517 <= (ap_const_lv1_1 = ap_CS_fsm(209 downto 209)); end process; -- ap_sig_bdd_3527 assign process. -- ap_sig_bdd_3527_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3527 <= (ap_const_lv1_1 = ap_CS_fsm(224 downto 224)); end process; -- ap_sig_bdd_3537 assign process. -- ap_sig_bdd_3537_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3537 <= (ap_const_lv1_1 = ap_CS_fsm(239 downto 239)); end process; -- ap_sig_bdd_3547 assign process. -- ap_sig_bdd_3547_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_3547 <= (ap_const_lv1_1 = ap_CS_fsm(299 downto 299)); end process; -- ap_sig_bdd_399 assign process. -- ap_sig_bdd_399_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_399 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_410 assign process. -- ap_sig_bdd_410_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_410 <= (ap_const_lv1_1 = ap_CS_fsm(72 downto 72)); end process; -- ap_sig_bdd_419 assign process. -- ap_sig_bdd_419_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_419 <= (ap_const_lv1_1 = ap_CS_fsm(75 downto 75)); end process; -- ap_sig_bdd_428 assign process. -- ap_sig_bdd_428_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_428 <= (ap_const_lv1_1 = ap_CS_fsm(90 downto 90)); end process; -- ap_sig_bdd_437 assign process. -- ap_sig_bdd_437_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_437 <= (ap_const_lv1_1 = ap_CS_fsm(105 downto 105)); end process; -- ap_sig_bdd_446 assign process. -- ap_sig_bdd_446_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_446 <= (ap_const_lv1_1 = ap_CS_fsm(120 downto 120)); end process; -- ap_sig_bdd_455 assign process. -- ap_sig_bdd_455_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_455 <= (ap_const_lv1_1 = ap_CS_fsm(135 downto 135)); end process; -- ap_sig_bdd_464 assign process. -- ap_sig_bdd_464_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_464 <= (ap_const_lv1_1 = ap_CS_fsm(150 downto 150)); end process; -- ap_sig_bdd_473 assign process. -- ap_sig_bdd_473_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_473 <= (ap_const_lv1_1 = ap_CS_fsm(165 downto 165)); end process; -- ap_sig_bdd_482 assign process. -- ap_sig_bdd_482_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_482 <= (ap_const_lv1_1 = ap_CS_fsm(180 downto 180)); end process; -- ap_sig_bdd_491 assign process. -- ap_sig_bdd_491_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_491 <= (ap_const_lv1_1 = ap_CS_fsm(195 downto 195)); end process; -- ap_sig_bdd_500 assign process. -- ap_sig_bdd_500_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_500 <= (ap_const_lv1_1 = ap_CS_fsm(210 downto 210)); end process; -- ap_sig_bdd_5023 assign process. -- ap_sig_bdd_5023_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5023 <= (ap_const_lv1_1 = ap_CS_fsm(254 downto 254)); end process; -- ap_sig_bdd_5046 assign process. -- ap_sig_bdd_5046_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5046 <= (ap_const_lv1_1 = ap_CS_fsm(269 downto 269)); end process; -- ap_sig_bdd_5069 assign process. -- ap_sig_bdd_5069_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5069 <= (ap_const_lv1_1 = ap_CS_fsm(284 downto 284)); end process; -- ap_sig_bdd_509 assign process. -- ap_sig_bdd_509_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_509 <= (ap_const_lv1_1 = ap_CS_fsm(225 downto 225)); end process; -- ap_sig_bdd_5096 assign process. -- ap_sig_bdd_5096_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5096 <= (ap_const_lv1_1 = ap_CS_fsm(303 downto 303)); end process; -- ap_sig_bdd_5104 assign process. -- ap_sig_bdd_5104_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5104 <= (ap_const_lv1_1 = ap_CS_fsm(304 downto 304)); end process; -- ap_sig_bdd_5113 assign process. -- ap_sig_bdd_5113_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5113 <= (ap_const_lv1_1 = ap_CS_fsm(306 downto 306)); end process; -- ap_sig_bdd_5121 assign process. -- ap_sig_bdd_5121_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5121 <= (ap_const_lv1_1 = ap_CS_fsm(307 downto 307)); end process; -- ap_sig_bdd_5130 assign process. -- ap_sig_bdd_5130_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5130 <= (ap_const_lv1_1 = ap_CS_fsm(309 downto 309)); end process; -- ap_sig_bdd_5138 assign process. -- ap_sig_bdd_5138_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5138 <= (ap_const_lv1_1 = ap_CS_fsm(310 downto 310)); end process; -- ap_sig_bdd_5147 assign process. -- ap_sig_bdd_5147_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5147 <= (ap_const_lv1_1 = ap_CS_fsm(312 downto 312)); end process; -- ap_sig_bdd_5155 assign process. -- ap_sig_bdd_5155_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5155 <= (ap_const_lv1_1 = ap_CS_fsm(313 downto 313)); end process; -- ap_sig_bdd_5164 assign process. -- ap_sig_bdd_5164_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5164 <= (ap_const_lv1_1 = ap_CS_fsm(315 downto 315)); end process; -- ap_sig_bdd_5172 assign process. -- ap_sig_bdd_5172_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5172 <= (ap_const_lv1_1 = ap_CS_fsm(316 downto 316)); end process; -- ap_sig_bdd_518 assign process. -- ap_sig_bdd_518_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_518 <= (ap_const_lv1_1 = ap_CS_fsm(240 downto 240)); end process; -- ap_sig_bdd_5181 assign process. -- ap_sig_bdd_5181_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5181 <= (ap_const_lv1_1 = ap_CS_fsm(318 downto 318)); end process; -- ap_sig_bdd_5189 assign process. -- ap_sig_bdd_5189_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5189 <= (ap_const_lv1_1 = ap_CS_fsm(319 downto 319)); end process; -- ap_sig_bdd_5198 assign process. -- ap_sig_bdd_5198_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5198 <= (ap_const_lv1_1 = ap_CS_fsm(321 downto 321)); end process; -- ap_sig_bdd_5206 assign process. -- ap_sig_bdd_5206_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5206 <= (ap_const_lv1_1 = ap_CS_fsm(322 downto 322)); end process; -- ap_sig_bdd_5215 assign process. -- ap_sig_bdd_5215_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5215 <= (ap_const_lv1_1 = ap_CS_fsm(324 downto 324)); end process; -- ap_sig_bdd_5223 assign process. -- ap_sig_bdd_5223_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5223 <= (ap_const_lv1_1 = ap_CS_fsm(325 downto 325)); end process; -- ap_sig_bdd_5232 assign process. -- ap_sig_bdd_5232_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5232 <= (ap_const_lv1_1 = ap_CS_fsm(327 downto 327)); end process; -- ap_sig_bdd_5240 assign process. -- ap_sig_bdd_5240_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5240 <= (ap_const_lv1_1 = ap_CS_fsm(328 downto 328)); end process; -- ap_sig_bdd_5249 assign process. -- ap_sig_bdd_5249_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5249 <= (ap_const_lv1_1 = ap_CS_fsm(330 downto 330)); end process; -- ap_sig_bdd_5257 assign process. -- ap_sig_bdd_5257_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5257 <= (ap_const_lv1_1 = ap_CS_fsm(331 downto 331)); end process; -- ap_sig_bdd_5266 assign process. -- ap_sig_bdd_5266_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5266 <= (ap_const_lv1_1 = ap_CS_fsm(333 downto 333)); end process; -- ap_sig_bdd_527 assign process. -- ap_sig_bdd_527_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_527 <= (ap_const_lv1_1 = ap_CS_fsm(255 downto 255)); end process; -- ap_sig_bdd_5274 assign process. -- ap_sig_bdd_5274_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5274 <= (ap_const_lv1_1 = ap_CS_fsm(334 downto 334)); end process; -- ap_sig_bdd_5283 assign process. -- ap_sig_bdd_5283_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5283 <= (ap_const_lv1_1 = ap_CS_fsm(336 downto 336)); end process; -- ap_sig_bdd_5291 assign process. -- ap_sig_bdd_5291_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5291 <= (ap_const_lv1_1 = ap_CS_fsm(337 downto 337)); end process; -- ap_sig_bdd_5300 assign process. -- ap_sig_bdd_5300_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5300 <= (ap_const_lv1_1 = ap_CS_fsm(339 downto 339)); end process; -- ap_sig_bdd_5308 assign process. -- ap_sig_bdd_5308_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5308 <= (ap_const_lv1_1 = ap_CS_fsm(340 downto 340)); end process; -- ap_sig_bdd_5317 assign process. -- ap_sig_bdd_5317_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5317 <= (ap_const_lv1_1 = ap_CS_fsm(342 downto 342)); end process; -- ap_sig_bdd_5325 assign process. -- ap_sig_bdd_5325_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5325 <= (ap_const_lv1_1 = ap_CS_fsm(343 downto 343)); end process; -- ap_sig_bdd_5334 assign process. -- ap_sig_bdd_5334_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5334 <= (ap_const_lv1_1 = ap_CS_fsm(345 downto 345)); end process; -- ap_sig_bdd_5342 assign process. -- ap_sig_bdd_5342_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5342 <= (ap_const_lv1_1 = ap_CS_fsm(346 downto 346)); end process; -- ap_sig_bdd_5351 assign process. -- ap_sig_bdd_5351_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5351 <= (ap_const_lv1_1 = ap_CS_fsm(348 downto 348)); end process; -- ap_sig_bdd_5359 assign process. -- ap_sig_bdd_5359_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5359 <= (ap_const_lv1_1 = ap_CS_fsm(349 downto 349)); end process; -- ap_sig_bdd_536 assign process. -- ap_sig_bdd_536_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_536 <= (ap_const_lv1_1 = ap_CS_fsm(270 downto 270)); end process; -- ap_sig_bdd_5368 assign process. -- ap_sig_bdd_5368_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5368 <= (ap_const_lv1_1 = ap_CS_fsm(351 downto 351)); end process; -- ap_sig_bdd_5376 assign process. -- ap_sig_bdd_5376_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5376 <= (ap_const_lv1_1 = ap_CS_fsm(352 downto 352)); end process; -- ap_sig_bdd_5385 assign process. -- ap_sig_bdd_5385_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5385 <= (ap_const_lv1_1 = ap_CS_fsm(354 downto 354)); end process; -- ap_sig_bdd_5393 assign process. -- ap_sig_bdd_5393_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5393 <= (ap_const_lv1_1 = ap_CS_fsm(355 downto 355)); end process; -- ap_sig_bdd_5402 assign process. -- ap_sig_bdd_5402_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5402 <= (ap_const_lv1_1 = ap_CS_fsm(357 downto 357)); end process; -- ap_sig_bdd_5410 assign process. -- ap_sig_bdd_5410_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5410 <= (ap_const_lv1_1 = ap_CS_fsm(358 downto 358)); end process; -- ap_sig_bdd_5419 assign process. -- ap_sig_bdd_5419_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5419 <= (ap_const_lv1_1 = ap_CS_fsm(360 downto 360)); end process; -- ap_sig_bdd_5427 assign process. -- ap_sig_bdd_5427_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5427 <= (ap_const_lv1_1 = ap_CS_fsm(361 downto 361)); end process; -- ap_sig_bdd_545 assign process. -- ap_sig_bdd_545_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_545 <= (ap_const_lv1_1 = ap_CS_fsm(285 downto 285)); end process; -- ap_sig_bdd_555 assign process. -- ap_sig_bdd_555_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_555 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1)); end process; -- ap_sig_bdd_563 assign process. -- ap_sig_bdd_563_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_563 <= (ap_const_lv1_1 = ap_CS_fsm(73 downto 73)); end process; -- ap_sig_bdd_572 assign process. -- ap_sig_bdd_572_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_572 <= (ap_const_lv1_1 = ap_CS_fsm(76 downto 76)); end process; -- ap_sig_bdd_581 assign process. -- ap_sig_bdd_581_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_581 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91)); end process; -- ap_sig_bdd_590 assign process. -- ap_sig_bdd_590_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_590 <= (ap_const_lv1_1 = ap_CS_fsm(106 downto 106)); end process; -- ap_sig_bdd_5902 assign process. -- ap_sig_bdd_5902_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_5902 <= (ap_const_lv1_1 = ap_CS_fsm(301 downto 301)); end process; -- ap_sig_bdd_599 assign process. -- ap_sig_bdd_599_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_599 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121)); end process; -- ap_sig_bdd_608 assign process. -- ap_sig_bdd_608_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_608 <= (ap_const_lv1_1 = ap_CS_fsm(136 downto 136)); end process; -- ap_sig_bdd_617 assign process. -- ap_sig_bdd_617_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_617 <= (ap_const_lv1_1 = ap_CS_fsm(151 downto 151)); end process; -- ap_sig_bdd_626 assign process. -- ap_sig_bdd_626_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_626 <= (ap_const_lv1_1 = ap_CS_fsm(166 downto 166)); end process; -- ap_sig_bdd_635 assign process. -- ap_sig_bdd_635_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_635 <= (ap_const_lv1_1 = ap_CS_fsm(181 downto 181)); end process; -- ap_sig_bdd_644 assign process. -- ap_sig_bdd_644_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_644 <= (ap_const_lv1_1 = ap_CS_fsm(196 downto 196)); end process; -- ap_sig_bdd_653 assign process. -- ap_sig_bdd_653_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_653 <= (ap_const_lv1_1 = ap_CS_fsm(211 downto 211)); end process; -- ap_sig_bdd_662 assign process. -- ap_sig_bdd_662_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_662 <= (ap_const_lv1_1 = ap_CS_fsm(226 downto 226)); end process; -- ap_sig_bdd_671 assign process. -- ap_sig_bdd_671_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_671 <= (ap_const_lv1_1 = ap_CS_fsm(241 downto 241)); end process; -- ap_sig_bdd_680 assign process. -- ap_sig_bdd_680_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_680 <= (ap_const_lv1_1 = ap_CS_fsm(256 downto 256)); end process; -- ap_sig_bdd_689 assign process. -- ap_sig_bdd_689_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_689 <= (ap_const_lv1_1 = ap_CS_fsm(271 downto 271)); end process; -- ap_sig_bdd_698 assign process. -- ap_sig_bdd_698_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_698 <= (ap_const_lv1_1 = ap_CS_fsm(286 downto 286)); end process; -- ap_sig_bdd_708 assign process. -- ap_sig_bdd_708_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_708 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2)); end process; -- ap_sig_bdd_716 assign process. -- ap_sig_bdd_716_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_716 <= (ap_const_lv1_1 = ap_CS_fsm(77 downto 77)); end process; -- ap_sig_bdd_725 assign process. -- ap_sig_bdd_725_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_725 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92)); end process; -- ap_sig_bdd_734 assign process. -- ap_sig_bdd_734_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_734 <= (ap_const_lv1_1 = ap_CS_fsm(107 downto 107)); end process; -- ap_sig_bdd_743 assign process. -- ap_sig_bdd_743_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_743 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122)); end process; -- ap_sig_bdd_752 assign process. -- ap_sig_bdd_752_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_752 <= (ap_const_lv1_1 = ap_CS_fsm(137 downto 137)); end process; -- ap_sig_bdd_761 assign process. -- ap_sig_bdd_761_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_761 <= (ap_const_lv1_1 = ap_CS_fsm(152 downto 152)); end process; -- ap_sig_bdd_770 assign process. -- ap_sig_bdd_770_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_770 <= (ap_const_lv1_1 = ap_CS_fsm(167 downto 167)); end process; -- ap_sig_bdd_779 assign process. -- ap_sig_bdd_779_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_779 <= (ap_const_lv1_1 = ap_CS_fsm(182 downto 182)); end process; -- ap_sig_bdd_788 assign process. -- ap_sig_bdd_788_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_788 <= (ap_const_lv1_1 = ap_CS_fsm(197 downto 197)); end process; -- ap_sig_bdd_797 assign process. -- ap_sig_bdd_797_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_797 <= (ap_const_lv1_1 = ap_CS_fsm(212 downto 212)); end process; -- ap_sig_bdd_806 assign process. -- ap_sig_bdd_806_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_806 <= (ap_const_lv1_1 = ap_CS_fsm(227 downto 227)); end process; -- ap_sig_bdd_815 assign process. -- ap_sig_bdd_815_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_815 <= (ap_const_lv1_1 = ap_CS_fsm(242 downto 242)); end process; -- ap_sig_bdd_824 assign process. -- ap_sig_bdd_824_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_824 <= (ap_const_lv1_1 = ap_CS_fsm(257 downto 257)); end process; -- ap_sig_bdd_833 assign process. -- ap_sig_bdd_833_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_833 <= (ap_const_lv1_1 = ap_CS_fsm(272 downto 272)); end process; -- ap_sig_bdd_842 assign process. -- ap_sig_bdd_842_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_842 <= (ap_const_lv1_1 = ap_CS_fsm(287 downto 287)); end process; -- ap_sig_bdd_852 assign process. -- ap_sig_bdd_852_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_852 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3)); end process; -- ap_sig_bdd_860 assign process. -- ap_sig_bdd_860_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_860 <= (ap_const_lv1_1 = ap_CS_fsm(78 downto 78)); end process; -- ap_sig_bdd_869 assign process. -- ap_sig_bdd_869_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_869 <= (ap_const_lv1_1 = ap_CS_fsm(93 downto 93)); end process; -- ap_sig_bdd_878 assign process. -- ap_sig_bdd_878_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_878 <= (ap_const_lv1_1 = ap_CS_fsm(108 downto 108)); end process; -- ap_sig_bdd_887 assign process. -- ap_sig_bdd_887_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_887 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123)); end process; -- ap_sig_bdd_896 assign process. -- ap_sig_bdd_896_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_896 <= (ap_const_lv1_1 = ap_CS_fsm(138 downto 138)); end process; -- ap_sig_bdd_905 assign process. -- ap_sig_bdd_905_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_905 <= (ap_const_lv1_1 = ap_CS_fsm(153 downto 153)); end process; -- ap_sig_bdd_914 assign process. -- ap_sig_bdd_914_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_914 <= (ap_const_lv1_1 = ap_CS_fsm(168 downto 168)); end process; -- ap_sig_bdd_923 assign process. -- ap_sig_bdd_923_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_923 <= (ap_const_lv1_1 = ap_CS_fsm(183 downto 183)); end process; -- ap_sig_bdd_932 assign process. -- ap_sig_bdd_932_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_932 <= (ap_const_lv1_1 = ap_CS_fsm(198 downto 198)); end process; -- ap_sig_bdd_941 assign process. -- ap_sig_bdd_941_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_941 <= (ap_const_lv1_1 = ap_CS_fsm(213 downto 213)); end process; -- ap_sig_bdd_950 assign process. -- ap_sig_bdd_950_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_950 <= (ap_const_lv1_1 = ap_CS_fsm(228 downto 228)); end process; -- ap_sig_bdd_959 assign process. -- ap_sig_bdd_959_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_959 <= (ap_const_lv1_1 = ap_CS_fsm(243 downto 243)); end process; -- ap_sig_bdd_968 assign process. -- ap_sig_bdd_968_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_968 <= (ap_const_lv1_1 = ap_CS_fsm(258 downto 258)); end process; -- ap_sig_bdd_977 assign process. -- ap_sig_bdd_977_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_977 <= (ap_const_lv1_1 = ap_CS_fsm(273 downto 273)); end process; -- ap_sig_bdd_986 assign process. -- ap_sig_bdd_986_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_986 <= (ap_const_lv1_1 = ap_CS_fsm(288 downto 288)); end process; -- ap_sig_bdd_996 assign process. -- ap_sig_bdd_996_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_996 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4)); end process; -- ap_sig_cseq_ST_pp0_stg0_fsm_300 assign process. -- ap_sig_cseq_ST_pp0_stg0_fsm_300_assign_proc : process(ap_sig_bdd_2694) begin if (ap_sig_bdd_2694) then ap_sig_cseq_ST_pp0_stg0_fsm_300 <= ap_const_logic_1; else ap_sig_cseq_ST_pp0_stg0_fsm_300 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st100_fsm_99 assign process. -- ap_sig_cseq_ST_st100_fsm_99_assign_proc : process(ap_sig_bdd_1733) begin if (ap_sig_bdd_1733) then ap_sig_cseq_ST_st100_fsm_99 <= ap_const_logic_1; else ap_sig_cseq_ST_st100_fsm_99 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st101_fsm_100 assign process. -- ap_sig_cseq_ST_st101_fsm_100_assign_proc : process(ap_sig_bdd_1877) begin if (ap_sig_bdd_1877) then ap_sig_cseq_ST_st101_fsm_100 <= ap_const_logic_1; else ap_sig_cseq_ST_st101_fsm_100 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st102_fsm_101 assign process. -- ap_sig_cseq_ST_st102_fsm_101_assign_proc : process(ap_sig_bdd_2021) begin if (ap_sig_bdd_2021) then ap_sig_cseq_ST_st102_fsm_101 <= ap_const_logic_1; else ap_sig_cseq_ST_st102_fsm_101 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st103_fsm_102 assign process. -- ap_sig_cseq_ST_st103_fsm_102_assign_proc : process(ap_sig_bdd_2165) begin if (ap_sig_bdd_2165) then ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_1; else ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st104_fsm_103 assign process. -- ap_sig_cseq_ST_st104_fsm_103_assign_proc : process(ap_sig_bdd_2309) begin if (ap_sig_bdd_2309) then ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_1; else ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st105_fsm_104 assign process. -- ap_sig_cseq_ST_st105_fsm_104_assign_proc : process(ap_sig_bdd_3447) begin if (ap_sig_bdd_3447) then ap_sig_cseq_ST_st105_fsm_104 <= ap_const_logic_1; else ap_sig_cseq_ST_st105_fsm_104 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st106_fsm_105 assign process. -- ap_sig_cseq_ST_st106_fsm_105_assign_proc : process(ap_sig_bdd_437) begin if (ap_sig_bdd_437) then ap_sig_cseq_ST_st106_fsm_105 <= ap_const_logic_1; else ap_sig_cseq_ST_st106_fsm_105 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st107_fsm_106 assign process. -- ap_sig_cseq_ST_st107_fsm_106_assign_proc : process(ap_sig_bdd_590) begin if (ap_sig_bdd_590) then ap_sig_cseq_ST_st107_fsm_106 <= ap_const_logic_1; else ap_sig_cseq_ST_st107_fsm_106 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st108_fsm_107 assign process. -- ap_sig_cseq_ST_st108_fsm_107_assign_proc : process(ap_sig_bdd_734) begin if (ap_sig_bdd_734) then ap_sig_cseq_ST_st108_fsm_107 <= ap_const_logic_1; else ap_sig_cseq_ST_st108_fsm_107 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st109_fsm_108 assign process. -- ap_sig_cseq_ST_st109_fsm_108_assign_proc : process(ap_sig_bdd_878) begin if (ap_sig_bdd_878) then ap_sig_cseq_ST_st109_fsm_108 <= ap_const_logic_1; else ap_sig_cseq_ST_st109_fsm_108 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st10_fsm_9 assign process. -- ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_1716) begin if (ap_sig_bdd_1716) then ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1; else ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st110_fsm_109 assign process. -- ap_sig_cseq_ST_st110_fsm_109_assign_proc : process(ap_sig_bdd_1022) begin if (ap_sig_bdd_1022) then ap_sig_cseq_ST_st110_fsm_109 <= ap_const_logic_1; else ap_sig_cseq_ST_st110_fsm_109 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st111_fsm_110 assign process. -- ap_sig_cseq_ST_st111_fsm_110_assign_proc : process(ap_sig_bdd_1166) begin if (ap_sig_bdd_1166) then ap_sig_cseq_ST_st111_fsm_110 <= ap_const_logic_1; else ap_sig_cseq_ST_st111_fsm_110 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st112_fsm_111 assign process. -- ap_sig_cseq_ST_st112_fsm_111_assign_proc : process(ap_sig_bdd_1310) begin if (ap_sig_bdd_1310) then ap_sig_cseq_ST_st112_fsm_111 <= ap_const_logic_1; else ap_sig_cseq_ST_st112_fsm_111 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st113_fsm_112 assign process. -- ap_sig_cseq_ST_st113_fsm_112_assign_proc : process(ap_sig_bdd_1454) begin if (ap_sig_bdd_1454) then ap_sig_cseq_ST_st113_fsm_112 <= ap_const_logic_1; else ap_sig_cseq_ST_st113_fsm_112 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st114_fsm_113 assign process. -- ap_sig_cseq_ST_st114_fsm_113_assign_proc : process(ap_sig_bdd_1598) begin if (ap_sig_bdd_1598) then ap_sig_cseq_ST_st114_fsm_113 <= ap_const_logic_1; else ap_sig_cseq_ST_st114_fsm_113 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st115_fsm_114 assign process. -- ap_sig_cseq_ST_st115_fsm_114_assign_proc : process(ap_sig_bdd_1742) begin if (ap_sig_bdd_1742) then ap_sig_cseq_ST_st115_fsm_114 <= ap_const_logic_1; else ap_sig_cseq_ST_st115_fsm_114 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st116_fsm_115 assign process. -- ap_sig_cseq_ST_st116_fsm_115_assign_proc : process(ap_sig_bdd_1886) begin if (ap_sig_bdd_1886) then ap_sig_cseq_ST_st116_fsm_115 <= ap_const_logic_1; else ap_sig_cseq_ST_st116_fsm_115 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st117_fsm_116 assign process. -- ap_sig_cseq_ST_st117_fsm_116_assign_proc : process(ap_sig_bdd_2030) begin if (ap_sig_bdd_2030) then ap_sig_cseq_ST_st117_fsm_116 <= ap_const_logic_1; else ap_sig_cseq_ST_st117_fsm_116 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st118_fsm_117 assign process. -- ap_sig_cseq_ST_st118_fsm_117_assign_proc : process(ap_sig_bdd_2174) begin if (ap_sig_bdd_2174) then ap_sig_cseq_ST_st118_fsm_117 <= ap_const_logic_1; else ap_sig_cseq_ST_st118_fsm_117 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st119_fsm_118 assign process. -- ap_sig_cseq_ST_st119_fsm_118_assign_proc : process(ap_sig_bdd_2318) begin if (ap_sig_bdd_2318) then ap_sig_cseq_ST_st119_fsm_118 <= ap_const_logic_1; else ap_sig_cseq_ST_st119_fsm_118 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st11_fsm_10 assign process. -- ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_1860) begin if (ap_sig_bdd_1860) then ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1; else ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st120_fsm_119 assign process. -- ap_sig_cseq_ST_st120_fsm_119_assign_proc : process(ap_sig_bdd_3457) begin if (ap_sig_bdd_3457) then ap_sig_cseq_ST_st120_fsm_119 <= ap_const_logic_1; else ap_sig_cseq_ST_st120_fsm_119 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st121_fsm_120 assign process. -- ap_sig_cseq_ST_st121_fsm_120_assign_proc : process(ap_sig_bdd_446) begin if (ap_sig_bdd_446) then ap_sig_cseq_ST_st121_fsm_120 <= ap_const_logic_1; else ap_sig_cseq_ST_st121_fsm_120 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st122_fsm_121 assign process. -- ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_599) begin if (ap_sig_bdd_599) then ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1; else ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st123_fsm_122 assign process. -- ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_743) begin if (ap_sig_bdd_743) then ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1; else ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st124_fsm_123 assign process. -- ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_887) begin if (ap_sig_bdd_887) then ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1; else ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st125_fsm_124 assign process. -- ap_sig_cseq_ST_st125_fsm_124_assign_proc : process(ap_sig_bdd_1031) begin if (ap_sig_bdd_1031) then ap_sig_cseq_ST_st125_fsm_124 <= ap_const_logic_1; else ap_sig_cseq_ST_st125_fsm_124 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st126_fsm_125 assign process. -- ap_sig_cseq_ST_st126_fsm_125_assign_proc : process(ap_sig_bdd_1175) begin if (ap_sig_bdd_1175) then ap_sig_cseq_ST_st126_fsm_125 <= ap_const_logic_1; else ap_sig_cseq_ST_st126_fsm_125 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st127_fsm_126 assign process. -- ap_sig_cseq_ST_st127_fsm_126_assign_proc : process(ap_sig_bdd_1319) begin if (ap_sig_bdd_1319) then ap_sig_cseq_ST_st127_fsm_126 <= ap_const_logic_1; else ap_sig_cseq_ST_st127_fsm_126 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st128_fsm_127 assign process. -- ap_sig_cseq_ST_st128_fsm_127_assign_proc : process(ap_sig_bdd_1463) begin if (ap_sig_bdd_1463) then ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_1; else ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st129_fsm_128 assign process. -- ap_sig_cseq_ST_st129_fsm_128_assign_proc : process(ap_sig_bdd_1607) begin if (ap_sig_bdd_1607) then ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_1; else ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st12_fsm_11 assign process. -- ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_2004) begin if (ap_sig_bdd_2004) then ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1; else ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st130_fsm_129 assign process. -- ap_sig_cseq_ST_st130_fsm_129_assign_proc : process(ap_sig_bdd_1751) begin if (ap_sig_bdd_1751) then ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_1; else ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st131_fsm_130 assign process. -- ap_sig_cseq_ST_st131_fsm_130_assign_proc : process(ap_sig_bdd_1895) begin if (ap_sig_bdd_1895) then ap_sig_cseq_ST_st131_fsm_130 <= ap_const_logic_1; else ap_sig_cseq_ST_st131_fsm_130 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st132_fsm_131 assign process. -- ap_sig_cseq_ST_st132_fsm_131_assign_proc : process(ap_sig_bdd_2039) begin if (ap_sig_bdd_2039) then ap_sig_cseq_ST_st132_fsm_131 <= ap_const_logic_1; else ap_sig_cseq_ST_st132_fsm_131 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st133_fsm_132 assign process. -- ap_sig_cseq_ST_st133_fsm_132_assign_proc : process(ap_sig_bdd_2183) begin if (ap_sig_bdd_2183) then ap_sig_cseq_ST_st133_fsm_132 <= ap_const_logic_1; else ap_sig_cseq_ST_st133_fsm_132 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st134_fsm_133 assign process. -- ap_sig_cseq_ST_st134_fsm_133_assign_proc : process(ap_sig_bdd_2327) begin if (ap_sig_bdd_2327) then ap_sig_cseq_ST_st134_fsm_133 <= ap_const_logic_1; else ap_sig_cseq_ST_st134_fsm_133 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st135_fsm_134 assign process. -- ap_sig_cseq_ST_st135_fsm_134_assign_proc : process(ap_sig_bdd_3467) begin if (ap_sig_bdd_3467) then ap_sig_cseq_ST_st135_fsm_134 <= ap_const_logic_1; else ap_sig_cseq_ST_st135_fsm_134 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st136_fsm_135 assign process. -- ap_sig_cseq_ST_st136_fsm_135_assign_proc : process(ap_sig_bdd_455) begin if (ap_sig_bdd_455) then ap_sig_cseq_ST_st136_fsm_135 <= ap_const_logic_1; else ap_sig_cseq_ST_st136_fsm_135 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st137_fsm_136 assign process. -- ap_sig_cseq_ST_st137_fsm_136_assign_proc : process(ap_sig_bdd_608) begin if (ap_sig_bdd_608) then ap_sig_cseq_ST_st137_fsm_136 <= ap_const_logic_1; else ap_sig_cseq_ST_st137_fsm_136 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st138_fsm_137 assign process. -- ap_sig_cseq_ST_st138_fsm_137_assign_proc : process(ap_sig_bdd_752) begin if (ap_sig_bdd_752) then ap_sig_cseq_ST_st138_fsm_137 <= ap_const_logic_1; else ap_sig_cseq_ST_st138_fsm_137 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st139_fsm_138 assign process. -- ap_sig_cseq_ST_st139_fsm_138_assign_proc : process(ap_sig_bdd_896) begin if (ap_sig_bdd_896) then ap_sig_cseq_ST_st139_fsm_138 <= ap_const_logic_1; else ap_sig_cseq_ST_st139_fsm_138 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st13_fsm_12 assign process. -- ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_2148) begin if (ap_sig_bdd_2148) then ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1; else ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st140_fsm_139 assign process. -- ap_sig_cseq_ST_st140_fsm_139_assign_proc : process(ap_sig_bdd_1040) begin if (ap_sig_bdd_1040) then ap_sig_cseq_ST_st140_fsm_139 <= ap_const_logic_1; else ap_sig_cseq_ST_st140_fsm_139 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st141_fsm_140 assign process. -- ap_sig_cseq_ST_st141_fsm_140_assign_proc : process(ap_sig_bdd_1184) begin if (ap_sig_bdd_1184) then ap_sig_cseq_ST_st141_fsm_140 <= ap_const_logic_1; else ap_sig_cseq_ST_st141_fsm_140 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st142_fsm_141 assign process. -- ap_sig_cseq_ST_st142_fsm_141_assign_proc : process(ap_sig_bdd_1328) begin if (ap_sig_bdd_1328) then ap_sig_cseq_ST_st142_fsm_141 <= ap_const_logic_1; else ap_sig_cseq_ST_st142_fsm_141 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st143_fsm_142 assign process. -- ap_sig_cseq_ST_st143_fsm_142_assign_proc : process(ap_sig_bdd_1472) begin if (ap_sig_bdd_1472) then ap_sig_cseq_ST_st143_fsm_142 <= ap_const_logic_1; else ap_sig_cseq_ST_st143_fsm_142 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st144_fsm_143 assign process. -- ap_sig_cseq_ST_st144_fsm_143_assign_proc : process(ap_sig_bdd_1616) begin if (ap_sig_bdd_1616) then ap_sig_cseq_ST_st144_fsm_143 <= ap_const_logic_1; else ap_sig_cseq_ST_st144_fsm_143 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st145_fsm_144 assign process. -- ap_sig_cseq_ST_st145_fsm_144_assign_proc : process(ap_sig_bdd_1760) begin if (ap_sig_bdd_1760) then ap_sig_cseq_ST_st145_fsm_144 <= ap_const_logic_1; else ap_sig_cseq_ST_st145_fsm_144 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st146_fsm_145 assign process. -- ap_sig_cseq_ST_st146_fsm_145_assign_proc : process(ap_sig_bdd_1904) begin if (ap_sig_bdd_1904) then ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_1; else ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st147_fsm_146 assign process. -- ap_sig_cseq_ST_st147_fsm_146_assign_proc : process(ap_sig_bdd_2048) begin if (ap_sig_bdd_2048) then ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_1; else ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st148_fsm_147 assign process. -- ap_sig_cseq_ST_st148_fsm_147_assign_proc : process(ap_sig_bdd_2192) begin if (ap_sig_bdd_2192) then ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_1; else ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st149_fsm_148 assign process. -- ap_sig_cseq_ST_st149_fsm_148_assign_proc : process(ap_sig_bdd_2336) begin if (ap_sig_bdd_2336) then ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_1; else ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st14_fsm_13 assign process. -- ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_2292) begin if (ap_sig_bdd_2292) then ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1; else ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st150_fsm_149 assign process. -- ap_sig_cseq_ST_st150_fsm_149_assign_proc : process(ap_sig_bdd_3477) begin if (ap_sig_bdd_3477) then ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_1; else ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st151_fsm_150 assign process. -- ap_sig_cseq_ST_st151_fsm_150_assign_proc : process(ap_sig_bdd_464) begin if (ap_sig_bdd_464) then ap_sig_cseq_ST_st151_fsm_150 <= ap_const_logic_1; else ap_sig_cseq_ST_st151_fsm_150 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st152_fsm_151 assign process. -- ap_sig_cseq_ST_st152_fsm_151_assign_proc : process(ap_sig_bdd_617) begin if (ap_sig_bdd_617) then ap_sig_cseq_ST_st152_fsm_151 <= ap_const_logic_1; else ap_sig_cseq_ST_st152_fsm_151 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st153_fsm_152 assign process. -- ap_sig_cseq_ST_st153_fsm_152_assign_proc : process(ap_sig_bdd_761) begin if (ap_sig_bdd_761) then ap_sig_cseq_ST_st153_fsm_152 <= ap_const_logic_1; else ap_sig_cseq_ST_st153_fsm_152 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st154_fsm_153 assign process. -- ap_sig_cseq_ST_st154_fsm_153_assign_proc : process(ap_sig_bdd_905) begin if (ap_sig_bdd_905) then ap_sig_cseq_ST_st154_fsm_153 <= ap_const_logic_1; else ap_sig_cseq_ST_st154_fsm_153 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st155_fsm_154 assign process. -- ap_sig_cseq_ST_st155_fsm_154_assign_proc : process(ap_sig_bdd_1049) begin if (ap_sig_bdd_1049) then ap_sig_cseq_ST_st155_fsm_154 <= ap_const_logic_1; else ap_sig_cseq_ST_st155_fsm_154 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st156_fsm_155 assign process. -- ap_sig_cseq_ST_st156_fsm_155_assign_proc : process(ap_sig_bdd_1193) begin if (ap_sig_bdd_1193) then ap_sig_cseq_ST_st156_fsm_155 <= ap_const_logic_1; else ap_sig_cseq_ST_st156_fsm_155 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st157_fsm_156 assign process. -- ap_sig_cseq_ST_st157_fsm_156_assign_proc : process(ap_sig_bdd_1337) begin if (ap_sig_bdd_1337) then ap_sig_cseq_ST_st157_fsm_156 <= ap_const_logic_1; else ap_sig_cseq_ST_st157_fsm_156 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st158_fsm_157 assign process. -- ap_sig_cseq_ST_st158_fsm_157_assign_proc : process(ap_sig_bdd_1481) begin if (ap_sig_bdd_1481) then ap_sig_cseq_ST_st158_fsm_157 <= ap_const_logic_1; else ap_sig_cseq_ST_st158_fsm_157 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st159_fsm_158 assign process. -- ap_sig_cseq_ST_st159_fsm_158_assign_proc : process(ap_sig_bdd_1625) begin if (ap_sig_bdd_1625) then ap_sig_cseq_ST_st159_fsm_158 <= ap_const_logic_1; else ap_sig_cseq_ST_st159_fsm_158 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st15_fsm_14 assign process. -- ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_2893) begin if (ap_sig_bdd_2893) then ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1; else ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st160_fsm_159 assign process. -- ap_sig_cseq_ST_st160_fsm_159_assign_proc : process(ap_sig_bdd_1769) begin if (ap_sig_bdd_1769) then ap_sig_cseq_ST_st160_fsm_159 <= ap_const_logic_1; else ap_sig_cseq_ST_st160_fsm_159 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st161_fsm_160 assign process. -- ap_sig_cseq_ST_st161_fsm_160_assign_proc : process(ap_sig_bdd_1913) begin if (ap_sig_bdd_1913) then ap_sig_cseq_ST_st161_fsm_160 <= ap_const_logic_1; else ap_sig_cseq_ST_st161_fsm_160 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st162_fsm_161 assign process. -- ap_sig_cseq_ST_st162_fsm_161_assign_proc : process(ap_sig_bdd_2057) begin if (ap_sig_bdd_2057) then ap_sig_cseq_ST_st162_fsm_161 <= ap_const_logic_1; else ap_sig_cseq_ST_st162_fsm_161 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st163_fsm_162 assign process. -- ap_sig_cseq_ST_st163_fsm_162_assign_proc : process(ap_sig_bdd_2201) begin if (ap_sig_bdd_2201) then ap_sig_cseq_ST_st163_fsm_162 <= ap_const_logic_1; else ap_sig_cseq_ST_st163_fsm_162 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st164_fsm_163 assign process. -- ap_sig_cseq_ST_st164_fsm_163_assign_proc : process(ap_sig_bdd_2345) begin if (ap_sig_bdd_2345) then ap_sig_cseq_ST_st164_fsm_163 <= ap_const_logic_1; else ap_sig_cseq_ST_st164_fsm_163 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st165_fsm_164 assign process. -- ap_sig_cseq_ST_st165_fsm_164_assign_proc : process(ap_sig_bdd_3487) begin if (ap_sig_bdd_3487) then ap_sig_cseq_ST_st165_fsm_164 <= ap_const_logic_1; else ap_sig_cseq_ST_st165_fsm_164 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st166_fsm_165 assign process. -- ap_sig_cseq_ST_st166_fsm_165_assign_proc : process(ap_sig_bdd_473) begin if (ap_sig_bdd_473) then ap_sig_cseq_ST_st166_fsm_165 <= ap_const_logic_1; else ap_sig_cseq_ST_st166_fsm_165 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st167_fsm_166 assign process. -- ap_sig_cseq_ST_st167_fsm_166_assign_proc : process(ap_sig_bdd_626) begin if (ap_sig_bdd_626) then ap_sig_cseq_ST_st167_fsm_166 <= ap_const_logic_1; else ap_sig_cseq_ST_st167_fsm_166 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st168_fsm_167 assign process. -- ap_sig_cseq_ST_st168_fsm_167_assign_proc : process(ap_sig_bdd_770) begin if (ap_sig_bdd_770) then ap_sig_cseq_ST_st168_fsm_167 <= ap_const_logic_1; else ap_sig_cseq_ST_st168_fsm_167 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st169_fsm_168 assign process. -- ap_sig_cseq_ST_st169_fsm_168_assign_proc : process(ap_sig_bdd_914) begin if (ap_sig_bdd_914) then ap_sig_cseq_ST_st169_fsm_168 <= ap_const_logic_1; else ap_sig_cseq_ST_st169_fsm_168 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st16_fsm_15 assign process. -- ap_sig_cseq_ST_st16_fsm_15_assign_proc : process(ap_sig_bdd_2902) begin if (ap_sig_bdd_2902) then ap_sig_cseq_ST_st16_fsm_15 <= ap_const_logic_1; else ap_sig_cseq_ST_st16_fsm_15 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st170_fsm_169 assign process. -- ap_sig_cseq_ST_st170_fsm_169_assign_proc : process(ap_sig_bdd_1058) begin if (ap_sig_bdd_1058) then ap_sig_cseq_ST_st170_fsm_169 <= ap_const_logic_1; else ap_sig_cseq_ST_st170_fsm_169 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st171_fsm_170 assign process. -- ap_sig_cseq_ST_st171_fsm_170_assign_proc : process(ap_sig_bdd_1202) begin if (ap_sig_bdd_1202) then ap_sig_cseq_ST_st171_fsm_170 <= ap_const_logic_1; else ap_sig_cseq_ST_st171_fsm_170 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st172_fsm_171 assign process. -- ap_sig_cseq_ST_st172_fsm_171_assign_proc : process(ap_sig_bdd_1346) begin if (ap_sig_bdd_1346) then ap_sig_cseq_ST_st172_fsm_171 <= ap_const_logic_1; else ap_sig_cseq_ST_st172_fsm_171 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st173_fsm_172 assign process. -- ap_sig_cseq_ST_st173_fsm_172_assign_proc : process(ap_sig_bdd_1490) begin if (ap_sig_bdd_1490) then ap_sig_cseq_ST_st173_fsm_172 <= ap_const_logic_1; else ap_sig_cseq_ST_st173_fsm_172 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st174_fsm_173 assign process. -- ap_sig_cseq_ST_st174_fsm_173_assign_proc : process(ap_sig_bdd_1634) begin if (ap_sig_bdd_1634) then ap_sig_cseq_ST_st174_fsm_173 <= ap_const_logic_1; else ap_sig_cseq_ST_st174_fsm_173 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st175_fsm_174 assign process. -- ap_sig_cseq_ST_st175_fsm_174_assign_proc : process(ap_sig_bdd_1778) begin if (ap_sig_bdd_1778) then ap_sig_cseq_ST_st175_fsm_174 <= ap_const_logic_1; else ap_sig_cseq_ST_st175_fsm_174 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st176_fsm_175 assign process. -- ap_sig_cseq_ST_st176_fsm_175_assign_proc : process(ap_sig_bdd_1922) begin if (ap_sig_bdd_1922) then ap_sig_cseq_ST_st176_fsm_175 <= ap_const_logic_1; else ap_sig_cseq_ST_st176_fsm_175 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st177_fsm_176 assign process. -- ap_sig_cseq_ST_st177_fsm_176_assign_proc : process(ap_sig_bdd_2066) begin if (ap_sig_bdd_2066) then ap_sig_cseq_ST_st177_fsm_176 <= ap_const_logic_1; else ap_sig_cseq_ST_st177_fsm_176 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st178_fsm_177 assign process. -- ap_sig_cseq_ST_st178_fsm_177_assign_proc : process(ap_sig_bdd_2210) begin if (ap_sig_bdd_2210) then ap_sig_cseq_ST_st178_fsm_177 <= ap_const_logic_1; else ap_sig_cseq_ST_st178_fsm_177 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st179_fsm_178 assign process. -- ap_sig_cseq_ST_st179_fsm_178_assign_proc : process(ap_sig_bdd_2354) begin if (ap_sig_bdd_2354) then ap_sig_cseq_ST_st179_fsm_178 <= ap_const_logic_1; else ap_sig_cseq_ST_st179_fsm_178 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st17_fsm_16 assign process. -- ap_sig_cseq_ST_st17_fsm_16_assign_proc : process(ap_sig_bdd_2911) begin if (ap_sig_bdd_2911) then ap_sig_cseq_ST_st17_fsm_16 <= ap_const_logic_1; else ap_sig_cseq_ST_st17_fsm_16 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st180_fsm_179 assign process. -- ap_sig_cseq_ST_st180_fsm_179_assign_proc : process(ap_sig_bdd_3497) begin if (ap_sig_bdd_3497) then ap_sig_cseq_ST_st180_fsm_179 <= ap_const_logic_1; else ap_sig_cseq_ST_st180_fsm_179 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st181_fsm_180 assign process. -- ap_sig_cseq_ST_st181_fsm_180_assign_proc : process(ap_sig_bdd_482) begin if (ap_sig_bdd_482) then ap_sig_cseq_ST_st181_fsm_180 <= ap_const_logic_1; else ap_sig_cseq_ST_st181_fsm_180 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st182_fsm_181 assign process. -- ap_sig_cseq_ST_st182_fsm_181_assign_proc : process(ap_sig_bdd_635) begin if (ap_sig_bdd_635) then ap_sig_cseq_ST_st182_fsm_181 <= ap_const_logic_1; else ap_sig_cseq_ST_st182_fsm_181 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st183_fsm_182 assign process. -- ap_sig_cseq_ST_st183_fsm_182_assign_proc : process(ap_sig_bdd_779) begin if (ap_sig_bdd_779) then ap_sig_cseq_ST_st183_fsm_182 <= ap_const_logic_1; else ap_sig_cseq_ST_st183_fsm_182 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st184_fsm_183 assign process. -- ap_sig_cseq_ST_st184_fsm_183_assign_proc : process(ap_sig_bdd_923) begin if (ap_sig_bdd_923) then ap_sig_cseq_ST_st184_fsm_183 <= ap_const_logic_1; else ap_sig_cseq_ST_st184_fsm_183 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st185_fsm_184 assign process. -- ap_sig_cseq_ST_st185_fsm_184_assign_proc : process(ap_sig_bdd_1067) begin if (ap_sig_bdd_1067) then ap_sig_cseq_ST_st185_fsm_184 <= ap_const_logic_1; else ap_sig_cseq_ST_st185_fsm_184 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st186_fsm_185 assign process. -- ap_sig_cseq_ST_st186_fsm_185_assign_proc : process(ap_sig_bdd_1211) begin if (ap_sig_bdd_1211) then ap_sig_cseq_ST_st186_fsm_185 <= ap_const_logic_1; else ap_sig_cseq_ST_st186_fsm_185 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st187_fsm_186 assign process. -- ap_sig_cseq_ST_st187_fsm_186_assign_proc : process(ap_sig_bdd_1355) begin if (ap_sig_bdd_1355) then ap_sig_cseq_ST_st187_fsm_186 <= ap_const_logic_1; else ap_sig_cseq_ST_st187_fsm_186 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st188_fsm_187 assign process. -- ap_sig_cseq_ST_st188_fsm_187_assign_proc : process(ap_sig_bdd_1499) begin if (ap_sig_bdd_1499) then ap_sig_cseq_ST_st188_fsm_187 <= ap_const_logic_1; else ap_sig_cseq_ST_st188_fsm_187 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st189_fsm_188 assign process. -- ap_sig_cseq_ST_st189_fsm_188_assign_proc : process(ap_sig_bdd_1643) begin if (ap_sig_bdd_1643) then ap_sig_cseq_ST_st189_fsm_188 <= ap_const_logic_1; else ap_sig_cseq_ST_st189_fsm_188 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st18_fsm_17 assign process. -- ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_2920) begin if (ap_sig_bdd_2920) then ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1; else ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st190_fsm_189 assign process. -- ap_sig_cseq_ST_st190_fsm_189_assign_proc : process(ap_sig_bdd_1787) begin if (ap_sig_bdd_1787) then ap_sig_cseq_ST_st190_fsm_189 <= ap_const_logic_1; else ap_sig_cseq_ST_st190_fsm_189 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st191_fsm_190 assign process. -- ap_sig_cseq_ST_st191_fsm_190_assign_proc : process(ap_sig_bdd_1931) begin if (ap_sig_bdd_1931) then ap_sig_cseq_ST_st191_fsm_190 <= ap_const_logic_1; else ap_sig_cseq_ST_st191_fsm_190 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st192_fsm_191 assign process. -- ap_sig_cseq_ST_st192_fsm_191_assign_proc : process(ap_sig_bdd_2075) begin if (ap_sig_bdd_2075) then ap_sig_cseq_ST_st192_fsm_191 <= ap_const_logic_1; else ap_sig_cseq_ST_st192_fsm_191 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st193_fsm_192 assign process. -- ap_sig_cseq_ST_st193_fsm_192_assign_proc : process(ap_sig_bdd_2219) begin if (ap_sig_bdd_2219) then ap_sig_cseq_ST_st193_fsm_192 <= ap_const_logic_1; else ap_sig_cseq_ST_st193_fsm_192 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st194_fsm_193 assign process. -- ap_sig_cseq_ST_st194_fsm_193_assign_proc : process(ap_sig_bdd_2363) begin if (ap_sig_bdd_2363) then ap_sig_cseq_ST_st194_fsm_193 <= ap_const_logic_1; else ap_sig_cseq_ST_st194_fsm_193 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st195_fsm_194 assign process. -- ap_sig_cseq_ST_st195_fsm_194_assign_proc : process(ap_sig_bdd_3507) begin if (ap_sig_bdd_3507) then ap_sig_cseq_ST_st195_fsm_194 <= ap_const_logic_1; else ap_sig_cseq_ST_st195_fsm_194 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st196_fsm_195 assign process. -- ap_sig_cseq_ST_st196_fsm_195_assign_proc : process(ap_sig_bdd_491) begin if (ap_sig_bdd_491) then ap_sig_cseq_ST_st196_fsm_195 <= ap_const_logic_1; else ap_sig_cseq_ST_st196_fsm_195 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st197_fsm_196 assign process. -- ap_sig_cseq_ST_st197_fsm_196_assign_proc : process(ap_sig_bdd_644) begin if (ap_sig_bdd_644) then ap_sig_cseq_ST_st197_fsm_196 <= ap_const_logic_1; else ap_sig_cseq_ST_st197_fsm_196 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st198_fsm_197 assign process. -- ap_sig_cseq_ST_st198_fsm_197_assign_proc : process(ap_sig_bdd_788) begin if (ap_sig_bdd_788) then ap_sig_cseq_ST_st198_fsm_197 <= ap_const_logic_1; else ap_sig_cseq_ST_st198_fsm_197 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st199_fsm_198 assign process. -- ap_sig_cseq_ST_st199_fsm_198_assign_proc : process(ap_sig_bdd_932) begin if (ap_sig_bdd_932) then ap_sig_cseq_ST_st199_fsm_198 <= ap_const_logic_1; else ap_sig_cseq_ST_st199_fsm_198 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st19_fsm_18 assign process. -- ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_2929) begin if (ap_sig_bdd_2929) then ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1; else ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_399) begin if (ap_sig_bdd_399) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st200_fsm_199 assign process. -- ap_sig_cseq_ST_st200_fsm_199_assign_proc : process(ap_sig_bdd_1076) begin if (ap_sig_bdd_1076) then ap_sig_cseq_ST_st200_fsm_199 <= ap_const_logic_1; else ap_sig_cseq_ST_st200_fsm_199 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st201_fsm_200 assign process. -- ap_sig_cseq_ST_st201_fsm_200_assign_proc : process(ap_sig_bdd_1220) begin if (ap_sig_bdd_1220) then ap_sig_cseq_ST_st201_fsm_200 <= ap_const_logic_1; else ap_sig_cseq_ST_st201_fsm_200 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st202_fsm_201 assign process. -- ap_sig_cseq_ST_st202_fsm_201_assign_proc : process(ap_sig_bdd_1364) begin if (ap_sig_bdd_1364) then ap_sig_cseq_ST_st202_fsm_201 <= ap_const_logic_1; else ap_sig_cseq_ST_st202_fsm_201 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st203_fsm_202 assign process. -- ap_sig_cseq_ST_st203_fsm_202_assign_proc : process(ap_sig_bdd_1508) begin if (ap_sig_bdd_1508) then ap_sig_cseq_ST_st203_fsm_202 <= ap_const_logic_1; else ap_sig_cseq_ST_st203_fsm_202 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st204_fsm_203 assign process. -- ap_sig_cseq_ST_st204_fsm_203_assign_proc : process(ap_sig_bdd_1652) begin if (ap_sig_bdd_1652) then ap_sig_cseq_ST_st204_fsm_203 <= ap_const_logic_1; else ap_sig_cseq_ST_st204_fsm_203 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st205_fsm_204 assign process. -- ap_sig_cseq_ST_st205_fsm_204_assign_proc : process(ap_sig_bdd_1796) begin if (ap_sig_bdd_1796) then ap_sig_cseq_ST_st205_fsm_204 <= ap_const_logic_1; else ap_sig_cseq_ST_st205_fsm_204 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st206_fsm_205 assign process. -- ap_sig_cseq_ST_st206_fsm_205_assign_proc : process(ap_sig_bdd_1940) begin if (ap_sig_bdd_1940) then ap_sig_cseq_ST_st206_fsm_205 <= ap_const_logic_1; else ap_sig_cseq_ST_st206_fsm_205 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st207_fsm_206 assign process. -- ap_sig_cseq_ST_st207_fsm_206_assign_proc : process(ap_sig_bdd_2084) begin if (ap_sig_bdd_2084) then ap_sig_cseq_ST_st207_fsm_206 <= ap_const_logic_1; else ap_sig_cseq_ST_st207_fsm_206 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st208_fsm_207 assign process. -- ap_sig_cseq_ST_st208_fsm_207_assign_proc : process(ap_sig_bdd_2228) begin if (ap_sig_bdd_2228) then ap_sig_cseq_ST_st208_fsm_207 <= ap_const_logic_1; else ap_sig_cseq_ST_st208_fsm_207 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st209_fsm_208 assign process. -- ap_sig_cseq_ST_st209_fsm_208_assign_proc : process(ap_sig_bdd_2372) begin if (ap_sig_bdd_2372) then ap_sig_cseq_ST_st209_fsm_208 <= ap_const_logic_1; else ap_sig_cseq_ST_st209_fsm_208 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st20_fsm_19 assign process. -- ap_sig_cseq_ST_st20_fsm_19_assign_proc : process(ap_sig_bdd_2938) begin if (ap_sig_bdd_2938) then ap_sig_cseq_ST_st20_fsm_19 <= ap_const_logic_1; else ap_sig_cseq_ST_st20_fsm_19 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st210_fsm_209 assign process. -- ap_sig_cseq_ST_st210_fsm_209_assign_proc : process(ap_sig_bdd_3517) begin if (ap_sig_bdd_3517) then ap_sig_cseq_ST_st210_fsm_209 <= ap_const_logic_1; else ap_sig_cseq_ST_st210_fsm_209 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st211_fsm_210 assign process. -- ap_sig_cseq_ST_st211_fsm_210_assign_proc : process(ap_sig_bdd_500) begin if (ap_sig_bdd_500) then ap_sig_cseq_ST_st211_fsm_210 <= ap_const_logic_1; else ap_sig_cseq_ST_st211_fsm_210 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st212_fsm_211 assign process. -- ap_sig_cseq_ST_st212_fsm_211_assign_proc : process(ap_sig_bdd_653) begin if (ap_sig_bdd_653) then ap_sig_cseq_ST_st212_fsm_211 <= ap_const_logic_1; else ap_sig_cseq_ST_st212_fsm_211 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st213_fsm_212 assign process. -- ap_sig_cseq_ST_st213_fsm_212_assign_proc : process(ap_sig_bdd_797) begin if (ap_sig_bdd_797) then ap_sig_cseq_ST_st213_fsm_212 <= ap_const_logic_1; else ap_sig_cseq_ST_st213_fsm_212 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st214_fsm_213 assign process. -- ap_sig_cseq_ST_st214_fsm_213_assign_proc : process(ap_sig_bdd_941) begin if (ap_sig_bdd_941) then ap_sig_cseq_ST_st214_fsm_213 <= ap_const_logic_1; else ap_sig_cseq_ST_st214_fsm_213 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st215_fsm_214 assign process. -- ap_sig_cseq_ST_st215_fsm_214_assign_proc : process(ap_sig_bdd_1085) begin if (ap_sig_bdd_1085) then ap_sig_cseq_ST_st215_fsm_214 <= ap_const_logic_1; else ap_sig_cseq_ST_st215_fsm_214 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st216_fsm_215 assign process. -- ap_sig_cseq_ST_st216_fsm_215_assign_proc : process(ap_sig_bdd_1229) begin if (ap_sig_bdd_1229) then ap_sig_cseq_ST_st216_fsm_215 <= ap_const_logic_1; else ap_sig_cseq_ST_st216_fsm_215 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st217_fsm_216 assign process. -- ap_sig_cseq_ST_st217_fsm_216_assign_proc : process(ap_sig_bdd_1373) begin if (ap_sig_bdd_1373) then ap_sig_cseq_ST_st217_fsm_216 <= ap_const_logic_1; else ap_sig_cseq_ST_st217_fsm_216 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st218_fsm_217 assign process. -- ap_sig_cseq_ST_st218_fsm_217_assign_proc : process(ap_sig_bdd_1517) begin if (ap_sig_bdd_1517) then ap_sig_cseq_ST_st218_fsm_217 <= ap_const_logic_1; else ap_sig_cseq_ST_st218_fsm_217 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st219_fsm_218 assign process. -- ap_sig_cseq_ST_st219_fsm_218_assign_proc : process(ap_sig_bdd_1661) begin if (ap_sig_bdd_1661) then ap_sig_cseq_ST_st219_fsm_218 <= ap_const_logic_1; else ap_sig_cseq_ST_st219_fsm_218 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st21_fsm_20 assign process. -- ap_sig_cseq_ST_st21_fsm_20_assign_proc : process(ap_sig_bdd_2947) begin if (ap_sig_bdd_2947) then ap_sig_cseq_ST_st21_fsm_20 <= ap_const_logic_1; else ap_sig_cseq_ST_st21_fsm_20 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st220_fsm_219 assign process. -- ap_sig_cseq_ST_st220_fsm_219_assign_proc : process(ap_sig_bdd_1805) begin if (ap_sig_bdd_1805) then ap_sig_cseq_ST_st220_fsm_219 <= ap_const_logic_1; else ap_sig_cseq_ST_st220_fsm_219 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st221_fsm_220 assign process. -- ap_sig_cseq_ST_st221_fsm_220_assign_proc : process(ap_sig_bdd_1949) begin if (ap_sig_bdd_1949) then ap_sig_cseq_ST_st221_fsm_220 <= ap_const_logic_1; else ap_sig_cseq_ST_st221_fsm_220 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st222_fsm_221 assign process. -- ap_sig_cseq_ST_st222_fsm_221_assign_proc : process(ap_sig_bdd_2093) begin if (ap_sig_bdd_2093) then ap_sig_cseq_ST_st222_fsm_221 <= ap_const_logic_1; else ap_sig_cseq_ST_st222_fsm_221 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st223_fsm_222 assign process. -- ap_sig_cseq_ST_st223_fsm_222_assign_proc : process(ap_sig_bdd_2237) begin if (ap_sig_bdd_2237) then ap_sig_cseq_ST_st223_fsm_222 <= ap_const_logic_1; else ap_sig_cseq_ST_st223_fsm_222 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st224_fsm_223 assign process. -- ap_sig_cseq_ST_st224_fsm_223_assign_proc : process(ap_sig_bdd_2381) begin if (ap_sig_bdd_2381) then ap_sig_cseq_ST_st224_fsm_223 <= ap_const_logic_1; else ap_sig_cseq_ST_st224_fsm_223 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st225_fsm_224 assign process. -- ap_sig_cseq_ST_st225_fsm_224_assign_proc : process(ap_sig_bdd_3527) begin if (ap_sig_bdd_3527) then ap_sig_cseq_ST_st225_fsm_224 <= ap_const_logic_1; else ap_sig_cseq_ST_st225_fsm_224 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st226_fsm_225 assign process. -- ap_sig_cseq_ST_st226_fsm_225_assign_proc : process(ap_sig_bdd_509) begin if (ap_sig_bdd_509) then ap_sig_cseq_ST_st226_fsm_225 <= ap_const_logic_1; else ap_sig_cseq_ST_st226_fsm_225 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st227_fsm_226 assign process. -- ap_sig_cseq_ST_st227_fsm_226_assign_proc : process(ap_sig_bdd_662) begin if (ap_sig_bdd_662) then ap_sig_cseq_ST_st227_fsm_226 <= ap_const_logic_1; else ap_sig_cseq_ST_st227_fsm_226 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st228_fsm_227 assign process. -- ap_sig_cseq_ST_st228_fsm_227_assign_proc : process(ap_sig_bdd_806) begin if (ap_sig_bdd_806) then ap_sig_cseq_ST_st228_fsm_227 <= ap_const_logic_1; else ap_sig_cseq_ST_st228_fsm_227 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st229_fsm_228 assign process. -- ap_sig_cseq_ST_st229_fsm_228_assign_proc : process(ap_sig_bdd_950) begin if (ap_sig_bdd_950) then ap_sig_cseq_ST_st229_fsm_228 <= ap_const_logic_1; else ap_sig_cseq_ST_st229_fsm_228 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st22_fsm_21 assign process. -- ap_sig_cseq_ST_st22_fsm_21_assign_proc : process(ap_sig_bdd_2956) begin if (ap_sig_bdd_2956) then ap_sig_cseq_ST_st22_fsm_21 <= ap_const_logic_1; else ap_sig_cseq_ST_st22_fsm_21 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st230_fsm_229 assign process. -- ap_sig_cseq_ST_st230_fsm_229_assign_proc : process(ap_sig_bdd_1094) begin if (ap_sig_bdd_1094) then ap_sig_cseq_ST_st230_fsm_229 <= ap_const_logic_1; else ap_sig_cseq_ST_st230_fsm_229 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st231_fsm_230 assign process. -- ap_sig_cseq_ST_st231_fsm_230_assign_proc : process(ap_sig_bdd_1238) begin if (ap_sig_bdd_1238) then ap_sig_cseq_ST_st231_fsm_230 <= ap_const_logic_1; else ap_sig_cseq_ST_st231_fsm_230 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st232_fsm_231 assign process. -- ap_sig_cseq_ST_st232_fsm_231_assign_proc : process(ap_sig_bdd_1382) begin if (ap_sig_bdd_1382) then ap_sig_cseq_ST_st232_fsm_231 <= ap_const_logic_1; else ap_sig_cseq_ST_st232_fsm_231 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st233_fsm_232 assign process. -- ap_sig_cseq_ST_st233_fsm_232_assign_proc : process(ap_sig_bdd_1526) begin if (ap_sig_bdd_1526) then ap_sig_cseq_ST_st233_fsm_232 <= ap_const_logic_1; else ap_sig_cseq_ST_st233_fsm_232 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st234_fsm_233 assign process. -- ap_sig_cseq_ST_st234_fsm_233_assign_proc : process(ap_sig_bdd_1670) begin if (ap_sig_bdd_1670) then ap_sig_cseq_ST_st234_fsm_233 <= ap_const_logic_1; else ap_sig_cseq_ST_st234_fsm_233 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st235_fsm_234 assign process. -- ap_sig_cseq_ST_st235_fsm_234_assign_proc : process(ap_sig_bdd_1814) begin if (ap_sig_bdd_1814) then ap_sig_cseq_ST_st235_fsm_234 <= ap_const_logic_1; else ap_sig_cseq_ST_st235_fsm_234 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st236_fsm_235 assign process. -- ap_sig_cseq_ST_st236_fsm_235_assign_proc : process(ap_sig_bdd_1958) begin if (ap_sig_bdd_1958) then ap_sig_cseq_ST_st236_fsm_235 <= ap_const_logic_1; else ap_sig_cseq_ST_st236_fsm_235 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st237_fsm_236 assign process. -- ap_sig_cseq_ST_st237_fsm_236_assign_proc : process(ap_sig_bdd_2102) begin if (ap_sig_bdd_2102) then ap_sig_cseq_ST_st237_fsm_236 <= ap_const_logic_1; else ap_sig_cseq_ST_st237_fsm_236 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st238_fsm_237 assign process. -- ap_sig_cseq_ST_st238_fsm_237_assign_proc : process(ap_sig_bdd_2246) begin if (ap_sig_bdd_2246) then ap_sig_cseq_ST_st238_fsm_237 <= ap_const_logic_1; else ap_sig_cseq_ST_st238_fsm_237 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st239_fsm_238 assign process. -- ap_sig_cseq_ST_st239_fsm_238_assign_proc : process(ap_sig_bdd_2390) begin if (ap_sig_bdd_2390) then ap_sig_cseq_ST_st239_fsm_238 <= ap_const_logic_1; else ap_sig_cseq_ST_st239_fsm_238 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st23_fsm_22 assign process. -- ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_2965) begin if (ap_sig_bdd_2965) then ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1; else ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st240_fsm_239 assign process. -- ap_sig_cseq_ST_st240_fsm_239_assign_proc : process(ap_sig_bdd_3537) begin if (ap_sig_bdd_3537) then ap_sig_cseq_ST_st240_fsm_239 <= ap_const_logic_1; else ap_sig_cseq_ST_st240_fsm_239 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st241_fsm_240 assign process. -- ap_sig_cseq_ST_st241_fsm_240_assign_proc : process(ap_sig_bdd_518) begin if (ap_sig_bdd_518) then ap_sig_cseq_ST_st241_fsm_240 <= ap_const_logic_1; else ap_sig_cseq_ST_st241_fsm_240 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st242_fsm_241 assign process. -- ap_sig_cseq_ST_st242_fsm_241_assign_proc : process(ap_sig_bdd_671) begin if (ap_sig_bdd_671) then ap_sig_cseq_ST_st242_fsm_241 <= ap_const_logic_1; else ap_sig_cseq_ST_st242_fsm_241 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st243_fsm_242 assign process. -- ap_sig_cseq_ST_st243_fsm_242_assign_proc : process(ap_sig_bdd_815) begin if (ap_sig_bdd_815) then ap_sig_cseq_ST_st243_fsm_242 <= ap_const_logic_1; else ap_sig_cseq_ST_st243_fsm_242 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st244_fsm_243 assign process. -- ap_sig_cseq_ST_st244_fsm_243_assign_proc : process(ap_sig_bdd_959) begin if (ap_sig_bdd_959) then ap_sig_cseq_ST_st244_fsm_243 <= ap_const_logic_1; else ap_sig_cseq_ST_st244_fsm_243 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st245_fsm_244 assign process. -- ap_sig_cseq_ST_st245_fsm_244_assign_proc : process(ap_sig_bdd_1103) begin if (ap_sig_bdd_1103) then ap_sig_cseq_ST_st245_fsm_244 <= ap_const_logic_1; else ap_sig_cseq_ST_st245_fsm_244 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st246_fsm_245 assign process. -- ap_sig_cseq_ST_st246_fsm_245_assign_proc : process(ap_sig_bdd_1247) begin if (ap_sig_bdd_1247) then ap_sig_cseq_ST_st246_fsm_245 <= ap_const_logic_1; else ap_sig_cseq_ST_st246_fsm_245 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st247_fsm_246 assign process. -- ap_sig_cseq_ST_st247_fsm_246_assign_proc : process(ap_sig_bdd_1391) begin if (ap_sig_bdd_1391) then ap_sig_cseq_ST_st247_fsm_246 <= ap_const_logic_1; else ap_sig_cseq_ST_st247_fsm_246 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st248_fsm_247 assign process. -- ap_sig_cseq_ST_st248_fsm_247_assign_proc : process(ap_sig_bdd_1535) begin if (ap_sig_bdd_1535) then ap_sig_cseq_ST_st248_fsm_247 <= ap_const_logic_1; else ap_sig_cseq_ST_st248_fsm_247 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st249_fsm_248 assign process. -- ap_sig_cseq_ST_st249_fsm_248_assign_proc : process(ap_sig_bdd_1679) begin if (ap_sig_bdd_1679) then ap_sig_cseq_ST_st249_fsm_248 <= ap_const_logic_1; else ap_sig_cseq_ST_st249_fsm_248 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st24_fsm_23 assign process. -- ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_2974) begin if (ap_sig_bdd_2974) then ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1; else ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st250_fsm_249 assign process. -- ap_sig_cseq_ST_st250_fsm_249_assign_proc : process(ap_sig_bdd_1823) begin if (ap_sig_bdd_1823) then ap_sig_cseq_ST_st250_fsm_249 <= ap_const_logic_1; else ap_sig_cseq_ST_st250_fsm_249 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st251_fsm_250 assign process. -- ap_sig_cseq_ST_st251_fsm_250_assign_proc : process(ap_sig_bdd_1967) begin if (ap_sig_bdd_1967) then ap_sig_cseq_ST_st251_fsm_250 <= ap_const_logic_1; else ap_sig_cseq_ST_st251_fsm_250 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st252_fsm_251 assign process. -- ap_sig_cseq_ST_st252_fsm_251_assign_proc : process(ap_sig_bdd_2111) begin if (ap_sig_bdd_2111) then ap_sig_cseq_ST_st252_fsm_251 <= ap_const_logic_1; else ap_sig_cseq_ST_st252_fsm_251 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st253_fsm_252 assign process. -- ap_sig_cseq_ST_st253_fsm_252_assign_proc : process(ap_sig_bdd_2255) begin if (ap_sig_bdd_2255) then ap_sig_cseq_ST_st253_fsm_252 <= ap_const_logic_1; else ap_sig_cseq_ST_st253_fsm_252 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st254_fsm_253 assign process. -- ap_sig_cseq_ST_st254_fsm_253_assign_proc : process(ap_sig_bdd_2399) begin if (ap_sig_bdd_2399) then ap_sig_cseq_ST_st254_fsm_253 <= ap_const_logic_1; else ap_sig_cseq_ST_st254_fsm_253 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st255_fsm_254 assign process. -- ap_sig_cseq_ST_st255_fsm_254_assign_proc : process(ap_sig_bdd_5023) begin if (ap_sig_bdd_5023) then ap_sig_cseq_ST_st255_fsm_254 <= ap_const_logic_1; else ap_sig_cseq_ST_st255_fsm_254 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st256_fsm_255 assign process. -- ap_sig_cseq_ST_st256_fsm_255_assign_proc : process(ap_sig_bdd_527) begin if (ap_sig_bdd_527) then ap_sig_cseq_ST_st256_fsm_255 <= ap_const_logic_1; else ap_sig_cseq_ST_st256_fsm_255 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st257_fsm_256 assign process. -- ap_sig_cseq_ST_st257_fsm_256_assign_proc : process(ap_sig_bdd_680) begin if (ap_sig_bdd_680) then ap_sig_cseq_ST_st257_fsm_256 <= ap_const_logic_1; else ap_sig_cseq_ST_st257_fsm_256 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st258_fsm_257 assign process. -- ap_sig_cseq_ST_st258_fsm_257_assign_proc : process(ap_sig_bdd_824) begin if (ap_sig_bdd_824) then ap_sig_cseq_ST_st258_fsm_257 <= ap_const_logic_1; else ap_sig_cseq_ST_st258_fsm_257 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st259_fsm_258 assign process. -- ap_sig_cseq_ST_st259_fsm_258_assign_proc : process(ap_sig_bdd_968) begin if (ap_sig_bdd_968) then ap_sig_cseq_ST_st259_fsm_258 <= ap_const_logic_1; else ap_sig_cseq_ST_st259_fsm_258 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st25_fsm_24 assign process. -- ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_2983) begin if (ap_sig_bdd_2983) then ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1; else ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st260_fsm_259 assign process. -- ap_sig_cseq_ST_st260_fsm_259_assign_proc : process(ap_sig_bdd_1112) begin if (ap_sig_bdd_1112) then ap_sig_cseq_ST_st260_fsm_259 <= ap_const_logic_1; else ap_sig_cseq_ST_st260_fsm_259 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st261_fsm_260 assign process. -- ap_sig_cseq_ST_st261_fsm_260_assign_proc : process(ap_sig_bdd_1256) begin if (ap_sig_bdd_1256) then ap_sig_cseq_ST_st261_fsm_260 <= ap_const_logic_1; else ap_sig_cseq_ST_st261_fsm_260 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st262_fsm_261 assign process. -- ap_sig_cseq_ST_st262_fsm_261_assign_proc : process(ap_sig_bdd_1400) begin if (ap_sig_bdd_1400) then ap_sig_cseq_ST_st262_fsm_261 <= ap_const_logic_1; else ap_sig_cseq_ST_st262_fsm_261 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st263_fsm_262 assign process. -- ap_sig_cseq_ST_st263_fsm_262_assign_proc : process(ap_sig_bdd_1544) begin if (ap_sig_bdd_1544) then ap_sig_cseq_ST_st263_fsm_262 <= ap_const_logic_1; else ap_sig_cseq_ST_st263_fsm_262 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st264_fsm_263 assign process. -- ap_sig_cseq_ST_st264_fsm_263_assign_proc : process(ap_sig_bdd_1688) begin if (ap_sig_bdd_1688) then ap_sig_cseq_ST_st264_fsm_263 <= ap_const_logic_1; else ap_sig_cseq_ST_st264_fsm_263 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st265_fsm_264 assign process. -- ap_sig_cseq_ST_st265_fsm_264_assign_proc : process(ap_sig_bdd_1832) begin if (ap_sig_bdd_1832) then ap_sig_cseq_ST_st265_fsm_264 <= ap_const_logic_1; else ap_sig_cseq_ST_st265_fsm_264 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st266_fsm_265 assign process. -- ap_sig_cseq_ST_st266_fsm_265_assign_proc : process(ap_sig_bdd_1976) begin if (ap_sig_bdd_1976) then ap_sig_cseq_ST_st266_fsm_265 <= ap_const_logic_1; else ap_sig_cseq_ST_st266_fsm_265 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st267_fsm_266 assign process. -- ap_sig_cseq_ST_st267_fsm_266_assign_proc : process(ap_sig_bdd_2120) begin if (ap_sig_bdd_2120) then ap_sig_cseq_ST_st267_fsm_266 <= ap_const_logic_1; else ap_sig_cseq_ST_st267_fsm_266 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st268_fsm_267 assign process. -- ap_sig_cseq_ST_st268_fsm_267_assign_proc : process(ap_sig_bdd_2264) begin if (ap_sig_bdd_2264) then ap_sig_cseq_ST_st268_fsm_267 <= ap_const_logic_1; else ap_sig_cseq_ST_st268_fsm_267 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st269_fsm_268 assign process. -- ap_sig_cseq_ST_st269_fsm_268_assign_proc : process(ap_sig_bdd_2408) begin if (ap_sig_bdd_2408) then ap_sig_cseq_ST_st269_fsm_268 <= ap_const_logic_1; else ap_sig_cseq_ST_st269_fsm_268 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st26_fsm_25 assign process. -- ap_sig_cseq_ST_st26_fsm_25_assign_proc : process(ap_sig_bdd_2992) begin if (ap_sig_bdd_2992) then ap_sig_cseq_ST_st26_fsm_25 <= ap_const_logic_1; else ap_sig_cseq_ST_st26_fsm_25 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st270_fsm_269 assign process. -- ap_sig_cseq_ST_st270_fsm_269_assign_proc : process(ap_sig_bdd_5046) begin if (ap_sig_bdd_5046) then ap_sig_cseq_ST_st270_fsm_269 <= ap_const_logic_1; else ap_sig_cseq_ST_st270_fsm_269 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st271_fsm_270 assign process. -- ap_sig_cseq_ST_st271_fsm_270_assign_proc : process(ap_sig_bdd_536) begin if (ap_sig_bdd_536) then ap_sig_cseq_ST_st271_fsm_270 <= ap_const_logic_1; else ap_sig_cseq_ST_st271_fsm_270 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st272_fsm_271 assign process. -- ap_sig_cseq_ST_st272_fsm_271_assign_proc : process(ap_sig_bdd_689) begin if (ap_sig_bdd_689) then ap_sig_cseq_ST_st272_fsm_271 <= ap_const_logic_1; else ap_sig_cseq_ST_st272_fsm_271 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st273_fsm_272 assign process. -- ap_sig_cseq_ST_st273_fsm_272_assign_proc : process(ap_sig_bdd_833) begin if (ap_sig_bdd_833) then ap_sig_cseq_ST_st273_fsm_272 <= ap_const_logic_1; else ap_sig_cseq_ST_st273_fsm_272 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st274_fsm_273 assign process. -- ap_sig_cseq_ST_st274_fsm_273_assign_proc : process(ap_sig_bdd_977) begin if (ap_sig_bdd_977) then ap_sig_cseq_ST_st274_fsm_273 <= ap_const_logic_1; else ap_sig_cseq_ST_st274_fsm_273 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st275_fsm_274 assign process. -- ap_sig_cseq_ST_st275_fsm_274_assign_proc : process(ap_sig_bdd_1121) begin if (ap_sig_bdd_1121) then ap_sig_cseq_ST_st275_fsm_274 <= ap_const_logic_1; else ap_sig_cseq_ST_st275_fsm_274 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st276_fsm_275 assign process. -- ap_sig_cseq_ST_st276_fsm_275_assign_proc : process(ap_sig_bdd_1265) begin if (ap_sig_bdd_1265) then ap_sig_cseq_ST_st276_fsm_275 <= ap_const_logic_1; else ap_sig_cseq_ST_st276_fsm_275 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st277_fsm_276 assign process. -- ap_sig_cseq_ST_st277_fsm_276_assign_proc : process(ap_sig_bdd_1409) begin if (ap_sig_bdd_1409) then ap_sig_cseq_ST_st277_fsm_276 <= ap_const_logic_1; else ap_sig_cseq_ST_st277_fsm_276 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st278_fsm_277 assign process. -- ap_sig_cseq_ST_st278_fsm_277_assign_proc : process(ap_sig_bdd_1553) begin if (ap_sig_bdd_1553) then ap_sig_cseq_ST_st278_fsm_277 <= ap_const_logic_1; else ap_sig_cseq_ST_st278_fsm_277 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st279_fsm_278 assign process. -- ap_sig_cseq_ST_st279_fsm_278_assign_proc : process(ap_sig_bdd_1697) begin if (ap_sig_bdd_1697) then ap_sig_cseq_ST_st279_fsm_278 <= ap_const_logic_1; else ap_sig_cseq_ST_st279_fsm_278 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st27_fsm_26 assign process. -- ap_sig_cseq_ST_st27_fsm_26_assign_proc : process(ap_sig_bdd_3001) begin if (ap_sig_bdd_3001) then ap_sig_cseq_ST_st27_fsm_26 <= ap_const_logic_1; else ap_sig_cseq_ST_st27_fsm_26 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st280_fsm_279 assign process. -- ap_sig_cseq_ST_st280_fsm_279_assign_proc : process(ap_sig_bdd_1841) begin if (ap_sig_bdd_1841) then ap_sig_cseq_ST_st280_fsm_279 <= ap_const_logic_1; else ap_sig_cseq_ST_st280_fsm_279 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st281_fsm_280 assign process. -- ap_sig_cseq_ST_st281_fsm_280_assign_proc : process(ap_sig_bdd_1985) begin if (ap_sig_bdd_1985) then ap_sig_cseq_ST_st281_fsm_280 <= ap_const_logic_1; else ap_sig_cseq_ST_st281_fsm_280 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st282_fsm_281 assign process. -- ap_sig_cseq_ST_st282_fsm_281_assign_proc : process(ap_sig_bdd_2129) begin if (ap_sig_bdd_2129) then ap_sig_cseq_ST_st282_fsm_281 <= ap_const_logic_1; else ap_sig_cseq_ST_st282_fsm_281 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st283_fsm_282 assign process. -- ap_sig_cseq_ST_st283_fsm_282_assign_proc : process(ap_sig_bdd_2273) begin if (ap_sig_bdd_2273) then ap_sig_cseq_ST_st283_fsm_282 <= ap_const_logic_1; else ap_sig_cseq_ST_st283_fsm_282 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st284_fsm_283 assign process. -- ap_sig_cseq_ST_st284_fsm_283_assign_proc : process(ap_sig_bdd_2417) begin if (ap_sig_bdd_2417) then ap_sig_cseq_ST_st284_fsm_283 <= ap_const_logic_1; else ap_sig_cseq_ST_st284_fsm_283 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st285_fsm_284 assign process. -- ap_sig_cseq_ST_st285_fsm_284_assign_proc : process(ap_sig_bdd_5069) begin if (ap_sig_bdd_5069) then ap_sig_cseq_ST_st285_fsm_284 <= ap_const_logic_1; else ap_sig_cseq_ST_st285_fsm_284 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st286_fsm_285 assign process. -- ap_sig_cseq_ST_st286_fsm_285_assign_proc : process(ap_sig_bdd_545) begin if (ap_sig_bdd_545) then ap_sig_cseq_ST_st286_fsm_285 <= ap_const_logic_1; else ap_sig_cseq_ST_st286_fsm_285 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st287_fsm_286 assign process. -- ap_sig_cseq_ST_st287_fsm_286_assign_proc : process(ap_sig_bdd_698) begin if (ap_sig_bdd_698) then ap_sig_cseq_ST_st287_fsm_286 <= ap_const_logic_1; else ap_sig_cseq_ST_st287_fsm_286 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st288_fsm_287 assign process. -- ap_sig_cseq_ST_st288_fsm_287_assign_proc : process(ap_sig_bdd_842) begin if (ap_sig_bdd_842) then ap_sig_cseq_ST_st288_fsm_287 <= ap_const_logic_1; else ap_sig_cseq_ST_st288_fsm_287 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st289_fsm_288 assign process. -- ap_sig_cseq_ST_st289_fsm_288_assign_proc : process(ap_sig_bdd_986) begin if (ap_sig_bdd_986) then ap_sig_cseq_ST_st289_fsm_288 <= ap_const_logic_1; else ap_sig_cseq_ST_st289_fsm_288 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st28_fsm_27 assign process. -- ap_sig_cseq_ST_st28_fsm_27_assign_proc : process(ap_sig_bdd_3010) begin if (ap_sig_bdd_3010) then ap_sig_cseq_ST_st28_fsm_27 <= ap_const_logic_1; else ap_sig_cseq_ST_st28_fsm_27 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st290_fsm_289 assign process. -- ap_sig_cseq_ST_st290_fsm_289_assign_proc : process(ap_sig_bdd_1130) begin if (ap_sig_bdd_1130) then ap_sig_cseq_ST_st290_fsm_289 <= ap_const_logic_1; else ap_sig_cseq_ST_st290_fsm_289 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st291_fsm_290 assign process. -- ap_sig_cseq_ST_st291_fsm_290_assign_proc : process(ap_sig_bdd_1274) begin if (ap_sig_bdd_1274) then ap_sig_cseq_ST_st291_fsm_290 <= ap_const_logic_1; else ap_sig_cseq_ST_st291_fsm_290 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st292_fsm_291 assign process. -- ap_sig_cseq_ST_st292_fsm_291_assign_proc : process(ap_sig_bdd_1418) begin if (ap_sig_bdd_1418) then ap_sig_cseq_ST_st292_fsm_291 <= ap_const_logic_1; else ap_sig_cseq_ST_st292_fsm_291 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st293_fsm_292 assign process. -- ap_sig_cseq_ST_st293_fsm_292_assign_proc : process(ap_sig_bdd_1562) begin if (ap_sig_bdd_1562) then ap_sig_cseq_ST_st293_fsm_292 <= ap_const_logic_1; else ap_sig_cseq_ST_st293_fsm_292 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st294_fsm_293 assign process. -- ap_sig_cseq_ST_st294_fsm_293_assign_proc : process(ap_sig_bdd_1706) begin if (ap_sig_bdd_1706) then ap_sig_cseq_ST_st294_fsm_293 <= ap_const_logic_1; else ap_sig_cseq_ST_st294_fsm_293 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st295_fsm_294 assign process. -- ap_sig_cseq_ST_st295_fsm_294_assign_proc : process(ap_sig_bdd_1850) begin if (ap_sig_bdd_1850) then ap_sig_cseq_ST_st295_fsm_294 <= ap_const_logic_1; else ap_sig_cseq_ST_st295_fsm_294 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st296_fsm_295 assign process. -- ap_sig_cseq_ST_st296_fsm_295_assign_proc : process(ap_sig_bdd_1994) begin if (ap_sig_bdd_1994) then ap_sig_cseq_ST_st296_fsm_295 <= ap_const_logic_1; else ap_sig_cseq_ST_st296_fsm_295 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st297_fsm_296 assign process. -- ap_sig_cseq_ST_st297_fsm_296_assign_proc : process(ap_sig_bdd_2138) begin if (ap_sig_bdd_2138) then ap_sig_cseq_ST_st297_fsm_296 <= ap_const_logic_1; else ap_sig_cseq_ST_st297_fsm_296 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st298_fsm_297 assign process. -- ap_sig_cseq_ST_st298_fsm_297_assign_proc : process(ap_sig_bdd_2282) begin if (ap_sig_bdd_2282) then ap_sig_cseq_ST_st298_fsm_297 <= ap_const_logic_1; else ap_sig_cseq_ST_st298_fsm_297 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st299_fsm_298 assign process. -- ap_sig_cseq_ST_st299_fsm_298_assign_proc : process(ap_sig_bdd_2426) begin if (ap_sig_bdd_2426) then ap_sig_cseq_ST_st299_fsm_298 <= ap_const_logic_1; else ap_sig_cseq_ST_st299_fsm_298 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st29_fsm_28 assign process. -- ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_3019) begin if (ap_sig_bdd_3019) then ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1; else ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st2_fsm_1 assign process. -- ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_555) begin if (ap_sig_bdd_555) then ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1; else ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st300_fsm_299 assign process. -- ap_sig_cseq_ST_st300_fsm_299_assign_proc : process(ap_sig_bdd_3547) begin if (ap_sig_bdd_3547) then ap_sig_cseq_ST_st300_fsm_299 <= ap_const_logic_1; else ap_sig_cseq_ST_st300_fsm_299 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st30_fsm_29 assign process. -- ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_3028) begin if (ap_sig_bdd_3028) then ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1; else ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st31_fsm_30 assign process. -- ap_sig_cseq_ST_st31_fsm_30_assign_proc : process(ap_sig_bdd_3037) begin if (ap_sig_bdd_3037) then ap_sig_cseq_ST_st31_fsm_30 <= ap_const_logic_1; else ap_sig_cseq_ST_st31_fsm_30 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st32_fsm_31 assign process. -- ap_sig_cseq_ST_st32_fsm_31_assign_proc : process(ap_sig_bdd_3046) begin if (ap_sig_bdd_3046) then ap_sig_cseq_ST_st32_fsm_31 <= ap_const_logic_1; else ap_sig_cseq_ST_st32_fsm_31 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st33_fsm_32 assign process. -- ap_sig_cseq_ST_st33_fsm_32_assign_proc : process(ap_sig_bdd_3055) begin if (ap_sig_bdd_3055) then ap_sig_cseq_ST_st33_fsm_32 <= ap_const_logic_1; else ap_sig_cseq_ST_st33_fsm_32 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st34_fsm_33 assign process. -- ap_sig_cseq_ST_st34_fsm_33_assign_proc : process(ap_sig_bdd_3064) begin if (ap_sig_bdd_3064) then ap_sig_cseq_ST_st34_fsm_33 <= ap_const_logic_1; else ap_sig_cseq_ST_st34_fsm_33 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st35_fsm_34 assign process. -- ap_sig_cseq_ST_st35_fsm_34_assign_proc : process(ap_sig_bdd_3073) begin if (ap_sig_bdd_3073) then ap_sig_cseq_ST_st35_fsm_34 <= ap_const_logic_1; else ap_sig_cseq_ST_st35_fsm_34 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st36_fsm_35 assign process. -- ap_sig_cseq_ST_st36_fsm_35_assign_proc : process(ap_sig_bdd_3082) begin if (ap_sig_bdd_3082) then ap_sig_cseq_ST_st36_fsm_35 <= ap_const_logic_1; else ap_sig_cseq_ST_st36_fsm_35 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st37_fsm_36 assign process. -- ap_sig_cseq_ST_st37_fsm_36_assign_proc : process(ap_sig_bdd_3091) begin if (ap_sig_bdd_3091) then ap_sig_cseq_ST_st37_fsm_36 <= ap_const_logic_1; else ap_sig_cseq_ST_st37_fsm_36 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st385_fsm_301 assign process. -- ap_sig_cseq_ST_st385_fsm_301_assign_proc : process(ap_sig_bdd_5902) begin if (ap_sig_bdd_5902) then ap_sig_cseq_ST_st385_fsm_301 <= ap_const_logic_1; else ap_sig_cseq_ST_st385_fsm_301 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st386_fsm_302 assign process. -- ap_sig_cseq_ST_st386_fsm_302_assign_proc : process(ap_sig_bdd_2708) begin if (ap_sig_bdd_2708) then ap_sig_cseq_ST_st386_fsm_302 <= ap_const_logic_1; else ap_sig_cseq_ST_st386_fsm_302 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st387_fsm_303 assign process. -- ap_sig_cseq_ST_st387_fsm_303_assign_proc : process(ap_sig_bdd_5096) begin if (ap_sig_bdd_5096) then ap_sig_cseq_ST_st387_fsm_303 <= ap_const_logic_1; else ap_sig_cseq_ST_st387_fsm_303 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st388_fsm_304 assign process. -- ap_sig_cseq_ST_st388_fsm_304_assign_proc : process(ap_sig_bdd_5104) begin if (ap_sig_bdd_5104) then ap_sig_cseq_ST_st388_fsm_304 <= ap_const_logic_1; else ap_sig_cseq_ST_st388_fsm_304 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st389_fsm_305 assign process. -- ap_sig_cseq_ST_st389_fsm_305_assign_proc : process(ap_sig_bdd_2719) begin if (ap_sig_bdd_2719) then ap_sig_cseq_ST_st389_fsm_305 <= ap_const_logic_1; else ap_sig_cseq_ST_st389_fsm_305 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st38_fsm_37 assign process. -- ap_sig_cseq_ST_st38_fsm_37_assign_proc : process(ap_sig_bdd_3100) begin if (ap_sig_bdd_3100) then ap_sig_cseq_ST_st38_fsm_37 <= ap_const_logic_1; else ap_sig_cseq_ST_st38_fsm_37 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st390_fsm_306 assign process. -- ap_sig_cseq_ST_st390_fsm_306_assign_proc : process(ap_sig_bdd_5113) begin if (ap_sig_bdd_5113) then ap_sig_cseq_ST_st390_fsm_306 <= ap_const_logic_1; else ap_sig_cseq_ST_st390_fsm_306 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st391_fsm_307 assign process. -- ap_sig_cseq_ST_st391_fsm_307_assign_proc : process(ap_sig_bdd_5121) begin if (ap_sig_bdd_5121) then ap_sig_cseq_ST_st391_fsm_307 <= ap_const_logic_1; else ap_sig_cseq_ST_st391_fsm_307 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st392_fsm_308 assign process. -- ap_sig_cseq_ST_st392_fsm_308_assign_proc : process(ap_sig_bdd_2728) begin if (ap_sig_bdd_2728) then ap_sig_cseq_ST_st392_fsm_308 <= ap_const_logic_1; else ap_sig_cseq_ST_st392_fsm_308 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st393_fsm_309 assign process. -- ap_sig_cseq_ST_st393_fsm_309_assign_proc : process(ap_sig_bdd_5130) begin if (ap_sig_bdd_5130) then ap_sig_cseq_ST_st393_fsm_309 <= ap_const_logic_1; else ap_sig_cseq_ST_st393_fsm_309 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st394_fsm_310 assign process. -- ap_sig_cseq_ST_st394_fsm_310_assign_proc : process(ap_sig_bdd_5138) begin if (ap_sig_bdd_5138) then ap_sig_cseq_ST_st394_fsm_310 <= ap_const_logic_1; else ap_sig_cseq_ST_st394_fsm_310 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st395_fsm_311 assign process. -- ap_sig_cseq_ST_st395_fsm_311_assign_proc : process(ap_sig_bdd_2737) begin if (ap_sig_bdd_2737) then ap_sig_cseq_ST_st395_fsm_311 <= ap_const_logic_1; else ap_sig_cseq_ST_st395_fsm_311 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st396_fsm_312 assign process. -- ap_sig_cseq_ST_st396_fsm_312_assign_proc : process(ap_sig_bdd_5147) begin if (ap_sig_bdd_5147) then ap_sig_cseq_ST_st396_fsm_312 <= ap_const_logic_1; else ap_sig_cseq_ST_st396_fsm_312 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st397_fsm_313 assign process. -- ap_sig_cseq_ST_st397_fsm_313_assign_proc : process(ap_sig_bdd_5155) begin if (ap_sig_bdd_5155) then ap_sig_cseq_ST_st397_fsm_313 <= ap_const_logic_1; else ap_sig_cseq_ST_st397_fsm_313 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st398_fsm_314 assign process. -- ap_sig_cseq_ST_st398_fsm_314_assign_proc : process(ap_sig_bdd_2746) begin if (ap_sig_bdd_2746) then ap_sig_cseq_ST_st398_fsm_314 <= ap_const_logic_1; else ap_sig_cseq_ST_st398_fsm_314 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st399_fsm_315 assign process. -- ap_sig_cseq_ST_st399_fsm_315_assign_proc : process(ap_sig_bdd_5164) begin if (ap_sig_bdd_5164) then ap_sig_cseq_ST_st399_fsm_315 <= ap_const_logic_1; else ap_sig_cseq_ST_st399_fsm_315 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st39_fsm_38 assign process. -- ap_sig_cseq_ST_st39_fsm_38_assign_proc : process(ap_sig_bdd_3109) begin if (ap_sig_bdd_3109) then ap_sig_cseq_ST_st39_fsm_38 <= ap_const_logic_1; else ap_sig_cseq_ST_st39_fsm_38 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st3_fsm_2 assign process. -- ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_708) begin if (ap_sig_bdd_708) then ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1; else ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st400_fsm_316 assign process. -- ap_sig_cseq_ST_st400_fsm_316_assign_proc : process(ap_sig_bdd_5172) begin if (ap_sig_bdd_5172) then ap_sig_cseq_ST_st400_fsm_316 <= ap_const_logic_1; else ap_sig_cseq_ST_st400_fsm_316 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st401_fsm_317 assign process. -- ap_sig_cseq_ST_st401_fsm_317_assign_proc : process(ap_sig_bdd_2755) begin if (ap_sig_bdd_2755) then ap_sig_cseq_ST_st401_fsm_317 <= ap_const_logic_1; else ap_sig_cseq_ST_st401_fsm_317 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st402_fsm_318 assign process. -- ap_sig_cseq_ST_st402_fsm_318_assign_proc : process(ap_sig_bdd_5181) begin if (ap_sig_bdd_5181) then ap_sig_cseq_ST_st402_fsm_318 <= ap_const_logic_1; else ap_sig_cseq_ST_st402_fsm_318 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st403_fsm_319 assign process. -- ap_sig_cseq_ST_st403_fsm_319_assign_proc : process(ap_sig_bdd_5189) begin if (ap_sig_bdd_5189) then ap_sig_cseq_ST_st403_fsm_319 <= ap_const_logic_1; else ap_sig_cseq_ST_st403_fsm_319 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st404_fsm_320 assign process. -- ap_sig_cseq_ST_st404_fsm_320_assign_proc : process(ap_sig_bdd_2764) begin if (ap_sig_bdd_2764) then ap_sig_cseq_ST_st404_fsm_320 <= ap_const_logic_1; else ap_sig_cseq_ST_st404_fsm_320 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st405_fsm_321 assign process. -- ap_sig_cseq_ST_st405_fsm_321_assign_proc : process(ap_sig_bdd_5198) begin if (ap_sig_bdd_5198) then ap_sig_cseq_ST_st405_fsm_321 <= ap_const_logic_1; else ap_sig_cseq_ST_st405_fsm_321 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st406_fsm_322 assign process. -- ap_sig_cseq_ST_st406_fsm_322_assign_proc : process(ap_sig_bdd_5206) begin if (ap_sig_bdd_5206) then ap_sig_cseq_ST_st406_fsm_322 <= ap_const_logic_1; else ap_sig_cseq_ST_st406_fsm_322 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st407_fsm_323 assign process. -- ap_sig_cseq_ST_st407_fsm_323_assign_proc : process(ap_sig_bdd_2773) begin if (ap_sig_bdd_2773) then ap_sig_cseq_ST_st407_fsm_323 <= ap_const_logic_1; else ap_sig_cseq_ST_st407_fsm_323 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st408_fsm_324 assign process. -- ap_sig_cseq_ST_st408_fsm_324_assign_proc : process(ap_sig_bdd_5215) begin if (ap_sig_bdd_5215) then ap_sig_cseq_ST_st408_fsm_324 <= ap_const_logic_1; else ap_sig_cseq_ST_st408_fsm_324 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st409_fsm_325 assign process. -- ap_sig_cseq_ST_st409_fsm_325_assign_proc : process(ap_sig_bdd_5223) begin if (ap_sig_bdd_5223) then ap_sig_cseq_ST_st409_fsm_325 <= ap_const_logic_1; else ap_sig_cseq_ST_st409_fsm_325 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st40_fsm_39 assign process. -- ap_sig_cseq_ST_st40_fsm_39_assign_proc : process(ap_sig_bdd_3118) begin if (ap_sig_bdd_3118) then ap_sig_cseq_ST_st40_fsm_39 <= ap_const_logic_1; else ap_sig_cseq_ST_st40_fsm_39 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st410_fsm_326 assign process. -- ap_sig_cseq_ST_st410_fsm_326_assign_proc : process(ap_sig_bdd_2782) begin if (ap_sig_bdd_2782) then ap_sig_cseq_ST_st410_fsm_326 <= ap_const_logic_1; else ap_sig_cseq_ST_st410_fsm_326 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st411_fsm_327 assign process. -- ap_sig_cseq_ST_st411_fsm_327_assign_proc : process(ap_sig_bdd_5232) begin if (ap_sig_bdd_5232) then ap_sig_cseq_ST_st411_fsm_327 <= ap_const_logic_1; else ap_sig_cseq_ST_st411_fsm_327 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st412_fsm_328 assign process. -- ap_sig_cseq_ST_st412_fsm_328_assign_proc : process(ap_sig_bdd_5240) begin if (ap_sig_bdd_5240) then ap_sig_cseq_ST_st412_fsm_328 <= ap_const_logic_1; else ap_sig_cseq_ST_st412_fsm_328 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st413_fsm_329 assign process. -- ap_sig_cseq_ST_st413_fsm_329_assign_proc : process(ap_sig_bdd_2791) begin if (ap_sig_bdd_2791) then ap_sig_cseq_ST_st413_fsm_329 <= ap_const_logic_1; else ap_sig_cseq_ST_st413_fsm_329 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st414_fsm_330 assign process. -- ap_sig_cseq_ST_st414_fsm_330_assign_proc : process(ap_sig_bdd_5249) begin if (ap_sig_bdd_5249) then ap_sig_cseq_ST_st414_fsm_330 <= ap_const_logic_1; else ap_sig_cseq_ST_st414_fsm_330 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st415_fsm_331 assign process. -- ap_sig_cseq_ST_st415_fsm_331_assign_proc : process(ap_sig_bdd_5257) begin if (ap_sig_bdd_5257) then ap_sig_cseq_ST_st415_fsm_331 <= ap_const_logic_1; else ap_sig_cseq_ST_st415_fsm_331 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st416_fsm_332 assign process. -- ap_sig_cseq_ST_st416_fsm_332_assign_proc : process(ap_sig_bdd_2800) begin if (ap_sig_bdd_2800) then ap_sig_cseq_ST_st416_fsm_332 <= ap_const_logic_1; else ap_sig_cseq_ST_st416_fsm_332 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st417_fsm_333 assign process. -- ap_sig_cseq_ST_st417_fsm_333_assign_proc : process(ap_sig_bdd_5266) begin if (ap_sig_bdd_5266) then ap_sig_cseq_ST_st417_fsm_333 <= ap_const_logic_1; else ap_sig_cseq_ST_st417_fsm_333 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st418_fsm_334 assign process. -- ap_sig_cseq_ST_st418_fsm_334_assign_proc : process(ap_sig_bdd_5274) begin if (ap_sig_bdd_5274) then ap_sig_cseq_ST_st418_fsm_334 <= ap_const_logic_1; else ap_sig_cseq_ST_st418_fsm_334 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st419_fsm_335 assign process. -- ap_sig_cseq_ST_st419_fsm_335_assign_proc : process(ap_sig_bdd_2809) begin if (ap_sig_bdd_2809) then ap_sig_cseq_ST_st419_fsm_335 <= ap_const_logic_1; else ap_sig_cseq_ST_st419_fsm_335 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st41_fsm_40 assign process. -- ap_sig_cseq_ST_st41_fsm_40_assign_proc : process(ap_sig_bdd_3127) begin if (ap_sig_bdd_3127) then ap_sig_cseq_ST_st41_fsm_40 <= ap_const_logic_1; else ap_sig_cseq_ST_st41_fsm_40 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st420_fsm_336 assign process. -- ap_sig_cseq_ST_st420_fsm_336_assign_proc : process(ap_sig_bdd_5283) begin if (ap_sig_bdd_5283) then ap_sig_cseq_ST_st420_fsm_336 <= ap_const_logic_1; else ap_sig_cseq_ST_st420_fsm_336 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st421_fsm_337 assign process. -- ap_sig_cseq_ST_st421_fsm_337_assign_proc : process(ap_sig_bdd_5291) begin if (ap_sig_bdd_5291) then ap_sig_cseq_ST_st421_fsm_337 <= ap_const_logic_1; else ap_sig_cseq_ST_st421_fsm_337 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st422_fsm_338 assign process. -- ap_sig_cseq_ST_st422_fsm_338_assign_proc : process(ap_sig_bdd_2818) begin if (ap_sig_bdd_2818) then ap_sig_cseq_ST_st422_fsm_338 <= ap_const_logic_1; else ap_sig_cseq_ST_st422_fsm_338 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st423_fsm_339 assign process. -- ap_sig_cseq_ST_st423_fsm_339_assign_proc : process(ap_sig_bdd_5300) begin if (ap_sig_bdd_5300) then ap_sig_cseq_ST_st423_fsm_339 <= ap_const_logic_1; else ap_sig_cseq_ST_st423_fsm_339 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st424_fsm_340 assign process. -- ap_sig_cseq_ST_st424_fsm_340_assign_proc : process(ap_sig_bdd_5308) begin if (ap_sig_bdd_5308) then ap_sig_cseq_ST_st424_fsm_340 <= ap_const_logic_1; else ap_sig_cseq_ST_st424_fsm_340 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st425_fsm_341 assign process. -- ap_sig_cseq_ST_st425_fsm_341_assign_proc : process(ap_sig_bdd_2827) begin if (ap_sig_bdd_2827) then ap_sig_cseq_ST_st425_fsm_341 <= ap_const_logic_1; else ap_sig_cseq_ST_st425_fsm_341 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st426_fsm_342 assign process. -- ap_sig_cseq_ST_st426_fsm_342_assign_proc : process(ap_sig_bdd_5317) begin if (ap_sig_bdd_5317) then ap_sig_cseq_ST_st426_fsm_342 <= ap_const_logic_1; else ap_sig_cseq_ST_st426_fsm_342 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st427_fsm_343 assign process. -- ap_sig_cseq_ST_st427_fsm_343_assign_proc : process(ap_sig_bdd_5325) begin if (ap_sig_bdd_5325) then ap_sig_cseq_ST_st427_fsm_343 <= ap_const_logic_1; else ap_sig_cseq_ST_st427_fsm_343 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st428_fsm_344 assign process. -- ap_sig_cseq_ST_st428_fsm_344_assign_proc : process(ap_sig_bdd_2836) begin if (ap_sig_bdd_2836) then ap_sig_cseq_ST_st428_fsm_344 <= ap_const_logic_1; else ap_sig_cseq_ST_st428_fsm_344 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st429_fsm_345 assign process. -- ap_sig_cseq_ST_st429_fsm_345_assign_proc : process(ap_sig_bdd_5334) begin if (ap_sig_bdd_5334) then ap_sig_cseq_ST_st429_fsm_345 <= ap_const_logic_1; else ap_sig_cseq_ST_st429_fsm_345 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st42_fsm_41 assign process. -- ap_sig_cseq_ST_st42_fsm_41_assign_proc : process(ap_sig_bdd_3136) begin if (ap_sig_bdd_3136) then ap_sig_cseq_ST_st42_fsm_41 <= ap_const_logic_1; else ap_sig_cseq_ST_st42_fsm_41 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st430_fsm_346 assign process. -- ap_sig_cseq_ST_st430_fsm_346_assign_proc : process(ap_sig_bdd_5342) begin if (ap_sig_bdd_5342) then ap_sig_cseq_ST_st430_fsm_346 <= ap_const_logic_1; else ap_sig_cseq_ST_st430_fsm_346 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st431_fsm_347 assign process. -- ap_sig_cseq_ST_st431_fsm_347_assign_proc : process(ap_sig_bdd_2845) begin if (ap_sig_bdd_2845) then ap_sig_cseq_ST_st431_fsm_347 <= ap_const_logic_1; else ap_sig_cseq_ST_st431_fsm_347 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st432_fsm_348 assign process. -- ap_sig_cseq_ST_st432_fsm_348_assign_proc : process(ap_sig_bdd_5351) begin if (ap_sig_bdd_5351) then ap_sig_cseq_ST_st432_fsm_348 <= ap_const_logic_1; else ap_sig_cseq_ST_st432_fsm_348 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st433_fsm_349 assign process. -- ap_sig_cseq_ST_st433_fsm_349_assign_proc : process(ap_sig_bdd_5359) begin if (ap_sig_bdd_5359) then ap_sig_cseq_ST_st433_fsm_349 <= ap_const_logic_1; else ap_sig_cseq_ST_st433_fsm_349 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st434_fsm_350 assign process. -- ap_sig_cseq_ST_st434_fsm_350_assign_proc : process(ap_sig_bdd_2854) begin if (ap_sig_bdd_2854) then ap_sig_cseq_ST_st434_fsm_350 <= ap_const_logic_1; else ap_sig_cseq_ST_st434_fsm_350 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st435_fsm_351 assign process. -- ap_sig_cseq_ST_st435_fsm_351_assign_proc : process(ap_sig_bdd_5368) begin if (ap_sig_bdd_5368) then ap_sig_cseq_ST_st435_fsm_351 <= ap_const_logic_1; else ap_sig_cseq_ST_st435_fsm_351 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st436_fsm_352 assign process. -- ap_sig_cseq_ST_st436_fsm_352_assign_proc : process(ap_sig_bdd_5376) begin if (ap_sig_bdd_5376) then ap_sig_cseq_ST_st436_fsm_352 <= ap_const_logic_1; else ap_sig_cseq_ST_st436_fsm_352 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st437_fsm_353 assign process. -- ap_sig_cseq_ST_st437_fsm_353_assign_proc : process(ap_sig_bdd_2863) begin if (ap_sig_bdd_2863) then ap_sig_cseq_ST_st437_fsm_353 <= ap_const_logic_1; else ap_sig_cseq_ST_st437_fsm_353 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st438_fsm_354 assign process. -- ap_sig_cseq_ST_st438_fsm_354_assign_proc : process(ap_sig_bdd_5385) begin if (ap_sig_bdd_5385) then ap_sig_cseq_ST_st438_fsm_354 <= ap_const_logic_1; else ap_sig_cseq_ST_st438_fsm_354 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st439_fsm_355 assign process. -- ap_sig_cseq_ST_st439_fsm_355_assign_proc : process(ap_sig_bdd_5393) begin if (ap_sig_bdd_5393) then ap_sig_cseq_ST_st439_fsm_355 <= ap_const_logic_1; else ap_sig_cseq_ST_st439_fsm_355 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st43_fsm_42 assign process. -- ap_sig_cseq_ST_st43_fsm_42_assign_proc : process(ap_sig_bdd_3145) begin if (ap_sig_bdd_3145) then ap_sig_cseq_ST_st43_fsm_42 <= ap_const_logic_1; else ap_sig_cseq_ST_st43_fsm_42 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st440_fsm_356 assign process. -- ap_sig_cseq_ST_st440_fsm_356_assign_proc : process(ap_sig_bdd_2872) begin if (ap_sig_bdd_2872) then ap_sig_cseq_ST_st440_fsm_356 <= ap_const_logic_1; else ap_sig_cseq_ST_st440_fsm_356 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st441_fsm_357 assign process. -- ap_sig_cseq_ST_st441_fsm_357_assign_proc : process(ap_sig_bdd_5402) begin if (ap_sig_bdd_5402) then ap_sig_cseq_ST_st441_fsm_357 <= ap_const_logic_1; else ap_sig_cseq_ST_st441_fsm_357 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st442_fsm_358 assign process. -- ap_sig_cseq_ST_st442_fsm_358_assign_proc : process(ap_sig_bdd_5410) begin if (ap_sig_bdd_5410) then ap_sig_cseq_ST_st442_fsm_358 <= ap_const_logic_1; else ap_sig_cseq_ST_st442_fsm_358 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st443_fsm_359 assign process. -- ap_sig_cseq_ST_st443_fsm_359_assign_proc : process(ap_sig_bdd_2881) begin if (ap_sig_bdd_2881) then ap_sig_cseq_ST_st443_fsm_359 <= ap_const_logic_1; else ap_sig_cseq_ST_st443_fsm_359 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st444_fsm_360 assign process. -- ap_sig_cseq_ST_st444_fsm_360_assign_proc : process(ap_sig_bdd_5419) begin if (ap_sig_bdd_5419) then ap_sig_cseq_ST_st444_fsm_360 <= ap_const_logic_1; else ap_sig_cseq_ST_st444_fsm_360 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st445_fsm_361 assign process. -- ap_sig_cseq_ST_st445_fsm_361_assign_proc : process(ap_sig_bdd_5427) begin if (ap_sig_bdd_5427) then ap_sig_cseq_ST_st445_fsm_361 <= ap_const_logic_1; else ap_sig_cseq_ST_st445_fsm_361 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st44_fsm_43 assign process. -- ap_sig_cseq_ST_st44_fsm_43_assign_proc : process(ap_sig_bdd_3154) begin if (ap_sig_bdd_3154) then ap_sig_cseq_ST_st44_fsm_43 <= ap_const_logic_1; else ap_sig_cseq_ST_st44_fsm_43 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st45_fsm_44 assign process. -- ap_sig_cseq_ST_st45_fsm_44_assign_proc : process(ap_sig_bdd_3163) begin if (ap_sig_bdd_3163) then ap_sig_cseq_ST_st45_fsm_44 <= ap_const_logic_1; else ap_sig_cseq_ST_st45_fsm_44 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st46_fsm_45 assign process. -- ap_sig_cseq_ST_st46_fsm_45_assign_proc : process(ap_sig_bdd_3172) begin if (ap_sig_bdd_3172) then ap_sig_cseq_ST_st46_fsm_45 <= ap_const_logic_1; else ap_sig_cseq_ST_st46_fsm_45 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st47_fsm_46 assign process. -- ap_sig_cseq_ST_st47_fsm_46_assign_proc : process(ap_sig_bdd_3181) begin if (ap_sig_bdd_3181) then ap_sig_cseq_ST_st47_fsm_46 <= ap_const_logic_1; else ap_sig_cseq_ST_st47_fsm_46 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st48_fsm_47 assign process. -- ap_sig_cseq_ST_st48_fsm_47_assign_proc : process(ap_sig_bdd_3190) begin if (ap_sig_bdd_3190) then ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_1; else ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st49_fsm_48 assign process. -- ap_sig_cseq_ST_st49_fsm_48_assign_proc : process(ap_sig_bdd_3199) begin if (ap_sig_bdd_3199) then ap_sig_cseq_ST_st49_fsm_48 <= ap_const_logic_1; else ap_sig_cseq_ST_st49_fsm_48 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st4_fsm_3 assign process. -- ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_852) begin if (ap_sig_bdd_852) then ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1; else ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st50_fsm_49 assign process. -- ap_sig_cseq_ST_st50_fsm_49_assign_proc : process(ap_sig_bdd_3208) begin if (ap_sig_bdd_3208) then ap_sig_cseq_ST_st50_fsm_49 <= ap_const_logic_1; else ap_sig_cseq_ST_st50_fsm_49 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st51_fsm_50 assign process. -- ap_sig_cseq_ST_st51_fsm_50_assign_proc : process(ap_sig_bdd_3217) begin if (ap_sig_bdd_3217) then ap_sig_cseq_ST_st51_fsm_50 <= ap_const_logic_1; else ap_sig_cseq_ST_st51_fsm_50 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st52_fsm_51 assign process. -- ap_sig_cseq_ST_st52_fsm_51_assign_proc : process(ap_sig_bdd_3226) begin if (ap_sig_bdd_3226) then ap_sig_cseq_ST_st52_fsm_51 <= ap_const_logic_1; else ap_sig_cseq_ST_st52_fsm_51 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st53_fsm_52 assign process. -- ap_sig_cseq_ST_st53_fsm_52_assign_proc : process(ap_sig_bdd_3235) begin if (ap_sig_bdd_3235) then ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_1; else ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st54_fsm_53 assign process. -- ap_sig_cseq_ST_st54_fsm_53_assign_proc : process(ap_sig_bdd_3244) begin if (ap_sig_bdd_3244) then ap_sig_cseq_ST_st54_fsm_53 <= ap_const_logic_1; else ap_sig_cseq_ST_st54_fsm_53 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st55_fsm_54 assign process. -- ap_sig_cseq_ST_st55_fsm_54_assign_proc : process(ap_sig_bdd_3253) begin if (ap_sig_bdd_3253) then ap_sig_cseq_ST_st55_fsm_54 <= ap_const_logic_1; else ap_sig_cseq_ST_st55_fsm_54 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st56_fsm_55 assign process. -- ap_sig_cseq_ST_st56_fsm_55_assign_proc : process(ap_sig_bdd_3262) begin if (ap_sig_bdd_3262) then ap_sig_cseq_ST_st56_fsm_55 <= ap_const_logic_1; else ap_sig_cseq_ST_st56_fsm_55 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st57_fsm_56 assign process. -- ap_sig_cseq_ST_st57_fsm_56_assign_proc : process(ap_sig_bdd_3271) begin if (ap_sig_bdd_3271) then ap_sig_cseq_ST_st57_fsm_56 <= ap_const_logic_1; else ap_sig_cseq_ST_st57_fsm_56 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st58_fsm_57 assign process. -- ap_sig_cseq_ST_st58_fsm_57_assign_proc : process(ap_sig_bdd_3280) begin if (ap_sig_bdd_3280) then ap_sig_cseq_ST_st58_fsm_57 <= ap_const_logic_1; else ap_sig_cseq_ST_st58_fsm_57 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st59_fsm_58 assign process. -- ap_sig_cseq_ST_st59_fsm_58_assign_proc : process(ap_sig_bdd_3289) begin if (ap_sig_bdd_3289) then ap_sig_cseq_ST_st59_fsm_58 <= ap_const_logic_1; else ap_sig_cseq_ST_st59_fsm_58 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st5_fsm_4 assign process. -- ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_996) begin if (ap_sig_bdd_996) then ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1; else ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st60_fsm_59 assign process. -- ap_sig_cseq_ST_st60_fsm_59_assign_proc : process(ap_sig_bdd_3298) begin if (ap_sig_bdd_3298) then ap_sig_cseq_ST_st60_fsm_59 <= ap_const_logic_1; else ap_sig_cseq_ST_st60_fsm_59 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st61_fsm_60 assign process. -- ap_sig_cseq_ST_st61_fsm_60_assign_proc : process(ap_sig_bdd_3307) begin if (ap_sig_bdd_3307) then ap_sig_cseq_ST_st61_fsm_60 <= ap_const_logic_1; else ap_sig_cseq_ST_st61_fsm_60 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st62_fsm_61 assign process. -- ap_sig_cseq_ST_st62_fsm_61_assign_proc : process(ap_sig_bdd_3316) begin if (ap_sig_bdd_3316) then ap_sig_cseq_ST_st62_fsm_61 <= ap_const_logic_1; else ap_sig_cseq_ST_st62_fsm_61 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st63_fsm_62 assign process. -- ap_sig_cseq_ST_st63_fsm_62_assign_proc : process(ap_sig_bdd_3325) begin if (ap_sig_bdd_3325) then ap_sig_cseq_ST_st63_fsm_62 <= ap_const_logic_1; else ap_sig_cseq_ST_st63_fsm_62 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st64_fsm_63 assign process. -- ap_sig_cseq_ST_st64_fsm_63_assign_proc : process(ap_sig_bdd_3334) begin if (ap_sig_bdd_3334) then ap_sig_cseq_ST_st64_fsm_63 <= ap_const_logic_1; else ap_sig_cseq_ST_st64_fsm_63 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st65_fsm_64 assign process. -- ap_sig_cseq_ST_st65_fsm_64_assign_proc : process(ap_sig_bdd_3343) begin if (ap_sig_bdd_3343) then ap_sig_cseq_ST_st65_fsm_64 <= ap_const_logic_1; else ap_sig_cseq_ST_st65_fsm_64 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st66_fsm_65 assign process. -- ap_sig_cseq_ST_st66_fsm_65_assign_proc : process(ap_sig_bdd_3352) begin if (ap_sig_bdd_3352) then ap_sig_cseq_ST_st66_fsm_65 <= ap_const_logic_1; else ap_sig_cseq_ST_st66_fsm_65 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st67_fsm_66 assign process. -- ap_sig_cseq_ST_st67_fsm_66_assign_proc : process(ap_sig_bdd_3361) begin if (ap_sig_bdd_3361) then ap_sig_cseq_ST_st67_fsm_66 <= ap_const_logic_1; else ap_sig_cseq_ST_st67_fsm_66 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st68_fsm_67 assign process. -- ap_sig_cseq_ST_st68_fsm_67_assign_proc : process(ap_sig_bdd_3370) begin if (ap_sig_bdd_3370) then ap_sig_cseq_ST_st68_fsm_67 <= ap_const_logic_1; else ap_sig_cseq_ST_st68_fsm_67 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st69_fsm_68 assign process. -- ap_sig_cseq_ST_st69_fsm_68_assign_proc : process(ap_sig_bdd_3379) begin if (ap_sig_bdd_3379) then ap_sig_cseq_ST_st69_fsm_68 <= ap_const_logic_1; else ap_sig_cseq_ST_st69_fsm_68 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st6_fsm_5 assign process. -- ap_sig_cseq_ST_st6_fsm_5_assign_proc : process(ap_sig_bdd_1140) begin if (ap_sig_bdd_1140) then ap_sig_cseq_ST_st6_fsm_5 <= ap_const_logic_1; else ap_sig_cseq_ST_st6_fsm_5 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st70_fsm_69 assign process. -- ap_sig_cseq_ST_st70_fsm_69_assign_proc : process(ap_sig_bdd_3388) begin if (ap_sig_bdd_3388) then ap_sig_cseq_ST_st70_fsm_69 <= ap_const_logic_1; else ap_sig_cseq_ST_st70_fsm_69 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st71_fsm_70 assign process. -- ap_sig_cseq_ST_st71_fsm_70_assign_proc : process(ap_sig_bdd_3397) begin if (ap_sig_bdd_3397) then ap_sig_cseq_ST_st71_fsm_70 <= ap_const_logic_1; else ap_sig_cseq_ST_st71_fsm_70 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st72_fsm_71 assign process. -- ap_sig_cseq_ST_st72_fsm_71_assign_proc : process(ap_sig_bdd_2437) begin if (ap_sig_bdd_2437) then ap_sig_cseq_ST_st72_fsm_71 <= ap_const_logic_1; else ap_sig_cseq_ST_st72_fsm_71 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st73_fsm_72 assign process. -- ap_sig_cseq_ST_st73_fsm_72_assign_proc : process(ap_sig_bdd_410) begin if (ap_sig_bdd_410) then ap_sig_cseq_ST_st73_fsm_72 <= ap_const_logic_1; else ap_sig_cseq_ST_st73_fsm_72 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st74_fsm_73 assign process. -- ap_sig_cseq_ST_st74_fsm_73_assign_proc : process(ap_sig_bdd_563) begin if (ap_sig_bdd_563) then ap_sig_cseq_ST_st74_fsm_73 <= ap_const_logic_1; else ap_sig_cseq_ST_st74_fsm_73 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st75_fsm_74 assign process. -- ap_sig_cseq_ST_st75_fsm_74_assign_proc : process(ap_sig_bdd_3417) begin if (ap_sig_bdd_3417) then ap_sig_cseq_ST_st75_fsm_74 <= ap_const_logic_1; else ap_sig_cseq_ST_st75_fsm_74 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st76_fsm_75 assign process. -- ap_sig_cseq_ST_st76_fsm_75_assign_proc : process(ap_sig_bdd_419) begin if (ap_sig_bdd_419) then ap_sig_cseq_ST_st76_fsm_75 <= ap_const_logic_1; else ap_sig_cseq_ST_st76_fsm_75 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st77_fsm_76 assign process. -- ap_sig_cseq_ST_st77_fsm_76_assign_proc : process(ap_sig_bdd_572) begin if (ap_sig_bdd_572) then ap_sig_cseq_ST_st77_fsm_76 <= ap_const_logic_1; else ap_sig_cseq_ST_st77_fsm_76 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st78_fsm_77 assign process. -- ap_sig_cseq_ST_st78_fsm_77_assign_proc : process(ap_sig_bdd_716) begin if (ap_sig_bdd_716) then ap_sig_cseq_ST_st78_fsm_77 <= ap_const_logic_1; else ap_sig_cseq_ST_st78_fsm_77 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st79_fsm_78 assign process. -- ap_sig_cseq_ST_st79_fsm_78_assign_proc : process(ap_sig_bdd_860) begin if (ap_sig_bdd_860) then ap_sig_cseq_ST_st79_fsm_78 <= ap_const_logic_1; else ap_sig_cseq_ST_st79_fsm_78 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st7_fsm_6 assign process. -- ap_sig_cseq_ST_st7_fsm_6_assign_proc : process(ap_sig_bdd_1284) begin if (ap_sig_bdd_1284) then ap_sig_cseq_ST_st7_fsm_6 <= ap_const_logic_1; else ap_sig_cseq_ST_st7_fsm_6 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st80_fsm_79 assign process. -- ap_sig_cseq_ST_st80_fsm_79_assign_proc : process(ap_sig_bdd_1004) begin if (ap_sig_bdd_1004) then ap_sig_cseq_ST_st80_fsm_79 <= ap_const_logic_1; else ap_sig_cseq_ST_st80_fsm_79 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st81_fsm_80 assign process. -- ap_sig_cseq_ST_st81_fsm_80_assign_proc : process(ap_sig_bdd_1148) begin if (ap_sig_bdd_1148) then ap_sig_cseq_ST_st81_fsm_80 <= ap_const_logic_1; else ap_sig_cseq_ST_st81_fsm_80 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st82_fsm_81 assign process. -- ap_sig_cseq_ST_st82_fsm_81_assign_proc : process(ap_sig_bdd_1292) begin if (ap_sig_bdd_1292) then ap_sig_cseq_ST_st82_fsm_81 <= ap_const_logic_1; else ap_sig_cseq_ST_st82_fsm_81 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st83_fsm_82 assign process. -- ap_sig_cseq_ST_st83_fsm_82_assign_proc : process(ap_sig_bdd_1436) begin if (ap_sig_bdd_1436) then ap_sig_cseq_ST_st83_fsm_82 <= ap_const_logic_1; else ap_sig_cseq_ST_st83_fsm_82 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st84_fsm_83 assign process. -- ap_sig_cseq_ST_st84_fsm_83_assign_proc : process(ap_sig_bdd_1580) begin if (ap_sig_bdd_1580) then ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_1; else ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st85_fsm_84 assign process. -- ap_sig_cseq_ST_st85_fsm_84_assign_proc : process(ap_sig_bdd_1724) begin if (ap_sig_bdd_1724) then ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_1; else ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st86_fsm_85 assign process. -- ap_sig_cseq_ST_st86_fsm_85_assign_proc : process(ap_sig_bdd_1868) begin if (ap_sig_bdd_1868) then ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_1; else ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st87_fsm_86 assign process. -- ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_2012) begin if (ap_sig_bdd_2012) then ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1; else ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st88_fsm_87 assign process. -- ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_2156) begin if (ap_sig_bdd_2156) then ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1; else ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st89_fsm_88 assign process. -- ap_sig_cseq_ST_st89_fsm_88_assign_proc : process(ap_sig_bdd_2300) begin if (ap_sig_bdd_2300) then ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_1; else ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st8_fsm_7 assign process. -- ap_sig_cseq_ST_st8_fsm_7_assign_proc : process(ap_sig_bdd_1428) begin if (ap_sig_bdd_1428) then ap_sig_cseq_ST_st8_fsm_7 <= ap_const_logic_1; else ap_sig_cseq_ST_st8_fsm_7 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st90_fsm_89 assign process. -- ap_sig_cseq_ST_st90_fsm_89_assign_proc : process(ap_sig_bdd_3437) begin if (ap_sig_bdd_3437) then ap_sig_cseq_ST_st90_fsm_89 <= ap_const_logic_1; else ap_sig_cseq_ST_st90_fsm_89 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st91_fsm_90 assign process. -- ap_sig_cseq_ST_st91_fsm_90_assign_proc : process(ap_sig_bdd_428) begin if (ap_sig_bdd_428) then ap_sig_cseq_ST_st91_fsm_90 <= ap_const_logic_1; else ap_sig_cseq_ST_st91_fsm_90 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st92_fsm_91 assign process. -- ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_581) begin if (ap_sig_bdd_581) then ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1; else ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st93_fsm_92 assign process. -- ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_725) begin if (ap_sig_bdd_725) then ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1; else ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st94_fsm_93 assign process. -- ap_sig_cseq_ST_st94_fsm_93_assign_proc : process(ap_sig_bdd_869) begin if (ap_sig_bdd_869) then ap_sig_cseq_ST_st94_fsm_93 <= ap_const_logic_1; else ap_sig_cseq_ST_st94_fsm_93 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st95_fsm_94 assign process. -- ap_sig_cseq_ST_st95_fsm_94_assign_proc : process(ap_sig_bdd_1013) begin if (ap_sig_bdd_1013) then ap_sig_cseq_ST_st95_fsm_94 <= ap_const_logic_1; else ap_sig_cseq_ST_st95_fsm_94 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st96_fsm_95 assign process. -- ap_sig_cseq_ST_st96_fsm_95_assign_proc : process(ap_sig_bdd_1157) begin if (ap_sig_bdd_1157) then ap_sig_cseq_ST_st96_fsm_95 <= ap_const_logic_1; else ap_sig_cseq_ST_st96_fsm_95 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st97_fsm_96 assign process. -- ap_sig_cseq_ST_st97_fsm_96_assign_proc : process(ap_sig_bdd_1301) begin if (ap_sig_bdd_1301) then ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_1; else ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st98_fsm_97 assign process. -- ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_1445) begin if (ap_sig_bdd_1445) then ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1; else ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st99_fsm_98 assign process. -- ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_1589) begin if (ap_sig_bdd_1589) then ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1; else ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st9_fsm_8 assign process. -- ap_sig_cseq_ST_st9_fsm_8_assign_proc : process(ap_sig_bdd_1572) begin if (ap_sig_bdd_1572) then ap_sig_cseq_ST_st9_fsm_8 <= ap_const_logic_1; else ap_sig_cseq_ST_st9_fsm_8 <= ap_const_logic_0; end if; end process; -- ap_sig_ioackin_outs_TREADY assign process. -- ap_sig_ioackin_outs_TREADY_assign_proc : process(outs_TREADY, ap_reg_ioackin_outs_TREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) then ap_sig_ioackin_outs_TREADY <= outs_TREADY; else ap_sig_ioackin_outs_TREADY <= ap_const_logic_1; end if; end process; beta_addr_111281129_part_set_fu_3103_p5 <= (tmp_21_fu_3093_p4 & ap_reg_ppstg_reg_725_pp0_it81(479 downto 0)); beta_load_10_fu_3290_p1 <= reg_733; beta_load_11_fu_3305_p1 <= reg_733; beta_load_12_fu_3320_p1 <= reg_733; beta_load_13_fu_3335_p1 <= reg_733; beta_load_14_fu_3350_p1 <= reg_733; beta_load_15_fu_3365_p1 <= reg_733; beta_load_16_fu_3380_p1 <= reg_733; beta_load_17_fu_3395_p1 <= reg_733; beta_load_18_fu_3410_p1 <= reg_733; beta_load_1_fu_3155_p1 <= reg_733; beta_load_2_fu_3170_p1 <= reg_733; beta_load_3_fu_3185_p1 <= reg_733; beta_load_4_fu_3200_p1 <= reg_733; beta_load_5_fu_3215_p1 <= reg_733; beta_load_6_fu_3230_p1 <= reg_733; beta_load_7_fu_3245_p1 <= reg_733; beta_load_8_fu_3260_p1 <= reg_733; beta_load_9_fu_3275_p1 <= reg_733; beta_load_fu_3125_p1 <= reg_733; beta_load_s_fu_3140_p1 <= reg_733; beta_write_assign_toint_fu_3089_p1 <= grp_fu_626_p2; data_array_addr_10_gep_fu_360_p3 <= ap_const_lv64_A(5 - 1 downto 0); data_array_addr_11_gep_fu_368_p3 <= ap_const_lv64_B(5 - 1 downto 0); data_array_addr_12_gep_fu_376_p3 <= ap_const_lv64_C(5 - 1 downto 0); data_array_addr_13_gep_fu_384_p3 <= ap_const_lv64_D(5 - 1 downto 0); data_array_addr_14_gep_fu_392_p3 <= ap_const_lv64_E(5 - 1 downto 0); data_array_addr_15_gep_fu_400_p3 <= ap_const_lv64_F(5 - 1 downto 0); data_array_addr_16_gep_fu_244_p3 <= ap_const_lv64_10(5 - 1 downto 0); data_array_addr_17_gep_fu_288_p3 <= ap_const_lv64_11(5 - 1 downto 0); data_array_addr_18_gep_fu_256_p3 <= ap_const_lv64_12(5 - 1 downto 0); data_array_addr_19_gep_fu_296_p3 <= ap_const_lv64_13(5 - 1 downto 0); data_array_addr_1_gep_fu_304_p3 <= ap_const_lv64_1(5 - 1 downto 0); data_array_addr_2_gep_fu_272_p3 <= ap_const_lv64_2(5 - 1 downto 0); data_array_addr_3_gep_fu_312_p3 <= ap_const_lv64_3(5 - 1 downto 0); data_array_addr_4_gep_fu_280_p3 <= ap_const_lv64_4(5 - 1 downto 0); data_array_addr_5_gep_fu_320_p3 <= ap_const_lv64_5(5 - 1 downto 0); data_array_addr_6_gep_fu_328_p3 <= ap_const_lv64_6(5 - 1 downto 0); data_array_addr_7_gep_fu_336_p3 <= ap_const_lv64_7(5 - 1 downto 0); data_array_addr_8_gep_fu_344_p3 <= ap_const_lv64_8(5 - 1 downto 0); data_array_addr_9_gep_fu_352_p3 <= ap_const_lv64_9(5 - 1 downto 0); data_array_addr_gep_fu_264_p3 <= ap_const_lv64_0(5 - 1 downto 0); -- data_array_address0 assign process. -- data_array_address0_assign_proc : process(ap_sig_cseq_ST_st73_fsm_72, ap_sig_cseq_ST_st74_fsm_73, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st87_fsm_86, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st89_fsm_88, ap_sig_cseq_ST_st72_fsm_71, ap_reg_ppiten_pp0_it0, ap_sig_cseq_ST_pp0_stg0_fsm_300, ap_sig_cseq_ST_st71_fsm_70, data_array_addr_16_reg_3700, data_array_addr_18_reg_3711, ap_sig_cseq_ST_st75_fsm_74, data_array_addr_17_reg_3737, data_array_addr_19_reg_3748, ap_sig_cseq_ST_st90_fsm_89, ap_sig_cseq_ST_st105_fsm_104, ap_sig_cseq_ST_st120_fsm_119, ap_sig_cseq_ST_st135_fsm_134, ap_sig_cseq_ST_st150_fsm_149, ap_sig_cseq_ST_st165_fsm_164, ap_sig_cseq_ST_st180_fsm_179, ap_sig_cseq_ST_st195_fsm_194, ap_sig_cseq_ST_st210_fsm_209, ap_sig_cseq_ST_st225_fsm_224, ap_sig_cseq_ST_st240_fsm_239, ap_sig_cseq_ST_st300_fsm_299, tmp_1_fu_2852_p1, ap_sig_cseq_ST_st255_fsm_254, ap_sig_cseq_ST_st270_fsm_269, ap_sig_cseq_ST_st285_fsm_284) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299)) then data_array_address0 <= data_array_addr_19_reg_3748; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st285_fsm_284)) then data_array_address0 <= data_array_addr_18_reg_3711; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st270_fsm_269)) then data_array_address0 <= data_array_addr_17_reg_3737; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st255_fsm_254)) then data_array_address0 <= data_array_addr_16_reg_3700; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st240_fsm_239)) then data_array_address0 <= ap_const_lv64_F(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st225_fsm_224)) then data_array_address0 <= ap_const_lv64_E(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st210_fsm_209)) then data_array_address0 <= ap_const_lv64_D(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st195_fsm_194)) then data_array_address0 <= ap_const_lv64_C(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st180_fsm_179)) then data_array_address0 <= ap_const_lv64_B(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164)) then data_array_address0 <= ap_const_lv64_A(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then data_array_address0 <= ap_const_lv64_9(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st135_fsm_134)) then data_array_address0 <= ap_const_lv64_8(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_119)) then data_array_address0 <= ap_const_lv64_7(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st105_fsm_104)) then data_array_address0 <= ap_const_lv64_6(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st90_fsm_89)) then data_array_address0 <= ap_const_lv64_5(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then data_array_address0 <= ap_const_lv64_3(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then data_array_address0 <= ap_const_lv64_1(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st75_fsm_74)) then data_array_address0 <= ap_const_lv64_4(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st74_fsm_73)) then data_array_address0 <= ap_const_lv64_2(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st73_fsm_72)) then data_array_address0 <= ap_const_lv64_0(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300))) then data_array_address0 <= tmp_1_fu_2852_p1(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) then data_array_address0 <= ap_const_lv64_13(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then data_array_address0 <= ap_const_lv64_11(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st72_fsm_71)) then data_array_address0 <= ap_const_lv64_12(5 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st71_fsm_70)) then data_array_address0 <= ap_const_lv64_10(5 - 1 downto 0); else data_array_address0 <= "XXXXX"; end if; end process; -- data_array_address1 assign process. -- data_array_address1_assign_proc : process(ap_reg_ppiten_pp0_it83, data_array_addr_16_reg_3700, data_array_addr_18_reg_3711, data_array_addr_reg_3717, data_array_addr_2_reg_3727, data_array_addr_4_reg_3732, data_array_addr_17_reg_3737, data_array_addr_19_reg_3748, data_array_addr_1_reg_3754, data_array_addr_3_reg_3764, data_array_addr_5_reg_3769, data_array_addr_6_reg_3774, data_array_addr_7_reg_3779, data_array_addr_8_reg_3784, data_array_addr_9_reg_3789, data_array_addr_10_reg_3794, data_array_addr_11_reg_3799, data_array_addr_12_reg_3804, data_array_addr_13_reg_3809, data_array_addr_14_reg_3814, data_array_addr_15_reg_3819, ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it82, ap_sig_cseq_ST_st388_fsm_304, ap_sig_cseq_ST_st391_fsm_307, ap_sig_cseq_ST_st394_fsm_310, ap_sig_cseq_ST_st397_fsm_313, ap_sig_cseq_ST_st400_fsm_316, ap_sig_cseq_ST_st403_fsm_319, ap_sig_cseq_ST_st406_fsm_322, ap_sig_cseq_ST_st409_fsm_325, ap_sig_cseq_ST_st412_fsm_328, ap_sig_cseq_ST_st415_fsm_331, ap_sig_cseq_ST_st418_fsm_334, ap_sig_cseq_ST_st421_fsm_337, ap_sig_cseq_ST_st424_fsm_340, ap_sig_cseq_ST_st427_fsm_343, ap_sig_cseq_ST_st430_fsm_346, ap_sig_cseq_ST_st433_fsm_349, ap_sig_cseq_ST_st436_fsm_352, ap_sig_cseq_ST_st439_fsm_355, ap_sig_cseq_ST_st442_fsm_358, ap_sig_cseq_ST_st385_fsm_301) begin if ((ap_const_logic_1 = ap_reg_ppiten_pp0_it83)) then data_array_address1 <= ap_reg_ppstg_data_array_addr_20_reg_3863_pp0_it82; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st442_fsm_358)) then data_array_address1 <= data_array_addr_19_reg_3748; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st439_fsm_355)) then data_array_address1 <= data_array_addr_18_reg_3711; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st436_fsm_352)) then data_array_address1 <= data_array_addr_17_reg_3737; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st433_fsm_349)) then data_array_address1 <= data_array_addr_16_reg_3700; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st430_fsm_346)) then data_array_address1 <= data_array_addr_15_reg_3819; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st427_fsm_343)) then data_array_address1 <= data_array_addr_14_reg_3814; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st424_fsm_340)) then data_array_address1 <= data_array_addr_13_reg_3809; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st421_fsm_337)) then data_array_address1 <= data_array_addr_12_reg_3804; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st418_fsm_334)) then data_array_address1 <= data_array_addr_11_reg_3799; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st415_fsm_331)) then data_array_address1 <= data_array_addr_10_reg_3794; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st412_fsm_328)) then data_array_address1 <= data_array_addr_9_reg_3789; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st409_fsm_325)) then data_array_address1 <= data_array_addr_8_reg_3784; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st406_fsm_322)) then data_array_address1 <= data_array_addr_7_reg_3779; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st403_fsm_319)) then data_array_address1 <= data_array_addr_6_reg_3774; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st400_fsm_316)) then data_array_address1 <= data_array_addr_5_reg_3769; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st397_fsm_313)) then data_array_address1 <= data_array_addr_4_reg_3732; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st394_fsm_310)) then data_array_address1 <= data_array_addr_3_reg_3764; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st391_fsm_307)) then data_array_address1 <= data_array_addr_2_reg_3727; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st388_fsm_304)) then data_array_address1 <= data_array_addr_1_reg_3754; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st385_fsm_301)) then data_array_address1 <= data_array_addr_reg_3717; else data_array_address1 <= "XXXXX"; end if; end process; -- data_array_ce0 assign process. -- data_array_ce0_assign_proc : process(ins_TVALID, ap_sig_cseq_ST_st73_fsm_72, ap_sig_cseq_ST_st74_fsm_73, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st87_fsm_86, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st89_fsm_88, ap_sig_cseq_ST_st72_fsm_71, ap_reg_ppiten_pp0_it0, ap_sig_cseq_ST_pp0_stg0_fsm_300, ap_sig_cseq_ST_st71_fsm_70, ap_sig_cseq_ST_st75_fsm_74, ap_sig_cseq_ST_st90_fsm_89, ap_sig_cseq_ST_st105_fsm_104, ap_sig_cseq_ST_st120_fsm_119, ap_sig_cseq_ST_st135_fsm_134, ap_sig_cseq_ST_st150_fsm_149, ap_sig_cseq_ST_st165_fsm_164, ap_sig_cseq_ST_st180_fsm_179, ap_sig_cseq_ST_st195_fsm_194, ap_sig_cseq_ST_st210_fsm_209, ap_sig_cseq_ST_st225_fsm_224, ap_sig_cseq_ST_st240_fsm_239, ap_sig_cseq_ST_st300_fsm_299, ap_sig_cseq_ST_st255_fsm_254, ap_sig_cseq_ST_st270_fsm_269, ap_sig_cseq_ST_st285_fsm_284) begin if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st73_fsm_72)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st74_fsm_73)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st72_fsm_71)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st71_fsm_70)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st75_fsm_74)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st90_fsm_89)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st105_fsm_104)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_119)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st135_fsm_134)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st180_fsm_179)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st195_fsm_194)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st210_fsm_209)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st225_fsm_224)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st240_fsm_239)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_300)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st255_fsm_254)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st270_fsm_269)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st285_fsm_284)))) then data_array_ce0 <= ap_const_logic_1; else data_array_ce0 <= ap_const_logic_0; end if; end process; -- data_array_ce1 assign process. -- data_array_ce1_assign_proc : process(ap_reg_ppiten_pp0_it83, ap_sig_ioackin_outs_TREADY, ap_sig_cseq_ST_st388_fsm_304, ap_sig_cseq_ST_st391_fsm_307, ap_sig_cseq_ST_st394_fsm_310, ap_sig_cseq_ST_st397_fsm_313, ap_sig_cseq_ST_st400_fsm_316, ap_sig_cseq_ST_st403_fsm_319, ap_sig_cseq_ST_st406_fsm_322, ap_sig_cseq_ST_st409_fsm_325, ap_sig_cseq_ST_st412_fsm_328, ap_sig_cseq_ST_st415_fsm_331, ap_sig_cseq_ST_st418_fsm_334, ap_sig_cseq_ST_st421_fsm_337, ap_sig_cseq_ST_st424_fsm_340, ap_sig_cseq_ST_st427_fsm_343, ap_sig_cseq_ST_st430_fsm_346, ap_sig_cseq_ST_st433_fsm_349, ap_sig_cseq_ST_st436_fsm_352, ap_sig_cseq_ST_st439_fsm_355, ap_sig_cseq_ST_st442_fsm_358, ap_sig_cseq_ST_st385_fsm_301) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it83) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st388_fsm_304)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st391_fsm_307)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st394_fsm_310)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st397_fsm_313)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st400_fsm_316)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st403_fsm_319)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st406_fsm_322)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st409_fsm_325)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st412_fsm_328)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st415_fsm_331)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st418_fsm_334)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st421_fsm_337)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st424_fsm_340)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st427_fsm_343)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st430_fsm_346)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st433_fsm_349)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st436_fsm_352)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st439_fsm_355)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st442_fsm_358)) or (ap_const_logic_1 = ap_sig_cseq_ST_st385_fsm_301))) then data_array_ce1 <= ap_const_logic_1; else data_array_ce1 <= ap_const_logic_0; end if; end process; -- data_array_d0 assign process. -- data_array_d0_assign_proc : process(ap_sig_cseq_ST_st73_fsm_72, ap_sig_cseq_ST_st74_fsm_73, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st89_fsm_88, ap_sig_cseq_ST_st75_fsm_74, ap_sig_cseq_ST_st90_fsm_89, ap_sig_cseq_ST_st105_fsm_104, ap_sig_cseq_ST_st120_fsm_119, ap_sig_cseq_ST_st135_fsm_134, ap_sig_cseq_ST_st150_fsm_149, ap_sig_cseq_ST_st165_fsm_164, ap_sig_cseq_ST_st180_fsm_179, ap_sig_cseq_ST_st195_fsm_194, ap_sig_cseq_ST_st210_fsm_209, ap_sig_cseq_ST_st225_fsm_224, ap_sig_cseq_ST_st240_fsm_239, ap_sig_cseq_ST_st300_fsm_299, ap_sig_cseq_ST_st255_fsm_254, ap_sig_cseq_ST_st270_fsm_269, ap_sig_cseq_ST_st285_fsm_284, rez_addr959960_part_set_fu_830_p5, rez_addr_3953954_part_set_fu_922_p5, rez_addr_5947948_part_set_fu_1017_p5, rez_addr_1956957_part_set_fu_1109_p5, rez_addr_4950951_part_set_fu_1201_p5, rez_addr_6944945_part_set_fu_1308_p5, rez_addr_7941942_part_set_fu_1415_p5, rez_addr_8938939_part_set_fu_1522_p5, rez_addr_9935936_part_set_fu_1629_p5, rez_addr_10932933_part_set_fu_1736_p5, rez_addr_11929930_part_set_fu_1843_p5, rez_addr_12926927_part_set_fu_1950_p5, rez_addr_13923924_part_set_fu_2057_p5, rez_addr_14920921_part_set_fu_2164_p5, rez_addr_15917918_part_set_fu_2271_p5, rez_addr_16914915_part_set_fu_2378_p5, rez_addr_17911912_part_set_fu_2485_p5, rez_addr_18908909_part_set_fu_2592_p5, rez_addr_19905906_part_set_fu_2698_p5, rez_addr_20902903_part_set_fu_2828_p5) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299)) then data_array_d0 <= rez_addr_20902903_part_set_fu_2828_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st285_fsm_284)) then data_array_d0 <= rez_addr_19905906_part_set_fu_2698_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st270_fsm_269)) then data_array_d0 <= rez_addr_18908909_part_set_fu_2592_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st255_fsm_254)) then data_array_d0 <= rez_addr_17911912_part_set_fu_2485_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st240_fsm_239)) then data_array_d0 <= rez_addr_16914915_part_set_fu_2378_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st225_fsm_224)) then data_array_d0 <= rez_addr_15917918_part_set_fu_2271_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st210_fsm_209)) then data_array_d0 <= rez_addr_14920921_part_set_fu_2164_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st195_fsm_194)) then data_array_d0 <= rez_addr_13923924_part_set_fu_2057_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st180_fsm_179)) then data_array_d0 <= rez_addr_12926927_part_set_fu_1950_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164)) then data_array_d0 <= rez_addr_11929930_part_set_fu_1843_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then data_array_d0 <= rez_addr_10932933_part_set_fu_1736_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st135_fsm_134)) then data_array_d0 <= rez_addr_9935936_part_set_fu_1629_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_119)) then data_array_d0 <= rez_addr_8938939_part_set_fu_1522_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st105_fsm_104)) then data_array_d0 <= rez_addr_7941942_part_set_fu_1415_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st90_fsm_89)) then data_array_d0 <= rez_addr_6944945_part_set_fu_1308_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then data_array_d0 <= rez_addr_4950951_part_set_fu_1201_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then data_array_d0 <= rez_addr_1956957_part_set_fu_1109_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st75_fsm_74)) then data_array_d0 <= rez_addr_5947948_part_set_fu_1017_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st74_fsm_73)) then data_array_d0 <= rez_addr_3953954_part_set_fu_922_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st73_fsm_72)) then data_array_d0 <= rez_addr959960_part_set_fu_830_p5; else data_array_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; data_array_d1 <= beta_addr_111281129_part_set_reg_4307; -- data_array_we0 assign process. -- data_array_we0_assign_proc : process(ins_TVALID, ap_sig_cseq_ST_st73_fsm_72, ap_sig_cseq_ST_st74_fsm_73, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st89_fsm_88, ap_sig_cseq_ST_st75_fsm_74, ap_sig_cseq_ST_st90_fsm_89, ap_sig_cseq_ST_st105_fsm_104, ap_sig_cseq_ST_st120_fsm_119, ap_sig_cseq_ST_st135_fsm_134, ap_sig_cseq_ST_st150_fsm_149, ap_sig_cseq_ST_st165_fsm_164, ap_sig_cseq_ST_st180_fsm_179, ap_sig_cseq_ST_st195_fsm_194, ap_sig_cseq_ST_st210_fsm_209, ap_sig_cseq_ST_st225_fsm_224, ap_sig_cseq_ST_st240_fsm_239, ap_sig_cseq_ST_st300_fsm_299, ap_sig_cseq_ST_st255_fsm_254, ap_sig_cseq_ST_st270_fsm_269, ap_sig_cseq_ST_st285_fsm_284) begin if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st73_fsm_72)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st74_fsm_73)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st75_fsm_74)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st90_fsm_89)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st105_fsm_104)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_119)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st135_fsm_134)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st180_fsm_179)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st195_fsm_194)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st210_fsm_209)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st225_fsm_224)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st240_fsm_239)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st255_fsm_254)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st270_fsm_269)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st285_fsm_284)))) then data_array_we0 <= ap_const_logic_1; else data_array_we0 <= ap_const_logic_0; end if; end process; -- data_array_we1 assign process. -- data_array_we1_assign_proc : process(ap_reg_ppiten_pp0_it83, ap_reg_ppstg_exitcond2_reg_3854_pp0_it82) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it83) and (ap_const_lv1_0 = ap_reg_ppstg_exitcond2_reg_3854_pp0_it82)))) then data_array_we1 <= ap_const_logic_1; else data_array_we1 <= ap_const_logic_0; end if; end process; exitcond2_fu_2840_p2 <= "1" when (i1_reg_418 = ap_const_lv5_14) else "0"; g_fu_3055_p1 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it10; gamma_load_10_fu_3285_p1 <= reg_729; gamma_load_11_fu_3300_p1 <= reg_729; gamma_load_12_fu_3315_p1 <= reg_729; gamma_load_13_fu_3330_p1 <= reg_729; gamma_load_14_fu_3345_p1 <= reg_729; gamma_load_15_fu_3360_p1 <= reg_729; gamma_load_16_fu_3375_p1 <= reg_729; gamma_load_17_fu_3390_p1 <= reg_729; gamma_load_18_fu_3405_p1 <= reg_729; gamma_load_1_fu_3150_p1 <= reg_729; gamma_load_2_fu_3165_p1 <= reg_729; gamma_load_3_fu_3180_p1 <= reg_729; gamma_load_4_fu_3195_p1 <= reg_729; gamma_load_5_fu_3210_p1 <= reg_729; gamma_load_6_fu_3225_p1 <= reg_729; gamma_load_7_fu_3240_p1 <= reg_729; gamma_load_8_fu_3255_p1 <= reg_729; gamma_load_9_fu_3270_p1 <= reg_729; gamma_load_fu_3120_p1 <= reg_729; gamma_load_s_fu_3135_p1 <= reg_729; gamma_write_assign_toint_fu_3085_p1 <= grp_fu_622_p2; grp_fu_430_ce <= ap_const_logic_1; grp_fu_430_p0 <= v0x_assign4_fu_3001_p1; grp_fu_430_p1 <= v1x_assign_new_reg_3884; grp_fu_434_ce <= ap_const_logic_1; grp_fu_434_p0 <= v0y_assign_fu_3007_p1; grp_fu_434_p1 <= v1y_assign_new_reg_3889; grp_fu_438_ce <= ap_const_logic_1; grp_fu_438_p0 <= v0z_assign_fu_3013_p1; grp_fu_438_p1 <= v1z_assign_new_reg_3894; grp_fu_442_ce <= ap_const_logic_1; grp_fu_442_p0 <= v0x_assign4_fu_3001_p1; grp_fu_442_p1 <= v2x_assign_new_reg_3899; grp_fu_446_ce <= ap_const_logic_1; grp_fu_446_p0 <= v0y_assign_fu_3007_p1; grp_fu_446_p1 <= v2y_assign_new_reg_3904; grp_fu_450_ce <= ap_const_logic_1; grp_fu_450_p0 <= v0z_assign_fu_3013_p1; grp_fu_450_p1 <= v2z_assign_new_reg_3909; grp_fu_454_ce <= ap_const_logic_1; grp_fu_454_p0 <= v0x_assign4_fu_3001_p1; grp_fu_454_p1 <= rex_assign_new_reg_3929; grp_fu_458_ce <= ap_const_logic_1; grp_fu_458_p0 <= v0y_assign_fu_3007_p1; grp_fu_458_p1 <= rey_assign_new_reg_3934; grp_fu_462_ce <= ap_const_logic_1; grp_fu_462_p0 <= v0z_assign_fu_3013_p1; grp_fu_462_p1 <= rez_assign_new_reg_3939; grp_fu_466_ce <= ap_const_logic_1; grp_fu_466_p0 <= tmp_i_reg_4094; grp_fu_466_p1 <= tmp_i_311_reg_4099; grp_fu_470_ce <= ap_const_logic_1; grp_fu_470_p0 <= tmp_3_i_reg_4104; grp_fu_470_p1 <= tmp_4_i_reg_4109; grp_fu_474_ce <= ap_const_logic_1; grp_fu_474_p0 <= tmp_12_i_reg_4114; grp_fu_474_p1 <= tmp_13_i_reg_4119; grp_fu_478_ce <= ap_const_logic_1; grp_fu_478_p0 <= tmp_16_i_reg_4124; grp_fu_478_p1 <= tmp_17_i_reg_4129; grp_fu_482_ce <= ap_const_logic_1; grp_fu_482_p0 <= tmp_8_i_reg_4146; grp_fu_482_p1 <= tmp_9_i_reg_4151; grp_fu_486_ce <= ap_const_logic_1; grp_fu_486_p0 <= tmp_21_i_reg_4168; grp_fu_486_p1 <= tmp_22_i_reg_4173; grp_fu_490_ce <= ap_const_logic_1; grp_fu_490_p0 <= tmp_2_i_reg_4178; grp_fu_490_p1 <= tmp_6_i_reg_4183; grp_fu_494_ce <= ap_const_logic_1; grp_fu_494_p0 <= tmp_15_i_reg_4188; grp_fu_494_p1 <= tmp_19_i_reg_4193; grp_fu_498_ce <= ap_const_logic_1; grp_fu_498_p0 <= tmp_27_i_reg_4198; grp_fu_498_p1 <= tmp_28_i_reg_4203; grp_fu_502_ce <= ap_const_logic_1; grp_fu_502_p0 <= tmp_32_i_reg_4208; grp_fu_502_p1 <= tmp_33_i_reg_4213; grp_fu_506_ce <= ap_const_logic_1; grp_fu_506_p0 <= tmp_7_i_reg_4230; grp_fu_506_p1 <= tmp_11_i_reg_4235; grp_fu_510_ce <= ap_const_logic_1; grp_fu_510_p0 <= tmp_20_i_reg_4240; grp_fu_510_p1 <= tmp_24_i_reg_4245; grp_fu_514_ce <= ap_const_logic_1; grp_fu_514_p0 <= tmp_29_i_reg_4250; grp_fu_514_p1 <= tmp_30_i_reg_4255; grp_fu_518_ce <= ap_const_logic_1; grp_fu_518_p0 <= tmp_34_i_reg_4260; grp_fu_518_p1 <= tmp_35_i_reg_4265; grp_fu_522_ce <= ap_const_logic_1; grp_fu_522_p0 <= e_reg_4038; grp_fu_522_p1 <= i_1_fu_3063_p1; grp_fu_526_ce <= ap_const_logic_1; grp_fu_526_p0 <= f_reg_4045; grp_fu_526_p1 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it10; grp_fu_530_ce <= ap_const_logic_1; grp_fu_530_p0 <= f_reg_4045; grp_fu_530_p1 <= ap_reg_ppstg_rdx_assign_new_reg_3914_pp0_it10; grp_fu_534_ce <= ap_const_logic_1; grp_fu_534_p0 <= d_reg_4031; grp_fu_534_p1 <= i_1_fu_3063_p1; grp_fu_538_ce <= ap_const_logic_1; grp_fu_538_p0 <= a_reg_4010; grp_fu_538_p1 <= k_reg_4059; grp_fu_542_ce <= ap_const_logic_1; grp_fu_542_p0 <= j_reg_4052; grp_fu_542_p1 <= b_reg_4017; grp_fu_546_ce <= ap_const_logic_1; grp_fu_546_p0 <= j_reg_4052; grp_fu_546_p1 <= c_reg_4024; grp_fu_550_ce <= ap_const_logic_1; grp_fu_550_p0 <= a_reg_4010; grp_fu_550_p1 <= l_reg_4066; grp_fu_554_ce <= ap_const_logic_1; grp_fu_554_p0 <= ap_reg_ppstg_d_reg_4031_pp0_it19; grp_fu_554_p1 <= ap_reg_ppstg_h_reg_4080_pp0_it19; grp_fu_558_ce <= ap_const_logic_1; grp_fu_558_p0 <= ap_reg_ppstg_e_reg_4038_pp0_it19; grp_fu_558_p1 <= ap_reg_ppstg_g_reg_4073_pp0_it19; grp_fu_562_ce <= ap_const_logic_1; grp_fu_562_p0 <= ap_reg_ppstg_b_reg_4017_pp0_it19; grp_fu_562_p1 <= ap_reg_ppstg_l_reg_4066_pp0_it19; grp_fu_566_ce <= ap_const_logic_1; grp_fu_566_p0 <= ap_reg_ppstg_k_reg_4059_pp0_it19; grp_fu_566_p1 <= ap_reg_ppstg_c_reg_4024_pp0_it19; grp_fu_570_ce <= ap_const_logic_1; grp_fu_570_p0 <= ap_reg_ppstg_a_reg_4010_pp0_it24; grp_fu_570_p1 <= tmp_1_i_reg_4134; grp_fu_574_ce <= ap_const_logic_1; grp_fu_574_p0 <= ap_reg_ppstg_b_reg_4017_pp0_it24; grp_fu_574_p1 <= tmp_5_i_reg_4140; grp_fu_578_ce <= ap_const_logic_1; grp_fu_578_p0 <= ap_reg_ppstg_f_reg_4045_pp0_it24; grp_fu_578_p1 <= tmp_14_i_reg_4156; grp_fu_582_ce <= ap_const_logic_1; grp_fu_582_p0 <= ap_reg_ppstg_e_reg_4038_pp0_it24; grp_fu_582_p1 <= tmp_18_i_reg_4162; grp_fu_586_ce <= ap_const_logic_1; grp_fu_586_p0 <= tmp_14_i_reg_4156; grp_fu_586_p1 <= ap_reg_ppstg_i_1_reg_4087_pp0_it24; grp_fu_590_ce <= ap_const_logic_1; grp_fu_590_p0 <= tmp_18_i_reg_4162; grp_fu_590_p1 <= ap_reg_ppstg_h_reg_4080_pp0_it24; grp_fu_594_ce <= ap_const_logic_1; grp_fu_594_p0 <= ap_reg_ppstg_j_reg_4052_pp0_it24; grp_fu_594_p1 <= tmp_1_i_reg_4134; grp_fu_598_ce <= ap_const_logic_1; grp_fu_598_p0 <= ap_reg_ppstg_k_reg_4059_pp0_it24; grp_fu_598_p1 <= tmp_5_i_reg_4140; grp_fu_602_ce <= ap_const_logic_1; grp_fu_602_p0 <= ap_reg_ppstg_c_reg_4024_pp0_it33; grp_fu_602_p1 <= tmp_10_i_reg_4218; grp_fu_606_ce <= ap_const_logic_1; grp_fu_606_p0 <= ap_reg_ppstg_d_reg_4031_pp0_it33; grp_fu_606_p1 <= tmp_23_i_reg_4224; grp_fu_610_ce <= ap_const_logic_1; grp_fu_610_p0 <= tmp_23_i_reg_4224; grp_fu_610_p1 <= ap_reg_ppstg_g_reg_4073_pp0_it33; grp_fu_614_ce <= ap_const_logic_1; grp_fu_614_p0 <= ap_reg_ppstg_l_reg_4066_pp0_it33; grp_fu_614_p1 <= tmp_10_i_reg_4218; grp_fu_618_ce <= ap_const_logic_1; grp_fu_618_p0 <= tmp_61_neg_i_reg_4297; grp_fu_618_p1 <= im_reg_4290; grp_fu_622_ce <= ap_const_logic_1; grp_fu_622_p0 <= ap_reg_ppstg_tmp_31_i_reg_4280_pp0_it77; grp_fu_622_p1 <= im_reg_4290; grp_fu_626_ce <= ap_const_logic_1; grp_fu_626_p0 <= ap_reg_ppstg_tmp_36_i_reg_4285_pp0_it77; grp_fu_626_p1 <= im_reg_4290; grp_fu_630_ce <= ap_const_logic_1; grp_fu_630_p0 <= ap_const_lv32_3F800000; grp_fu_630_p1 <= m_reg_4270; grp_fu_639_p4 <= data_array_q1(511 downto 480); h_fu_3059_p1 <= ap_reg_ppstg_rdy_assign_new_reg_3919_pp0_it10; i_1_fu_3063_p1 <= ap_reg_ppstg_rdz_assign_new_reg_3924_pp0_it10; i_fu_2846_p2 <= std_logic_vector(unsigned(i1_reg_418) + unsigned(ap_const_lv5_1)); -- ins_TREADY assign process. -- ins_TREADY_assign_proc : process(ins_TVALID, ap_sig_cseq_ST_st1_fsm_0, ap_sig_cseq_ST_st73_fsm_72, ap_sig_cseq_ST_st76_fsm_75, ap_sig_cseq_ST_st91_fsm_90, ap_sig_cseq_ST_st106_fsm_105, ap_sig_cseq_ST_st121_fsm_120, ap_sig_cseq_ST_st136_fsm_135, ap_sig_cseq_ST_st151_fsm_150, ap_sig_cseq_ST_st166_fsm_165, ap_sig_cseq_ST_st181_fsm_180, ap_sig_cseq_ST_st196_fsm_195, ap_sig_cseq_ST_st211_fsm_210, ap_sig_cseq_ST_st226_fsm_225, ap_sig_cseq_ST_st241_fsm_240, ap_sig_cseq_ST_st256_fsm_255, ap_sig_cseq_ST_st271_fsm_270, ap_sig_cseq_ST_st286_fsm_285, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st74_fsm_73, ap_sig_cseq_ST_st77_fsm_76, ap_sig_cseq_ST_st92_fsm_91, ap_sig_cseq_ST_st107_fsm_106, ap_sig_cseq_ST_st122_fsm_121, ap_sig_cseq_ST_st137_fsm_136, ap_sig_cseq_ST_st152_fsm_151, ap_sig_cseq_ST_st167_fsm_166, ap_sig_cseq_ST_st182_fsm_181, ap_sig_cseq_ST_st197_fsm_196, ap_sig_cseq_ST_st212_fsm_211, ap_sig_cseq_ST_st227_fsm_226, ap_sig_cseq_ST_st242_fsm_241, ap_sig_cseq_ST_st257_fsm_256, ap_sig_cseq_ST_st272_fsm_271, ap_sig_cseq_ST_st287_fsm_286, ap_sig_cseq_ST_st3_fsm_2, ap_sig_cseq_ST_st78_fsm_77, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st108_fsm_107, ap_sig_cseq_ST_st123_fsm_122, ap_sig_cseq_ST_st138_fsm_137, ap_sig_cseq_ST_st153_fsm_152, ap_sig_cseq_ST_st168_fsm_167, ap_sig_cseq_ST_st183_fsm_182, ap_sig_cseq_ST_st198_fsm_197, ap_sig_cseq_ST_st213_fsm_212, ap_sig_cseq_ST_st228_fsm_227, ap_sig_cseq_ST_st243_fsm_242, ap_sig_cseq_ST_st258_fsm_257, ap_sig_cseq_ST_st273_fsm_272, ap_sig_cseq_ST_st288_fsm_287, ap_sig_cseq_ST_st4_fsm_3, ap_sig_cseq_ST_st79_fsm_78, ap_sig_cseq_ST_st94_fsm_93, ap_sig_cseq_ST_st109_fsm_108, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st139_fsm_138, ap_sig_cseq_ST_st154_fsm_153, ap_sig_cseq_ST_st169_fsm_168, ap_sig_cseq_ST_st184_fsm_183, ap_sig_cseq_ST_st199_fsm_198, ap_sig_cseq_ST_st214_fsm_213, ap_sig_cseq_ST_st229_fsm_228, ap_sig_cseq_ST_st244_fsm_243, ap_sig_cseq_ST_st259_fsm_258, ap_sig_cseq_ST_st274_fsm_273, ap_sig_cseq_ST_st289_fsm_288, ap_sig_cseq_ST_st5_fsm_4, ap_sig_cseq_ST_st80_fsm_79, ap_sig_cseq_ST_st95_fsm_94, ap_sig_cseq_ST_st110_fsm_109, ap_sig_cseq_ST_st125_fsm_124, ap_sig_cseq_ST_st140_fsm_139, ap_sig_cseq_ST_st155_fsm_154, ap_sig_cseq_ST_st170_fsm_169, ap_sig_cseq_ST_st185_fsm_184, ap_sig_cseq_ST_st200_fsm_199, ap_sig_cseq_ST_st215_fsm_214, ap_sig_cseq_ST_st230_fsm_229, ap_sig_cseq_ST_st245_fsm_244, ap_sig_cseq_ST_st260_fsm_259, ap_sig_cseq_ST_st275_fsm_274, ap_sig_cseq_ST_st290_fsm_289, ap_sig_cseq_ST_st6_fsm_5, ap_sig_cseq_ST_st81_fsm_80, ap_sig_cseq_ST_st96_fsm_95, ap_sig_cseq_ST_st111_fsm_110, ap_sig_cseq_ST_st126_fsm_125, ap_sig_cseq_ST_st141_fsm_140, ap_sig_cseq_ST_st156_fsm_155, ap_sig_cseq_ST_st171_fsm_170, ap_sig_cseq_ST_st186_fsm_185, ap_sig_cseq_ST_st201_fsm_200, ap_sig_cseq_ST_st216_fsm_215, ap_sig_cseq_ST_st231_fsm_230, ap_sig_cseq_ST_st246_fsm_245, ap_sig_cseq_ST_st261_fsm_260, ap_sig_cseq_ST_st276_fsm_275, ap_sig_cseq_ST_st291_fsm_290, ap_sig_cseq_ST_st7_fsm_6, ap_sig_cseq_ST_st82_fsm_81, ap_sig_cseq_ST_st97_fsm_96, ap_sig_cseq_ST_st112_fsm_111, ap_sig_cseq_ST_st127_fsm_126, ap_sig_cseq_ST_st142_fsm_141, ap_sig_cseq_ST_st157_fsm_156, ap_sig_cseq_ST_st172_fsm_171, ap_sig_cseq_ST_st187_fsm_186, ap_sig_cseq_ST_st202_fsm_201, ap_sig_cseq_ST_st217_fsm_216, ap_sig_cseq_ST_st232_fsm_231, ap_sig_cseq_ST_st247_fsm_246, ap_sig_cseq_ST_st262_fsm_261, ap_sig_cseq_ST_st277_fsm_276, ap_sig_cseq_ST_st292_fsm_291, ap_sig_cseq_ST_st8_fsm_7, ap_sig_cseq_ST_st83_fsm_82, ap_sig_cseq_ST_st98_fsm_97, ap_sig_cseq_ST_st113_fsm_112, ap_sig_cseq_ST_st128_fsm_127, ap_sig_cseq_ST_st143_fsm_142, ap_sig_cseq_ST_st158_fsm_157, ap_sig_cseq_ST_st173_fsm_172, ap_sig_cseq_ST_st188_fsm_187, ap_sig_cseq_ST_st203_fsm_202, ap_sig_cseq_ST_st218_fsm_217, ap_sig_cseq_ST_st233_fsm_232, ap_sig_cseq_ST_st248_fsm_247, ap_sig_cseq_ST_st263_fsm_262, ap_sig_cseq_ST_st278_fsm_277, ap_sig_cseq_ST_st293_fsm_292, ap_sig_cseq_ST_st9_fsm_8, ap_sig_cseq_ST_st84_fsm_83, ap_sig_cseq_ST_st99_fsm_98, ap_sig_cseq_ST_st114_fsm_113, ap_sig_cseq_ST_st129_fsm_128, ap_sig_cseq_ST_st144_fsm_143, ap_sig_cseq_ST_st159_fsm_158, ap_sig_cseq_ST_st174_fsm_173, ap_sig_cseq_ST_st189_fsm_188, ap_sig_cseq_ST_st204_fsm_203, ap_sig_cseq_ST_st219_fsm_218, ap_sig_cseq_ST_st234_fsm_233, ap_sig_cseq_ST_st249_fsm_248, ap_sig_cseq_ST_st264_fsm_263, ap_sig_cseq_ST_st279_fsm_278, ap_sig_cseq_ST_st294_fsm_293, ap_sig_cseq_ST_st10_fsm_9, ap_sig_cseq_ST_st85_fsm_84, ap_sig_cseq_ST_st100_fsm_99, ap_sig_cseq_ST_st115_fsm_114, ap_sig_cseq_ST_st130_fsm_129, ap_sig_cseq_ST_st145_fsm_144, ap_sig_cseq_ST_st160_fsm_159, ap_sig_cseq_ST_st175_fsm_174, ap_sig_cseq_ST_st190_fsm_189, ap_sig_cseq_ST_st205_fsm_204, ap_sig_cseq_ST_st220_fsm_219, ap_sig_cseq_ST_st235_fsm_234, ap_sig_cseq_ST_st250_fsm_249, ap_sig_cseq_ST_st265_fsm_264, ap_sig_cseq_ST_st280_fsm_279, ap_sig_cseq_ST_st295_fsm_294, ap_sig_cseq_ST_st11_fsm_10, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st101_fsm_100, ap_sig_cseq_ST_st116_fsm_115, ap_sig_cseq_ST_st131_fsm_130, ap_sig_cseq_ST_st146_fsm_145, ap_sig_cseq_ST_st161_fsm_160, ap_sig_cseq_ST_st176_fsm_175, ap_sig_cseq_ST_st191_fsm_190, ap_sig_cseq_ST_st206_fsm_205, ap_sig_cseq_ST_st221_fsm_220, ap_sig_cseq_ST_st236_fsm_235, ap_sig_cseq_ST_st251_fsm_250, ap_sig_cseq_ST_st266_fsm_265, ap_sig_cseq_ST_st281_fsm_280, ap_sig_cseq_ST_st296_fsm_295, ap_sig_cseq_ST_st12_fsm_11, ap_sig_cseq_ST_st87_fsm_86, ap_sig_cseq_ST_st102_fsm_101, ap_sig_cseq_ST_st117_fsm_116, ap_sig_cseq_ST_st132_fsm_131, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st162_fsm_161, ap_sig_cseq_ST_st177_fsm_176, ap_sig_cseq_ST_st192_fsm_191, ap_sig_cseq_ST_st207_fsm_206, ap_sig_cseq_ST_st222_fsm_221, ap_sig_cseq_ST_st237_fsm_236, ap_sig_cseq_ST_st252_fsm_251, ap_sig_cseq_ST_st267_fsm_266, ap_sig_cseq_ST_st282_fsm_281, ap_sig_cseq_ST_st297_fsm_296, ap_sig_cseq_ST_st13_fsm_12, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st103_fsm_102, ap_sig_cseq_ST_st118_fsm_117, ap_sig_cseq_ST_st133_fsm_132, ap_sig_cseq_ST_st148_fsm_147, ap_sig_cseq_ST_st163_fsm_162, ap_sig_cseq_ST_st178_fsm_177, ap_sig_cseq_ST_st193_fsm_192, ap_sig_cseq_ST_st208_fsm_207, ap_sig_cseq_ST_st223_fsm_222, ap_sig_cseq_ST_st238_fsm_237, ap_sig_cseq_ST_st253_fsm_252, ap_sig_cseq_ST_st268_fsm_267, ap_sig_cseq_ST_st283_fsm_282, ap_sig_cseq_ST_st298_fsm_297, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st89_fsm_88, ap_sig_cseq_ST_st104_fsm_103, ap_sig_cseq_ST_st119_fsm_118, ap_sig_cseq_ST_st134_fsm_133, ap_sig_cseq_ST_st149_fsm_148, ap_sig_cseq_ST_st164_fsm_163, ap_sig_cseq_ST_st179_fsm_178, ap_sig_cseq_ST_st194_fsm_193, ap_sig_cseq_ST_st209_fsm_208, ap_sig_cseq_ST_st224_fsm_223, ap_sig_cseq_ST_st239_fsm_238, ap_sig_cseq_ST_st254_fsm_253, ap_sig_cseq_ST_st269_fsm_268, ap_sig_cseq_ST_st284_fsm_283, ap_sig_cseq_ST_st299_fsm_298, ap_sig_cseq_ST_st72_fsm_71, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st16_fsm_15, ap_sig_cseq_ST_st17_fsm_16, ap_sig_cseq_ST_st18_fsm_17, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st20_fsm_19, ap_sig_cseq_ST_st21_fsm_20, ap_sig_cseq_ST_st22_fsm_21, ap_sig_cseq_ST_st23_fsm_22, ap_sig_cseq_ST_st24_fsm_23, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st26_fsm_25, ap_sig_cseq_ST_st27_fsm_26, ap_sig_cseq_ST_st28_fsm_27, ap_sig_cseq_ST_st29_fsm_28, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_st31_fsm_30, ap_sig_cseq_ST_st32_fsm_31, ap_sig_cseq_ST_st33_fsm_32, ap_sig_cseq_ST_st34_fsm_33, ap_sig_cseq_ST_st35_fsm_34, ap_sig_cseq_ST_st36_fsm_35, ap_sig_cseq_ST_st37_fsm_36, ap_sig_cseq_ST_st38_fsm_37, ap_sig_cseq_ST_st39_fsm_38, ap_sig_cseq_ST_st40_fsm_39, ap_sig_cseq_ST_st41_fsm_40, ap_sig_cseq_ST_st42_fsm_41, ap_sig_cseq_ST_st43_fsm_42, ap_sig_cseq_ST_st44_fsm_43, ap_sig_cseq_ST_st45_fsm_44, ap_sig_cseq_ST_st46_fsm_45, ap_sig_cseq_ST_st47_fsm_46, ap_sig_cseq_ST_st48_fsm_47, ap_sig_cseq_ST_st49_fsm_48, ap_sig_cseq_ST_st50_fsm_49, ap_sig_cseq_ST_st51_fsm_50, ap_sig_cseq_ST_st52_fsm_51, ap_sig_cseq_ST_st53_fsm_52, ap_sig_cseq_ST_st54_fsm_53, ap_sig_cseq_ST_st55_fsm_54, ap_sig_cseq_ST_st56_fsm_55, ap_sig_cseq_ST_st57_fsm_56, ap_sig_cseq_ST_st58_fsm_57, ap_sig_cseq_ST_st59_fsm_58, ap_sig_cseq_ST_st60_fsm_59, ap_sig_cseq_ST_st61_fsm_60, ap_sig_cseq_ST_st62_fsm_61, ap_sig_cseq_ST_st63_fsm_62, ap_sig_cseq_ST_st64_fsm_63, ap_sig_cseq_ST_st65_fsm_64, ap_sig_cseq_ST_st66_fsm_65, ap_sig_cseq_ST_st67_fsm_66, ap_sig_cseq_ST_st68_fsm_67, ap_sig_cseq_ST_st69_fsm_68, ap_sig_cseq_ST_st70_fsm_69, ap_sig_cseq_ST_st71_fsm_70, ap_sig_cseq_ST_st75_fsm_74, ap_sig_cseq_ST_st90_fsm_89, ap_sig_cseq_ST_st105_fsm_104, ap_sig_cseq_ST_st120_fsm_119, ap_sig_cseq_ST_st135_fsm_134, ap_sig_cseq_ST_st150_fsm_149, ap_sig_cseq_ST_st165_fsm_164, ap_sig_cseq_ST_st180_fsm_179, ap_sig_cseq_ST_st195_fsm_194, ap_sig_cseq_ST_st210_fsm_209, ap_sig_cseq_ST_st225_fsm_224, ap_sig_cseq_ST_st240_fsm_239, ap_sig_cseq_ST_st300_fsm_299, ap_sig_cseq_ST_st255_fsm_254, ap_sig_cseq_ST_st270_fsm_269, ap_sig_cseq_ST_st285_fsm_284) begin if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ins_TVALID = ap_const_logic_0))) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st73_fsm_72)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st76_fsm_75)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st91_fsm_90)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st106_fsm_105)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_120)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st136_fsm_135)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st151_fsm_150)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st181_fsm_180)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st196_fsm_195)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st211_fsm_210)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st226_fsm_225)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st241_fsm_240)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st256_fsm_255)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st271_fsm_270)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st286_fsm_285)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st74_fsm_73)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st77_fsm_76)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st107_fsm_106)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st137_fsm_136)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st152_fsm_151)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st167_fsm_166)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st182_fsm_181)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st197_fsm_196)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st212_fsm_211)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st227_fsm_226)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st242_fsm_241)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st257_fsm_256)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st272_fsm_271)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st287_fsm_286)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st78_fsm_77)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st108_fsm_107)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st138_fsm_137)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st153_fsm_152)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st168_fsm_167)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st183_fsm_182)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st198_fsm_197)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st213_fsm_212)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st228_fsm_227)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st243_fsm_242)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st258_fsm_257)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st273_fsm_272)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st288_fsm_287)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st79_fsm_78)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st94_fsm_93)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st109_fsm_108)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st139_fsm_138)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st154_fsm_153)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st169_fsm_168)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st184_fsm_183)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st199_fsm_198)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st214_fsm_213)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st229_fsm_228)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st244_fsm_243)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st259_fsm_258)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st274_fsm_273)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st289_fsm_288)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st95_fsm_94)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st110_fsm_109)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st125_fsm_124)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st140_fsm_139)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st155_fsm_154)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st170_fsm_169)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st185_fsm_184)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st200_fsm_199)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st215_fsm_214)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st230_fsm_229)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st245_fsm_244)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st260_fsm_259)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st275_fsm_274)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st290_fsm_289)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st6_fsm_5)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st81_fsm_80)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st96_fsm_95)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st111_fsm_110)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st126_fsm_125)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st141_fsm_140)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st171_fsm_170)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st186_fsm_185)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st201_fsm_200)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st216_fsm_215)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st231_fsm_230)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st246_fsm_245)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st261_fsm_260)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st276_fsm_275)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st291_fsm_290)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st7_fsm_6)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st82_fsm_81)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st112_fsm_111)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st127_fsm_126)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st142_fsm_141)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st157_fsm_156)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st172_fsm_171)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st187_fsm_186)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st202_fsm_201)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st217_fsm_216)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st232_fsm_231)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st247_fsm_246)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st262_fsm_261)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st277_fsm_276)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st292_fsm_291)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st8_fsm_7)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st83_fsm_82)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st113_fsm_112)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st143_fsm_142)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st158_fsm_157)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st173_fsm_172)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st188_fsm_187)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st203_fsm_202)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st218_fsm_217)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st233_fsm_232)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st248_fsm_247)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st263_fsm_262)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st278_fsm_277)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st293_fsm_292)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st9_fsm_8)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st114_fsm_113)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st144_fsm_143)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st159_fsm_158)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st174_fsm_173)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st189_fsm_188)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st204_fsm_203)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st219_fsm_218)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st234_fsm_233)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st249_fsm_248)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st264_fsm_263)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st279_fsm_278)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st294_fsm_293)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st100_fsm_99)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st115_fsm_114)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st145_fsm_144)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st160_fsm_159)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st175_fsm_174)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st190_fsm_189)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st205_fsm_204)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st220_fsm_219)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st235_fsm_234)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st250_fsm_249)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st265_fsm_264)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st280_fsm_279)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st295_fsm_294)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st101_fsm_100)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_115)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st131_fsm_130)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st161_fsm_160)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st176_fsm_175)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st191_fsm_190)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st206_fsm_205)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st221_fsm_220)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st236_fsm_235)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st251_fsm_250)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st266_fsm_265)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st281_fsm_280)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st296_fsm_295)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st102_fsm_101)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_116)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st132_fsm_131)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st162_fsm_161)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st177_fsm_176)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st192_fsm_191)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st207_fsm_206)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st222_fsm_221)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st237_fsm_236)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st252_fsm_251)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st267_fsm_266)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st282_fsm_281)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st297_fsm_296)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_117)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st133_fsm_132)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st163_fsm_162)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st178_fsm_177)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st193_fsm_192)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st208_fsm_207)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st223_fsm_222)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st238_fsm_237)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st253_fsm_252)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st268_fsm_267)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st283_fsm_282)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st298_fsm_297)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st119_fsm_118)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st134_fsm_133)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st164_fsm_163)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st179_fsm_178)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st194_fsm_193)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st209_fsm_208)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st224_fsm_223)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st239_fsm_238)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st254_fsm_253)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st269_fsm_268)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st284_fsm_283)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st299_fsm_298)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st72_fsm_71)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st16_fsm_15)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st17_fsm_16)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st20_fsm_19)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st21_fsm_20)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st22_fsm_21)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st26_fsm_25)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st27_fsm_26)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st28_fsm_27)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st31_fsm_30)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st32_fsm_31)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st33_fsm_32)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st34_fsm_33)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st35_fsm_34)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st36_fsm_35)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st37_fsm_36)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st38_fsm_37)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st39_fsm_38)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st40_fsm_39)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st41_fsm_40)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st42_fsm_41)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st43_fsm_42)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st44_fsm_43)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st45_fsm_44)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st46_fsm_45)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st47_fsm_46)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st49_fsm_48)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st50_fsm_49)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st51_fsm_50)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st52_fsm_51)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st54_fsm_53)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st55_fsm_54)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st56_fsm_55)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st57_fsm_56)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st58_fsm_57)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st59_fsm_58)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st60_fsm_59)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st61_fsm_60)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st62_fsm_61)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st63_fsm_62)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st64_fsm_63)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st65_fsm_64)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st66_fsm_65)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st67_fsm_66)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st68_fsm_67)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st69_fsm_68)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st70_fsm_69)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st71_fsm_70)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st75_fsm_74)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st90_fsm_89)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st105_fsm_104)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_119)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st135_fsm_134)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st180_fsm_179)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st195_fsm_194)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st210_fsm_209)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st225_fsm_224)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st240_fsm_239)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st300_fsm_299)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st255_fsm_254)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st270_fsm_269)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st285_fsm_284)))) then ins_TREADY <= ap_const_logic_1; else ins_TREADY <= ap_const_logic_0; end if; end process; ins_data_tmp_load_100_toint_fu_1361_p1 <= reg_709; ins_data_tmp_load_101_toint_fu_1365_p1 <= reg_713; ins_data_tmp_load_102_toint_fu_1369_p1 <= reg_717; ins_data_tmp_load_103_toint_fu_1373_p1 <= reg_721; ins_data_tmp_load_104_toint_fu_1377_p1 <= ins_TDATA; ins_data_tmp_load_105_toint_fu_1428_p1 <= reg_669; ins_data_tmp_load_106_toint_fu_1432_p1 <= reg_673; ins_data_tmp_load_107_toint_fu_1436_p1 <= reg_677; ins_data_tmp_load_108_toint_fu_1440_p1 <= reg_681; ins_data_tmp_load_109_toint_fu_1444_p1 <= reg_685; ins_data_tmp_load_10_toint_fu_777_p1 <= reg_709; ins_data_tmp_load_110_toint_fu_1448_p1 <= reg_689; ins_data_tmp_load_111_toint_fu_1452_p1 <= reg_693; ins_data_tmp_load_112_toint_fu_1456_p1 <= reg_697; ins_data_tmp_load_113_toint_fu_1460_p1 <= reg_701; ins_data_tmp_load_114_toint_fu_1464_p1 <= reg_705; ins_data_tmp_load_115_toint_fu_1468_p1 <= reg_709; ins_data_tmp_load_116_toint_fu_1472_p1 <= reg_713; ins_data_tmp_load_117_toint_fu_1476_p1 <= reg_717; ins_data_tmp_load_118_toint_fu_1480_p1 <= reg_721; ins_data_tmp_load_119_toint_fu_1484_p1 <= ins_TDATA; ins_data_tmp_load_11_toint_fu_781_p1 <= reg_713; ins_data_tmp_load_120_toint_fu_1535_p1 <= reg_669; ins_data_tmp_load_121_toint_fu_1539_p1 <= reg_673; ins_data_tmp_load_122_toint_fu_1543_p1 <= reg_677; ins_data_tmp_load_123_toint_fu_1547_p1 <= reg_681; ins_data_tmp_load_124_toint_fu_1551_p1 <= reg_685; ins_data_tmp_load_125_toint_fu_1555_p1 <= reg_689; ins_data_tmp_load_126_toint_fu_1559_p1 <= reg_693; ins_data_tmp_load_127_toint_fu_1563_p1 <= reg_697; ins_data_tmp_load_128_toint_fu_1567_p1 <= reg_701; ins_data_tmp_load_129_toint_fu_1571_p1 <= reg_705; ins_data_tmp_load_12_toint_fu_785_p1 <= reg_717; ins_data_tmp_load_130_toint_fu_1575_p1 <= reg_709; ins_data_tmp_load_131_toint_fu_1579_p1 <= reg_713; ins_data_tmp_load_132_toint_fu_1583_p1 <= reg_717; ins_data_tmp_load_133_toint_fu_1587_p1 <= reg_721; ins_data_tmp_load_134_toint_fu_1591_p1 <= ins_TDATA; ins_data_tmp_load_135_toint_fu_1642_p1 <= reg_669; ins_data_tmp_load_136_toint_fu_1646_p1 <= reg_673; ins_data_tmp_load_137_toint_fu_1650_p1 <= reg_677; ins_data_tmp_load_138_toint_fu_1654_p1 <= reg_681; ins_data_tmp_load_139_toint_fu_1658_p1 <= reg_685; ins_data_tmp_load_13_toint_fu_789_p1 <= reg_721; ins_data_tmp_load_140_toint_fu_1662_p1 <= reg_689; ins_data_tmp_load_141_toint_fu_1666_p1 <= reg_693; ins_data_tmp_load_142_toint_fu_1670_p1 <= reg_697; ins_data_tmp_load_143_toint_fu_1674_p1 <= reg_701; ins_data_tmp_load_144_toint_fu_1678_p1 <= reg_705; ins_data_tmp_load_145_toint_fu_1682_p1 <= reg_709; ins_data_tmp_load_146_toint_fu_1686_p1 <= reg_713; ins_data_tmp_load_147_toint_fu_1690_p1 <= reg_717; ins_data_tmp_load_148_toint_fu_1694_p1 <= reg_721; ins_data_tmp_load_149_toint_fu_1698_p1 <= ins_TDATA; ins_data_tmp_load_14_toint_fu_793_p1 <= ins_data_val14_reg_3415; ins_data_tmp_load_150_toint_fu_1749_p1 <= reg_669; ins_data_tmp_load_151_toint_fu_1753_p1 <= reg_673; ins_data_tmp_load_152_toint_fu_1757_p1 <= reg_677; ins_data_tmp_load_153_toint_fu_1761_p1 <= reg_681; ins_data_tmp_load_154_toint_fu_1765_p1 <= reg_685; ins_data_tmp_load_155_toint_fu_1769_p1 <= reg_689; ins_data_tmp_load_156_toint_fu_1773_p1 <= reg_693; ins_data_tmp_load_157_toint_fu_1777_p1 <= reg_697; ins_data_tmp_load_158_toint_fu_1781_p1 <= reg_701; ins_data_tmp_load_159_toint_fu_1785_p1 <= reg_705; ins_data_tmp_load_15_toint_fu_1030_p1 <= ins_data_val15_reg_3420; ins_data_tmp_load_160_toint_fu_1789_p1 <= reg_709; ins_data_tmp_load_161_toint_fu_1793_p1 <= reg_713; ins_data_tmp_load_162_toint_fu_1797_p1 <= reg_717; ins_data_tmp_load_163_toint_fu_1801_p1 <= reg_721; ins_data_tmp_load_164_toint_fu_1805_p1 <= ins_TDATA; ins_data_tmp_load_165_toint_fu_1856_p1 <= reg_669; ins_data_tmp_load_166_toint_fu_1860_p1 <= reg_673; ins_data_tmp_load_167_toint_fu_1864_p1 <= reg_677; ins_data_tmp_load_168_toint_fu_1868_p1 <= reg_681; ins_data_tmp_load_169_toint_fu_1872_p1 <= reg_685; ins_data_tmp_load_16_toint_fu_1033_p1 <= ins_data_val16_reg_3425; ins_data_tmp_load_170_toint_fu_1876_p1 <= reg_689; ins_data_tmp_load_171_toint_fu_1880_p1 <= reg_693; ins_data_tmp_load_172_toint_fu_1884_p1 <= reg_697; ins_data_tmp_load_173_toint_fu_1888_p1 <= reg_701; ins_data_tmp_load_174_toint_fu_1892_p1 <= reg_705; ins_data_tmp_load_175_toint_fu_1896_p1 <= reg_709; ins_data_tmp_load_176_toint_fu_1900_p1 <= reg_713; ins_data_tmp_load_177_toint_fu_1904_p1 <= reg_717; ins_data_tmp_load_178_toint_fu_1908_p1 <= reg_721; ins_data_tmp_load_179_toint_fu_1912_p1 <= ins_TDATA; ins_data_tmp_load_17_toint_fu_1036_p1 <= ins_data_val17_reg_3430; ins_data_tmp_load_180_toint_fu_1963_p1 <= reg_669; ins_data_tmp_load_181_toint_fu_1967_p1 <= reg_673; ins_data_tmp_load_182_toint_fu_1971_p1 <= reg_677; ins_data_tmp_load_183_toint_fu_1975_p1 <= reg_681; ins_data_tmp_load_184_toint_fu_1979_p1 <= reg_685; ins_data_tmp_load_185_toint_fu_1983_p1 <= reg_689; ins_data_tmp_load_186_toint_fu_1987_p1 <= reg_693; ins_data_tmp_load_187_toint_fu_1991_p1 <= reg_697; ins_data_tmp_load_188_toint_fu_1995_p1 <= reg_701; ins_data_tmp_load_189_toint_fu_1999_p1 <= reg_705; ins_data_tmp_load_18_toint_fu_1039_p1 <= ins_data_val18_reg_3435; ins_data_tmp_load_190_toint_fu_2003_p1 <= reg_709; ins_data_tmp_load_191_toint_fu_2007_p1 <= reg_713; ins_data_tmp_load_192_toint_fu_2011_p1 <= reg_717; ins_data_tmp_load_193_toint_fu_2015_p1 <= reg_721; ins_data_tmp_load_194_toint_fu_2019_p1 <= ins_TDATA; ins_data_tmp_load_195_toint_fu_2070_p1 <= reg_669; ins_data_tmp_load_196_toint_fu_2074_p1 <= reg_673; ins_data_tmp_load_197_toint_fu_2078_p1 <= reg_677; ins_data_tmp_load_198_toint_fu_2082_p1 <= reg_681; ins_data_tmp_load_199_toint_fu_2086_p1 <= reg_685; ins_data_tmp_load_19_toint_fu_1042_p1 <= ins_data_val19_reg_3440; ins_data_tmp_load_1_toint_fu_741_p1 <= reg_673; ins_data_tmp_load_200_toint_fu_2090_p1 <= reg_689; ins_data_tmp_load_201_toint_fu_2094_p1 <= reg_693; ins_data_tmp_load_202_toint_fu_2098_p1 <= reg_697; ins_data_tmp_load_203_toint_fu_2102_p1 <= reg_701; ins_data_tmp_load_204_toint_fu_2106_p1 <= reg_705; ins_data_tmp_load_205_toint_fu_2110_p1 <= reg_709; ins_data_tmp_load_206_toint_fu_2114_p1 <= reg_713; ins_data_tmp_load_207_toint_fu_2118_p1 <= reg_717; ins_data_tmp_load_208_toint_fu_2122_p1 <= reg_721; ins_data_tmp_load_209_toint_fu_2126_p1 <= ins_TDATA; ins_data_tmp_load_20_toint_fu_1045_p1 <= ins_data_val20_reg_3445; ins_data_tmp_load_210_toint_fu_2177_p1 <= reg_669; ins_data_tmp_load_211_toint_fu_2181_p1 <= reg_673; ins_data_tmp_load_212_toint_fu_2185_p1 <= reg_677; ins_data_tmp_load_213_toint_fu_2189_p1 <= reg_681; ins_data_tmp_load_214_toint_fu_2193_p1 <= reg_685; ins_data_tmp_load_215_toint_fu_2197_p1 <= reg_689; ins_data_tmp_load_216_toint_fu_2201_p1 <= reg_693; ins_data_tmp_load_217_toint_fu_2205_p1 <= reg_697; ins_data_tmp_load_218_toint_fu_2209_p1 <= reg_701; ins_data_tmp_load_219_toint_fu_2213_p1 <= reg_705; ins_data_tmp_load_21_toint_fu_1048_p1 <= ins_data_val21_reg_3450; ins_data_tmp_load_220_toint_fu_2217_p1 <= reg_709; ins_data_tmp_load_221_toint_fu_2221_p1 <= reg_713; ins_data_tmp_load_222_toint_fu_2225_p1 <= reg_717; ins_data_tmp_load_223_toint_fu_2229_p1 <= reg_721; ins_data_tmp_load_224_toint_fu_2233_p1 <= ins_TDATA; ins_data_tmp_load_225_toint_fu_2284_p1 <= reg_669; ins_data_tmp_load_226_toint_fu_2288_p1 <= reg_673; ins_data_tmp_load_227_toint_fu_2292_p1 <= reg_677; ins_data_tmp_load_228_toint_fu_2296_p1 <= reg_681; ins_data_tmp_load_229_toint_fu_2300_p1 <= reg_685; ins_data_tmp_load_22_toint_fu_1051_p1 <= ins_data_val22_reg_3455; ins_data_tmp_load_230_toint_fu_2304_p1 <= reg_689; ins_data_tmp_load_231_toint_fu_2308_p1 <= reg_693; ins_data_tmp_load_232_toint_fu_2312_p1 <= reg_697; ins_data_tmp_load_233_toint_fu_2316_p1 <= reg_701; ins_data_tmp_load_234_toint_fu_2320_p1 <= reg_705; ins_data_tmp_load_235_toint_fu_2324_p1 <= reg_709; ins_data_tmp_load_236_toint_fu_2328_p1 <= reg_713; ins_data_tmp_load_237_toint_fu_2332_p1 <= reg_717; ins_data_tmp_load_238_toint_fu_2336_p1 <= reg_721; ins_data_tmp_load_239_toint_fu_2340_p1 <= ins_TDATA; ins_data_tmp_load_23_toint_fu_1054_p1 <= ins_data_val23_reg_3460; ins_data_tmp_load_240_toint_fu_2391_p1 <= reg_669; ins_data_tmp_load_241_toint_fu_2395_p1 <= reg_673; ins_data_tmp_load_242_toint_fu_2399_p1 <= reg_677; ins_data_tmp_load_243_toint_fu_2403_p1 <= reg_681; ins_data_tmp_load_244_toint_fu_2407_p1 <= reg_685; ins_data_tmp_load_245_toint_fu_2411_p1 <= reg_689; ins_data_tmp_load_246_toint_fu_2415_p1 <= reg_693; ins_data_tmp_load_247_toint_fu_2419_p1 <= reg_697; ins_data_tmp_load_248_toint_fu_2423_p1 <= reg_701; ins_data_tmp_load_249_toint_fu_2427_p1 <= reg_705; ins_data_tmp_load_24_toint_fu_1057_p1 <= ins_data_val24_reg_3465; ins_data_tmp_load_250_toint_fu_2431_p1 <= reg_709; ins_data_tmp_load_251_toint_fu_2435_p1 <= reg_713; ins_data_tmp_load_252_toint_fu_2439_p1 <= reg_717; ins_data_tmp_load_253_toint_fu_2443_p1 <= reg_721; ins_data_tmp_load_254_toint_fu_2447_p1 <= ins_TDATA; ins_data_tmp_load_255_toint_fu_2498_p1 <= reg_669; ins_data_tmp_load_256_toint_fu_2502_p1 <= reg_673; ins_data_tmp_load_257_toint_fu_2506_p1 <= reg_677; ins_data_tmp_load_258_toint_fu_2510_p1 <= reg_681; ins_data_tmp_load_259_toint_fu_2514_p1 <= reg_685; ins_data_tmp_load_25_toint_fu_1060_p1 <= ins_data_val25_reg_3470; ins_data_tmp_load_260_toint_fu_2518_p1 <= reg_689; ins_data_tmp_load_261_toint_fu_2522_p1 <= reg_693; ins_data_tmp_load_262_toint_fu_2526_p1 <= reg_697; ins_data_tmp_load_263_toint_fu_2530_p1 <= reg_701; ins_data_tmp_load_264_toint_fu_2534_p1 <= reg_705; ins_data_tmp_load_265_toint_fu_2538_p1 <= reg_709; ins_data_tmp_load_266_toint_fu_2542_p1 <= reg_713; ins_data_tmp_load_267_toint_fu_2546_p1 <= reg_717; ins_data_tmp_load_268_toint_fu_2550_p1 <= reg_721; ins_data_tmp_load_269_toint_fu_2554_p1 <= ins_TDATA; ins_data_tmp_load_26_toint_fu_1063_p1 <= ins_data_val26_reg_3475; ins_data_tmp_load_270_toint_fu_2604_p1 <= reg_669; ins_data_tmp_load_271_toint_fu_2608_p1 <= reg_673; ins_data_tmp_load_272_toint_fu_2612_p1 <= reg_677; ins_data_tmp_load_273_toint_fu_2616_p1 <= reg_681; ins_data_tmp_load_274_toint_fu_2620_p1 <= reg_685; ins_data_tmp_load_275_toint_fu_2624_p1 <= reg_689; ins_data_tmp_load_276_toint_fu_2628_p1 <= reg_693; ins_data_tmp_load_277_toint_fu_2632_p1 <= reg_697; ins_data_tmp_load_278_toint_fu_2636_p1 <= reg_701; ins_data_tmp_load_279_toint_fu_2640_p1 <= reg_705; ins_data_tmp_load_27_toint_fu_1066_p1 <= ins_data_val27_reg_3480; ins_data_tmp_load_280_toint_fu_2644_p1 <= reg_709; ins_data_tmp_load_281_toint_fu_2648_p1 <= reg_713; ins_data_tmp_load_282_toint_fu_2652_p1 <= reg_717; ins_data_tmp_load_283_toint_fu_2656_p1 <= reg_721; ins_data_tmp_load_284_toint_fu_2660_p1 <= ins_TDATA; ins_data_tmp_load_285_toint_fu_2710_p1 <= reg_669; ins_data_tmp_load_286_toint_fu_2714_p1 <= reg_673; ins_data_tmp_load_287_toint_fu_2718_p1 <= reg_677; ins_data_tmp_load_288_toint_fu_2722_p1 <= reg_681; ins_data_tmp_load_289_toint_fu_2726_p1 <= reg_685; ins_data_tmp_load_28_toint_fu_1069_p1 <= ins_data_val28_reg_3485; ins_data_tmp_load_290_toint_fu_2730_p1 <= reg_689; ins_data_tmp_load_291_toint_fu_2734_p1 <= reg_693; ins_data_tmp_load_292_toint_fu_2738_p1 <= reg_697; ins_data_tmp_load_293_toint_fu_2742_p1 <= reg_701; ins_data_tmp_load_294_toint_fu_2746_p1 <= reg_705; ins_data_tmp_load_295_toint_fu_2750_p1 <= reg_709; ins_data_tmp_load_296_toint_fu_2754_p1 <= reg_713; ins_data_tmp_load_297_toint_fu_2758_p1 <= reg_717; ins_data_tmp_load_298_toint_fu_2762_p1 <= reg_721; ins_data_tmp_load_299_toint_fu_2790_p1 <= ins_TDATA; ins_data_tmp_load_29_toint_fu_1072_p1 <= ins_data_val29_reg_3490; ins_data_tmp_load_2_toint_fu_745_p1 <= reg_677; ins_data_tmp_load_30_toint_fu_843_p1 <= ins_data_val30_reg_3495; ins_data_tmp_load_31_toint_fu_846_p1 <= ins_data_val31_reg_3500; ins_data_tmp_load_32_toint_fu_849_p1 <= ins_data_val32_reg_3505; ins_data_tmp_load_33_toint_fu_852_p1 <= ins_data_val33_reg_3510; ins_data_tmp_load_34_toint_fu_855_p1 <= ins_data_val34_reg_3515; ins_data_tmp_load_35_toint_fu_858_p1 <= ins_data_val35_reg_3520; ins_data_tmp_load_36_toint_fu_861_p1 <= ins_data_val36_reg_3525; ins_data_tmp_load_37_toint_fu_864_p1 <= ins_data_val37_reg_3530; ins_data_tmp_load_38_toint_fu_867_p1 <= ins_data_val38_reg_3535; ins_data_tmp_load_39_toint_fu_870_p1 <= ins_data_val39_reg_3540; ins_data_tmp_load_3_toint_fu_749_p1 <= reg_681; ins_data_tmp_load_40_toint_fu_873_p1 <= ins_data_val40_reg_3545; ins_data_tmp_load_41_toint_fu_876_p1 <= ins_data_val41_reg_3550; ins_data_tmp_load_42_toint_fu_879_p1 <= ins_data_val42_reg_3555; ins_data_tmp_load_43_toint_fu_882_p1 <= ins_data_val43_reg_3560; ins_data_tmp_load_44_toint_fu_885_p1 <= ins_data_val44_reg_3565; ins_data_tmp_load_45_toint_fu_1122_p1 <= ins_data_val45_reg_3570; ins_data_tmp_load_46_toint_fu_1125_p1 <= ins_data_val46_reg_3575; ins_data_tmp_load_47_toint_fu_1128_p1 <= ins_data_val47_reg_3580; ins_data_tmp_load_48_toint_fu_1131_p1 <= ins_data_val48_reg_3585; ins_data_tmp_load_49_toint_fu_1134_p1 <= ins_data_val49_reg_3590; ins_data_tmp_load_4_toint_fu_753_p1 <= reg_685; ins_data_tmp_load_50_toint_fu_1137_p1 <= ins_data_val50_reg_3595; ins_data_tmp_load_51_toint_fu_1140_p1 <= ins_data_val51_reg_3600; ins_data_tmp_load_52_toint_fu_1143_p1 <= ins_data_val52_reg_3605; ins_data_tmp_load_53_toint_fu_1146_p1 <= ins_data_val53_reg_3610; ins_data_tmp_load_54_toint_fu_1149_p1 <= ins_data_val54_reg_3615; ins_data_tmp_load_55_toint_fu_1152_p1 <= ins_data_val55_reg_3620; ins_data_tmp_load_56_toint_fu_1155_p1 <= ins_data_val56_reg_3625; ins_data_tmp_load_57_toint_fu_1158_p1 <= ins_data_val57_reg_3630; ins_data_tmp_load_58_toint_fu_1161_p1 <= ins_data_val58_reg_3635; ins_data_tmp_load_59_toint_fu_1164_p1 <= ins_data_val59_reg_3640; ins_data_tmp_load_5_toint_fu_757_p1 <= reg_689; ins_data_tmp_load_60_toint_fu_935_p1 <= ins_data_val60_reg_3645; ins_data_tmp_load_61_toint_fu_938_p1 <= ins_data_val61_reg_3650; ins_data_tmp_load_62_toint_fu_941_p1 <= ins_data_val62_reg_3655; ins_data_tmp_load_63_toint_fu_944_p1 <= ins_data_val63_reg_3660; ins_data_tmp_load_64_toint_fu_947_p1 <= ins_data_val64_reg_3665; ins_data_tmp_load_65_toint_fu_950_p1 <= ins_data_val65_reg_3670; ins_data_tmp_load_66_toint_fu_953_p1 <= ins_data_val66_reg_3675; ins_data_tmp_load_67_toint_fu_956_p1 <= ins_data_val67_reg_3680; ins_data_tmp_load_68_toint_fu_959_p1 <= ins_data_val68_reg_3685; ins_data_tmp_load_69_toint_fu_962_p1 <= ins_data_val69_reg_3690; ins_data_tmp_load_6_toint_fu_761_p1 <= reg_693; ins_data_tmp_load_70_toint_fu_965_p1 <= ins_data_val70_reg_3695; ins_data_tmp_load_71_toint_fu_968_p1 <= ins_data_val71_reg_3706; ins_data_tmp_load_72_toint_fu_971_p1 <= reg_669; ins_data_tmp_load_73_toint_fu_975_p1 <= reg_673; ins_data_tmp_load_74_toint_fu_979_p1 <= ins_TDATA; ins_data_tmp_load_75_toint_fu_1214_p1 <= reg_669; ins_data_tmp_load_76_toint_fu_1218_p1 <= reg_673; ins_data_tmp_load_77_toint_fu_1222_p1 <= reg_677; ins_data_tmp_load_78_toint_fu_1226_p1 <= reg_681; ins_data_tmp_load_79_toint_fu_1230_p1 <= reg_685; ins_data_tmp_load_7_toint_fu_765_p1 <= reg_697; ins_data_tmp_load_80_toint_fu_1234_p1 <= reg_689; ins_data_tmp_load_81_toint_fu_1238_p1 <= reg_693; ins_data_tmp_load_82_toint_fu_1242_p1 <= reg_697; ins_data_tmp_load_83_toint_fu_1246_p1 <= reg_701; ins_data_tmp_load_84_toint_fu_1250_p1 <= reg_705; ins_data_tmp_load_85_toint_fu_1254_p1 <= reg_709; ins_data_tmp_load_86_toint_fu_1258_p1 <= reg_713; ins_data_tmp_load_87_toint_fu_1262_p1 <= reg_717; ins_data_tmp_load_88_toint_fu_1266_p1 <= reg_721; ins_data_tmp_load_89_toint_fu_1270_p1 <= ins_TDATA; ins_data_tmp_load_8_toint_fu_769_p1 <= reg_701; ins_data_tmp_load_90_toint_fu_1321_p1 <= reg_669; ins_data_tmp_load_91_toint_fu_1325_p1 <= reg_673; ins_data_tmp_load_92_toint_fu_1329_p1 <= reg_677; ins_data_tmp_load_93_toint_fu_1333_p1 <= reg_681; ins_data_tmp_load_94_toint_fu_1337_p1 <= reg_685; ins_data_tmp_load_95_toint_fu_1341_p1 <= reg_689; ins_data_tmp_load_96_toint_fu_1345_p1 <= reg_693; ins_data_tmp_load_97_toint_fu_1349_p1 <= reg_697; ins_data_tmp_load_98_toint_fu_1353_p1 <= reg_701; ins_data_tmp_load_99_toint_fu_1357_p1 <= reg_705; ins_data_tmp_load_9_toint_fu_773_p1 <= reg_705; ins_data_tmp_load_toint_fu_737_p1 <= reg_669; -- outs_TDATA assign process. -- outs_TDATA_assign_proc : process(ap_sig_cseq_ST_st386_fsm_302, ap_sig_cseq_ST_st389_fsm_305, ap_sig_cseq_ST_st392_fsm_308, ap_sig_cseq_ST_st395_fsm_311, ap_sig_cseq_ST_st398_fsm_314, ap_sig_cseq_ST_st401_fsm_317, ap_sig_cseq_ST_st404_fsm_320, ap_sig_cseq_ST_st407_fsm_323, ap_sig_cseq_ST_st410_fsm_326, ap_sig_cseq_ST_st413_fsm_329, ap_sig_cseq_ST_st416_fsm_332, ap_sig_cseq_ST_st419_fsm_335, ap_sig_cseq_ST_st422_fsm_338, ap_sig_cseq_ST_st425_fsm_341, ap_sig_cseq_ST_st428_fsm_344, ap_sig_cseq_ST_st431_fsm_347, ap_sig_cseq_ST_st434_fsm_350, ap_sig_cseq_ST_st437_fsm_353, ap_sig_cseq_ST_st440_fsm_356, ap_sig_cseq_ST_st443_fsm_359, t_load_fu_3115_p1, gamma_load_fu_3120_p1, ap_sig_cseq_ST_st387_fsm_303, beta_load_fu_3125_p1, ap_sig_cseq_ST_st388_fsm_304, t_load_s_fu_3130_p1, gamma_load_s_fu_3135_p1, ap_sig_cseq_ST_st390_fsm_306, beta_load_s_fu_3140_p1, ap_sig_cseq_ST_st391_fsm_307, t_load_1_fu_3145_p1, gamma_load_1_fu_3150_p1, ap_sig_cseq_ST_st393_fsm_309, beta_load_1_fu_3155_p1, ap_sig_cseq_ST_st394_fsm_310, t_load_2_fu_3160_p1, gamma_load_2_fu_3165_p1, ap_sig_cseq_ST_st396_fsm_312, beta_load_2_fu_3170_p1, ap_sig_cseq_ST_st397_fsm_313, t_load_3_fu_3175_p1, gamma_load_3_fu_3180_p1, ap_sig_cseq_ST_st399_fsm_315, beta_load_3_fu_3185_p1, ap_sig_cseq_ST_st400_fsm_316, t_load_4_fu_3190_p1, gamma_load_4_fu_3195_p1, ap_sig_cseq_ST_st402_fsm_318, beta_load_4_fu_3200_p1, ap_sig_cseq_ST_st403_fsm_319, t_load_5_fu_3205_p1, gamma_load_5_fu_3210_p1, ap_sig_cseq_ST_st405_fsm_321, beta_load_5_fu_3215_p1, ap_sig_cseq_ST_st406_fsm_322, t_load_6_fu_3220_p1, gamma_load_6_fu_3225_p1, ap_sig_cseq_ST_st408_fsm_324, beta_load_6_fu_3230_p1, ap_sig_cseq_ST_st409_fsm_325, t_load_7_fu_3235_p1, gamma_load_7_fu_3240_p1, ap_sig_cseq_ST_st411_fsm_327, beta_load_7_fu_3245_p1, ap_sig_cseq_ST_st412_fsm_328, t_load_8_fu_3250_p1, gamma_load_8_fu_3255_p1, ap_sig_cseq_ST_st414_fsm_330, beta_load_8_fu_3260_p1, ap_sig_cseq_ST_st415_fsm_331, t_load_9_fu_3265_p1, gamma_load_9_fu_3270_p1, ap_sig_cseq_ST_st417_fsm_333, beta_load_9_fu_3275_p1, ap_sig_cseq_ST_st418_fsm_334, t_load_10_fu_3280_p1, gamma_load_10_fu_3285_p1, ap_sig_cseq_ST_st420_fsm_336, beta_load_10_fu_3290_p1, ap_sig_cseq_ST_st421_fsm_337, t_load_11_fu_3295_p1, gamma_load_11_fu_3300_p1, ap_sig_cseq_ST_st423_fsm_339, beta_load_11_fu_3305_p1, ap_sig_cseq_ST_st424_fsm_340, t_load_12_fu_3310_p1, gamma_load_12_fu_3315_p1, ap_sig_cseq_ST_st426_fsm_342, beta_load_12_fu_3320_p1, ap_sig_cseq_ST_st427_fsm_343, t_load_13_fu_3325_p1, gamma_load_13_fu_3330_p1, ap_sig_cseq_ST_st429_fsm_345, beta_load_13_fu_3335_p1, ap_sig_cseq_ST_st430_fsm_346, t_load_14_fu_3340_p1, gamma_load_14_fu_3345_p1, ap_sig_cseq_ST_st432_fsm_348, beta_load_14_fu_3350_p1, ap_sig_cseq_ST_st433_fsm_349, t_load_15_fu_3355_p1, gamma_load_15_fu_3360_p1, ap_sig_cseq_ST_st435_fsm_351, beta_load_15_fu_3365_p1, ap_sig_cseq_ST_st436_fsm_352, t_load_16_fu_3370_p1, gamma_load_16_fu_3375_p1, ap_sig_cseq_ST_st438_fsm_354, beta_load_16_fu_3380_p1, ap_sig_cseq_ST_st439_fsm_355, t_load_17_fu_3385_p1, gamma_load_17_fu_3390_p1, ap_sig_cseq_ST_st441_fsm_357, beta_load_17_fu_3395_p1, ap_sig_cseq_ST_st442_fsm_358, t_load_18_fu_3400_p1, gamma_load_18_fu_3405_p1, ap_sig_cseq_ST_st444_fsm_360, beta_load_18_fu_3410_p1, ap_sig_cseq_ST_st445_fsm_361) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st445_fsm_361)) then outs_TDATA <= beta_load_18_fu_3410_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st444_fsm_360)) then outs_TDATA <= gamma_load_18_fu_3405_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st443_fsm_359)) then outs_TDATA <= t_load_18_fu_3400_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st442_fsm_358)) then outs_TDATA <= beta_load_17_fu_3395_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st441_fsm_357)) then outs_TDATA <= gamma_load_17_fu_3390_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st440_fsm_356)) then outs_TDATA <= t_load_17_fu_3385_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st439_fsm_355)) then outs_TDATA <= beta_load_16_fu_3380_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st438_fsm_354)) then outs_TDATA <= gamma_load_16_fu_3375_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st437_fsm_353)) then outs_TDATA <= t_load_16_fu_3370_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st436_fsm_352)) then outs_TDATA <= beta_load_15_fu_3365_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st435_fsm_351)) then outs_TDATA <= gamma_load_15_fu_3360_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st434_fsm_350)) then outs_TDATA <= t_load_15_fu_3355_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st433_fsm_349)) then outs_TDATA <= beta_load_14_fu_3350_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st432_fsm_348)) then outs_TDATA <= gamma_load_14_fu_3345_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st431_fsm_347)) then outs_TDATA <= t_load_14_fu_3340_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st430_fsm_346)) then outs_TDATA <= beta_load_13_fu_3335_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st429_fsm_345)) then outs_TDATA <= gamma_load_13_fu_3330_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st428_fsm_344)) then outs_TDATA <= t_load_13_fu_3325_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st427_fsm_343)) then outs_TDATA <= beta_load_12_fu_3320_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st426_fsm_342)) then outs_TDATA <= gamma_load_12_fu_3315_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st425_fsm_341)) then outs_TDATA <= t_load_12_fu_3310_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st424_fsm_340)) then outs_TDATA <= beta_load_11_fu_3305_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st423_fsm_339)) then outs_TDATA <= gamma_load_11_fu_3300_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st422_fsm_338)) then outs_TDATA <= t_load_11_fu_3295_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st421_fsm_337)) then outs_TDATA <= beta_load_10_fu_3290_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st420_fsm_336)) then outs_TDATA <= gamma_load_10_fu_3285_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st419_fsm_335)) then outs_TDATA <= t_load_10_fu_3280_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st418_fsm_334)) then outs_TDATA <= beta_load_9_fu_3275_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st417_fsm_333)) then outs_TDATA <= gamma_load_9_fu_3270_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st416_fsm_332)) then outs_TDATA <= t_load_9_fu_3265_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st415_fsm_331)) then outs_TDATA <= beta_load_8_fu_3260_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st414_fsm_330)) then outs_TDATA <= gamma_load_8_fu_3255_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st413_fsm_329)) then outs_TDATA <= t_load_8_fu_3250_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st412_fsm_328)) then outs_TDATA <= beta_load_7_fu_3245_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st411_fsm_327)) then outs_TDATA <= gamma_load_7_fu_3240_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st410_fsm_326)) then outs_TDATA <= t_load_7_fu_3235_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st409_fsm_325)) then outs_TDATA <= beta_load_6_fu_3230_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st408_fsm_324)) then outs_TDATA <= gamma_load_6_fu_3225_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st407_fsm_323)) then outs_TDATA <= t_load_6_fu_3220_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st406_fsm_322)) then outs_TDATA <= beta_load_5_fu_3215_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st405_fsm_321)) then outs_TDATA <= gamma_load_5_fu_3210_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st404_fsm_320)) then outs_TDATA <= t_load_5_fu_3205_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st403_fsm_319)) then outs_TDATA <= beta_load_4_fu_3200_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st402_fsm_318)) then outs_TDATA <= gamma_load_4_fu_3195_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st401_fsm_317)) then outs_TDATA <= t_load_4_fu_3190_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st400_fsm_316)) then outs_TDATA <= beta_load_3_fu_3185_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st399_fsm_315)) then outs_TDATA <= gamma_load_3_fu_3180_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st398_fsm_314)) then outs_TDATA <= t_load_3_fu_3175_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st397_fsm_313)) then outs_TDATA <= beta_load_2_fu_3170_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st396_fsm_312)) then outs_TDATA <= gamma_load_2_fu_3165_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st395_fsm_311)) then outs_TDATA <= t_load_2_fu_3160_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st394_fsm_310)) then outs_TDATA <= beta_load_1_fu_3155_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st393_fsm_309)) then outs_TDATA <= gamma_load_1_fu_3150_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st392_fsm_308)) then outs_TDATA <= t_load_1_fu_3145_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st391_fsm_307)) then outs_TDATA <= beta_load_s_fu_3140_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st390_fsm_306)) then outs_TDATA <= gamma_load_s_fu_3135_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st389_fsm_305)) then outs_TDATA <= t_load_s_fu_3130_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st388_fsm_304)) then outs_TDATA <= beta_load_fu_3125_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st387_fsm_303)) then outs_TDATA <= gamma_load_fu_3120_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st386_fsm_302)) then outs_TDATA <= t_load_fu_3115_p1; else outs_TDATA <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; outs_TDEST <= ins_dest_V_val_reg_3849; outs_TID <= ins_id_V_val_reg_3844; outs_TKEEP <= ins_keep_V_val_reg_3824; -- outs_TLAST assign process. -- outs_TLAST_assign_proc : process(ap_sig_cseq_ST_st386_fsm_302, ap_sig_cseq_ST_st389_fsm_305, ap_sig_cseq_ST_st392_fsm_308, ap_sig_cseq_ST_st395_fsm_311, ap_sig_cseq_ST_st398_fsm_314, ap_sig_cseq_ST_st401_fsm_317, ap_sig_cseq_ST_st404_fsm_320, ap_sig_cseq_ST_st407_fsm_323, ap_sig_cseq_ST_st410_fsm_326, ap_sig_cseq_ST_st413_fsm_329, ap_sig_cseq_ST_st416_fsm_332, ap_sig_cseq_ST_st419_fsm_335, ap_sig_cseq_ST_st422_fsm_338, ap_sig_cseq_ST_st425_fsm_341, ap_sig_cseq_ST_st428_fsm_344, ap_sig_cseq_ST_st431_fsm_347, ap_sig_cseq_ST_st434_fsm_350, ap_sig_cseq_ST_st437_fsm_353, ap_sig_cseq_ST_st440_fsm_356, ap_sig_cseq_ST_st443_fsm_359, ins_last_V_val_reg_3839, ap_sig_cseq_ST_st387_fsm_303, ap_sig_cseq_ST_st388_fsm_304, ap_sig_cseq_ST_st390_fsm_306, ap_sig_cseq_ST_st391_fsm_307, ap_sig_cseq_ST_st393_fsm_309, ap_sig_cseq_ST_st394_fsm_310, ap_sig_cseq_ST_st396_fsm_312, ap_sig_cseq_ST_st397_fsm_313, ap_sig_cseq_ST_st399_fsm_315, ap_sig_cseq_ST_st400_fsm_316, ap_sig_cseq_ST_st402_fsm_318, ap_sig_cseq_ST_st403_fsm_319, ap_sig_cseq_ST_st405_fsm_321, ap_sig_cseq_ST_st406_fsm_322, ap_sig_cseq_ST_st408_fsm_324, ap_sig_cseq_ST_st409_fsm_325, ap_sig_cseq_ST_st411_fsm_327, ap_sig_cseq_ST_st412_fsm_328, ap_sig_cseq_ST_st414_fsm_330, ap_sig_cseq_ST_st415_fsm_331, ap_sig_cseq_ST_st417_fsm_333, ap_sig_cseq_ST_st418_fsm_334, ap_sig_cseq_ST_st420_fsm_336, ap_sig_cseq_ST_st421_fsm_337, ap_sig_cseq_ST_st423_fsm_339, ap_sig_cseq_ST_st424_fsm_340, ap_sig_cseq_ST_st426_fsm_342, ap_sig_cseq_ST_st427_fsm_343, ap_sig_cseq_ST_st429_fsm_345, ap_sig_cseq_ST_st430_fsm_346, ap_sig_cseq_ST_st432_fsm_348, ap_sig_cseq_ST_st433_fsm_349, ap_sig_cseq_ST_st435_fsm_351, ap_sig_cseq_ST_st436_fsm_352, ap_sig_cseq_ST_st438_fsm_354, ap_sig_cseq_ST_st439_fsm_355, ap_sig_cseq_ST_st441_fsm_357, ap_sig_cseq_ST_st442_fsm_358, ap_sig_cseq_ST_st444_fsm_360, ap_sig_cseq_ST_st445_fsm_361) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st445_fsm_361)) then outs_TLAST <= ins_last_V_val_reg_3839; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st386_fsm_302) or (ap_const_logic_1 = ap_sig_cseq_ST_st389_fsm_305) or (ap_const_logic_1 = ap_sig_cseq_ST_st392_fsm_308) or (ap_const_logic_1 = ap_sig_cseq_ST_st395_fsm_311) or (ap_const_logic_1 = ap_sig_cseq_ST_st398_fsm_314) or (ap_const_logic_1 = ap_sig_cseq_ST_st401_fsm_317) or (ap_const_logic_1 = ap_sig_cseq_ST_st404_fsm_320) or (ap_const_logic_1 = ap_sig_cseq_ST_st407_fsm_323) or (ap_const_logic_1 = ap_sig_cseq_ST_st410_fsm_326) or (ap_const_logic_1 = ap_sig_cseq_ST_st413_fsm_329) or (ap_const_logic_1 = ap_sig_cseq_ST_st416_fsm_332) or (ap_const_logic_1 = ap_sig_cseq_ST_st419_fsm_335) or (ap_const_logic_1 = ap_sig_cseq_ST_st422_fsm_338) or (ap_const_logic_1 = ap_sig_cseq_ST_st425_fsm_341) or (ap_const_logic_1 = ap_sig_cseq_ST_st428_fsm_344) or (ap_const_logic_1 = ap_sig_cseq_ST_st431_fsm_347) or (ap_const_logic_1 = ap_sig_cseq_ST_st434_fsm_350) or (ap_const_logic_1 = ap_sig_cseq_ST_st437_fsm_353) or (ap_const_logic_1 = ap_sig_cseq_ST_st440_fsm_356) or (ap_const_logic_1 = ap_sig_cseq_ST_st443_fsm_359) or (ap_const_logic_1 = ap_sig_cseq_ST_st387_fsm_303) or (ap_const_logic_1 = ap_sig_cseq_ST_st388_fsm_304) or (ap_const_logic_1 = ap_sig_cseq_ST_st390_fsm_306) or (ap_const_logic_1 = ap_sig_cseq_ST_st391_fsm_307) or (ap_const_logic_1 = ap_sig_cseq_ST_st393_fsm_309) or (ap_const_logic_1 = ap_sig_cseq_ST_st394_fsm_310) or (ap_const_logic_1 = ap_sig_cseq_ST_st396_fsm_312) or (ap_const_logic_1 = ap_sig_cseq_ST_st397_fsm_313) or (ap_const_logic_1 = ap_sig_cseq_ST_st399_fsm_315) or (ap_const_logic_1 = ap_sig_cseq_ST_st400_fsm_316) or (ap_const_logic_1 = ap_sig_cseq_ST_st402_fsm_318) or (ap_const_logic_1 = ap_sig_cseq_ST_st403_fsm_319) or (ap_const_logic_1 = ap_sig_cseq_ST_st405_fsm_321) or (ap_const_logic_1 = ap_sig_cseq_ST_st406_fsm_322) or (ap_const_logic_1 = ap_sig_cseq_ST_st408_fsm_324) or (ap_const_logic_1 = ap_sig_cseq_ST_st409_fsm_325) or (ap_const_logic_1 = ap_sig_cseq_ST_st411_fsm_327) or (ap_const_logic_1 = ap_sig_cseq_ST_st412_fsm_328) or (ap_const_logic_1 = ap_sig_cseq_ST_st414_fsm_330) or (ap_const_logic_1 = ap_sig_cseq_ST_st415_fsm_331) or (ap_const_logic_1 = ap_sig_cseq_ST_st417_fsm_333) or (ap_const_logic_1 = ap_sig_cseq_ST_st418_fsm_334) or (ap_const_logic_1 = ap_sig_cseq_ST_st420_fsm_336) or (ap_const_logic_1 = ap_sig_cseq_ST_st421_fsm_337) or (ap_const_logic_1 = ap_sig_cseq_ST_st423_fsm_339) or (ap_const_logic_1 = ap_sig_cseq_ST_st424_fsm_340) or (ap_const_logic_1 = ap_sig_cseq_ST_st426_fsm_342) or (ap_const_logic_1 = ap_sig_cseq_ST_st427_fsm_343) or (ap_const_logic_1 = ap_sig_cseq_ST_st429_fsm_345) or (ap_const_logic_1 = ap_sig_cseq_ST_st430_fsm_346) or (ap_const_logic_1 = ap_sig_cseq_ST_st432_fsm_348) or (ap_const_logic_1 = ap_sig_cseq_ST_st433_fsm_349) or (ap_const_logic_1 = ap_sig_cseq_ST_st435_fsm_351) or (ap_const_logic_1 = ap_sig_cseq_ST_st436_fsm_352) or (ap_const_logic_1 = ap_sig_cseq_ST_st438_fsm_354) or (ap_const_logic_1 = ap_sig_cseq_ST_st439_fsm_355) or (ap_const_logic_1 = ap_sig_cseq_ST_st441_fsm_357) or (ap_const_logic_1 = ap_sig_cseq_ST_st442_fsm_358) or (ap_const_logic_1 = ap_sig_cseq_ST_st444_fsm_360))) then outs_TLAST <= ap_const_lv1_0; else outs_TLAST <= "X"; end if; end process; outs_TSTRB <= ins_strb_V_val_reg_3829; outs_TUSER <= ins_user_V_val_reg_3834; -- outs_TVALID assign process. -- outs_TVALID_assign_proc : process(ap_sig_cseq_ST_st386_fsm_302, ap_sig_cseq_ST_st389_fsm_305, ap_sig_cseq_ST_st392_fsm_308, ap_sig_cseq_ST_st395_fsm_311, ap_sig_cseq_ST_st398_fsm_314, ap_sig_cseq_ST_st401_fsm_317, ap_sig_cseq_ST_st404_fsm_320, ap_sig_cseq_ST_st407_fsm_323, ap_sig_cseq_ST_st410_fsm_326, ap_sig_cseq_ST_st413_fsm_329, ap_sig_cseq_ST_st416_fsm_332, ap_sig_cseq_ST_st419_fsm_335, ap_sig_cseq_ST_st422_fsm_338, ap_sig_cseq_ST_st425_fsm_341, ap_sig_cseq_ST_st428_fsm_344, ap_sig_cseq_ST_st431_fsm_347, ap_sig_cseq_ST_st434_fsm_350, ap_sig_cseq_ST_st437_fsm_353, ap_sig_cseq_ST_st440_fsm_356, ap_sig_cseq_ST_st443_fsm_359, ap_sig_cseq_ST_st387_fsm_303, ap_sig_cseq_ST_st388_fsm_304, ap_sig_cseq_ST_st390_fsm_306, ap_sig_cseq_ST_st391_fsm_307, ap_sig_cseq_ST_st393_fsm_309, ap_sig_cseq_ST_st394_fsm_310, ap_sig_cseq_ST_st396_fsm_312, ap_sig_cseq_ST_st397_fsm_313, ap_sig_cseq_ST_st399_fsm_315, ap_sig_cseq_ST_st400_fsm_316, ap_sig_cseq_ST_st402_fsm_318, ap_sig_cseq_ST_st403_fsm_319, ap_sig_cseq_ST_st405_fsm_321, ap_sig_cseq_ST_st406_fsm_322, ap_sig_cseq_ST_st408_fsm_324, ap_sig_cseq_ST_st409_fsm_325, ap_sig_cseq_ST_st411_fsm_327, ap_sig_cseq_ST_st412_fsm_328, ap_sig_cseq_ST_st414_fsm_330, ap_sig_cseq_ST_st415_fsm_331, ap_sig_cseq_ST_st417_fsm_333, ap_sig_cseq_ST_st418_fsm_334, ap_sig_cseq_ST_st420_fsm_336, ap_sig_cseq_ST_st421_fsm_337, ap_sig_cseq_ST_st423_fsm_339, ap_sig_cseq_ST_st424_fsm_340, ap_sig_cseq_ST_st426_fsm_342, ap_sig_cseq_ST_st427_fsm_343, ap_sig_cseq_ST_st429_fsm_345, ap_sig_cseq_ST_st430_fsm_346, ap_sig_cseq_ST_st432_fsm_348, ap_sig_cseq_ST_st433_fsm_349, ap_sig_cseq_ST_st435_fsm_351, ap_sig_cseq_ST_st436_fsm_352, ap_sig_cseq_ST_st438_fsm_354, ap_sig_cseq_ST_st439_fsm_355, ap_sig_cseq_ST_st441_fsm_357, ap_sig_cseq_ST_st442_fsm_358, ap_sig_cseq_ST_st444_fsm_360, ap_sig_cseq_ST_st445_fsm_361, ap_reg_ioackin_outs_TREADY) begin if ((((ap_const_logic_1 = ap_sig_cseq_ST_st386_fsm_302) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st387_fsm_303) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st388_fsm_304) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st389_fsm_305) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st390_fsm_306) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st391_fsm_307) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st392_fsm_308) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st393_fsm_309) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st394_fsm_310) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st395_fsm_311) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st396_fsm_312) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st397_fsm_313) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st398_fsm_314) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st399_fsm_315) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st400_fsm_316) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st401_fsm_317) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st402_fsm_318) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st403_fsm_319) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st404_fsm_320) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st405_fsm_321) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st406_fsm_322) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st407_fsm_323) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st408_fsm_324) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st409_fsm_325) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st410_fsm_326) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st411_fsm_327) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st412_fsm_328) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st413_fsm_329) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st414_fsm_330) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st415_fsm_331) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st416_fsm_332) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st417_fsm_333) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st418_fsm_334) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st419_fsm_335) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st420_fsm_336) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st421_fsm_337) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st422_fsm_338) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st423_fsm_339) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st424_fsm_340) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st425_fsm_341) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st426_fsm_342) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st427_fsm_343) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st428_fsm_344) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st429_fsm_345) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st430_fsm_346) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st431_fsm_347) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st432_fsm_348) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st433_fsm_349) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st434_fsm_350) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st435_fsm_351) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st436_fsm_352) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st437_fsm_353) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st438_fsm_354) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st439_fsm_355) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st440_fsm_356) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st441_fsm_357) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st442_fsm_358) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st443_fsm_359) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st444_fsm_360) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st445_fsm_361) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)))) then outs_TVALID <= ap_const_logic_1; else outs_TVALID <= ap_const_logic_0; end if; end process; rez_addr959960_part_set_fu_830_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_fu_796_p16); rez_addr_10932933_part_set_fu_1736_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_10_fu_1702_p16); rez_addr_11929930_part_set_fu_1843_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_11_fu_1809_p16); rez_addr_12926927_part_set_fu_1950_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_12_fu_1916_p16); rez_addr_13923924_part_set_fu_2057_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_13_fu_2023_p16); rez_addr_14920921_part_set_fu_2164_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_14_fu_2130_p16); rez_addr_15917918_part_set_fu_2271_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_15_fu_2237_p16); rez_addr_16914915_part_set_fu_2378_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_16_fu_2344_p16); rez_addr_17911912_part_set_fu_2485_p5 <= (reg_725(575 downto 480) & tmp_17_fu_2451_p16); rez_addr_18908909_part_set_fu_2592_p5 <= (data_array_load_1_reg_3743(575 downto 480) & tmp_18_fu_2558_p16); rez_addr_1956957_part_set_fu_1109_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_2_fu_1075_p16); rez_addr_19905906_part_set_fu_2698_p5 <= (data_array_load_2_reg_3722(575 downto 480) & tmp_19_fu_2664_p16); rez_addr_20902903_part_set_fu_2828_p5 <= (data_array_load_3_reg_3759(575 downto 480) & tmp_20_fu_2794_p16); rez_addr_3953954_part_set_fu_922_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_3_fu_888_p16); rez_addr_4950951_part_set_fu_1201_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_4_fu_1167_p16); rez_addr_5947948_part_set_fu_1017_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_5_fu_983_p16); rez_addr_6944945_part_set_fu_1308_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_6_fu_1274_p16); rez_addr_7941942_part_set_fu_1415_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_7_fu_1381_p16); rez_addr_8938939_part_set_fu_1522_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_8_fu_1488_p16); rez_addr_9935936_part_set_fu_1629_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_9_fu_1595_p16); t_load_10_fu_3280_p1 <= grp_fu_639_p4; t_load_11_fu_3295_p1 <= grp_fu_639_p4; t_load_12_fu_3310_p1 <= grp_fu_639_p4; t_load_13_fu_3325_p1 <= grp_fu_639_p4; t_load_14_fu_3340_p1 <= grp_fu_639_p4; t_load_15_fu_3355_p1 <= grp_fu_639_p4; t_load_16_fu_3370_p1 <= grp_fu_639_p4; t_load_17_fu_3385_p1 <= grp_fu_639_p4; t_load_18_fu_3400_p1 <= grp_fu_639_p4; t_load_1_fu_3145_p1 <= grp_fu_639_p4; t_load_2_fu_3160_p1 <= grp_fu_639_p4; t_load_3_fu_3175_p1 <= grp_fu_639_p4; t_load_4_fu_3190_p1 <= grp_fu_639_p4; t_load_5_fu_3205_p1 <= grp_fu_639_p4; t_load_6_fu_3220_p1 <= grp_fu_639_p4; t_load_7_fu_3235_p1 <= grp_fu_639_p4; t_load_8_fu_3250_p1 <= grp_fu_639_p4; t_load_9_fu_3265_p1 <= grp_fu_639_p4; t_load_fu_3115_p1 <= grp_fu_639_p4; t_load_s_fu_3130_p1 <= grp_fu_639_p4; t_write_assign_toint_fu_3081_p1 <= grp_fu_618_p2; tmp_10_fu_1702_p16 <= ((((((((((((((ins_data_tmp_load_149_toint_fu_1698_p1 & ins_data_tmp_load_148_toint_fu_1694_p1) & ins_data_tmp_load_147_toint_fu_1690_p1) & ins_data_tmp_load_146_toint_fu_1686_p1) & ins_data_tmp_load_145_toint_fu_1682_p1) & ins_data_tmp_load_144_toint_fu_1678_p1) & ins_data_tmp_load_143_toint_fu_1674_p1) & ins_data_tmp_load_142_toint_fu_1670_p1) & ins_data_tmp_load_141_toint_fu_1666_p1) & ins_data_tmp_load_140_toint_fu_1662_p1) & ins_data_tmp_load_139_toint_fu_1658_p1) & ins_data_tmp_load_138_toint_fu_1654_p1) & ins_data_tmp_load_137_toint_fu_1650_p1) & ins_data_tmp_load_136_toint_fu_1646_p1) & ins_data_tmp_load_135_toint_fu_1642_p1); tmp_11_fu_1809_p16 <= ((((((((((((((ins_data_tmp_load_164_toint_fu_1805_p1 & ins_data_tmp_load_163_toint_fu_1801_p1) & ins_data_tmp_load_162_toint_fu_1797_p1) & ins_data_tmp_load_161_toint_fu_1793_p1) & ins_data_tmp_load_160_toint_fu_1789_p1) & ins_data_tmp_load_159_toint_fu_1785_p1) & ins_data_tmp_load_158_toint_fu_1781_p1) & ins_data_tmp_load_157_toint_fu_1777_p1) & ins_data_tmp_load_156_toint_fu_1773_p1) & ins_data_tmp_load_155_toint_fu_1769_p1) & ins_data_tmp_load_154_toint_fu_1765_p1) & ins_data_tmp_load_153_toint_fu_1761_p1) & ins_data_tmp_load_152_toint_fu_1757_p1) & ins_data_tmp_load_151_toint_fu_1753_p1) & ins_data_tmp_load_150_toint_fu_1749_p1); tmp_12_fu_1916_p16 <= ((((((((((((((ins_data_tmp_load_179_toint_fu_1912_p1 & ins_data_tmp_load_178_toint_fu_1908_p1) & ins_data_tmp_load_177_toint_fu_1904_p1) & ins_data_tmp_load_176_toint_fu_1900_p1) & ins_data_tmp_load_175_toint_fu_1896_p1) & ins_data_tmp_load_174_toint_fu_1892_p1) & ins_data_tmp_load_173_toint_fu_1888_p1) & ins_data_tmp_load_172_toint_fu_1884_p1) & ins_data_tmp_load_171_toint_fu_1880_p1) & ins_data_tmp_load_170_toint_fu_1876_p1) & ins_data_tmp_load_169_toint_fu_1872_p1) & ins_data_tmp_load_168_toint_fu_1868_p1) & ins_data_tmp_load_167_toint_fu_1864_p1) & ins_data_tmp_load_166_toint_fu_1860_p1) & ins_data_tmp_load_165_toint_fu_1856_p1); tmp_13_fu_2023_p16 <= ((((((((((((((ins_data_tmp_load_194_toint_fu_2019_p1 & ins_data_tmp_load_193_toint_fu_2015_p1) & ins_data_tmp_load_192_toint_fu_2011_p1) & ins_data_tmp_load_191_toint_fu_2007_p1) & ins_data_tmp_load_190_toint_fu_2003_p1) & ins_data_tmp_load_189_toint_fu_1999_p1) & ins_data_tmp_load_188_toint_fu_1995_p1) & ins_data_tmp_load_187_toint_fu_1991_p1) & ins_data_tmp_load_186_toint_fu_1987_p1) & ins_data_tmp_load_185_toint_fu_1983_p1) & ins_data_tmp_load_184_toint_fu_1979_p1) & ins_data_tmp_load_183_toint_fu_1975_p1) & ins_data_tmp_load_182_toint_fu_1971_p1) & ins_data_tmp_load_181_toint_fu_1967_p1) & ins_data_tmp_load_180_toint_fu_1963_p1); tmp_14_fu_2130_p16 <= ((((((((((((((ins_data_tmp_load_209_toint_fu_2126_p1 & ins_data_tmp_load_208_toint_fu_2122_p1) & ins_data_tmp_load_207_toint_fu_2118_p1) & ins_data_tmp_load_206_toint_fu_2114_p1) & ins_data_tmp_load_205_toint_fu_2110_p1) & ins_data_tmp_load_204_toint_fu_2106_p1) & ins_data_tmp_load_203_toint_fu_2102_p1) & ins_data_tmp_load_202_toint_fu_2098_p1) & ins_data_tmp_load_201_toint_fu_2094_p1) & ins_data_tmp_load_200_toint_fu_2090_p1) & ins_data_tmp_load_199_toint_fu_2086_p1) & ins_data_tmp_load_198_toint_fu_2082_p1) & ins_data_tmp_load_197_toint_fu_2078_p1) & ins_data_tmp_load_196_toint_fu_2074_p1) & ins_data_tmp_load_195_toint_fu_2070_p1); tmp_15_fu_2237_p16 <= ((((((((((((((ins_data_tmp_load_224_toint_fu_2233_p1 & ins_data_tmp_load_223_toint_fu_2229_p1) & ins_data_tmp_load_222_toint_fu_2225_p1) & ins_data_tmp_load_221_toint_fu_2221_p1) & ins_data_tmp_load_220_toint_fu_2217_p1) & ins_data_tmp_load_219_toint_fu_2213_p1) & ins_data_tmp_load_218_toint_fu_2209_p1) & ins_data_tmp_load_217_toint_fu_2205_p1) & ins_data_tmp_load_216_toint_fu_2201_p1) & ins_data_tmp_load_215_toint_fu_2197_p1) & ins_data_tmp_load_214_toint_fu_2193_p1) & ins_data_tmp_load_213_toint_fu_2189_p1) & ins_data_tmp_load_212_toint_fu_2185_p1) & ins_data_tmp_load_211_toint_fu_2181_p1) & ins_data_tmp_load_210_toint_fu_2177_p1); tmp_16_fu_2344_p16 <= ((((((((((((((ins_data_tmp_load_239_toint_fu_2340_p1 & ins_data_tmp_load_238_toint_fu_2336_p1) & ins_data_tmp_load_237_toint_fu_2332_p1) & ins_data_tmp_load_236_toint_fu_2328_p1) & ins_data_tmp_load_235_toint_fu_2324_p1) & ins_data_tmp_load_234_toint_fu_2320_p1) & ins_data_tmp_load_233_toint_fu_2316_p1) & ins_data_tmp_load_232_toint_fu_2312_p1) & ins_data_tmp_load_231_toint_fu_2308_p1) & ins_data_tmp_load_230_toint_fu_2304_p1) & ins_data_tmp_load_229_toint_fu_2300_p1) & ins_data_tmp_load_228_toint_fu_2296_p1) & ins_data_tmp_load_227_toint_fu_2292_p1) & ins_data_tmp_load_226_toint_fu_2288_p1) & ins_data_tmp_load_225_toint_fu_2284_p1); tmp_17_fu_2451_p16 <= ((((((((((((((ins_data_tmp_load_254_toint_fu_2447_p1 & ins_data_tmp_load_253_toint_fu_2443_p1) & ins_data_tmp_load_252_toint_fu_2439_p1) & ins_data_tmp_load_251_toint_fu_2435_p1) & ins_data_tmp_load_250_toint_fu_2431_p1) & ins_data_tmp_load_249_toint_fu_2427_p1) & ins_data_tmp_load_248_toint_fu_2423_p1) & ins_data_tmp_load_247_toint_fu_2419_p1) & ins_data_tmp_load_246_toint_fu_2415_p1) & ins_data_tmp_load_245_toint_fu_2411_p1) & ins_data_tmp_load_244_toint_fu_2407_p1) & ins_data_tmp_load_243_toint_fu_2403_p1) & ins_data_tmp_load_242_toint_fu_2399_p1) & ins_data_tmp_load_241_toint_fu_2395_p1) & ins_data_tmp_load_240_toint_fu_2391_p1); tmp_18_fu_2558_p16 <= ((((((((((((((ins_data_tmp_load_269_toint_fu_2554_p1 & ins_data_tmp_load_268_toint_fu_2550_p1) & ins_data_tmp_load_267_toint_fu_2546_p1) & ins_data_tmp_load_266_toint_fu_2542_p1) & ins_data_tmp_load_265_toint_fu_2538_p1) & ins_data_tmp_load_264_toint_fu_2534_p1) & ins_data_tmp_load_263_toint_fu_2530_p1) & ins_data_tmp_load_262_toint_fu_2526_p1) & ins_data_tmp_load_261_toint_fu_2522_p1) & ins_data_tmp_load_260_toint_fu_2518_p1) & ins_data_tmp_load_259_toint_fu_2514_p1) & ins_data_tmp_load_258_toint_fu_2510_p1) & ins_data_tmp_load_257_toint_fu_2506_p1) & ins_data_tmp_load_256_toint_fu_2502_p1) & ins_data_tmp_load_255_toint_fu_2498_p1); tmp_19_fu_2664_p16 <= ((((((((((((((ins_data_tmp_load_284_toint_fu_2660_p1 & ins_data_tmp_load_283_toint_fu_2656_p1) & ins_data_tmp_load_282_toint_fu_2652_p1) & ins_data_tmp_load_281_toint_fu_2648_p1) & ins_data_tmp_load_280_toint_fu_2644_p1) & ins_data_tmp_load_279_toint_fu_2640_p1) & ins_data_tmp_load_278_toint_fu_2636_p1) & ins_data_tmp_load_277_toint_fu_2632_p1) & ins_data_tmp_load_276_toint_fu_2628_p1) & ins_data_tmp_load_275_toint_fu_2624_p1) & ins_data_tmp_load_274_toint_fu_2620_p1) & ins_data_tmp_load_273_toint_fu_2616_p1) & ins_data_tmp_load_272_toint_fu_2612_p1) & ins_data_tmp_load_271_toint_fu_2608_p1) & ins_data_tmp_load_270_toint_fu_2604_p1); tmp_1_fu_2852_p1 <= std_logic_vector(resize(unsigned(i1_reg_418),64)); tmp_20_fu_2794_p16 <= ((((((((((((((ins_data_tmp_load_299_toint_fu_2790_p1 & ins_data_tmp_load_298_toint_fu_2762_p1) & ins_data_tmp_load_297_toint_fu_2758_p1) & ins_data_tmp_load_296_toint_fu_2754_p1) & ins_data_tmp_load_295_toint_fu_2750_p1) & ins_data_tmp_load_294_toint_fu_2746_p1) & ins_data_tmp_load_293_toint_fu_2742_p1) & ins_data_tmp_load_292_toint_fu_2738_p1) & ins_data_tmp_load_291_toint_fu_2734_p1) & ins_data_tmp_load_290_toint_fu_2730_p1) & ins_data_tmp_load_289_toint_fu_2726_p1) & ins_data_tmp_load_288_toint_fu_2722_p1) & ins_data_tmp_load_287_toint_fu_2718_p1) & ins_data_tmp_load_286_toint_fu_2714_p1) & ins_data_tmp_load_285_toint_fu_2710_p1); tmp_21_fu_3093_p4 <= ((beta_write_assign_toint_fu_3089_p1 & gamma_write_assign_toint_fu_3085_p1) & t_write_assign_toint_fu_3081_p1); tmp_22_fu_2857_p1 <= data_array_q0(32 - 1 downto 0); tmp_2_fu_1075_p16 <= ((((((((((((((ins_data_tmp_load_29_toint_fu_1072_p1 & ins_data_tmp_load_28_toint_fu_1069_p1) & ins_data_tmp_load_27_toint_fu_1066_p1) & ins_data_tmp_load_26_toint_fu_1063_p1) & ins_data_tmp_load_25_toint_fu_1060_p1) & ins_data_tmp_load_24_toint_fu_1057_p1) & ins_data_tmp_load_23_toint_fu_1054_p1) & ins_data_tmp_load_22_toint_fu_1051_p1) & ins_data_tmp_load_21_toint_fu_1048_p1) & ins_data_tmp_load_20_toint_fu_1045_p1) & ins_data_tmp_load_19_toint_fu_1042_p1) & ins_data_tmp_load_18_toint_fu_1039_p1) & ins_data_tmp_load_17_toint_fu_1036_p1) & ins_data_tmp_load_16_toint_fu_1033_p1) & ins_data_tmp_load_15_toint_fu_1030_p1); tmp_3_fu_888_p16 <= ((((((((((((((ins_data_tmp_load_44_toint_fu_885_p1 & ins_data_tmp_load_43_toint_fu_882_p1) & ins_data_tmp_load_42_toint_fu_879_p1) & ins_data_tmp_load_41_toint_fu_876_p1) & ins_data_tmp_load_40_toint_fu_873_p1) & ins_data_tmp_load_39_toint_fu_870_p1) & ins_data_tmp_load_38_toint_fu_867_p1) & ins_data_tmp_load_37_toint_fu_864_p1) & ins_data_tmp_load_36_toint_fu_861_p1) & ins_data_tmp_load_35_toint_fu_858_p1) & ins_data_tmp_load_34_toint_fu_855_p1) & ins_data_tmp_load_33_toint_fu_852_p1) & ins_data_tmp_load_32_toint_fu_849_p1) & ins_data_tmp_load_31_toint_fu_846_p1) & ins_data_tmp_load_30_toint_fu_843_p1); tmp_4_fu_1167_p16 <= ((((((((((((((ins_data_tmp_load_59_toint_fu_1164_p1 & ins_data_tmp_load_58_toint_fu_1161_p1) & ins_data_tmp_load_57_toint_fu_1158_p1) & ins_data_tmp_load_56_toint_fu_1155_p1) & ins_data_tmp_load_55_toint_fu_1152_p1) & ins_data_tmp_load_54_toint_fu_1149_p1) & ins_data_tmp_load_53_toint_fu_1146_p1) & ins_data_tmp_load_52_toint_fu_1143_p1) & ins_data_tmp_load_51_toint_fu_1140_p1) & ins_data_tmp_load_50_toint_fu_1137_p1) & ins_data_tmp_load_49_toint_fu_1134_p1) & ins_data_tmp_load_48_toint_fu_1131_p1) & ins_data_tmp_load_47_toint_fu_1128_p1) & ins_data_tmp_load_46_toint_fu_1125_p1) & ins_data_tmp_load_45_toint_fu_1122_p1); tmp_5_fu_983_p16 <= ((((((((((((((ins_data_tmp_load_74_toint_fu_979_p1 & ins_data_tmp_load_73_toint_fu_975_p1) & ins_data_tmp_load_72_toint_fu_971_p1) & ins_data_tmp_load_71_toint_fu_968_p1) & ins_data_tmp_load_70_toint_fu_965_p1) & ins_data_tmp_load_69_toint_fu_962_p1) & ins_data_tmp_load_68_toint_fu_959_p1) & ins_data_tmp_load_67_toint_fu_956_p1) & ins_data_tmp_load_66_toint_fu_953_p1) & ins_data_tmp_load_65_toint_fu_950_p1) & ins_data_tmp_load_64_toint_fu_947_p1) & ins_data_tmp_load_63_toint_fu_944_p1) & ins_data_tmp_load_62_toint_fu_941_p1) & ins_data_tmp_load_61_toint_fu_938_p1) & ins_data_tmp_load_60_toint_fu_935_p1); tmp_61_neg_i_fu_3071_p2 <= (tmp_61_to_int_i_fu_3068_p1 xor ap_const_lv32_80000000); tmp_61_to_int_i_fu_3068_p1 <= ap_reg_ppstg_tmp_25_i_reg_4275_pp0_it76; tmp_6_fu_1274_p16 <= ((((((((((((((ins_data_tmp_load_89_toint_fu_1270_p1 & ins_data_tmp_load_88_toint_fu_1266_p1) & ins_data_tmp_load_87_toint_fu_1262_p1) & ins_data_tmp_load_86_toint_fu_1258_p1) & ins_data_tmp_load_85_toint_fu_1254_p1) & ins_data_tmp_load_84_toint_fu_1250_p1) & ins_data_tmp_load_83_toint_fu_1246_p1) & ins_data_tmp_load_82_toint_fu_1242_p1) & ins_data_tmp_load_81_toint_fu_1238_p1) & ins_data_tmp_load_80_toint_fu_1234_p1) & ins_data_tmp_load_79_toint_fu_1230_p1) & ins_data_tmp_load_78_toint_fu_1226_p1) & ins_data_tmp_load_77_toint_fu_1222_p1) & ins_data_tmp_load_76_toint_fu_1218_p1) & ins_data_tmp_load_75_toint_fu_1214_p1); tmp_7_fu_1381_p16 <= ((((((((((((((ins_data_tmp_load_104_toint_fu_1377_p1 & ins_data_tmp_load_103_toint_fu_1373_p1) & ins_data_tmp_load_102_toint_fu_1369_p1) & ins_data_tmp_load_101_toint_fu_1365_p1) & ins_data_tmp_load_100_toint_fu_1361_p1) & ins_data_tmp_load_99_toint_fu_1357_p1) & ins_data_tmp_load_98_toint_fu_1353_p1) & ins_data_tmp_load_97_toint_fu_1349_p1) & ins_data_tmp_load_96_toint_fu_1345_p1) & ins_data_tmp_load_95_toint_fu_1341_p1) & ins_data_tmp_load_94_toint_fu_1337_p1) & ins_data_tmp_load_93_toint_fu_1333_p1) & ins_data_tmp_load_92_toint_fu_1329_p1) & ins_data_tmp_load_91_toint_fu_1325_p1) & ins_data_tmp_load_90_toint_fu_1321_p1); tmp_8_fu_1488_p16 <= ((((((((((((((ins_data_tmp_load_119_toint_fu_1484_p1 & ins_data_tmp_load_118_toint_fu_1480_p1) & ins_data_tmp_load_117_toint_fu_1476_p1) & ins_data_tmp_load_116_toint_fu_1472_p1) & ins_data_tmp_load_115_toint_fu_1468_p1) & ins_data_tmp_load_114_toint_fu_1464_p1) & ins_data_tmp_load_113_toint_fu_1460_p1) & ins_data_tmp_load_112_toint_fu_1456_p1) & ins_data_tmp_load_111_toint_fu_1452_p1) & ins_data_tmp_load_110_toint_fu_1448_p1) & ins_data_tmp_load_109_toint_fu_1444_p1) & ins_data_tmp_load_108_toint_fu_1440_p1) & ins_data_tmp_load_107_toint_fu_1436_p1) & ins_data_tmp_load_106_toint_fu_1432_p1) & ins_data_tmp_load_105_toint_fu_1428_p1); tmp_9_fu_1595_p16 <= ((((((((((((((ins_data_tmp_load_134_toint_fu_1591_p1 & ins_data_tmp_load_133_toint_fu_1587_p1) & ins_data_tmp_load_132_toint_fu_1583_p1) & ins_data_tmp_load_131_toint_fu_1579_p1) & ins_data_tmp_load_130_toint_fu_1575_p1) & ins_data_tmp_load_129_toint_fu_1571_p1) & ins_data_tmp_load_128_toint_fu_1567_p1) & ins_data_tmp_load_127_toint_fu_1563_p1) & ins_data_tmp_load_126_toint_fu_1559_p1) & ins_data_tmp_load_125_toint_fu_1555_p1) & ins_data_tmp_load_124_toint_fu_1551_p1) & ins_data_tmp_load_123_toint_fu_1547_p1) & ins_data_tmp_load_122_toint_fu_1543_p1) & ins_data_tmp_load_121_toint_fu_1539_p1) & ins_data_tmp_load_120_toint_fu_1535_p1); tmp_fu_796_p16 <= ((((((((((((((ins_data_tmp_load_14_toint_fu_793_p1 & ins_data_tmp_load_13_toint_fu_789_p1) & ins_data_tmp_load_12_toint_fu_785_p1) & ins_data_tmp_load_11_toint_fu_781_p1) & ins_data_tmp_load_10_toint_fu_777_p1) & ins_data_tmp_load_9_toint_fu_773_p1) & ins_data_tmp_load_8_toint_fu_769_p1) & ins_data_tmp_load_7_toint_fu_765_p1) & ins_data_tmp_load_6_toint_fu_761_p1) & ins_data_tmp_load_5_toint_fu_757_p1) & ins_data_tmp_load_4_toint_fu_753_p1) & ins_data_tmp_load_3_toint_fu_749_p1) & ins_data_tmp_load_2_toint_fu_745_p1) & ins_data_tmp_load_1_toint_fu_741_p1) & ins_data_tmp_load_toint_fu_737_p1); v0x_assign4_fu_3001_p1 <= tmp_22_reg_3869; v0y_assign_fu_3007_p1 <= v0y_assign_new_reg_3874; v0z_assign_fu_3013_p1 <= v0z_assign_new_reg_3879; end behav;
mit
cd0d56f1ab4c03c7645651b1a5cff2e1
0.653754
3.204452
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2/simulation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth.vhd
3
10,215
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth IS -- FIFO interface signal declarations SIGNAL clk_i : STD_LOGIC; SIGNAL rst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(1-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(1-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(1-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(1-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_rd3 OR rst_s_rd; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(clk_i'event AND clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- clk_i <= CLK; ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; fg_dg_nv: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_dgen GENERIC MAP ( C_DIN_WIDTH => 1, C_DOUT_WIDTH => 1, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_dverif GENERIC MAP ( C_DOUT_WIDTH => 1, C_DIN_WIDTH => 1, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 1, C_DIN_WIDTH => 1, C_WR_PNTR_WIDTH => 5, C_RD_PNTR_WIDTH => 5, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => clk_i, RD_CLK => clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_inst : system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_exdes PORT MAP ( CLK => clk_i, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
bsd-3-clause
6087e0921d82f0239f843768b952c29c
0.474107
4.087635
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/syn/vhdl/tri_intersect_fadd_32ns_32ns_32_9_full_dsp.vhd
4
3,391
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity tri_intersect_fadd_32ns_32ns_32_9_full_dsp is generic ( ID : integer := 15; NUM_STAGE : integer := 9; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of tri_intersect_fadd_32ns_32ns_32_9_full_dsp is --------------------- Component --------------------- component tri_intersect_ap_fadd_7_full_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- tri_intersect_ap_fadd_7_full_dsp_32_u : component tri_intersect_ap_fadd_7_full_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1; b_tvalid <= '1'; b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
mit
29b1080b1e385598b52dcd3fa7e060ca
0.490711
3.492276
false
false
false
false
RaulHuertas/rhpackageexporter
MurmurHashGenerator/MurmurHash_SearchBRAMImplementation.vhdl
1
1,950
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.ALL; use IEEE.numeric_std.all; use work.MurmurHashUtils.ALL; entity BinarySearchBRAM is generic( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 10 ); port( clk : in std_logic;-- un solo reloj para ambos puertos de la BRAM --Puerto de escritura en el cual se vana grabar los datos en la tabla porta_wr : in std_logic; porta_waddr : in std_logic_vector( (ADDR_WIDTH-1) downto 0); porta_din : in std_logic_vector( (DATA_WIDTH-1) downto 0); porta_rd : in std_logic; porta_raddr : in std_logic_vector( (ADDR_WIDTH-1) downto 0); porta_dout : out std_logic_vector( (DATA_WIDTH-1) downto 0); --puerto de lectura, desde el cual se van a leer los 0 --datos para la comparación portb_rd : in std_logic; portb_addr : in std_logic_vector( (ADDR_WIDTH-1) downto 0); portb_dout : out std_logic_vector( (DATA_WIDTH-1) downto 0) ); end entity BinarySearchBRAM; architecture Inferral of BinarySearchBRAM is type mem_type is array ( (2**ADDR_WIDTH)-1 downto 0 ) of std_logic_vector(DATA_WIDTH-1 downto 0); shared variable mem : mem_type; begin portA:process (clk, porta_wr, porta_waddr, porta_raddr, porta_din, porta_rd) begin if rising_edge(clk) then if ( porta_wr = '1' ) then mem(conv_integer(porta_waddr)) := porta_din; elsif ( porta_rd = '1' ) then porta_dout <= mem(conv_integer(porta_raddr)); end if; end if; end process portA; portB:process (clk, portb_rd, portb_addr) begin if rising_edge(clk) then if ( portb_rd = '1' ) then portb_dout <= mem(conv_integer(portb_addr)); end if; end if; end process portB; end architecture Inferral;
bsd-3-clause
e81388afbe7cc39ee5ee40f831cf0a8d
0.594664
3.505396
false
false
false
false
alemedeiros/flappy_vhdl
colision/colision_detection.vhd
1
1,413
-- file: colision/colision_detection.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Check for colision between first obstacle with player. library ieee ; use ieee.std_logic_1164.all ; use IEEE.std_logic_arith.all; entity colision_detection is generic ( H_RES : natural := 128 ; -- Horizontal Resolution V_RES : natural := 96 ; -- Vertical Resolution N_OBST : natural := 4 ; -- Number of obstacles P_POS : natural := 20 -- Player Horizontal position ) ; port ( player : in integer range 0 to V_RES - 1 ; position : in integer range 0 to H_RES / N_OBST - 1; obst_low : in integer range 0 to V_RES - 1 ; obst_high : in integer range 0 to V_RES - 1 ; game_over : out std_logic ; clock : in std_logic ; enable : in std_logic ; reset : in std_logic ) ; end colision_detection ; architecture behavior of colision_detection is begin -- Reading values process process(clock) variable tmp : std_logic := '0' ; begin if (reset = '0' and enable = '1' and rising_edge(clock)) then tmp := '0' ; if (player >= V_RES or player < 0 or (((V_RES - 1 - obst_low) < player or obst_high > player) and position = P_POS) ) then tmp := '1' ; end if; end if ; game_over <= tmp ; end process ; end behavior ;
bsd-3-clause
d9ca7841ba6ee5da5b9848bfefd9fe41
0.624204
3.278422
false
false
false
false
shameerpt/sata_2_host_controller
sata_2_core_and_test_app/XPS/pcores/sata_test_logic_v1_00_a/hdl/vhdl/sata_test_logic.vhd
1
24,992
------------------------------------------------------------------------------ -- sata_test_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: sata_test_logic.vhd -- Version: 1.00.a -- Description: Top level design, instantiates library components and user logic. -- Date: Thu Aug 01 11:43:44 2013 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library plbv46_slave_single_v1_01_a; use plbv46_slave_single_v1_01_a.plbv46_slave_single; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- C_MEM0_BASEADDR -- User memory space 0 base address -- C_MEM0_HIGHADDR -- User memory space 0 high address -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator ------------------------------------------------------------------------------ entity sata_test_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 32; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 0; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 0; C_FAMILY : string := "virtex6"; C_MEM0_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_MEM0_HIGHADDR : std_logic_vector := X"00000000" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ MB2IP_Clk : out std_logic; MB2IP_Reset : out std_logic; MB2IP_Addr : out std_logic_vector(0 to 31); MB2IP_CS : out std_logic_vector(0 to 0) ; MB2IP_RNW : out std_logic; MB2IP_Data : out std_logic_vector(0 to 31); MB2IP_BE : out std_logic_vector(0 to 3); IP2mb_Data : in std_logic_vector(0 to 31); IP2MB_RdAck : in std_logic; IP2MB_WrAck : in std_logic; IP2MB_Error : in std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1) -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of SPLB_Clk : signal is "CLK"; attribute SIGIS of SPLB_Rst : signal is "RST"; end entity sata_test_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of sata_test_logic is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & C_MEM0_BASEADDR, -- user logic memory space 0 base address ZERO_ADDR_PAD & C_MEM0_HIGHADDR -- user logic memory space 0 high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant USER_NUM_MEM : integer := 1; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 1 -- number of ce for user logic memory space 0 (always 1 chip enable) ); ------------------------------------------ -- Ratio of bus clock to core clock (for use in dual clock systems) -- 1 = ratio is 1:1 -- 2 = ratio is 2:1 ------------------------------------------ constant IPIF_BUS2CORE_CLK_RATIO : integer := 1; ------------------------------------------ -- Width of the slave data bus (32 only) ------------------------------------------ constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; ------------------------------------------ -- Width of the slave address bus (32 only) ------------------------------------------ constant USER_SLV_AWIDTH : integer := C_SPLB_AWIDTH; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_MEM0_CS_INDEX : integer := 0; constant USER_CS_INDEX : integer := USER_MEM0_CS_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Reset : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; ------------------------------------------ -- Component declaration for verilog user logic ------------------------------------------ -- component user_logic is -- generic -- ( -- -- ADD USER GENERICS BELOW THIS LINE --------------- -- --USER generics added here -- -- ADD USER GENERICS ABOVE THIS LINE --------------- -- -- -- DO NOT EDIT BELOW THIS LINE --------------------- -- -- Bus protocol parameters, do not add to or delete -- C_SLV_AWIDTH : integer := 32; -- C_SLV_DWIDTH : integer := 32; -- C_NUM_MEM : integer := 1 -- -- DO NOT EDIT ABOVE THIS LINE --------------------- -- ); -- port -- ( -- -- ADD USER PORTS BELOW THIS LINE ------------------ -- --USER ports added here -- -- ADD USER PORTS ABOVE THIS LINE ------------------ -- -- -- DO NOT EDIT BELOW THIS LINE --------------------- -- -- Bus protocol ports, do not add to or delete -- Bus2IP_Clk : in std_logic; -- Bus2IP_Reset : in std_logic; -- Bus2IP_Addr : in std_logic_vector(0 to C_SLV_AWIDTH-1); -- Bus2IP_CS : in std_logic_vector(0 to C_NUM_MEM-1); -- Bus2IP_RNW : in std_logic; -- Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); -- Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); -- IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); -- IP2Bus_RdAck : out std_logic; -- IP2Bus_WrAck : out std_logic; -- IP2Bus_Error : out std_logic -- -- DO NOT EDIT ABOVE THIS LINE --------------------- -- ); -- end component user_logic; begin ------------------------------------------ -- instantiate plbv46_slave_single ------------------------------------------ PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ MB2IP_Clk <= ipif_Bus2IP_Clk; MB2IP_Reset <= ipif_Bus2IP_Reset; MB2IP_Addr <= ipif_Bus2IP_Addr; MB2IP_CS <= ipif_Bus2IP_CS(USER_CS_INDEX to USER_CS_INDEX+USER_NUM_MEM-1); MB2IP_RNW <= ipif_Bus2IP_RNW; MB2IP_Data <= ipif_Bus2IP_Data; MB2IP_BE <= ipif_Bus2IP_BE; user_IP2Bus_Data <= IP2MB_Data; user_IP2Bus_RdAck <= IP2MB_RdAck; user_IP2Bus_WrAck <= IP2MB_WrAck; user_IP2Bus_Error <= IP2MB_Error; -- USER_LOGIC_I : component user_logic -- generic map -- ( -- -- MAP USER GENERICS BELOW THIS LINE --------------- -- --USER generics mapped here -- -- MAP USER GENERICS ABOVE THIS LINE --------------- -- -- C_SLV_AWIDTH => USER_SLV_AWIDTH, -- C_SLV_DWIDTH => USER_SLV_DWIDTH, -- C_NUM_MEM => USER_NUM_MEM -- ) -- port map -- ( -- -- MAP USER PORTS BELOW THIS LINE ------------------ -- --USER ports mapped here -- -- MAP USER PORTS ABOVE THIS LINE ------------------ -- -- Bus2IP_Clk => ipif_Bus2IP_Clk, -- Bus2IP_Reset => ipif_Bus2IP_Reset, -- Bus2IP_Addr => ipif_Bus2IP_Addr, -- Bus2IP_CS => ipif_Bus2IP_CS(USER_CS_INDEX to USER_CS_INDEX+USER_NUM_MEM-1), -- Bus2IP_RNW => ipif_Bus2IP_RNW, -- Bus2IP_Data => ipif_Bus2IP_Data, -- Bus2IP_BE => ipif_Bus2IP_BE, -- IP2Bus_Data => user_IP2Bus_Data, -- IP2Bus_RdAck => user_IP2Bus_RdAck, -- IP2Bus_WrAck => user_IP2Bus_WrAck, -- IP2Bus_Error => user_IP2Bus_Error -- ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; end IMP;
gpl-3.0
813594776d26125b4fba1b8ddd010598
0.428737
4.351733
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_3/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_3_synth.vhd
3
11,096
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_3_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_3_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_3_synth IS -- FIFO interface signal declarations SIGNAL clk_i : STD_LOGIC; SIGNAL data_count : STD_LOGIC_VECTOR(7-1 DOWNTO 0); SIGNAL wr_ack : STD_LOGIC; SIGNAL valid : STD_LOGIC; SIGNAL almost_empty : STD_LOGIC; SIGNAL srst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(67-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(67-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(67-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(67-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; SIGNAL rst_sync_rd1 : STD_LOGIC := '0'; SIGNAL rst_sync_rd2 : STD_LOGIC := '0'; SIGNAL rst_sync_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_rd3 OR rst_s_rd; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(clk_i'event AND clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; --Synchronous reset generation for FIFO core PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_sync_rd1 <= RESET; rst_sync_rd2 <= rst_sync_rd1; rst_sync_rd3 <= rst_sync_rd2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- clk_i <= CLK; ------------------ srst <= rst_sync_rd3 OR rst_s_rd AFTER 50 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; almost_empty_i <= almost_empty; fg_dg_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_dgen GENERIC MAP ( C_DIN_WIDTH => 67, C_DOUT_WIDTH => 67, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_dverif GENERIC MAP ( C_DOUT_WIDTH => 67, C_DIN_WIDTH => 67, C_USE_EMBEDDED_REG => 1, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 67, C_DIN_WIDTH => 67, C_WR_PNTR_WIDTH => 7, C_RD_PNTR_WIDTH => 7, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => clk_i, RD_CLK => clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); system_axi_vdma_0_wrapper_fifo_generator_v9_3_inst : system_axi_vdma_0_wrapper_fifo_generator_v9_3_exdes PORT MAP ( CLK => clk_i, DATA_COUNT => data_count, WR_ACK => wr_ack, VALID => valid, ALMOST_EMPTY => almost_empty, SRST => srst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
bsd-3-clause
bbc24d008d8f5e70939de1df7720dd55
0.467376
4.06894
false
false
false
false
fumyuun/tasty
src/platform/nexys4ddr/top.vhd
1
3,055
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.snes_lib.all; entity top_nexys4ddr is port ( clk_i : in std_logic; nrst_i : in std_logic; -- push buttons btnu_i : in std_logic; btnl_i : in std_logic; btnr_i : in std_logic; btnd_i : in std_logic; btnc_i : in std_logic; -- pmods pmod_a_io_1 : in std_logic; pmod_a_io_2 : in std_logic; pmod_a_io_3 : out std_logic; pmod_b_io : in std_logic_vector(10 downto 0); led_o : out std_logic_vector(15 downto 0); switch_i : in std_logic_vector(15 downto 0); sseg_c_o : out std_logic_vector(7 downto 0); sseg_an_o : out std_logic_vector(7 downto 0) ); end entity top_nexys4ddr; architecture behavioral of top_nexys4ddr is signal rst_s : std_logic; signal snes_js_btn_s : snes_js_btn_r; signal snes_js_bus_i_s : snes_js_bus_i_r; signal snes_js_bus_o_s : snes_js_bus_o_r; signal snes_js_btn_leds_s : snes_js_btn_r; signal pc_s : std_logic_vector(15 downto 0); begin rst_s <= not nrst_i; clock_proc: process(clk_i) begin if rising_edge(clk_i) then snes_js_btn_s.up <= btnu_i; snes_js_btn_s.down <= btnd_i; snes_js_btn_s.left <= btnl_i; snes_js_btn_s.right <= btnr_i; snes_js_btn_s.a <= pmod_b_io(2); snes_js_btn_s.b <= pmod_b_io(4); snes_js_btn_s.x <= pmod_b_io(1); snes_js_btn_s.y <= pmod_b_io(3); snes_js_btn_s.l <= '0'; snes_js_btn_s.r <= '0'; snes_js_btn_s.start <= btnc_i; snes_js_btn_s.sel <= '0'; snes_js_bus_i_s.latch <= pmod_a_io_1; snes_js_bus_i_s.clock <= pmod_a_io_2; pmod_a_io_3 <= snes_js_bus_o_s.data; end if; end process; led_o(0) <= snes_js_btn_leds_s.up; led_o(1) <= snes_js_btn_leds_s.down; led_o(2) <= snes_js_btn_leds_s.left; led_o(3) <= snes_js_btn_leds_s.right; led_o(4) <= snes_js_btn_leds_s.a; led_o(5) <= snes_js_btn_leds_s.b; led_o(6) <= snes_js_btn_leds_s.x; led_o(7) <= snes_js_btn_leds_s.y; led_o(8) <= snes_js_btn_leds_s.l; led_o(9) <= snes_js_btn_leds_s.r; led_o(10) <= snes_js_btn_leds_s.start; led_o(11) <= snes_js_btn_leds_s.sel; tasty: entity work.tasty_snes port map ( clk_i => clk_i, rst_i => rst_s, snes_js_btn_i => snes_js_btn_s, snes_js_bus_i => snes_js_bus_i_s, snes_js_bus_o => snes_js_bus_o_s, debug_enabled_i => switch_i(15), clock_indicator_o => led_o(14), latch_indicator_o => led_o(15), btn_indicator_o => snes_js_btn_leds_s, switch_i => switch_i, pc_o => pc_s ); seven_segment_ctrl0: entity work.seven_segment_ctrl port map ( clk_i => clk_i, num_i => pc_s, c_o => sseg_c_o, an_o => sseg_an_o ); end;
mit
f10370714b18da31c40158eabbda0b40
0.513584
2.595582
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/0728269d/hdl/src/vhdl/axi_dma_reg_module.vhd
1
87,127
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reg_module.vhd -- Description: This entity is AXI DMA Register Module Top Level -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library lib_cdc_v1_0; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reg_module is generic( C_INCLUDE_MM2S : integer range 0 to 1 := 1 ; C_INCLUDE_S2MM : integer range 0 to 1 := 1 ; C_INCLUDE_SG : integer range 0 to 1 := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_AXI_LITE_IS_ASYNC : integer range 0 to 1 := 0 ; C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 32 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( ----------------------------------------------------------------------- -- AXI Lite Control Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- m_axi_sg_hrdresetn : in std_logic ; -- -- s_axi_lite_aclk : in std_logic ; -- axi_lite_reset_n : in std_logic ; -- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- -- -- MM2S Signals -- mm2s_stop : in std_logic ; -- mm2s_halted_clr : in std_logic ; -- mm2s_halted_set : in std_logic ; -- mm2s_idle_set : in std_logic ; -- mm2s_idle_clr : in std_logic ; -- mm2s_dma_interr_set : in std_logic ; -- mm2s_dma_slverr_set : in std_logic ; -- mm2s_dma_decerr_set : in std_logic ; -- mm2s_ioc_irq_set : in std_logic ; -- mm2s_dly_irq_set : in std_logic ; -- mm2s_irqdelay_status : in std_logic_vector(7 downto 0) ; -- mm2s_irqthresh_status : in std_logic_vector(7 downto 0) ; -- mm2s_ftch_interr_set : in std_logic ; -- mm2s_ftch_slverr_set : in std_logic ; -- mm2s_ftch_decerr_set : in std_logic ; -- mm2s_updt_interr_set : in std_logic ; -- mm2s_updt_slverr_set : in std_logic ; -- mm2s_updt_decerr_set : in std_logic ; -- mm2s_new_curdesc_wren : in std_logic ; -- mm2s_new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_dlyirq_dsble : out std_logic ; -- CR605888 -- mm2s_irqthresh_rstdsbl : out std_logic ; -- CR572013 -- mm2s_irqthresh_wren : out std_logic ; -- mm2s_irqdelay_wren : out std_logic ; -- mm2s_tailpntr_updated : out std_logic ; -- mm2s_dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- mm2s_dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- mm2s_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_taildesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_sa : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- mm2s_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- mm2s_length_wren : out std_logic ; -- -- -- S2MM Signals -- tdest_in : in std_logic_vector (6 downto 0) ; same_tdest_in : in std_logic; sg_ctl : out std_logic_vector (7 downto 0) ; s2mm_sof : in std_logic ; s2mm_eof : in std_logic ; s2mm_stop : in std_logic ; -- s2mm_halted_clr : in std_logic ; -- s2mm_halted_set : in std_logic ; -- s2mm_idle_set : in std_logic ; -- s2mm_idle_clr : in std_logic ; -- s2mm_dma_interr_set : in std_logic ; -- s2mm_dma_slverr_set : in std_logic ; -- s2mm_dma_decerr_set : in std_logic ; -- s2mm_ioc_irq_set : in std_logic ; -- s2mm_dly_irq_set : in std_logic ; -- s2mm_irqdelay_status : in std_logic_vector(7 downto 0) ; -- s2mm_irqthresh_status : in std_logic_vector(7 downto 0) ; -- s2mm_ftch_interr_set : in std_logic ; -- s2mm_ftch_slverr_set : in std_logic ; -- s2mm_ftch_decerr_set : in std_logic ; -- s2mm_updt_interr_set : in std_logic ; -- s2mm_updt_slverr_set : in std_logic ; -- s2mm_updt_decerr_set : in std_logic ; -- s2mm_new_curdesc_wren : in std_logic ; -- s2mm_new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_tvalid : in std_logic; s2mm_dlyirq_dsble : out std_logic ; -- CR605888 -- s2mm_irqthresh_rstdsbl : out std_logic ; -- CR572013 -- s2mm_irqthresh_wren : out std_logic ; -- s2mm_irqdelay_wren : out std_logic ; -- s2mm_tailpntr_updated : out std_logic ; -- s2mm_tvalid_latch : out std_logic ; s2mm_tvalid_latch_del : out std_logic ; s2mm_dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s2mm_dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s2mm_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_taildesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_da : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s2mm_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_length_wren : out std_logic ; -- s2mm_bytes_rcvd : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_bytes_rcvd_wren : in std_logic ; -- -- soft_reset : out std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- Fetch/Update error addresses -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_introut : out std_logic ; -- s2mm_introut : out std_logic ; -- bd_eq : in std_logic ); end axi_dma_reg_module; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reg_module is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant LENGTH_PAD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH - C_SG_LENGTH_WIDTH; constant LENGTH_PAD : std_logic_vector(LENGTH_PAD_WIDTH-1 downto 0) := (others => '0'); constant ZERO_BYTES : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); constant NUM_REG_PER_S2MM_INT : integer := NUM_REG_PER_CHANNEL + ((NUM_REG_PER_S2MM+1)*C_ENABLE_MULTI_CHANNEL); -- Specifies to axi_dma_register which block belongs to S2MM channel -- so simple dma s2mm_da register offset can be correctly assigned -- CR603034 --constant NOT_S2MM_CHANNEL : integer := 0; --constant IS_S2MM_CHANNEL : integer := 1; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal axi2ip_wrce : std_logic_vector(23+(121*C_ENABLE_MULTI_CHANNEL) - 1 downto 0) := (others => '0'); signal axi2ip_wrdata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal axi2ip_rdce : std_logic_vector(23+(121*C_ENABLE_MULTI_CHANNEL) - 1 downto 0) := (others => '0'); signal axi2ip_rdaddr : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ip2axi_rddata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_dmacr_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_dmasr_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_curdesc_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_curdesc_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_taildesc_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_taildesc_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_sa_i : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_length_i : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_error_in : std_logic := '0'; signal mm2s_error_out : std_logic := '0'; signal s2mm_curdesc_int : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_taildesc_int : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_curdesc_int2 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_taildesc_int2 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_taildesc_int3 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_dmacr_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_dmasr_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc1_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc1_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc1_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc1_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc2_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc2_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc2_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc2_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc3_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc3_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc3_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc3_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc4_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc4_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc4_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc4_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc5_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc5_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc5_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc5_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc6_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc6_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc6_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc6_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc7_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc7_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc7_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc7_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc8_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc8_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc8_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc8_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc9_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc9_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc9_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc9_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc10_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc10_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc10_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc10_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc11_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc11_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc11_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc11_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc12_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc12_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc12_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc12_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc13_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc13_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc13_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc13_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc14_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc14_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc14_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc14_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc15_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc15_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc15_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc15_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc_lsb_muxed : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc_msb_muxed : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc_lsb_muxed : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc_msb_muxed : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_da_i : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_length_i : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_error_in : std_logic := '0'; signal s2mm_error_out : std_logic := '0'; signal read_addr : std_logic_vector(9 downto 0) := (others => '0'); signal mm2s_introut_i_cdc_from : std_logic := '0'; signal mm2s_introut_d1_cdc_tig : std_logic := '0'; signal mm2s_introut_to : std_logic := '0'; signal s2mm_introut_i_cdc_from : std_logic := '0'; signal s2mm_introut_d1_cdc_tig : std_logic := '0'; signal s2mm_introut_to : std_logic := '0'; signal mm2s_sgctl : std_logic_vector (7 downto 0); signal s2mm_sgctl : std_logic_vector (7 downto 0); signal or_sgctl : std_logic_vector (7 downto 0); signal open_window, wren : std_logic; signal s2mm_tailpntr_updated_int : std_logic; signal s2mm_tailpntr_updated_int1 : std_logic; signal s2mm_tailpntr_updated_int2 : std_logic; signal s2mm_tailpntr_updated_int3 : std_logic; signal tvalid_int : std_logic; signal tvalid_int1 : std_logic; signal tvalid_int2 : std_logic; signal new_tdest : std_logic; signal tvalid_latch : std_logic; signal tdest_changed : std_logic; signal tdest_fix : std_logic_vector (4 downto 0); signal same_tdest_int1 : std_logic; signal same_tdest_int2 : std_logic; signal same_tdest_int3 : std_logic; signal same_tdest_arrived : std_logic; signal s2mm_msb_sa : std_logic_vector (31 downto 0); signal mm2s_msb_sa : std_logic_vector (31 downto 0); --ATTRIBUTE async_reg OF mm2s_introut_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_introut_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF mm2s_introut_to : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_introut_to : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin or_sgctl <= mm2s_sgctl or s2mm_sgctl; sg_ctl <= mm2s_sgctl or s2mm_sgctl; mm2s_dmacr <= mm2s_dmacr_i; -- MM2S DMA Control Register mm2s_dmasr <= mm2s_dmasr_i; -- MM2S DMA Status Register mm2s_sa <= mm2s_sa_i; -- MM2S Source Address (Simple Only) mm2s_length <= mm2s_length_i; -- MM2S Length (Simple Only) s2mm_dmacr <= s2mm_dmacr_i; -- S2MM DMA Control Register s2mm_dmasr <= s2mm_dmasr_i; -- S2MM DMA Status Register s2mm_da <= s2mm_da_i; -- S2MM Destination Address (Simple Only) s2mm_length <= s2mm_length_i; -- S2MM Length (Simple Only) -- Soft reset set in mm2s DMACR or s2MM DMACR soft_reset <= mm2s_dmacr_i(DMACR_RESET_BIT) or s2mm_dmacr_i(DMACR_RESET_BIT); -- CR572013 - added to match legacy SDMA operation mm2s_irqthresh_rstdsbl <= not mm2s_dmacr_i(DMACR_DLY_IRQEN_BIT); s2mm_irqthresh_rstdsbl <= not s2mm_dmacr_i(DMACR_DLY_IRQEN_BIT); --GEN_S2MM_TDEST : if (C_NUM_S2MM_CHANNELS > 1) generate GEN_S2MM_TDEST : if (C_ENABLE_MULTI_CHANNEL = 1 and C_INCLUDE_S2MM = 1) generate begin PROC_WREN : process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then s2mm_taildesc_int3 <= (others => '0'); s2mm_tailpntr_updated_int <= '0'; s2mm_tailpntr_updated_int2 <= '0'; s2mm_tailpntr_updated <= '0'; else -- (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then -- s2mm_tailpntr_updated_int <= new_tdest or same_tdest_arrived; -- s2mm_tailpntr_updated_int2 <= s2mm_tailpntr_updated_int; -- s2mm_tailpntr_updated <= s2mm_tailpntr_updated_int2; -- Commenting this code as it is causing SG to start early s2mm_tailpntr_updated_int <= new_tdest or s2mm_tailpntr_updated_int1 or (same_tdest_arrived and (not bd_eq)); s2mm_tailpntr_updated_int2 <= s2mm_tailpntr_updated_int; s2mm_tailpntr_updated <= s2mm_tailpntr_updated_int2; end if; end if; end process PROC_WREN; -- this is always '1' as MCH needs to have all desc reg programmed before hand --s2mm_tailpntr_updated_int3_i <= s2mm_tailpntr_updated_int2_i and (not s2mm_tailpntr_updated_int_i); -- and tvalid_latch; tdest_fix <= "11111"; new_tdest <= tvalid_int1 xor tvalid_int2; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then tvalid_int <= '0'; tvalid_int1 <= '0'; tvalid_int2 <= '0'; tvalid_latch <= '0'; else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then tvalid_int <= tdest_in (6); --s2mm_tvalid; tvalid_int1 <= tvalid_int; tvalid_int2 <= tvalid_int1; s2mm_tvalid_latch_del <= tvalid_latch; if (new_tdest = '1') then tvalid_latch <= '0'; else tvalid_latch <= '1'; end if; end if; end if; end process; -- will trigger tailptrupdtd and it will then get SG out of pause same_tdest_arrived <= same_tdest_int2 xor same_tdest_int3; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then same_tdest_int1 <= '0'; same_tdest_int2 <= '0'; same_tdest_int3 <= '0'; else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then same_tdest_int1 <= same_tdest_in; same_tdest_int2 <= same_tdest_int1; same_tdest_int3 <= same_tdest_int2; end if; end if; end process; -- process (m_axi_sg_aclk) -- begin -- if (m_axi_sg_aresetn = '0') then -- tvalid_int <= '0'; -- tvalid_int1 <= '0'; -- tvalid_latch <= '0'; -- tdest_in_int <= (others => '0'); -- elsif (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then -- tvalid_int <= s2mm_tvalid; -- tvalid_int1 <= tvalid_int; -- tdest_in_int <= tdest_in; -- -- if (tvalid_int1 = '1' and (tdest_in_int /= tdest_in)) then -- if (tvalid_int1 = '1' and tdest_in_int = "00000" and (tdest_in_int = tdest_in)) then -- tvalid_latch <= '1'; -- elsif (tvalid_int1 = '1' and (tdest_in_int /= tdest_in)) then -- tvalid_latch <= '0'; -- elsif (tvalid_int1 = '1' and (tdest_in_int = tdest_in)) then -- tvalid_latch <= '1'; -- end if; -- end if; -- end process; s2mm_tvalid_latch <= tvalid_latch; PROC_TDEST_IN : process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then s2mm_curdesc_int2 <= (others => '0'); s2mm_taildesc_int2 <= (others => '0'); else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then s2mm_curdesc_int2 <= s2mm_curdesc_int; s2mm_taildesc_int2 <= s2mm_taildesc_int; end if; end if; end process PROC_TDEST_IN; s2mm_curdesc <= s2mm_curdesc_int2; s2mm_taildesc <= s2mm_taildesc_int2; end generate GEN_S2MM_TDEST; GEN_S2MM_NO_TDEST : if (C_ENABLE_MULTI_CHANNEL = 0) generate --GEN_S2MM_NO_TDEST : if (C_NUM_S2MM_CHANNELS = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate begin s2mm_tailpntr_updated <= s2mm_tailpntr_updated_int1; s2mm_curdesc <= s2mm_curdesc_int; s2mm_taildesc <= s2mm_taildesc_int; s2mm_tvalid_latch <= '1'; s2mm_tvalid_latch_del <= '1'; end generate GEN_S2MM_NO_TDEST; -- For 32 bit address map only lsb registers out GEN_DESC_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin mm2s_curdesc <= mm2s_curdesc_lsb_i; mm2s_taildesc <= mm2s_taildesc_lsb_i; s2mm_curdesc_int <= s2mm_curdesc_lsb_muxed; s2mm_taildesc_int <= s2mm_taildesc_lsb_muxed; end generate GEN_DESC_ADDR_EQL32; -- For 64 bit address map lsb and msb registers out GEN_DESC_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin mm2s_curdesc <= mm2s_curdesc_msb_i & mm2s_curdesc_lsb_i; mm2s_taildesc <= mm2s_taildesc_msb_i & mm2s_taildesc_lsb_i; s2mm_curdesc_int <= s2mm_curdesc_msb_muxed & s2mm_curdesc_lsb_muxed; s2mm_taildesc_int <= s2mm_taildesc_msb_muxed & s2mm_taildesc_lsb_muxed; end generate GEN_DESC_ADDR_EQL64; ------------------------------------------------------------------------------- -- Generate AXI Lite Inteface ------------------------------------------------------------------------------- GEN_AXI_LITE_IF : if C_INCLUDE_MM2S = 1 or C_INCLUDE_S2MM = 1 generate begin AXI_LITE_IF_I : entity axi_dma_v7_1.axi_dma_lite_if generic map( C_NUM_CE => 23+(121*C_ENABLE_MULTI_CHANNEL) , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH ) port map( ip2axi_aclk => m_axi_sg_aclk , ip2axi_aresetn => m_axi_sg_hrdresetn , s_axi_lite_aclk => s_axi_lite_aclk , s_axi_lite_aresetn => axi_lite_reset_n , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- User IP Interface axi2ip_wrce => axi2ip_wrce , axi2ip_wrdata => axi2ip_wrdata , axi2ip_rdce => open , axi2ip_rdaddr => axi2ip_rdaddr , ip2axi_rddata => ip2axi_rddata ); end generate GEN_AXI_LITE_IF; ------------------------------------------------------------------------------- -- No channels therefore do not generate an AXI Lite interface ------------------------------------------------------------------------------- GEN_NO_AXI_LITE_IF : if C_INCLUDE_MM2S = 0 and C_INCLUDE_S2MM = 0 generate begin s_axi_lite_awready <= '0'; s_axi_lite_wready <= '0'; s_axi_lite_bresp <= (others => '0'); s_axi_lite_bvalid <= '0'; s_axi_lite_arready <= '0'; s_axi_lite_rvalid <= '0'; s_axi_lite_rdata <= (others => '0'); s_axi_lite_rresp <= (others => '0'); end generate GEN_NO_AXI_LITE_IF; ------------------------------------------------------------------------------- -- Generate MM2S Registers if included ------------------------------------------------------------------------------- GEN_MM2S_REGISTERS : if C_INCLUDE_MM2S = 1 generate begin I_MM2S_DMA_REGISTER : entity axi_dma_v7_1.axi_dma_register generic map ( C_NUM_REGISTERS => NUM_REG_PER_CHANNEL , C_INCLUDE_SG => C_INCLUDE_SG , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_MICRO_DMA => C_MICRO_DMA , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL -- C_NUM_S2MM_CHANNELS => 1 --C_S2MM_NUM_CHANNELS --C_CHANNEL_IS_S2MM => NOT_S2MM_CHANNEL CR603034 ) port map( -- Secondary Clock / Reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- CPU Write Control (via AXI Lite) axi2ip_wrdata => axi2ip_wrdata , axi2ip_wrce => axi2ip_wrce (RESERVED_2C_INDEX downto MM2S_DMACR_INDEX), --(MM2S_LENGTH_INDEX -- DMASR Register bit control/status stop_dma => mm2s_stop , halted_clr => mm2s_halted_clr , halted_set => mm2s_halted_set , idle_set => mm2s_idle_set , idle_clr => mm2s_idle_clr , ioc_irq_set => mm2s_ioc_irq_set , dly_irq_set => mm2s_dly_irq_set , irqdelay_status => mm2s_irqdelay_status , irqthresh_status => mm2s_irqthresh_status , -- SG Error Control ftch_interr_set => mm2s_ftch_interr_set , ftch_slverr_set => mm2s_ftch_slverr_set , ftch_decerr_set => mm2s_ftch_decerr_set , ftch_error_addr => ftch_error_addr , updt_interr_set => mm2s_updt_interr_set , updt_slverr_set => mm2s_updt_slverr_set , updt_decerr_set => mm2s_updt_decerr_set , updt_error_addr => updt_error_addr , dma_interr_set => mm2s_dma_interr_set , dma_slverr_set => mm2s_dma_slverr_set , dma_decerr_set => mm2s_dma_decerr_set , irqthresh_wren => mm2s_irqthresh_wren , irqdelay_wren => mm2s_irqdelay_wren , dlyirq_dsble => mm2s_dlyirq_dsble , -- CR605888 error_in => s2mm_error_out , error_out => mm2s_error_out , introut => mm2s_introut_i_cdc_from , soft_reset_in => s2mm_dmacr_i(DMACR_RESET_BIT), soft_reset_clr => soft_reset_clr , -- CURDESC Update update_curdesc => mm2s_new_curdesc_wren , new_curdesc => mm2s_new_curdesc , -- TAILDESC Update tailpntr_updated => mm2s_tailpntr_updated , -- Channel Registers sg_ctl => mm2s_sgctl , dmacr => mm2s_dmacr_i , dmasr => mm2s_dmasr_i , curdesc_lsb => mm2s_curdesc_lsb_i , curdesc_msb => mm2s_curdesc_msb_i , taildesc_lsb => mm2s_taildesc_lsb_i , taildesc_msb => mm2s_taildesc_msb_i , -- curdesc1_lsb => open , -- curdesc1_msb => open , -- taildesc1_lsb => open , -- taildesc1_msb => open , -- curdesc2_lsb => open , -- curdesc2_msb => open , -- taildesc2_lsb => open , -- taildesc2_msb => open , -- -- curdesc3_lsb => open , -- curdesc3_msb => open , -- taildesc3_lsb => open , -- taildesc3_msb => open , -- -- curdesc4_lsb => open , -- curdesc4_msb => open , -- taildesc4_lsb => open , -- taildesc4_msb => open , -- -- curdesc5_lsb => open , -- curdesc5_msb => open , -- taildesc5_lsb => open , -- taildesc5_msb => open , -- -- curdesc6_lsb => open , -- curdesc6_msb => open , -- taildesc6_lsb => open , -- taildesc6_msb => open , -- -- curdesc7_lsb => open , -- curdesc7_msb => open , -- taildesc7_lsb => open , -- taildesc7_msb => open , -- -- curdesc8_lsb => open , -- curdesc8_msb => open , -- taildesc8_lsb => open , -- taildesc8_msb => open , -- -- curdesc9_lsb => open , -- curdesc9_msb => open , -- taildesc9_lsb => open , -- taildesc9_msb => open , -- -- curdesc10_lsb => open , -- curdesc10_msb => open , -- taildesc10_lsb => open , -- taildesc10_msb => open , -- -- curdesc11_lsb => open , -- curdesc11_msb => open , -- taildesc11_lsb => open , -- taildesc11_msb => open , -- -- curdesc12_lsb => open , -- curdesc12_msb => open , -- taildesc12_lsb => open , -- taildesc12_msb => open , -- -- curdesc13_lsb => open , -- curdesc13_msb => open , -- taildesc13_lsb => open , -- taildesc13_msb => open , -- -- curdesc14_lsb => open , -- curdesc14_msb => open , -- taildesc14_lsb => open , -- taildesc14_msb => open , -- -- -- curdesc15_lsb => open , -- curdesc15_msb => open , -- taildesc15_lsb => open , -- taildesc15_msb => open , -- -- tdest_in => "00000" , buffer_address => mm2s_sa_i , buffer_length => mm2s_length_i , buffer_length_wren => mm2s_length_wren , bytes_received => ZERO_BYTES , -- Not used on transmit bytes_received_wren => '0' -- Not used on transmit ); -- If async clocks then cross interrupt out to AXI Lite clock domain GEN_INTROUT_ASYNC : if C_AXI_LITE_IS_ASYNC = 1 generate begin PROC_REG_INTR2LITE : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => mm2s_introut_i_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => mm2s_introut_to, scndry_vect_out => open ); -- PROC_REG_INTR2LITE : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- -- if(axi_lite_reset_n = '0')then -- -- mm2s_introut_d1_cdc_tig <= '0'; -- -- mm2s_introut_to <= '0'; -- -- else -- mm2s_introut_d1_cdc_tig <= mm2s_introut_i_cdc_from; -- mm2s_introut_to <= mm2s_introut_d1_cdc_tig; -- -- end if; -- end if; -- end process PROC_REG_INTR2LITE; mm2s_introut <= mm2s_introut_to; end generate GEN_INTROUT_ASYNC; -- If sync then simply pass out GEN_INTROUT_SYNC : if C_AXI_LITE_IS_ASYNC = 0 generate begin mm2s_introut <= mm2s_introut_i_cdc_from; end generate GEN_INTROUT_SYNC; end generate GEN_MM2S_REGISTERS; ------------------------------------------------------------------------------- -- Tie MM2S Register outputs to zero if excluded ------------------------------------------------------------------------------- GEN_NO_MM2S_REGISTERS : if C_INCLUDE_MM2S = 0 generate begin mm2s_dmacr_i <= (others => '0'); mm2s_dmasr_i <= (others => '0'); mm2s_curdesc_lsb_i <= (others => '0'); mm2s_curdesc_msb_i <= (others => '0'); mm2s_taildesc_lsb_i <= (others => '0'); mm2s_taildesc_msb_i <= (others => '0'); mm2s_tailpntr_updated <= '0'; mm2s_sa_i <= (others => '0'); mm2s_length_i <= (others => '0'); mm2s_length_wren <= '0'; mm2s_irqthresh_wren <= '0'; mm2s_irqdelay_wren <= '0'; mm2s_tailpntr_updated <= '0'; mm2s_introut <= '0'; mm2s_sgctl <= (others => '0'); mm2s_dlyirq_dsble <= '0'; end generate GEN_NO_MM2S_REGISTERS; ------------------------------------------------------------------------------- -- Generate S2MM Registers if included ------------------------------------------------------------------------------- GEN_S2MM_REGISTERS : if C_INCLUDE_S2MM = 1 generate begin I_S2MM_DMA_REGISTER : entity axi_dma_v7_1.axi_dma_register_s2mm generic map ( C_NUM_REGISTERS => NUM_REG_PER_S2MM_INT, --NUM_REG_TOTAL, --NUM_REG_PER_CHANNEL , C_INCLUDE_SG => C_INCLUDE_SG , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_NUM_S2MM_CHANNELS => C_NUM_S2MM_CHANNELS , C_MICRO_DMA => C_MICRO_DMA , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL --C_CHANNEL_IS_S2MM => IS_S2MM_CHANNEL CR603034 ) port map( -- Secondary Clock / Reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- CPU Write Control (via AXI Lite) axi2ip_wrdata => axi2ip_wrdata , axi2ip_wrce => axi2ip_wrce ((23+(121*C_ENABLE_MULTI_CHANNEL)-1) downto RESERVED_2C_INDEX) , -- downto S2MM_DMACR_INDEX), --S2MM_LENGTH_INDEX -- DMASR Register bit control/status stop_dma => s2mm_stop , halted_clr => s2mm_halted_clr , halted_set => s2mm_halted_set , idle_set => s2mm_idle_set , idle_clr => s2mm_idle_clr , ioc_irq_set => s2mm_ioc_irq_set , dly_irq_set => s2mm_dly_irq_set , irqdelay_status => s2mm_irqdelay_status , irqthresh_status => s2mm_irqthresh_status , -- SG Error Control dma_interr_set => s2mm_dma_interr_set , dma_slverr_set => s2mm_dma_slverr_set , dma_decerr_set => s2mm_dma_decerr_set , ftch_interr_set => s2mm_ftch_interr_set , ftch_slverr_set => s2mm_ftch_slverr_set , ftch_decerr_set => s2mm_ftch_decerr_set , ftch_error_addr => ftch_error_addr , updt_interr_set => s2mm_updt_interr_set , updt_slverr_set => s2mm_updt_slverr_set , updt_decerr_set => s2mm_updt_decerr_set , updt_error_addr => updt_error_addr , irqthresh_wren => s2mm_irqthresh_wren , irqdelay_wren => s2mm_irqdelay_wren , dlyirq_dsble => s2mm_dlyirq_dsble , -- CR605888 error_in => mm2s_error_out , error_out => s2mm_error_out , introut => s2mm_introut_i_cdc_from , soft_reset_in => mm2s_dmacr_i(DMACR_RESET_BIT), soft_reset_clr => soft_reset_clr , -- CURDESC Update update_curdesc => s2mm_new_curdesc_wren , new_curdesc => s2mm_new_curdesc , -- TAILDESC Update tailpntr_updated => s2mm_tailpntr_updated_int1 , -- Channel Registers sg_ctl => s2mm_sgctl , dmacr => s2mm_dmacr_i , dmasr => s2mm_dmasr_i , curdesc_lsb => s2mm_curdesc_lsb_i , curdesc_msb => s2mm_curdesc_msb_i , taildesc_lsb => s2mm_taildesc_lsb_i , taildesc_msb => s2mm_taildesc_msb_i , curdesc1_lsb => s2mm_curdesc1_lsb_i , curdesc1_msb => s2mm_curdesc1_msb_i , taildesc1_lsb => s2mm_taildesc1_lsb_i , taildesc1_msb => s2mm_taildesc1_msb_i , curdesc2_lsb => s2mm_curdesc2_lsb_i , curdesc2_msb => s2mm_curdesc2_msb_i , taildesc2_lsb => s2mm_taildesc2_lsb_i , taildesc2_msb => s2mm_taildesc2_msb_i , curdesc3_lsb => s2mm_curdesc3_lsb_i , curdesc3_msb => s2mm_curdesc3_msb_i , taildesc3_lsb => s2mm_taildesc3_lsb_i , taildesc3_msb => s2mm_taildesc3_msb_i , curdesc4_lsb => s2mm_curdesc4_lsb_i , curdesc4_msb => s2mm_curdesc4_msb_i , taildesc4_lsb => s2mm_taildesc4_lsb_i , taildesc4_msb => s2mm_taildesc4_msb_i , curdesc5_lsb => s2mm_curdesc5_lsb_i , curdesc5_msb => s2mm_curdesc5_msb_i , taildesc5_lsb => s2mm_taildesc5_lsb_i , taildesc5_msb => s2mm_taildesc5_msb_i , curdesc6_lsb => s2mm_curdesc6_lsb_i , curdesc6_msb => s2mm_curdesc6_msb_i , taildesc6_lsb => s2mm_taildesc6_lsb_i , taildesc6_msb => s2mm_taildesc6_msb_i , curdesc7_lsb => s2mm_curdesc7_lsb_i , curdesc7_msb => s2mm_curdesc7_msb_i , taildesc7_lsb => s2mm_taildesc7_lsb_i , taildesc7_msb => s2mm_taildesc7_msb_i , curdesc8_lsb => s2mm_curdesc8_lsb_i , curdesc8_msb => s2mm_curdesc8_msb_i , taildesc8_lsb => s2mm_taildesc8_lsb_i , taildesc8_msb => s2mm_taildesc8_msb_i , curdesc9_lsb => s2mm_curdesc9_lsb_i , curdesc9_msb => s2mm_curdesc9_msb_i , taildesc9_lsb => s2mm_taildesc9_lsb_i , taildesc9_msb => s2mm_taildesc9_msb_i , curdesc10_lsb => s2mm_curdesc10_lsb_i , curdesc10_msb => s2mm_curdesc10_msb_i , taildesc10_lsb => s2mm_taildesc10_lsb_i , taildesc10_msb => s2mm_taildesc10_msb_i , curdesc11_lsb => s2mm_curdesc11_lsb_i , curdesc11_msb => s2mm_curdesc11_msb_i , taildesc11_lsb => s2mm_taildesc11_lsb_i , taildesc11_msb => s2mm_taildesc11_msb_i , curdesc12_lsb => s2mm_curdesc12_lsb_i , curdesc12_msb => s2mm_curdesc12_msb_i , taildesc12_lsb => s2mm_taildesc12_lsb_i , taildesc12_msb => s2mm_taildesc12_msb_i , curdesc13_lsb => s2mm_curdesc13_lsb_i , curdesc13_msb => s2mm_curdesc13_msb_i , taildesc13_lsb => s2mm_taildesc13_lsb_i , taildesc13_msb => s2mm_taildesc13_msb_i , curdesc14_lsb => s2mm_curdesc14_lsb_i , curdesc14_msb => s2mm_curdesc14_msb_i , taildesc14_lsb => s2mm_taildesc14_lsb_i , taildesc14_msb => s2mm_taildesc14_msb_i , curdesc15_lsb => s2mm_curdesc15_lsb_i , curdesc15_msb => s2mm_curdesc15_msb_i , taildesc15_lsb => s2mm_taildesc15_lsb_i , taildesc15_msb => s2mm_taildesc15_msb_i , tdest_in => tdest_in (5 downto 0) , buffer_address => s2mm_da_i , buffer_length => s2mm_length_i , buffer_length_wren => s2mm_length_wren , bytes_received => s2mm_bytes_rcvd , bytes_received_wren => s2mm_bytes_rcvd_wren ); GEN_DESC_MUX_SINGLE_CH : if C_NUM_S2MM_CHANNELS = 1 generate begin s2mm_curdesc_lsb_muxed <= s2mm_curdesc_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc_msb_i; end generate GEN_DESC_MUX_SINGLE_CH; GEN_DESC_MUX : if C_NUM_S2MM_CHANNELS > 1 generate begin PROC_DESC_SEL : process (tdest_in, s2mm_curdesc_lsb_i,s2mm_curdesc_msb_i, s2mm_taildesc_lsb_i, s2mm_taildesc_msb_i, s2mm_curdesc1_lsb_i,s2mm_curdesc1_msb_i, s2mm_taildesc1_lsb_i, s2mm_taildesc1_msb_i, s2mm_curdesc2_lsb_i,s2mm_curdesc2_msb_i, s2mm_taildesc2_lsb_i, s2mm_taildesc2_msb_i, s2mm_curdesc3_lsb_i,s2mm_curdesc3_msb_i, s2mm_taildesc3_lsb_i, s2mm_taildesc3_msb_i, s2mm_curdesc4_lsb_i,s2mm_curdesc4_msb_i, s2mm_taildesc4_lsb_i, s2mm_taildesc4_msb_i, s2mm_curdesc5_lsb_i,s2mm_curdesc5_msb_i, s2mm_taildesc5_lsb_i, s2mm_taildesc5_msb_i, s2mm_curdesc6_lsb_i,s2mm_curdesc6_msb_i, s2mm_taildesc6_lsb_i, s2mm_taildesc6_msb_i, s2mm_curdesc7_lsb_i,s2mm_curdesc7_msb_i, s2mm_taildesc7_lsb_i, s2mm_taildesc7_msb_i, s2mm_curdesc8_lsb_i,s2mm_curdesc8_msb_i, s2mm_taildesc8_lsb_i, s2mm_taildesc8_msb_i, s2mm_curdesc9_lsb_i,s2mm_curdesc9_msb_i, s2mm_taildesc9_lsb_i, s2mm_taildesc9_msb_i, s2mm_curdesc10_lsb_i,s2mm_curdesc10_msb_i, s2mm_taildesc10_lsb_i, s2mm_taildesc10_msb_i, s2mm_curdesc11_lsb_i,s2mm_curdesc11_msb_i, s2mm_taildesc11_lsb_i, s2mm_taildesc11_msb_i, s2mm_curdesc12_lsb_i,s2mm_curdesc12_msb_i, s2mm_taildesc12_lsb_i, s2mm_taildesc12_msb_i, s2mm_curdesc13_lsb_i,s2mm_curdesc13_msb_i, s2mm_taildesc13_lsb_i, s2mm_taildesc13_msb_i, s2mm_curdesc14_lsb_i,s2mm_curdesc14_msb_i, s2mm_taildesc14_lsb_i, s2mm_taildesc14_msb_i, s2mm_curdesc15_lsb_i,s2mm_curdesc15_msb_i, s2mm_taildesc15_lsb_i, s2mm_taildesc15_msb_i ) begin case tdest_in (3 downto 0) is when "0000" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc_msb_i; when "0001" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc1_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc1_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc1_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc1_msb_i; when "0010" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc2_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc2_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc2_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc2_msb_i; when "0011" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc3_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc3_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc3_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc3_msb_i; when "0100" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc4_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc4_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc4_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc4_msb_i; when "0101" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc5_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc5_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc5_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc5_msb_i; when "0110" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc6_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc6_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc6_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc6_msb_i; when "0111" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc7_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc7_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc7_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc7_msb_i; when "1000" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc8_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc8_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc8_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc8_msb_i; when "1001" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc9_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc9_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc9_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc9_msb_i; when "1010" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc10_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc10_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc10_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc10_msb_i; when "1011" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc11_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc11_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc11_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc11_msb_i; when "1100" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc12_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc12_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc12_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc12_msb_i; when "1101" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc13_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc13_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc13_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc13_msb_i; when "1110" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc14_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc14_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc14_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc14_msb_i; when "1111" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc15_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc15_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc15_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc15_msb_i; when others => s2mm_curdesc_lsb_muxed <= (others => '0'); s2mm_curdesc_msb_muxed <= (others => '0'); s2mm_taildesc_lsb_muxed <= (others => '0'); s2mm_taildesc_msb_muxed <= (others => '0'); end case; end process PROC_DESC_SEL; end generate GEN_DESC_MUX; -- If async clocks then cross interrupt out to AXI Lite clock domain GEN_INTROUT_ASYNC : if C_AXI_LITE_IS_ASYNC = 1 generate begin -- Cross interrupt out to AXI Lite clock domain PROC_REG_INTR2LITE : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s2mm_introut_i_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => s2mm_introut_to, scndry_vect_out => open ); -- PROC_REG_INTR2LITE : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- if(axi_lite_reset_n = '0')then -- s2mm_introut_d1_cdc_tig <= '0'; -- s2mm_introut_to <= '0'; -- else -- s2mm_introut_d1_cdc_tig <= s2mm_introut_i_cdc_from; -- s2mm_introut_to <= s2mm_introut_d1_cdc_tig; -- end if; -- end if; -- end process PROC_REG_INTR2LITE; s2mm_introut <= s2mm_introut_to; end generate GEN_INTROUT_ASYNC; -- If sync then simply pass out GEN_INTROUT_SYNC : if C_AXI_LITE_IS_ASYNC = 0 generate begin s2mm_introut <= s2mm_introut_i_cdc_from; end generate GEN_INTROUT_SYNC; end generate GEN_S2MM_REGISTERS; ------------------------------------------------------------------------------- -- Tie S2MM Register outputs to zero if excluded ------------------------------------------------------------------------------- GEN_NO_S2MM_REGISTERS : if C_INCLUDE_S2MM = 0 generate begin s2mm_dmacr_i <= (others => '0'); s2mm_dmasr_i <= (others => '0'); s2mm_curdesc_lsb_i <= (others => '0'); s2mm_curdesc_msb_i <= (others => '0'); s2mm_taildesc_lsb_i <= (others => '0'); s2mm_taildesc_msb_i <= (others => '0'); s2mm_da_i <= (others => '0'); s2mm_length_i <= (others => '0'); s2mm_length_wren <= '0'; s2mm_tailpntr_updated <= '0'; s2mm_introut <= '0'; s2mm_irqthresh_wren <= '0'; s2mm_irqdelay_wren <= '0'; s2mm_tailpntr_updated <= '0'; s2mm_dlyirq_dsble <= '0'; s2mm_tailpntr_updated_int1 <= '0'; s2mm_sgctl <= (others => '0'); end generate GEN_NO_S2MM_REGISTERS; ------------------------------------------------------------------------------- -- AXI LITE READ MUX ------------------------------------------------------------------------------- read_addr <= axi2ip_rdaddr(9 downto 0); -- Generate read mux for Scatter Gather Mode GEN_READ_MUX_FOR_SG : if C_INCLUDE_SG = 1 generate begin AXI_LITE_READ_MUX : process(read_addr , mm2s_dmacr_i , mm2s_dmasr_i , mm2s_curdesc_lsb_i , mm2s_curdesc_msb_i , mm2s_taildesc_lsb_i , mm2s_taildesc_msb_i , s2mm_dmacr_i , s2mm_dmasr_i , s2mm_curdesc_lsb_i , s2mm_curdesc_msb_i , s2mm_taildesc_lsb_i , s2mm_taildesc_msb_i , s2mm_curdesc1_lsb_i , s2mm_curdesc1_msb_i , s2mm_taildesc1_lsb_i , s2mm_taildesc1_msb_i , s2mm_curdesc2_lsb_i , s2mm_curdesc2_msb_i , s2mm_taildesc2_lsb_i , s2mm_taildesc2_msb_i , s2mm_curdesc3_lsb_i , s2mm_curdesc3_msb_i , s2mm_taildesc3_lsb_i , s2mm_taildesc3_msb_i , s2mm_curdesc4_lsb_i , s2mm_curdesc4_msb_i , s2mm_taildesc4_lsb_i , s2mm_taildesc4_msb_i , s2mm_curdesc5_lsb_i , s2mm_curdesc5_msb_i , s2mm_taildesc5_lsb_i , s2mm_taildesc5_msb_i , s2mm_curdesc6_lsb_i , s2mm_curdesc6_msb_i , s2mm_taildesc6_lsb_i , s2mm_taildesc6_msb_i , s2mm_curdesc7_lsb_i , s2mm_curdesc7_msb_i , s2mm_taildesc7_lsb_i , s2mm_taildesc7_msb_i , s2mm_curdesc8_lsb_i , s2mm_curdesc8_msb_i , s2mm_taildesc8_lsb_i , s2mm_taildesc8_msb_i , s2mm_curdesc9_lsb_i , s2mm_curdesc9_msb_i , s2mm_taildesc9_lsb_i , s2mm_taildesc9_msb_i , s2mm_curdesc10_lsb_i , s2mm_curdesc10_msb_i , s2mm_taildesc10_lsb_i , s2mm_taildesc10_msb_i , s2mm_curdesc11_lsb_i , s2mm_curdesc11_msb_i , s2mm_taildesc11_lsb_i , s2mm_taildesc11_msb_i , s2mm_curdesc12_lsb_i , s2mm_curdesc12_msb_i , s2mm_taildesc12_lsb_i , s2mm_taildesc12_msb_i , s2mm_curdesc13_lsb_i , s2mm_curdesc13_msb_i , s2mm_taildesc13_lsb_i , s2mm_taildesc13_msb_i , s2mm_curdesc14_lsb_i , s2mm_curdesc14_msb_i , s2mm_taildesc14_lsb_i , s2mm_taildesc14_msb_i , s2mm_curdesc15_lsb_i , s2mm_curdesc15_msb_i , s2mm_taildesc15_lsb_i , s2mm_taildesc15_msb_i , or_sgctl ) begin case read_addr is when MM2S_DMACR_OFFSET => ip2axi_rddata <= mm2s_dmacr_i; when MM2S_DMASR_OFFSET => ip2axi_rddata <= mm2s_dmasr_i; when MM2S_CURDESC_LSB_OFFSET => ip2axi_rddata <= mm2s_curdesc_lsb_i; when MM2S_CURDESC_MSB_OFFSET => ip2axi_rddata <= mm2s_curdesc_msb_i; when MM2S_TAILDESC_LSB_OFFSET => ip2axi_rddata <= mm2s_taildesc_lsb_i; when MM2S_TAILDESC_MSB_OFFSET => ip2axi_rddata <= mm2s_taildesc_msb_i; when SGCTL_OFFSET => ip2axi_rddata <= x"00000" & or_sgctl (7 downto 4) & "0000" & or_sgctl (3 downto 0); when S2MM_DMACR_OFFSET => ip2axi_rddata <= s2mm_dmacr_i; when S2MM_DMASR_OFFSET => ip2axi_rddata <= s2mm_dmasr_i; when S2MM_CURDESC_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc_lsb_i; when S2MM_CURDESC_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc_msb_i; when S2MM_TAILDESC_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc_lsb_i; when S2MM_TAILDESC_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc_msb_i; when S2MM_CURDESC1_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc1_lsb_i; when S2MM_CURDESC1_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc1_msb_i; when S2MM_TAILDESC1_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc1_lsb_i; when S2MM_TAILDESC1_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc1_msb_i; when S2MM_CURDESC2_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc2_lsb_i; when S2MM_CURDESC2_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc2_msb_i; when S2MM_TAILDESC2_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc2_lsb_i; when S2MM_TAILDESC2_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc2_msb_i; when S2MM_CURDESC3_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc3_lsb_i; when S2MM_CURDESC3_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc3_msb_i; when S2MM_TAILDESC3_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc3_lsb_i; when S2MM_TAILDESC3_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc3_msb_i; when S2MM_CURDESC4_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc4_lsb_i; when S2MM_CURDESC4_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc4_msb_i; when S2MM_TAILDESC4_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc4_lsb_i; when S2MM_TAILDESC4_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc4_msb_i; when S2MM_CURDESC5_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc5_lsb_i; when S2MM_CURDESC5_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc5_msb_i; when S2MM_TAILDESC5_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc5_lsb_i; when S2MM_TAILDESC5_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc5_msb_i; when S2MM_CURDESC6_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc6_lsb_i; when S2MM_CURDESC6_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc6_msb_i; when S2MM_TAILDESC6_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc6_lsb_i; when S2MM_TAILDESC6_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc6_msb_i; when S2MM_CURDESC7_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc7_lsb_i; when S2MM_CURDESC7_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc7_msb_i; when S2MM_TAILDESC7_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc7_lsb_i; when S2MM_TAILDESC7_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc7_msb_i; when S2MM_CURDESC8_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc8_lsb_i; when S2MM_CURDESC8_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc8_msb_i; when S2MM_TAILDESC8_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc8_lsb_i; when S2MM_TAILDESC8_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc8_msb_i; when S2MM_CURDESC9_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc9_lsb_i; when S2MM_CURDESC9_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc9_msb_i; when S2MM_TAILDESC9_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc9_lsb_i; when S2MM_TAILDESC9_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc9_msb_i; when S2MM_CURDESC10_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc10_lsb_i; when S2MM_CURDESC10_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc10_msb_i; when S2MM_TAILDESC10_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc10_lsb_i; when S2MM_TAILDESC10_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc10_msb_i; when S2MM_CURDESC11_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc11_lsb_i; when S2MM_CURDESC11_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc11_msb_i; when S2MM_TAILDESC11_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc11_lsb_i; when S2MM_TAILDESC11_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc11_msb_i; when S2MM_CURDESC12_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc12_lsb_i; when S2MM_CURDESC12_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc12_msb_i; when S2MM_TAILDESC12_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc12_lsb_i; when S2MM_TAILDESC12_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc12_msb_i; when S2MM_CURDESC13_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc13_lsb_i; when S2MM_CURDESC13_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc13_msb_i; when S2MM_TAILDESC13_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc13_lsb_i; when S2MM_TAILDESC13_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc13_msb_i; when S2MM_CURDESC14_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc14_lsb_i; when S2MM_CURDESC14_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc14_msb_i; when S2MM_TAILDESC14_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc14_lsb_i; when S2MM_TAILDESC14_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc14_msb_i; when S2MM_CURDESC15_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc15_lsb_i; when S2MM_CURDESC15_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc15_msb_i; when S2MM_TAILDESC15_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc15_lsb_i; when S2MM_TAILDESC15_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc15_msb_i; -- coverage off when others => ip2axi_rddata <= (others => '0'); -- coverage on end case; end process AXI_LITE_READ_MUX; end generate GEN_READ_MUX_FOR_SG; -- Generate read mux for Simple DMA Mode GEN_READ_MUX_FOR_SMPL_DMA : if C_INCLUDE_SG = 0 generate begin ADDR32_MSB : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin mm2s_msb_sa <= (others => '0'); s2mm_msb_sa <= (others => '0'); end generate ADDR32_MSB; ADDR64_MSB : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin mm2s_msb_sa <= mm2s_sa_i (63 downto 32); s2mm_msb_sa <= s2mm_da_i (63 downto 32); end generate ADDR64_MSB; AXI_LITE_READ_MUX : process(read_addr , mm2s_dmacr_i , mm2s_dmasr_i , mm2s_sa_i (31 downto 0) , mm2s_length_i , s2mm_dmacr_i , s2mm_dmasr_i , s2mm_da_i (31 downto 0) , s2mm_length_i , mm2s_msb_sa , s2mm_msb_sa ) begin case read_addr is when MM2S_DMACR_OFFSET => ip2axi_rddata <= mm2s_dmacr_i; when MM2S_DMASR_OFFSET => ip2axi_rddata <= mm2s_dmasr_i; when MM2S_SA_OFFSET => ip2axi_rddata <= mm2s_sa_i (31 downto 0); when MM2S_SA2_OFFSET => ip2axi_rddata <= mm2s_msb_sa; --mm2s_sa_i (63 downto 32); when MM2S_LENGTH_OFFSET => ip2axi_rddata <= LENGTH_PAD & mm2s_length_i; when S2MM_DMACR_OFFSET => ip2axi_rddata <= s2mm_dmacr_i; when S2MM_DMASR_OFFSET => ip2axi_rddata <= s2mm_dmasr_i; when S2MM_DA_OFFSET => ip2axi_rddata <= s2mm_da_i (31 downto 0); when S2MM_DA2_OFFSET => ip2axi_rddata <= s2mm_msb_sa; --s2mm_da_i (63 downto 32); when S2MM_LENGTH_OFFSET => ip2axi_rddata <= LENGTH_PAD & s2mm_length_i; when others => ip2axi_rddata <= (others => '0'); end case; end process AXI_LITE_READ_MUX; end generate GEN_READ_MUX_FOR_SMPL_DMA; end implementation;
mit
322e847613a1b48d7f2cb9b66775e4c3
0.438796
3.704537
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2/simulation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_tb.vhd
3
6,128
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg.ALL; ENTITY system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_tb IS END ENTITY; ARCHITECTURE system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_arch OF system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 110 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 2000 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Simulation Complete" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 100 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth_inst:system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 36 ) PORT MAP( CLK => wr_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
bsd-3-clause
322eefb755340dfe161e7fd941155c27
0.63267
4.050231
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/syn/vhdl/tri_intersect.vhd
1
280,403
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tri_intersect is port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; ins_TDATA : IN STD_LOGIC_VECTOR (31 downto 0); ins_TVALID : IN STD_LOGIC; ins_TREADY : OUT STD_LOGIC; ins_TKEEP : IN STD_LOGIC_VECTOR (3 downto 0); ins_TSTRB : IN STD_LOGIC_VECTOR (3 downto 0); ins_TUSER : IN STD_LOGIC_VECTOR (0 downto 0); ins_TLAST : IN STD_LOGIC_VECTOR (0 downto 0); ins_TID : IN STD_LOGIC_VECTOR (0 downto 0); ins_TDEST : IN STD_LOGIC_VECTOR (0 downto 0); outs_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0); outs_TVALID : OUT STD_LOGIC; outs_TREADY : IN STD_LOGIC; outs_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0); outs_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0); outs_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0); outs_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0); outs_TID : OUT STD_LOGIC_VECTOR (0 downto 0); outs_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0) ); end; architecture behav of tri_intersect is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "tri_intersect,hls_ip_2015_1,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=5.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=4.353000,HLS_SYN_LAT=121,HLS_SYN_TPT=none,HLS_SYN_MEM=32,HLS_SYN_DSP=127,HLS_SYN_FF=16912,HLS_SYN_LUT=22657}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000000000001"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000000000010"; constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000000000100"; constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000000001000"; constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000000010000"; constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000000100000"; constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000001000000"; constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000010000000"; constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000100000000"; constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000001000000000"; constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000010000000000"; constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000100000000000"; constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000001000000000000"; constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000010000000000000"; constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000100000000000000"; constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000001000000000000000"; constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000010000000000000000"; constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000100000000000000000"; constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000001000000000000000000"; constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000010000000000000000000"; constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000100000000000000000000"; constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000001000000000000000000000"; constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000010000000000000000000000"; constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000100000000000000000000000"; constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000001000000000000000000000000"; constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000010000000000000000000000000"; constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (37 downto 0) := "00000000000100000000000000000000000000"; constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (37 downto 0) := "00000000001000000000000000000000000000"; constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (37 downto 0) := "00000000010000000000000000000000000000"; constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (37 downto 0) := "00000000100000000000000000000000000000"; constant ap_ST_pp0_stg0_fsm_30 : STD_LOGIC_VECTOR (37 downto 0) := "00000001000000000000000000000000000000"; constant ap_ST_st115_fsm_31 : STD_LOGIC_VECTOR (37 downto 0) := "00000010000000000000000000000000000000"; constant ap_ST_st116_fsm_32 : STD_LOGIC_VECTOR (37 downto 0) := "00000100000000000000000000000000000000"; constant ap_ST_st117_fsm_33 : STD_LOGIC_VECTOR (37 downto 0) := "00001000000000000000000000000000000000"; constant ap_ST_st118_fsm_34 : STD_LOGIC_VECTOR (37 downto 0) := "00010000000000000000000000000000000000"; constant ap_ST_st119_fsm_35 : STD_LOGIC_VECTOR (37 downto 0) := "00100000000000000000000000000000000000"; constant ap_ST_st120_fsm_36 : STD_LOGIC_VECTOR (37 downto 0) := "01000000000000000000000000000000000000"; constant ap_ST_st121_fsm_37 : STD_LOGIC_VECTOR (37 downto 0) := "10000000000000000000000000000000000000"; constant ap_true : BOOLEAN := true; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001111"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_13 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010011"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_14 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010100"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_15 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010101"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv32_19 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011001"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv32_1A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011010"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_1B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011011"; constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101"; constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100"; constant ap_const_lv32_20 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100000"; constant ap_const_lv32_23 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100011"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101"; constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv32_21 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100001"; constant ap_const_lv32_22 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100010"; constant ap_const_lv32_24 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100100"; constant ap_const_lv32_25 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100101"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv32_3F800000 : STD_LOGIC_VECTOR (31 downto 0) := "00111111100000000000000000000000"; constant ap_const_lv32_1E0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000111100000"; constant ap_const_lv32_1FF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000111111111"; constant ap_const_lv32_200 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001000000000"; constant ap_const_lv32_21F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001000011111"; constant ap_const_lv32_220 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001000100000"; constant ap_const_lv32_23F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001000111111"; constant ap_const_lv576_lc_1 : STD_LOGIC_VECTOR (575 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv32_1DF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000111011111"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv32_3F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111111"; constant ap_const_lv32_40 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000000"; constant ap_const_lv32_5F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011111"; constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000"; constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111"; constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000"; constant ap_const_lv32_9F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011111"; constant ap_const_lv32_A0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100000"; constant ap_const_lv32_BF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010111111"; constant ap_const_lv32_C0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000000"; constant ap_const_lv32_DF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011011111"; constant ap_const_lv32_E0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011100000"; constant ap_const_lv32_FF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011111111"; constant ap_const_lv32_100 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100000000"; constant ap_const_lv32_11F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100011111"; constant ap_const_lv32_120 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100100000"; constant ap_const_lv32_13F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000100111111"; constant ap_const_lv32_140 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101000000"; constant ap_const_lv32_15F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101011111"; constant ap_const_lv32_160 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101100000"; constant ap_const_lv32_17F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000101111111"; constant ap_const_lv32_180 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000110000000"; constant ap_const_lv32_19F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000110011111"; constant ap_const_lv32_1A0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000110100000"; constant ap_const_lv32_1BF : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000110111111"; constant ap_const_lv32_1C0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000111000000"; constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000"; signal ap_rst_n_inv : STD_LOGIC; signal i1_reg_238 : STD_LOGIC_VECTOR (1 downto 0); signal reg_489 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm : STD_LOGIC_VECTOR (37 downto 0) := "00000000000000000000000000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_75 : BOOLEAN; signal ap_sig_cseq_ST_st16_fsm_15 : STD_LOGIC; signal ap_sig_bdd_86 : BOOLEAN; signal reg_493 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC; signal ap_sig_bdd_96 : BOOLEAN; signal ap_sig_cseq_ST_st17_fsm_16 : STD_LOGIC; signal ap_sig_bdd_104 : BOOLEAN; signal reg_497 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC; signal ap_sig_bdd_114 : BOOLEAN; signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC; signal ap_sig_bdd_122 : BOOLEAN; signal reg_501 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC; signal ap_sig_bdd_132 : BOOLEAN; signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC; signal ap_sig_bdd_140 : BOOLEAN; signal reg_505 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC; signal ap_sig_bdd_150 : BOOLEAN; signal ap_sig_cseq_ST_st20_fsm_19 : STD_LOGIC; signal ap_sig_bdd_158 : BOOLEAN; signal reg_509 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st6_fsm_5 : STD_LOGIC; signal ap_sig_bdd_168 : BOOLEAN; signal ap_sig_cseq_ST_st21_fsm_20 : STD_LOGIC; signal ap_sig_bdd_176 : BOOLEAN; signal reg_513 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st7_fsm_6 : STD_LOGIC; signal ap_sig_bdd_186 : BOOLEAN; signal ap_sig_cseq_ST_st22_fsm_21 : STD_LOGIC; signal ap_sig_bdd_194 : BOOLEAN; signal reg_517 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st8_fsm_7 : STD_LOGIC; signal ap_sig_bdd_204 : BOOLEAN; signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC; signal ap_sig_bdd_212 : BOOLEAN; signal reg_521 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st9_fsm_8 : STD_LOGIC; signal ap_sig_bdd_222 : BOOLEAN; signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC; signal ap_sig_bdd_230 : BOOLEAN; signal reg_525 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC; signal ap_sig_bdd_240 : BOOLEAN; signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC; signal ap_sig_bdd_248 : BOOLEAN; signal reg_529 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC; signal ap_sig_bdd_258 : BOOLEAN; signal ap_sig_cseq_ST_st26_fsm_25 : STD_LOGIC; signal ap_sig_bdd_266 : BOOLEAN; signal reg_533 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC; signal ap_sig_bdd_276 : BOOLEAN; signal ap_sig_cseq_ST_st27_fsm_26 : STD_LOGIC; signal ap_sig_bdd_284 : BOOLEAN; signal reg_537 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC; signal ap_sig_bdd_294 : BOOLEAN; signal ap_sig_cseq_ST_st28_fsm_27 : STD_LOGIC; signal ap_sig_bdd_302 : BOOLEAN; signal reg_541 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC; signal ap_sig_bdd_312 : BOOLEAN; signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC; signal ap_sig_bdd_320 : BOOLEAN; signal reg_545 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st116_fsm_32 : STD_LOGIC; signal ap_sig_bdd_331 : BOOLEAN; signal ap_sig_ioackin_outs_TREADY : STD_LOGIC; signal ap_sig_cseq_ST_st119_fsm_35 : STD_LOGIC; signal ap_sig_bdd_342 : BOOLEAN; signal reg_549 : STD_LOGIC_VECTOR (31 downto 0); signal data_array_addr_gep_fu_208_p3 : STD_LOGIC_VECTOR (0 downto 0); signal data_array_addr_reg_1095 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC; signal ap_sig_bdd_355 : BOOLEAN; signal data_array_addr_1_gep_fu_220_p3 : STD_LOGIC_VECTOR (0 downto 0); signal data_array_addr_1_reg_1100 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC; signal ap_sig_bdd_365 : BOOLEAN; signal ins_keep_V_val_reg_1105 : STD_LOGIC_VECTOR (3 downto 0); signal ins_strb_V_val_reg_1110 : STD_LOGIC_VECTOR (3 downto 0); signal ins_user_V_val_reg_1115 : STD_LOGIC_VECTOR (0 downto 0); signal ins_last_V_val_reg_1120 : STD_LOGIC_VECTOR (0 downto 0); signal ins_id_V_val_reg_1125 : STD_LOGIC_VECTOR (0 downto 0); signal ins_dest_V_val_reg_1130 : STD_LOGIC_VECTOR (0 downto 0); signal exitcond2_fu_791_p2 : STD_LOGIC_VECTOR (0 downto 0); signal exitcond2_reg_1135 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_pp0_stg0_fsm_30 : STD_LOGIC; signal ap_sig_bdd_387 : BOOLEAN; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it5 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it6 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it7 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it8 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it9 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it10 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it11 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it12 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it13 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it14 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it15 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it16 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it17 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it18 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it19 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it20 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it21 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it22 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it23 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it24 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it25 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it26 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it27 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it28 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it29 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it30 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it31 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it32 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it33 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it34 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it35 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it36 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it37 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it38 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it39 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it40 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it41 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it42 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it43 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it44 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it45 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it46 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it47 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it48 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it49 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it50 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it51 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it52 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it53 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it54 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it55 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it56 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it57 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it58 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it59 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it60 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it61 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it62 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it63 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it64 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it65 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it66 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it67 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it68 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it69 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it70 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it71 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it72 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it73 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it74 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it75 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it76 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it77 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it78 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it79 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it80 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it81 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it82 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it83 : STD_LOGIC := '0'; signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it3 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it4 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it5 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it6 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it7 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it8 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it9 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it10 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it11 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it12 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it13 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it14 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it15 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it16 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it17 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it18 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it19 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it20 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it21 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it22 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it23 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it24 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it25 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it26 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it27 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it28 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it29 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it30 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it31 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it32 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it33 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it34 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it35 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it36 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it37 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it38 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it39 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it40 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it41 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it42 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it43 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it44 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it45 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it46 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it47 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it48 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it49 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it50 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it51 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it52 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it53 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it54 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it55 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it56 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it57 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it58 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it59 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it60 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it61 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it62 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it63 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it64 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it65 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it66 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it67 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it68 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it69 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it70 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it71 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it72 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it73 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it74 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it75 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it76 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it77 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it78 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it79 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it80 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it81 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond2_reg_1135_pp0_it82 : STD_LOGIC_VECTOR (0 downto 0); signal i_fu_797_p2 : STD_LOGIC_VECTOR (1 downto 0); signal data_array_addr_2_reg_1144 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it3 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it4 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it5 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it6 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it7 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it8 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it9 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it10 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it11 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it12 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it13 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it14 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it15 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it16 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it17 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it18 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it19 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it20 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it21 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it22 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it23 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it24 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it25 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it26 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it27 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it28 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it29 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it30 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it31 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it32 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it33 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it34 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it35 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it36 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it37 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it38 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it39 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it40 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it41 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it42 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it43 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it44 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it45 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it46 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it47 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it48 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it49 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it50 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it51 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it52 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it53 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it54 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it55 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it56 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it57 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it58 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it59 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it60 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it61 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it62 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it63 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it64 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it65 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it66 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it67 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it68 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it69 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it70 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it71 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it72 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it73 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it74 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it75 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it76 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it77 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it78 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it79 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it80 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it81 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it82 : STD_LOGIC_VECTOR (0 downto 0); signal data_array_q0 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_load_2_reg_1150 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it2 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it3 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it4 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it5 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it6 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it7 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it8 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it9 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it10 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it11 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it12 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it13 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it14 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it15 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it16 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it17 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it18 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it19 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it20 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it21 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it22 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it23 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it24 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it25 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it26 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it27 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it28 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it29 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it30 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it31 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it32 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it33 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it34 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it35 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it36 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it37 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it38 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it39 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it40 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it41 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it42 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it43 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it44 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it45 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it46 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it47 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it48 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it49 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it50 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it51 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it52 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it53 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it54 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it55 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it56 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it57 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it58 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it59 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it60 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it61 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it62 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it63 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it64 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it65 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it66 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it67 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it68 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it69 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it70 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it71 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it72 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it73 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it74 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it75 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it76 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it77 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it78 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it79 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it80 : STD_LOGIC_VECTOR (575 downto 0); signal ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it81 : STD_LOGIC_VECTOR (575 downto 0); signal tmp_3_fu_808_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_3_reg_1155 : STD_LOGIC_VECTOR (31 downto 0); signal v0y_assign_new_reg_1160 : STD_LOGIC_VECTOR (31 downto 0); signal v0z_assign_new_reg_1165 : STD_LOGIC_VECTOR (31 downto 0); signal v1x_assign_new_reg_1170 : STD_LOGIC_VECTOR (31 downto 0); signal v1y_assign_new_reg_1175 : STD_LOGIC_VECTOR (31 downto 0); signal v1z_assign_new_reg_1180 : STD_LOGIC_VECTOR (31 downto 0); signal v2x_assign_new_reg_1185 : STD_LOGIC_VECTOR (31 downto 0); signal v2y_assign_new_reg_1190 : STD_LOGIC_VECTOR (31 downto 0); signal v2z_assign_new_reg_1195 : STD_LOGIC_VECTOR (31 downto 0); signal rdx_assign_new_reg_1200 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it4 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it5 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it6 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it7 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it9 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal rdy_assign_new_reg_1205 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it4 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it5 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it6 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it7 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it9 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal rdz_assign_new_reg_1210 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it4 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it5 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it6 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it7 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it9 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal rex_assign_new_reg_1215 : STD_LOGIC_VECTOR (31 downto 0); signal rey_assign_new_reg_1220 : STD_LOGIC_VECTOR (31 downto 0); signal rez_assign_new_reg_1225 : STD_LOGIC_VECTOR (31 downto 0); signal v0x_assign4_fu_952_p1 : STD_LOGIC_VECTOR (31 downto 0); signal v0y_assign_fu_958_p1 : STD_LOGIC_VECTOR (31 downto 0); signal v0z_assign_fu_964_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_250_p2 : STD_LOGIC_VECTOR (31 downto 0); signal a_reg_1296 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_a_reg_1296_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_254_p2 : STD_LOGIC_VECTOR (31 downto 0); signal b_reg_1303 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_b_reg_1303_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_258_p2 : STD_LOGIC_VECTOR (31 downto 0); signal c_reg_1310 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it25 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it26 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it27 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it28 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it29 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it30 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it31 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it32 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_c_reg_1310_pp0_it33 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_262_p2 : STD_LOGIC_VECTOR (31 downto 0); signal d_reg_1317 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it25 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it26 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it27 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it28 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it29 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it30 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it31 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it32 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_d_reg_1317_pp0_it33 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_266_p2 : STD_LOGIC_VECTOR (31 downto 0); signal e_reg_1324 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_e_reg_1324_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_270_p2 : STD_LOGIC_VECTOR (31 downto 0); signal f_reg_1331 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_f_reg_1331_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_274_p2 : STD_LOGIC_VECTOR (31 downto 0); signal j_reg_1338 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_j_reg_1338_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_278_p2 : STD_LOGIC_VECTOR (31 downto 0); signal k_reg_1345 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_k_reg_1345_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_282_p2 : STD_LOGIC_VECTOR (31 downto 0); signal l_reg_1352 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it25 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it26 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it27 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it28 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it29 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it30 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it31 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it32 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_l_reg_1352_pp0_it33 : STD_LOGIC_VECTOR (31 downto 0); signal g_fu_1006_p1 : STD_LOGIC_VECTOR (31 downto 0); signal g_reg_1359 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it25 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it26 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it27 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it28 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it29 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it30 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it31 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it32 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_g_reg_1359_pp0_it33 : STD_LOGIC_VECTOR (31 downto 0); signal h_fu_1010_p1 : STD_LOGIC_VECTOR (31 downto 0); signal h_reg_1366 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_h_reg_1366_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal i_1_fu_1014_p1 : STD_LOGIC_VECTOR (31 downto 0); signal i_1_reg_1373 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it12 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it13 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it14 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it15 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it16 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it17 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it18 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it19 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it20 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it21 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it22 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it23 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_i_1_reg_1373_pp0_it24 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_342_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_i_reg_1380 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_346_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_i_41_reg_1385 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_350_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_3_i_reg_1390 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_354_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_4_i_reg_1395 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_358_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_12_i_reg_1400 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_362_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_13_i_reg_1405 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_366_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_16_i_reg_1410 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_370_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_17_i_reg_1415 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_286_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_1_i_reg_1420 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_290_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_5_i_reg_1426 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_374_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_i_reg_1432 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_378_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_9_i_reg_1437 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_294_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_14_i_reg_1442 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_298_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_18_i_reg_1448 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_382_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_21_i_reg_1454 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_386_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_22_i_reg_1459 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_390_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_i_reg_1464 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_394_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_6_i_reg_1469 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_398_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_15_i_reg_1474 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_402_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_19_i_reg_1479 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_406_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_27_i_reg_1484 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_410_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_28_i_reg_1489 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_414_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_32_i_reg_1494 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_418_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_33_i_reg_1499 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_302_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_10_i_reg_1504 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_306_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_23_i_reg_1510 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_310_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_7_i_reg_1516 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_422_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_11_i_reg_1521 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_314_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_20_i_reg_1526 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_426_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_24_i_reg_1531 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_318_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_29_i_reg_1536 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_430_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_30_i_reg_1541 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_322_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_34_i_reg_1546 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_434_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_35_i_reg_1551 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_326_p2 : STD_LOGIC_VECTOR (31 downto 0); signal m_reg_1556 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_330_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_25_i_reg_1561 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it48 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it49 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it50 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it51 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it52 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it53 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it54 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it55 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it56 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it57 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it58 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it60 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it61 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it62 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it63 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it64 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it65 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it66 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it67 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it68 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it69 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it70 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it71 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it72 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it73 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it74 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it75 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it76 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_334_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_31_i_reg_1566 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it48 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it49 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it50 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it51 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it52 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it53 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it54 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it55 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it56 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it57 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it58 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it60 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it61 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it62 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it63 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it64 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it65 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it66 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it67 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it68 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it69 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it70 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it71 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it72 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it73 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it74 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it75 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it76 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it77 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_338_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_36_i_reg_1571 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it48 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it49 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it50 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it51 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it52 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it53 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it54 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it55 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it56 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it57 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it58 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it60 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it61 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it62 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it63 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it64 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it65 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it66 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it67 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it68 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it69 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it70 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it71 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it72 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it73 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it74 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it75 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it76 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it77 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_450_p2 : STD_LOGIC_VECTOR (31 downto 0); signal im_reg_1576 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_61_neg_i_fu_1022_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_61_neg_i_reg_1583 : STD_LOGIC_VECTOR (31 downto 0); signal beta_addr_1174175_part_set_fu_1054_p5 : STD_LOGIC_VECTOR (575 downto 0); signal beta_addr_1174175_part_set_reg_1593 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_address0 : STD_LOGIC_VECTOR (0 downto 0); signal data_array_ce0 : STD_LOGIC; signal data_array_we0 : STD_LOGIC; signal data_array_d0 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_address1 : STD_LOGIC_VECTOR (0 downto 0); signal data_array_ce1 : STD_LOGIC; signal data_array_we1 : STD_LOGIC; signal data_array_d1 : STD_LOGIC_VECTOR (575 downto 0); signal data_array_q1 : STD_LOGIC_VECTOR (575 downto 0); signal tmp_s_fu_803_p1 : STD_LOGIC_VECTOR (63 downto 0); signal t_load_fu_1065_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_fu_1070_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st117_fsm_33 : STD_LOGIC; signal ap_sig_bdd_1866 : BOOLEAN; signal beta_load_fu_1075_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st118_fsm_34 : STD_LOGIC; signal ap_sig_bdd_1874 : BOOLEAN; signal t_load_s_fu_1080_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_load_s_fu_1085_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st120_fsm_36 : STD_LOGIC; signal ap_sig_bdd_1883 : BOOLEAN; signal beta_load_s_fu_1090_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st121_fsm_37 : STD_LOGIC; signal ap_sig_bdd_1891 : BOOLEAN; signal ap_reg_ioackin_outs_TREADY : STD_LOGIC := '0'; signal rez_addr149150_part_set_fu_647_p5 : STD_LOGIC_VECTOR (575 downto 0); signal rez_addr_1146147_part_set_fu_778_p5 : STD_LOGIC_VECTOR (575 downto 0); signal ap_sig_cseq_ST_st115_fsm_31 : STD_LOGIC; signal ap_sig_bdd_1948 : BOOLEAN; signal grp_fu_250_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_250_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_254_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_254_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_258_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_258_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_262_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_262_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_266_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_266_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_270_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_270_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_274_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_274_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_278_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_278_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_282_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_282_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_286_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_286_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_290_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_290_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_294_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_294_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_298_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_298_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_302_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_302_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_306_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_306_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_310_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_310_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_314_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_314_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_318_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_318_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_322_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_322_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_326_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_326_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_330_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_330_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_334_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_334_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_338_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_338_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_342_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_342_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_346_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_346_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_350_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_350_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_354_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_354_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_358_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_358_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_362_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_362_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_366_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_366_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_370_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_370_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_374_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_374_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_378_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_378_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_382_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_382_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_386_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_386_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_390_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_390_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_394_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_394_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_398_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_398_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_402_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_402_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_406_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_406_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_410_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_410_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_414_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_414_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_418_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_418_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_422_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_422_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_426_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_426_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_430_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_430_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_434_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_434_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_438_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_438_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_442_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_442_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_446_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_446_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_450_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_450_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_14_toint_fu_609_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_13_toint_fu_605_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_12_toint_fu_601_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_11_toint_fu_597_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_10_toint_fu_593_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_9_toint_fu_589_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_8_toint_fu_585_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_7_toint_fu_581_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_6_toint_fu_577_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_5_toint_fu_573_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_4_toint_fu_569_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_3_toint_fu_565_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_2_toint_fu_561_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_1_toint_fu_557_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_toint_fu_553_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_fu_613_p16 : STD_LOGIC_VECTOR (479 downto 0); signal ins_data_tmp_load_29_toint_fu_740_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_28_toint_fu_712_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_27_toint_fu_708_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_26_toint_fu_704_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_25_toint_fu_700_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_24_toint_fu_696_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_23_toint_fu_692_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_22_toint_fu_688_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_21_toint_fu_684_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_20_toint_fu_680_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_19_toint_fu_676_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_18_toint_fu_672_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_17_toint_fu_668_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_16_toint_fu_664_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ins_data_tmp_load_15_toint_fu_660_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_1_fu_744_p16 : STD_LOGIC_VECTOR (479 downto 0); signal tmp_61_to_int_i_fu_1019_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_438_p2 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_442_p2 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_446_p2 : STD_LOGIC_VECTOR (31 downto 0); signal beta_write_assign_toint_fu_1040_p1 : STD_LOGIC_VECTOR (31 downto 0); signal gamma_write_assign_toint_fu_1036_p1 : STD_LOGIC_VECTOR (31 downto 0); signal t_write_assign_toint_fu_1032_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_fu_1044_p4 : STD_LOGIC_VECTOR (95 downto 0); signal grp_fu_459_p4 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_250_ce : STD_LOGIC; signal grp_fu_254_ce : STD_LOGIC; signal grp_fu_258_ce : STD_LOGIC; signal grp_fu_262_ce : STD_LOGIC; signal grp_fu_266_ce : STD_LOGIC; signal grp_fu_270_ce : STD_LOGIC; signal grp_fu_274_ce : STD_LOGIC; signal grp_fu_278_ce : STD_LOGIC; signal grp_fu_282_ce : STD_LOGIC; signal grp_fu_286_ce : STD_LOGIC; signal grp_fu_290_ce : STD_LOGIC; signal grp_fu_294_ce : STD_LOGIC; signal grp_fu_298_ce : STD_LOGIC; signal grp_fu_302_ce : STD_LOGIC; signal grp_fu_306_ce : STD_LOGIC; signal grp_fu_310_ce : STD_LOGIC; signal grp_fu_314_ce : STD_LOGIC; signal grp_fu_318_ce : STD_LOGIC; signal grp_fu_322_ce : STD_LOGIC; signal grp_fu_326_ce : STD_LOGIC; signal grp_fu_330_ce : STD_LOGIC; signal grp_fu_334_ce : STD_LOGIC; signal grp_fu_338_ce : STD_LOGIC; signal grp_fu_342_ce : STD_LOGIC; signal grp_fu_346_ce : STD_LOGIC; signal grp_fu_350_ce : STD_LOGIC; signal grp_fu_354_ce : STD_LOGIC; signal grp_fu_358_ce : STD_LOGIC; signal grp_fu_362_ce : STD_LOGIC; signal grp_fu_366_ce : STD_LOGIC; signal grp_fu_370_ce : STD_LOGIC; signal grp_fu_374_ce : STD_LOGIC; signal grp_fu_378_ce : STD_LOGIC; signal grp_fu_382_ce : STD_LOGIC; signal grp_fu_386_ce : STD_LOGIC; signal grp_fu_390_ce : STD_LOGIC; signal grp_fu_394_ce : STD_LOGIC; signal grp_fu_398_ce : STD_LOGIC; signal grp_fu_402_ce : STD_LOGIC; signal grp_fu_406_ce : STD_LOGIC; signal grp_fu_410_ce : STD_LOGIC; signal grp_fu_414_ce : STD_LOGIC; signal grp_fu_418_ce : STD_LOGIC; signal grp_fu_422_ce : STD_LOGIC; signal grp_fu_426_ce : STD_LOGIC; signal grp_fu_430_ce : STD_LOGIC; signal grp_fu_434_ce : STD_LOGIC; signal grp_fu_438_ce : STD_LOGIC; signal grp_fu_442_ce : STD_LOGIC; signal grp_fu_446_ce : STD_LOGIC; signal grp_fu_450_ce : STD_LOGIC; signal ap_NS_fsm : STD_LOGIC_VECTOR (37 downto 0); component tri_intersect_fsub_32ns_32ns_32_9_full_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component tri_intersect_fadd_32ns_32ns_32_9_full_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component tri_intersect_fmul_32ns_32ns_32_5_max_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component tri_intersect_fdiv_32ns_32ns_32_30 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component tri_intersect_data_array IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (0 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (575 downto 0); q0 : OUT STD_LOGIC_VECTOR (575 downto 0); address1 : IN STD_LOGIC_VECTOR (0 downto 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR (575 downto 0); q1 : OUT STD_LOGIC_VECTOR (575 downto 0) ); end component; begin data_array_U : component tri_intersect_data_array generic map ( DataWidth => 576, AddressRange => 2, AddressWidth => 1) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => data_array_address0, ce0 => data_array_ce0, we0 => data_array_we0, d0 => data_array_d0, q0 => data_array_q0, address1 => data_array_address1, ce1 => data_array_ce1, we1 => data_array_we1, d1 => data_array_d1, q1 => data_array_q1); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U0 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_250_p0, din1 => grp_fu_250_p1, ce => grp_fu_250_ce, dout => grp_fu_250_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U1 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_254_p0, din1 => grp_fu_254_p1, ce => grp_fu_254_ce, dout => grp_fu_254_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U2 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_258_p0, din1 => grp_fu_258_p1, ce => grp_fu_258_ce, dout => grp_fu_258_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U3 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_262_p0, din1 => grp_fu_262_p1, ce => grp_fu_262_ce, dout => grp_fu_262_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U4 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_266_p0, din1 => grp_fu_266_p1, ce => grp_fu_266_ce, dout => grp_fu_266_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U5 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_270_p0, din1 => grp_fu_270_p1, ce => grp_fu_270_ce, dout => grp_fu_270_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U6 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_274_p0, din1 => grp_fu_274_p1, ce => grp_fu_274_ce, dout => grp_fu_274_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U7 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_278_p0, din1 => grp_fu_278_p1, ce => grp_fu_278_ce, dout => grp_fu_278_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U8 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_282_p0, din1 => grp_fu_282_p1, ce => grp_fu_282_ce, dout => grp_fu_282_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U9 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_286_p0, din1 => grp_fu_286_p1, ce => grp_fu_286_ce, dout => grp_fu_286_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U10 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_290_p0, din1 => grp_fu_290_p1, ce => grp_fu_290_ce, dout => grp_fu_290_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U11 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_294_p0, din1 => grp_fu_294_p1, ce => grp_fu_294_ce, dout => grp_fu_294_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U12 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_298_p0, din1 => grp_fu_298_p1, ce => grp_fu_298_ce, dout => grp_fu_298_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U13 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_302_p0, din1 => grp_fu_302_p1, ce => grp_fu_302_ce, dout => grp_fu_302_p2); tri_intersect_fsub_32ns_32ns_32_9_full_dsp_U14 : component tri_intersect_fsub_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_306_p0, din1 => grp_fu_306_p1, ce => grp_fu_306_ce, dout => grp_fu_306_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U15 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_310_p0, din1 => grp_fu_310_p1, ce => grp_fu_310_ce, dout => grp_fu_310_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U16 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_314_p0, din1 => grp_fu_314_p1, ce => grp_fu_314_ce, dout => grp_fu_314_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U17 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_318_p0, din1 => grp_fu_318_p1, ce => grp_fu_318_ce, dout => grp_fu_318_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U18 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_322_p0, din1 => grp_fu_322_p1, ce => grp_fu_322_ce, dout => grp_fu_322_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U19 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_326_p0, din1 => grp_fu_326_p1, ce => grp_fu_326_ce, dout => grp_fu_326_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U20 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_330_p0, din1 => grp_fu_330_p1, ce => grp_fu_330_ce, dout => grp_fu_330_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U21 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_334_p0, din1 => grp_fu_334_p1, ce => grp_fu_334_ce, dout => grp_fu_334_p2); tri_intersect_fadd_32ns_32ns_32_9_full_dsp_U22 : component tri_intersect_fadd_32ns_32ns_32_9_full_dsp generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_338_p0, din1 => grp_fu_338_p1, ce => grp_fu_338_ce, dout => grp_fu_338_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U23 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_342_p0, din1 => grp_fu_342_p1, ce => grp_fu_342_ce, dout => grp_fu_342_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U24 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_346_p0, din1 => grp_fu_346_p1, ce => grp_fu_346_ce, dout => grp_fu_346_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U25 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_350_p0, din1 => grp_fu_350_p1, ce => grp_fu_350_ce, dout => grp_fu_350_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U26 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_354_p0, din1 => grp_fu_354_p1, ce => grp_fu_354_ce, dout => grp_fu_354_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U27 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_358_p0, din1 => grp_fu_358_p1, ce => grp_fu_358_ce, dout => grp_fu_358_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U28 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_362_p0, din1 => grp_fu_362_p1, ce => grp_fu_362_ce, dout => grp_fu_362_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U29 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_366_p0, din1 => grp_fu_366_p1, ce => grp_fu_366_ce, dout => grp_fu_366_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U30 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_370_p0, din1 => grp_fu_370_p1, ce => grp_fu_370_ce, dout => grp_fu_370_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U31 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_374_p0, din1 => grp_fu_374_p1, ce => grp_fu_374_ce, dout => grp_fu_374_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U32 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_378_p0, din1 => grp_fu_378_p1, ce => grp_fu_378_ce, dout => grp_fu_378_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U33 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_382_p0, din1 => grp_fu_382_p1, ce => grp_fu_382_ce, dout => grp_fu_382_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U34 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_386_p0, din1 => grp_fu_386_p1, ce => grp_fu_386_ce, dout => grp_fu_386_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U35 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_390_p0, din1 => grp_fu_390_p1, ce => grp_fu_390_ce, dout => grp_fu_390_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U36 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_394_p0, din1 => grp_fu_394_p1, ce => grp_fu_394_ce, dout => grp_fu_394_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U37 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_398_p0, din1 => grp_fu_398_p1, ce => grp_fu_398_ce, dout => grp_fu_398_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U38 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_402_p0, din1 => grp_fu_402_p1, ce => grp_fu_402_ce, dout => grp_fu_402_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U39 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_406_p0, din1 => grp_fu_406_p1, ce => grp_fu_406_ce, dout => grp_fu_406_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U40 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_410_p0, din1 => grp_fu_410_p1, ce => grp_fu_410_ce, dout => grp_fu_410_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U41 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_414_p0, din1 => grp_fu_414_p1, ce => grp_fu_414_ce, dout => grp_fu_414_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U42 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_418_p0, din1 => grp_fu_418_p1, ce => grp_fu_418_ce, dout => grp_fu_418_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U43 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_422_p0, din1 => grp_fu_422_p1, ce => grp_fu_422_ce, dout => grp_fu_422_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U44 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_426_p0, din1 => grp_fu_426_p1, ce => grp_fu_426_ce, dout => grp_fu_426_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U45 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_430_p0, din1 => grp_fu_430_p1, ce => grp_fu_430_ce, dout => grp_fu_430_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U46 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_434_p0, din1 => grp_fu_434_p1, ce => grp_fu_434_ce, dout => grp_fu_434_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U47 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_438_p0, din1 => grp_fu_438_p1, ce => grp_fu_438_ce, dout => grp_fu_438_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U48 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_442_p0, din1 => grp_fu_442_p1, ce => grp_fu_442_ce, dout => grp_fu_442_p2); tri_intersect_fmul_32ns_32ns_32_5_max_dsp_U49 : component tri_intersect_fmul_32ns_32ns_32_5_max_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_446_p0, din1 => grp_fu_446_p1, ce => grp_fu_446_ce, dout => grp_fu_446_p2); tri_intersect_fdiv_32ns_32ns_32_30_U50 : component tri_intersect_fdiv_32ns_32ns_32_30 generic map ( ID => 1, NUM_STAGE => 30, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_450_p0, din1 => grp_fu_450_p1, ce => grp_fu_450_ce, dout => grp_fu_450_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ioackin_outs_TREADY assign process. -- ap_reg_ioackin_outs_TREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ioackin_outs_TREADY <= ap_const_logic_0; else if ((((ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_32) and not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st119_fsm_35)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_33)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_34)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_36)) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_37)))) then ap_reg_ioackin_outs_TREADY <= ap_const_logic_0; elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_32) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_33) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_34) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st119_fsm_35) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_36) and (ap_const_logic_1 = outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_37) and (ap_const_logic_1 = outs_TREADY)))) then ap_reg_ioackin_outs_TREADY <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30) and not((exitcond2_fu_791_p2 = ap_const_lv1_0)))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; elsif ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30) and (exitcond2_fu_791_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_1; elsif (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30) and not((exitcond2_fu_791_p2 = ap_const_lv1_0))))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it10 assign process. -- ap_reg_ppiten_pp0_it10_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it10 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it10 <= ap_reg_ppiten_pp0_it9; end if; end if; end process; -- ap_reg_ppiten_pp0_it11 assign process. -- ap_reg_ppiten_pp0_it11_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it11 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it11 <= ap_reg_ppiten_pp0_it10; end if; end if; end process; -- ap_reg_ppiten_pp0_it12 assign process. -- ap_reg_ppiten_pp0_it12_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it12 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it12 <= ap_reg_ppiten_pp0_it11; end if; end if; end process; -- ap_reg_ppiten_pp0_it13 assign process. -- ap_reg_ppiten_pp0_it13_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it13 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it13 <= ap_reg_ppiten_pp0_it12; end if; end if; end process; -- ap_reg_ppiten_pp0_it14 assign process. -- ap_reg_ppiten_pp0_it14_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it14 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it14 <= ap_reg_ppiten_pp0_it13; end if; end if; end process; -- ap_reg_ppiten_pp0_it15 assign process. -- ap_reg_ppiten_pp0_it15_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it15 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it15 <= ap_reg_ppiten_pp0_it14; end if; end if; end process; -- ap_reg_ppiten_pp0_it16 assign process. -- ap_reg_ppiten_pp0_it16_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it16 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it16 <= ap_reg_ppiten_pp0_it15; end if; end if; end process; -- ap_reg_ppiten_pp0_it17 assign process. -- ap_reg_ppiten_pp0_it17_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it17 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it17 <= ap_reg_ppiten_pp0_it16; end if; end if; end process; -- ap_reg_ppiten_pp0_it18 assign process. -- ap_reg_ppiten_pp0_it18_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it18 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it18 <= ap_reg_ppiten_pp0_it17; end if; end if; end process; -- ap_reg_ppiten_pp0_it19 assign process. -- ap_reg_ppiten_pp0_it19_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it19 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it19 <= ap_reg_ppiten_pp0_it18; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end process; -- ap_reg_ppiten_pp0_it20 assign process. -- ap_reg_ppiten_pp0_it20_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it20 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it20 <= ap_reg_ppiten_pp0_it19; end if; end if; end process; -- ap_reg_ppiten_pp0_it21 assign process. -- ap_reg_ppiten_pp0_it21_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it21 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it21 <= ap_reg_ppiten_pp0_it20; end if; end if; end process; -- ap_reg_ppiten_pp0_it22 assign process. -- ap_reg_ppiten_pp0_it22_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it22 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it22 <= ap_reg_ppiten_pp0_it21; end if; end if; end process; -- ap_reg_ppiten_pp0_it23 assign process. -- ap_reg_ppiten_pp0_it23_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it23 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it23 <= ap_reg_ppiten_pp0_it22; end if; end if; end process; -- ap_reg_ppiten_pp0_it24 assign process. -- ap_reg_ppiten_pp0_it24_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it24 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it24 <= ap_reg_ppiten_pp0_it23; end if; end if; end process; -- ap_reg_ppiten_pp0_it25 assign process. -- ap_reg_ppiten_pp0_it25_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it25 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it25 <= ap_reg_ppiten_pp0_it24; end if; end if; end process; -- ap_reg_ppiten_pp0_it26 assign process. -- ap_reg_ppiten_pp0_it26_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it26 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it26 <= ap_reg_ppiten_pp0_it25; end if; end if; end process; -- ap_reg_ppiten_pp0_it27 assign process. -- ap_reg_ppiten_pp0_it27_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it27 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it27 <= ap_reg_ppiten_pp0_it26; end if; end if; end process; -- ap_reg_ppiten_pp0_it28 assign process. -- ap_reg_ppiten_pp0_it28_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it28 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it28 <= ap_reg_ppiten_pp0_it27; end if; end if; end process; -- ap_reg_ppiten_pp0_it29 assign process. -- ap_reg_ppiten_pp0_it29_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it29 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it29 <= ap_reg_ppiten_pp0_it28; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end process; -- ap_reg_ppiten_pp0_it30 assign process. -- ap_reg_ppiten_pp0_it30_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it30 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it30 <= ap_reg_ppiten_pp0_it29; end if; end if; end process; -- ap_reg_ppiten_pp0_it31 assign process. -- ap_reg_ppiten_pp0_it31_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it31 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it31 <= ap_reg_ppiten_pp0_it30; end if; end if; end process; -- ap_reg_ppiten_pp0_it32 assign process. -- ap_reg_ppiten_pp0_it32_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it32 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it32 <= ap_reg_ppiten_pp0_it31; end if; end if; end process; -- ap_reg_ppiten_pp0_it33 assign process. -- ap_reg_ppiten_pp0_it33_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it33 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it33 <= ap_reg_ppiten_pp0_it32; end if; end if; end process; -- ap_reg_ppiten_pp0_it34 assign process. -- ap_reg_ppiten_pp0_it34_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it34 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it34 <= ap_reg_ppiten_pp0_it33; end if; end if; end process; -- ap_reg_ppiten_pp0_it35 assign process. -- ap_reg_ppiten_pp0_it35_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it35 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it35 <= ap_reg_ppiten_pp0_it34; end if; end if; end process; -- ap_reg_ppiten_pp0_it36 assign process. -- ap_reg_ppiten_pp0_it36_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it36 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it36 <= ap_reg_ppiten_pp0_it35; end if; end if; end process; -- ap_reg_ppiten_pp0_it37 assign process. -- ap_reg_ppiten_pp0_it37_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it37 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it37 <= ap_reg_ppiten_pp0_it36; end if; end if; end process; -- ap_reg_ppiten_pp0_it38 assign process. -- ap_reg_ppiten_pp0_it38_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it38 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it38 <= ap_reg_ppiten_pp0_it37; end if; end if; end process; -- ap_reg_ppiten_pp0_it39 assign process. -- ap_reg_ppiten_pp0_it39_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it39 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it39 <= ap_reg_ppiten_pp0_it38; end if; end if; end process; -- ap_reg_ppiten_pp0_it4 assign process. -- ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3; end if; end if; end process; -- ap_reg_ppiten_pp0_it40 assign process. -- ap_reg_ppiten_pp0_it40_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it40 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it40 <= ap_reg_ppiten_pp0_it39; end if; end if; end process; -- ap_reg_ppiten_pp0_it41 assign process. -- ap_reg_ppiten_pp0_it41_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it41 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it41 <= ap_reg_ppiten_pp0_it40; end if; end if; end process; -- ap_reg_ppiten_pp0_it42 assign process. -- ap_reg_ppiten_pp0_it42_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it42 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it42 <= ap_reg_ppiten_pp0_it41; end if; end if; end process; -- ap_reg_ppiten_pp0_it43 assign process. -- ap_reg_ppiten_pp0_it43_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it43 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it43 <= ap_reg_ppiten_pp0_it42; end if; end if; end process; -- ap_reg_ppiten_pp0_it44 assign process. -- ap_reg_ppiten_pp0_it44_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it44 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it44 <= ap_reg_ppiten_pp0_it43; end if; end if; end process; -- ap_reg_ppiten_pp0_it45 assign process. -- ap_reg_ppiten_pp0_it45_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it45 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it45 <= ap_reg_ppiten_pp0_it44; end if; end if; end process; -- ap_reg_ppiten_pp0_it46 assign process. -- ap_reg_ppiten_pp0_it46_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it46 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it46 <= ap_reg_ppiten_pp0_it45; end if; end if; end process; -- ap_reg_ppiten_pp0_it47 assign process. -- ap_reg_ppiten_pp0_it47_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it47 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it47 <= ap_reg_ppiten_pp0_it46; end if; end if; end process; -- ap_reg_ppiten_pp0_it48 assign process. -- ap_reg_ppiten_pp0_it48_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it48 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it48 <= ap_reg_ppiten_pp0_it47; end if; end if; end process; -- ap_reg_ppiten_pp0_it49 assign process. -- ap_reg_ppiten_pp0_it49_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it49 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it49 <= ap_reg_ppiten_pp0_it48; end if; end if; end process; -- ap_reg_ppiten_pp0_it5 assign process. -- ap_reg_ppiten_pp0_it5_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it5 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it5 <= ap_reg_ppiten_pp0_it4; end if; end if; end process; -- ap_reg_ppiten_pp0_it50 assign process. -- ap_reg_ppiten_pp0_it50_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it50 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it50 <= ap_reg_ppiten_pp0_it49; end if; end if; end process; -- ap_reg_ppiten_pp0_it51 assign process. -- ap_reg_ppiten_pp0_it51_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it51 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it51 <= ap_reg_ppiten_pp0_it50; end if; end if; end process; -- ap_reg_ppiten_pp0_it52 assign process. -- ap_reg_ppiten_pp0_it52_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it52 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it52 <= ap_reg_ppiten_pp0_it51; end if; end if; end process; -- ap_reg_ppiten_pp0_it53 assign process. -- ap_reg_ppiten_pp0_it53_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it53 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it53 <= ap_reg_ppiten_pp0_it52; end if; end if; end process; -- ap_reg_ppiten_pp0_it54 assign process. -- ap_reg_ppiten_pp0_it54_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it54 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it54 <= ap_reg_ppiten_pp0_it53; end if; end if; end process; -- ap_reg_ppiten_pp0_it55 assign process. -- ap_reg_ppiten_pp0_it55_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it55 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it55 <= ap_reg_ppiten_pp0_it54; end if; end if; end process; -- ap_reg_ppiten_pp0_it56 assign process. -- ap_reg_ppiten_pp0_it56_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it56 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it56 <= ap_reg_ppiten_pp0_it55; end if; end if; end process; -- ap_reg_ppiten_pp0_it57 assign process. -- ap_reg_ppiten_pp0_it57_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it57 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it57 <= ap_reg_ppiten_pp0_it56; end if; end if; end process; -- ap_reg_ppiten_pp0_it58 assign process. -- ap_reg_ppiten_pp0_it58_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it58 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it58 <= ap_reg_ppiten_pp0_it57; end if; end if; end process; -- ap_reg_ppiten_pp0_it59 assign process. -- ap_reg_ppiten_pp0_it59_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it59 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it59 <= ap_reg_ppiten_pp0_it58; end if; end if; end process; -- ap_reg_ppiten_pp0_it6 assign process. -- ap_reg_ppiten_pp0_it6_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it6 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it6 <= ap_reg_ppiten_pp0_it5; end if; end if; end process; -- ap_reg_ppiten_pp0_it60 assign process. -- ap_reg_ppiten_pp0_it60_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it60 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it60 <= ap_reg_ppiten_pp0_it59; end if; end if; end process; -- ap_reg_ppiten_pp0_it61 assign process. -- ap_reg_ppiten_pp0_it61_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it61 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it61 <= ap_reg_ppiten_pp0_it60; end if; end if; end process; -- ap_reg_ppiten_pp0_it62 assign process. -- ap_reg_ppiten_pp0_it62_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it62 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it62 <= ap_reg_ppiten_pp0_it61; end if; end if; end process; -- ap_reg_ppiten_pp0_it63 assign process. -- ap_reg_ppiten_pp0_it63_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it63 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it63 <= ap_reg_ppiten_pp0_it62; end if; end if; end process; -- ap_reg_ppiten_pp0_it64 assign process. -- ap_reg_ppiten_pp0_it64_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it64 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it64 <= ap_reg_ppiten_pp0_it63; end if; end if; end process; -- ap_reg_ppiten_pp0_it65 assign process. -- ap_reg_ppiten_pp0_it65_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it65 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it65 <= ap_reg_ppiten_pp0_it64; end if; end if; end process; -- ap_reg_ppiten_pp0_it66 assign process. -- ap_reg_ppiten_pp0_it66_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it66 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it66 <= ap_reg_ppiten_pp0_it65; end if; end if; end process; -- ap_reg_ppiten_pp0_it67 assign process. -- ap_reg_ppiten_pp0_it67_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it67 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it67 <= ap_reg_ppiten_pp0_it66; end if; end if; end process; -- ap_reg_ppiten_pp0_it68 assign process. -- ap_reg_ppiten_pp0_it68_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it68 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it68 <= ap_reg_ppiten_pp0_it67; end if; end if; end process; -- ap_reg_ppiten_pp0_it69 assign process. -- ap_reg_ppiten_pp0_it69_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it69 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it69 <= ap_reg_ppiten_pp0_it68; end if; end if; end process; -- ap_reg_ppiten_pp0_it7 assign process. -- ap_reg_ppiten_pp0_it7_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it7 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it7 <= ap_reg_ppiten_pp0_it6; end if; end if; end process; -- ap_reg_ppiten_pp0_it70 assign process. -- ap_reg_ppiten_pp0_it70_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it70 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it70 <= ap_reg_ppiten_pp0_it69; end if; end if; end process; -- ap_reg_ppiten_pp0_it71 assign process. -- ap_reg_ppiten_pp0_it71_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it71 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it71 <= ap_reg_ppiten_pp0_it70; end if; end if; end process; -- ap_reg_ppiten_pp0_it72 assign process. -- ap_reg_ppiten_pp0_it72_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it72 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it72 <= ap_reg_ppiten_pp0_it71; end if; end if; end process; -- ap_reg_ppiten_pp0_it73 assign process. -- ap_reg_ppiten_pp0_it73_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it73 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it73 <= ap_reg_ppiten_pp0_it72; end if; end if; end process; -- ap_reg_ppiten_pp0_it74 assign process. -- ap_reg_ppiten_pp0_it74_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it74 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it74 <= ap_reg_ppiten_pp0_it73; end if; end if; end process; -- ap_reg_ppiten_pp0_it75 assign process. -- ap_reg_ppiten_pp0_it75_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it75 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it75 <= ap_reg_ppiten_pp0_it74; end if; end if; end process; -- ap_reg_ppiten_pp0_it76 assign process. -- ap_reg_ppiten_pp0_it76_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it76 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it76 <= ap_reg_ppiten_pp0_it75; end if; end if; end process; -- ap_reg_ppiten_pp0_it77 assign process. -- ap_reg_ppiten_pp0_it77_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it77 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it77 <= ap_reg_ppiten_pp0_it76; end if; end if; end process; -- ap_reg_ppiten_pp0_it78 assign process. -- ap_reg_ppiten_pp0_it78_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it78 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it78 <= ap_reg_ppiten_pp0_it77; end if; end if; end process; -- ap_reg_ppiten_pp0_it79 assign process. -- ap_reg_ppiten_pp0_it79_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it79 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it79 <= ap_reg_ppiten_pp0_it78; end if; end if; end process; -- ap_reg_ppiten_pp0_it8 assign process. -- ap_reg_ppiten_pp0_it8_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it8 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it8 <= ap_reg_ppiten_pp0_it7; end if; end if; end process; -- ap_reg_ppiten_pp0_it80 assign process. -- ap_reg_ppiten_pp0_it80_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it80 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it80 <= ap_reg_ppiten_pp0_it79; end if; end if; end process; -- ap_reg_ppiten_pp0_it81 assign process. -- ap_reg_ppiten_pp0_it81_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it81 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it81 <= ap_reg_ppiten_pp0_it80; end if; end if; end process; -- ap_reg_ppiten_pp0_it82 assign process. -- ap_reg_ppiten_pp0_it82_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it82 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it82 <= ap_reg_ppiten_pp0_it81; end if; end if; end process; -- ap_reg_ppiten_pp0_it83 assign process. -- ap_reg_ppiten_pp0_it83_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it83 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it83 <= ap_reg_ppiten_pp0_it82; end if; end if; end process; -- ap_reg_ppiten_pp0_it9 assign process. -- ap_reg_ppiten_pp0_it9_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ppiten_pp0_it9 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it9 <= ap_reg_ppiten_pp0_it8; end if; end if; end process; -- i1_reg_238 assign process. -- i1_reg_238_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29))) then i1_reg_238 <= ap_const_lv2_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (exitcond2_fu_791_p2 = ap_const_lv1_0))) then i1_reg_238 <= i_fu_797_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it9 = ap_const_lv1_0)) then a_reg_1296 <= grp_fu_250_p2; b_reg_1303 <= grp_fu_254_p2; c_reg_1310 <= grp_fu_258_p2; d_reg_1317 <= grp_fu_262_p2; e_reg_1324 <= grp_fu_266_p2; f_reg_1331 <= grp_fu_270_p2; j_reg_1338 <= grp_fu_274_p2; k_reg_1345 <= grp_fu_278_p2; l_reg_1352 <= grp_fu_282_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_true = ap_true)) then ap_reg_ppstg_a_reg_1296_pp0_it11 <= a_reg_1296; ap_reg_ppstg_a_reg_1296_pp0_it12 <= ap_reg_ppstg_a_reg_1296_pp0_it11; ap_reg_ppstg_a_reg_1296_pp0_it13 <= ap_reg_ppstg_a_reg_1296_pp0_it12; ap_reg_ppstg_a_reg_1296_pp0_it14 <= ap_reg_ppstg_a_reg_1296_pp0_it13; ap_reg_ppstg_a_reg_1296_pp0_it15 <= ap_reg_ppstg_a_reg_1296_pp0_it14; ap_reg_ppstg_a_reg_1296_pp0_it16 <= ap_reg_ppstg_a_reg_1296_pp0_it15; ap_reg_ppstg_a_reg_1296_pp0_it17 <= ap_reg_ppstg_a_reg_1296_pp0_it16; ap_reg_ppstg_a_reg_1296_pp0_it18 <= ap_reg_ppstg_a_reg_1296_pp0_it17; ap_reg_ppstg_a_reg_1296_pp0_it19 <= ap_reg_ppstg_a_reg_1296_pp0_it18; ap_reg_ppstg_a_reg_1296_pp0_it20 <= ap_reg_ppstg_a_reg_1296_pp0_it19; ap_reg_ppstg_a_reg_1296_pp0_it21 <= ap_reg_ppstg_a_reg_1296_pp0_it20; ap_reg_ppstg_a_reg_1296_pp0_it22 <= ap_reg_ppstg_a_reg_1296_pp0_it21; ap_reg_ppstg_a_reg_1296_pp0_it23 <= ap_reg_ppstg_a_reg_1296_pp0_it22; ap_reg_ppstg_a_reg_1296_pp0_it24 <= ap_reg_ppstg_a_reg_1296_pp0_it23; ap_reg_ppstg_b_reg_1303_pp0_it11 <= b_reg_1303; ap_reg_ppstg_b_reg_1303_pp0_it12 <= ap_reg_ppstg_b_reg_1303_pp0_it11; ap_reg_ppstg_b_reg_1303_pp0_it13 <= ap_reg_ppstg_b_reg_1303_pp0_it12; ap_reg_ppstg_b_reg_1303_pp0_it14 <= ap_reg_ppstg_b_reg_1303_pp0_it13; ap_reg_ppstg_b_reg_1303_pp0_it15 <= ap_reg_ppstg_b_reg_1303_pp0_it14; ap_reg_ppstg_b_reg_1303_pp0_it16 <= ap_reg_ppstg_b_reg_1303_pp0_it15; ap_reg_ppstg_b_reg_1303_pp0_it17 <= ap_reg_ppstg_b_reg_1303_pp0_it16; ap_reg_ppstg_b_reg_1303_pp0_it18 <= ap_reg_ppstg_b_reg_1303_pp0_it17; ap_reg_ppstg_b_reg_1303_pp0_it19 <= ap_reg_ppstg_b_reg_1303_pp0_it18; ap_reg_ppstg_b_reg_1303_pp0_it20 <= ap_reg_ppstg_b_reg_1303_pp0_it19; ap_reg_ppstg_b_reg_1303_pp0_it21 <= ap_reg_ppstg_b_reg_1303_pp0_it20; ap_reg_ppstg_b_reg_1303_pp0_it22 <= ap_reg_ppstg_b_reg_1303_pp0_it21; ap_reg_ppstg_b_reg_1303_pp0_it23 <= ap_reg_ppstg_b_reg_1303_pp0_it22; ap_reg_ppstg_b_reg_1303_pp0_it24 <= ap_reg_ppstg_b_reg_1303_pp0_it23; ap_reg_ppstg_c_reg_1310_pp0_it11 <= c_reg_1310; ap_reg_ppstg_c_reg_1310_pp0_it12 <= ap_reg_ppstg_c_reg_1310_pp0_it11; ap_reg_ppstg_c_reg_1310_pp0_it13 <= ap_reg_ppstg_c_reg_1310_pp0_it12; ap_reg_ppstg_c_reg_1310_pp0_it14 <= ap_reg_ppstg_c_reg_1310_pp0_it13; ap_reg_ppstg_c_reg_1310_pp0_it15 <= ap_reg_ppstg_c_reg_1310_pp0_it14; ap_reg_ppstg_c_reg_1310_pp0_it16 <= ap_reg_ppstg_c_reg_1310_pp0_it15; ap_reg_ppstg_c_reg_1310_pp0_it17 <= ap_reg_ppstg_c_reg_1310_pp0_it16; ap_reg_ppstg_c_reg_1310_pp0_it18 <= ap_reg_ppstg_c_reg_1310_pp0_it17; ap_reg_ppstg_c_reg_1310_pp0_it19 <= ap_reg_ppstg_c_reg_1310_pp0_it18; ap_reg_ppstg_c_reg_1310_pp0_it20 <= ap_reg_ppstg_c_reg_1310_pp0_it19; ap_reg_ppstg_c_reg_1310_pp0_it21 <= ap_reg_ppstg_c_reg_1310_pp0_it20; ap_reg_ppstg_c_reg_1310_pp0_it22 <= ap_reg_ppstg_c_reg_1310_pp0_it21; ap_reg_ppstg_c_reg_1310_pp0_it23 <= ap_reg_ppstg_c_reg_1310_pp0_it22; ap_reg_ppstg_c_reg_1310_pp0_it24 <= ap_reg_ppstg_c_reg_1310_pp0_it23; ap_reg_ppstg_c_reg_1310_pp0_it25 <= ap_reg_ppstg_c_reg_1310_pp0_it24; ap_reg_ppstg_c_reg_1310_pp0_it26 <= ap_reg_ppstg_c_reg_1310_pp0_it25; ap_reg_ppstg_c_reg_1310_pp0_it27 <= ap_reg_ppstg_c_reg_1310_pp0_it26; ap_reg_ppstg_c_reg_1310_pp0_it28 <= ap_reg_ppstg_c_reg_1310_pp0_it27; ap_reg_ppstg_c_reg_1310_pp0_it29 <= ap_reg_ppstg_c_reg_1310_pp0_it28; ap_reg_ppstg_c_reg_1310_pp0_it30 <= ap_reg_ppstg_c_reg_1310_pp0_it29; ap_reg_ppstg_c_reg_1310_pp0_it31 <= ap_reg_ppstg_c_reg_1310_pp0_it30; ap_reg_ppstg_c_reg_1310_pp0_it32 <= ap_reg_ppstg_c_reg_1310_pp0_it31; ap_reg_ppstg_c_reg_1310_pp0_it33 <= ap_reg_ppstg_c_reg_1310_pp0_it32; ap_reg_ppstg_d_reg_1317_pp0_it11 <= d_reg_1317; ap_reg_ppstg_d_reg_1317_pp0_it12 <= ap_reg_ppstg_d_reg_1317_pp0_it11; ap_reg_ppstg_d_reg_1317_pp0_it13 <= ap_reg_ppstg_d_reg_1317_pp0_it12; ap_reg_ppstg_d_reg_1317_pp0_it14 <= ap_reg_ppstg_d_reg_1317_pp0_it13; ap_reg_ppstg_d_reg_1317_pp0_it15 <= ap_reg_ppstg_d_reg_1317_pp0_it14; ap_reg_ppstg_d_reg_1317_pp0_it16 <= ap_reg_ppstg_d_reg_1317_pp0_it15; ap_reg_ppstg_d_reg_1317_pp0_it17 <= ap_reg_ppstg_d_reg_1317_pp0_it16; ap_reg_ppstg_d_reg_1317_pp0_it18 <= ap_reg_ppstg_d_reg_1317_pp0_it17; ap_reg_ppstg_d_reg_1317_pp0_it19 <= ap_reg_ppstg_d_reg_1317_pp0_it18; ap_reg_ppstg_d_reg_1317_pp0_it20 <= ap_reg_ppstg_d_reg_1317_pp0_it19; ap_reg_ppstg_d_reg_1317_pp0_it21 <= ap_reg_ppstg_d_reg_1317_pp0_it20; ap_reg_ppstg_d_reg_1317_pp0_it22 <= ap_reg_ppstg_d_reg_1317_pp0_it21; ap_reg_ppstg_d_reg_1317_pp0_it23 <= ap_reg_ppstg_d_reg_1317_pp0_it22; ap_reg_ppstg_d_reg_1317_pp0_it24 <= ap_reg_ppstg_d_reg_1317_pp0_it23; ap_reg_ppstg_d_reg_1317_pp0_it25 <= ap_reg_ppstg_d_reg_1317_pp0_it24; ap_reg_ppstg_d_reg_1317_pp0_it26 <= ap_reg_ppstg_d_reg_1317_pp0_it25; ap_reg_ppstg_d_reg_1317_pp0_it27 <= ap_reg_ppstg_d_reg_1317_pp0_it26; ap_reg_ppstg_d_reg_1317_pp0_it28 <= ap_reg_ppstg_d_reg_1317_pp0_it27; ap_reg_ppstg_d_reg_1317_pp0_it29 <= ap_reg_ppstg_d_reg_1317_pp0_it28; ap_reg_ppstg_d_reg_1317_pp0_it30 <= ap_reg_ppstg_d_reg_1317_pp0_it29; ap_reg_ppstg_d_reg_1317_pp0_it31 <= ap_reg_ppstg_d_reg_1317_pp0_it30; ap_reg_ppstg_d_reg_1317_pp0_it32 <= ap_reg_ppstg_d_reg_1317_pp0_it31; ap_reg_ppstg_d_reg_1317_pp0_it33 <= ap_reg_ppstg_d_reg_1317_pp0_it32; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it10 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it9; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it11 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it10; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it12 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it11; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it13 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it12; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it14 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it13; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it15 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it14; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it16 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it15; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it17 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it16; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it18 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it17; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it19 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it18; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it2 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it1; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it20 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it19; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it21 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it20; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it22 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it21; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it23 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it22; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it24 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it23; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it25 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it24; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it26 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it25; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it27 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it26; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it28 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it27; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it29 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it28; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it3 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it2; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it30 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it29; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it31 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it30; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it32 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it31; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it33 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it32; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it34 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it33; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it35 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it34; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it36 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it35; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it37 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it36; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it38 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it37; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it39 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it38; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it4 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it3; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it40 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it39; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it41 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it40; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it42 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it41; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it43 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it42; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it44 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it43; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it45 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it44; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it46 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it45; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it47 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it46; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it48 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it47; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it49 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it48; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it5 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it4; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it50 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it49; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it51 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it50; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it52 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it51; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it53 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it52; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it54 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it53; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it55 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it54; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it56 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it55; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it57 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it56; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it58 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it57; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it59 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it58; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it6 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it5; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it60 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it59; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it61 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it60; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it62 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it61; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it63 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it62; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it64 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it63; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it65 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it64; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it66 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it65; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it67 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it66; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it68 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it67; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it69 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it68; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it7 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it6; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it70 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it69; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it71 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it70; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it72 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it71; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it73 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it72; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it74 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it73; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it75 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it74; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it76 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it75; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it77 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it76; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it78 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it77; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it79 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it78; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it8 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it7; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it80 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it79; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it81 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it80; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it82 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it81; ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it9 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it8; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it10 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it9; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it11 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it10; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it12 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it11; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it13 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it12; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it14 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it13; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it15 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it14; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it16 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it15; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it17 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it16; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it18 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it17; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it19 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it18; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it2 <= data_array_load_2_reg_1150; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it20 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it19; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it21 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it20; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it22 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it21; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it23 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it22; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it24 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it23; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it25 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it24; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it26 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it25; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it27 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it26; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it28 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it27; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it29 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it28; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it3 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it2; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it30 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it29; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it31 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it30; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it32 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it31; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it33 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it32; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it34 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it33; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it35 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it34; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it36 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it35; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it37 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it36; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it38 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it37; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it39 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it38; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it4 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it3; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it40 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it39; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it41 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it40; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it42 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it41; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it43 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it42; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it44 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it43; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it45 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it44; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it46 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it45; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it47 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it46; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it48 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it47; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it49 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it48; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it5 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it4; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it50 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it49; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it51 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it50; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it52 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it51; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it53 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it52; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it54 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it53; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it55 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it54; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it56 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it55; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it57 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it56; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it58 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it57; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it59 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it58; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it6 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it5; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it60 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it59; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it61 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it60; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it62 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it61; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it63 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it62; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it64 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it63; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it65 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it64; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it66 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it65; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it67 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it66; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it68 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it67; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it69 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it68; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it7 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it6; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it70 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it69; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it71 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it70; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it72 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it71; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it73 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it72; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it74 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it73; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it75 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it74; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it76 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it75; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it77 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it76; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it78 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it77; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it79 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it78; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it8 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it7; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it80 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it79; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it81 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it80; ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it9 <= ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it8; ap_reg_ppstg_e_reg_1324_pp0_it11 <= e_reg_1324; ap_reg_ppstg_e_reg_1324_pp0_it12 <= ap_reg_ppstg_e_reg_1324_pp0_it11; ap_reg_ppstg_e_reg_1324_pp0_it13 <= ap_reg_ppstg_e_reg_1324_pp0_it12; ap_reg_ppstg_e_reg_1324_pp0_it14 <= ap_reg_ppstg_e_reg_1324_pp0_it13; ap_reg_ppstg_e_reg_1324_pp0_it15 <= ap_reg_ppstg_e_reg_1324_pp0_it14; ap_reg_ppstg_e_reg_1324_pp0_it16 <= ap_reg_ppstg_e_reg_1324_pp0_it15; ap_reg_ppstg_e_reg_1324_pp0_it17 <= ap_reg_ppstg_e_reg_1324_pp0_it16; ap_reg_ppstg_e_reg_1324_pp0_it18 <= ap_reg_ppstg_e_reg_1324_pp0_it17; ap_reg_ppstg_e_reg_1324_pp0_it19 <= ap_reg_ppstg_e_reg_1324_pp0_it18; ap_reg_ppstg_e_reg_1324_pp0_it20 <= ap_reg_ppstg_e_reg_1324_pp0_it19; ap_reg_ppstg_e_reg_1324_pp0_it21 <= ap_reg_ppstg_e_reg_1324_pp0_it20; ap_reg_ppstg_e_reg_1324_pp0_it22 <= ap_reg_ppstg_e_reg_1324_pp0_it21; ap_reg_ppstg_e_reg_1324_pp0_it23 <= ap_reg_ppstg_e_reg_1324_pp0_it22; ap_reg_ppstg_e_reg_1324_pp0_it24 <= ap_reg_ppstg_e_reg_1324_pp0_it23; ap_reg_ppstg_exitcond2_reg_1135_pp0_it10 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it9; ap_reg_ppstg_exitcond2_reg_1135_pp0_it11 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it10; ap_reg_ppstg_exitcond2_reg_1135_pp0_it12 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it11; ap_reg_ppstg_exitcond2_reg_1135_pp0_it13 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it12; ap_reg_ppstg_exitcond2_reg_1135_pp0_it14 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it13; ap_reg_ppstg_exitcond2_reg_1135_pp0_it15 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it14; ap_reg_ppstg_exitcond2_reg_1135_pp0_it16 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it15; ap_reg_ppstg_exitcond2_reg_1135_pp0_it17 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it16; ap_reg_ppstg_exitcond2_reg_1135_pp0_it18 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it17; ap_reg_ppstg_exitcond2_reg_1135_pp0_it19 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it18; ap_reg_ppstg_exitcond2_reg_1135_pp0_it2 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it1; ap_reg_ppstg_exitcond2_reg_1135_pp0_it20 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it19; ap_reg_ppstg_exitcond2_reg_1135_pp0_it21 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it20; ap_reg_ppstg_exitcond2_reg_1135_pp0_it22 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it21; ap_reg_ppstg_exitcond2_reg_1135_pp0_it23 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it22; ap_reg_ppstg_exitcond2_reg_1135_pp0_it24 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it23; ap_reg_ppstg_exitcond2_reg_1135_pp0_it25 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it24; ap_reg_ppstg_exitcond2_reg_1135_pp0_it26 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it25; ap_reg_ppstg_exitcond2_reg_1135_pp0_it27 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it26; ap_reg_ppstg_exitcond2_reg_1135_pp0_it28 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it27; ap_reg_ppstg_exitcond2_reg_1135_pp0_it29 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it28; ap_reg_ppstg_exitcond2_reg_1135_pp0_it3 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it2; ap_reg_ppstg_exitcond2_reg_1135_pp0_it30 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it29; ap_reg_ppstg_exitcond2_reg_1135_pp0_it31 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it30; ap_reg_ppstg_exitcond2_reg_1135_pp0_it32 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it31; ap_reg_ppstg_exitcond2_reg_1135_pp0_it33 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it32; ap_reg_ppstg_exitcond2_reg_1135_pp0_it34 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it33; ap_reg_ppstg_exitcond2_reg_1135_pp0_it35 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it34; ap_reg_ppstg_exitcond2_reg_1135_pp0_it36 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it35; ap_reg_ppstg_exitcond2_reg_1135_pp0_it37 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it36; ap_reg_ppstg_exitcond2_reg_1135_pp0_it38 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it37; ap_reg_ppstg_exitcond2_reg_1135_pp0_it39 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it38; ap_reg_ppstg_exitcond2_reg_1135_pp0_it4 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it3; ap_reg_ppstg_exitcond2_reg_1135_pp0_it40 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it39; ap_reg_ppstg_exitcond2_reg_1135_pp0_it41 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it40; ap_reg_ppstg_exitcond2_reg_1135_pp0_it42 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it41; ap_reg_ppstg_exitcond2_reg_1135_pp0_it43 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it42; ap_reg_ppstg_exitcond2_reg_1135_pp0_it44 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it43; ap_reg_ppstg_exitcond2_reg_1135_pp0_it45 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it44; ap_reg_ppstg_exitcond2_reg_1135_pp0_it46 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it45; ap_reg_ppstg_exitcond2_reg_1135_pp0_it47 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it46; ap_reg_ppstg_exitcond2_reg_1135_pp0_it48 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it47; ap_reg_ppstg_exitcond2_reg_1135_pp0_it49 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it48; ap_reg_ppstg_exitcond2_reg_1135_pp0_it5 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it4; ap_reg_ppstg_exitcond2_reg_1135_pp0_it50 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it49; ap_reg_ppstg_exitcond2_reg_1135_pp0_it51 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it50; ap_reg_ppstg_exitcond2_reg_1135_pp0_it52 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it51; ap_reg_ppstg_exitcond2_reg_1135_pp0_it53 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it52; ap_reg_ppstg_exitcond2_reg_1135_pp0_it54 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it53; ap_reg_ppstg_exitcond2_reg_1135_pp0_it55 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it54; ap_reg_ppstg_exitcond2_reg_1135_pp0_it56 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it55; ap_reg_ppstg_exitcond2_reg_1135_pp0_it57 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it56; ap_reg_ppstg_exitcond2_reg_1135_pp0_it58 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it57; ap_reg_ppstg_exitcond2_reg_1135_pp0_it59 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it58; ap_reg_ppstg_exitcond2_reg_1135_pp0_it6 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it5; ap_reg_ppstg_exitcond2_reg_1135_pp0_it60 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it59; ap_reg_ppstg_exitcond2_reg_1135_pp0_it61 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it60; ap_reg_ppstg_exitcond2_reg_1135_pp0_it62 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it61; ap_reg_ppstg_exitcond2_reg_1135_pp0_it63 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it62; ap_reg_ppstg_exitcond2_reg_1135_pp0_it64 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it63; ap_reg_ppstg_exitcond2_reg_1135_pp0_it65 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it64; ap_reg_ppstg_exitcond2_reg_1135_pp0_it66 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it65; ap_reg_ppstg_exitcond2_reg_1135_pp0_it67 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it66; ap_reg_ppstg_exitcond2_reg_1135_pp0_it68 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it67; ap_reg_ppstg_exitcond2_reg_1135_pp0_it69 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it68; ap_reg_ppstg_exitcond2_reg_1135_pp0_it7 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it6; ap_reg_ppstg_exitcond2_reg_1135_pp0_it70 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it69; ap_reg_ppstg_exitcond2_reg_1135_pp0_it71 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it70; ap_reg_ppstg_exitcond2_reg_1135_pp0_it72 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it71; ap_reg_ppstg_exitcond2_reg_1135_pp0_it73 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it72; ap_reg_ppstg_exitcond2_reg_1135_pp0_it74 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it73; ap_reg_ppstg_exitcond2_reg_1135_pp0_it75 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it74; ap_reg_ppstg_exitcond2_reg_1135_pp0_it76 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it75; ap_reg_ppstg_exitcond2_reg_1135_pp0_it77 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it76; ap_reg_ppstg_exitcond2_reg_1135_pp0_it78 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it77; ap_reg_ppstg_exitcond2_reg_1135_pp0_it79 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it78; ap_reg_ppstg_exitcond2_reg_1135_pp0_it8 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it7; ap_reg_ppstg_exitcond2_reg_1135_pp0_it80 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it79; ap_reg_ppstg_exitcond2_reg_1135_pp0_it81 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it80; ap_reg_ppstg_exitcond2_reg_1135_pp0_it82 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it81; ap_reg_ppstg_exitcond2_reg_1135_pp0_it9 <= ap_reg_ppstg_exitcond2_reg_1135_pp0_it8; ap_reg_ppstg_f_reg_1331_pp0_it11 <= f_reg_1331; ap_reg_ppstg_f_reg_1331_pp0_it12 <= ap_reg_ppstg_f_reg_1331_pp0_it11; ap_reg_ppstg_f_reg_1331_pp0_it13 <= ap_reg_ppstg_f_reg_1331_pp0_it12; ap_reg_ppstg_f_reg_1331_pp0_it14 <= ap_reg_ppstg_f_reg_1331_pp0_it13; ap_reg_ppstg_f_reg_1331_pp0_it15 <= ap_reg_ppstg_f_reg_1331_pp0_it14; ap_reg_ppstg_f_reg_1331_pp0_it16 <= ap_reg_ppstg_f_reg_1331_pp0_it15; ap_reg_ppstg_f_reg_1331_pp0_it17 <= ap_reg_ppstg_f_reg_1331_pp0_it16; ap_reg_ppstg_f_reg_1331_pp0_it18 <= ap_reg_ppstg_f_reg_1331_pp0_it17; ap_reg_ppstg_f_reg_1331_pp0_it19 <= ap_reg_ppstg_f_reg_1331_pp0_it18; ap_reg_ppstg_f_reg_1331_pp0_it20 <= ap_reg_ppstg_f_reg_1331_pp0_it19; ap_reg_ppstg_f_reg_1331_pp0_it21 <= ap_reg_ppstg_f_reg_1331_pp0_it20; ap_reg_ppstg_f_reg_1331_pp0_it22 <= ap_reg_ppstg_f_reg_1331_pp0_it21; ap_reg_ppstg_f_reg_1331_pp0_it23 <= ap_reg_ppstg_f_reg_1331_pp0_it22; ap_reg_ppstg_f_reg_1331_pp0_it24 <= ap_reg_ppstg_f_reg_1331_pp0_it23; ap_reg_ppstg_g_reg_1359_pp0_it12 <= g_reg_1359; ap_reg_ppstg_g_reg_1359_pp0_it13 <= ap_reg_ppstg_g_reg_1359_pp0_it12; ap_reg_ppstg_g_reg_1359_pp0_it14 <= ap_reg_ppstg_g_reg_1359_pp0_it13; ap_reg_ppstg_g_reg_1359_pp0_it15 <= ap_reg_ppstg_g_reg_1359_pp0_it14; ap_reg_ppstg_g_reg_1359_pp0_it16 <= ap_reg_ppstg_g_reg_1359_pp0_it15; ap_reg_ppstg_g_reg_1359_pp0_it17 <= ap_reg_ppstg_g_reg_1359_pp0_it16; ap_reg_ppstg_g_reg_1359_pp0_it18 <= ap_reg_ppstg_g_reg_1359_pp0_it17; ap_reg_ppstg_g_reg_1359_pp0_it19 <= ap_reg_ppstg_g_reg_1359_pp0_it18; ap_reg_ppstg_g_reg_1359_pp0_it20 <= ap_reg_ppstg_g_reg_1359_pp0_it19; ap_reg_ppstg_g_reg_1359_pp0_it21 <= ap_reg_ppstg_g_reg_1359_pp0_it20; ap_reg_ppstg_g_reg_1359_pp0_it22 <= ap_reg_ppstg_g_reg_1359_pp0_it21; ap_reg_ppstg_g_reg_1359_pp0_it23 <= ap_reg_ppstg_g_reg_1359_pp0_it22; ap_reg_ppstg_g_reg_1359_pp0_it24 <= ap_reg_ppstg_g_reg_1359_pp0_it23; ap_reg_ppstg_g_reg_1359_pp0_it25 <= ap_reg_ppstg_g_reg_1359_pp0_it24; ap_reg_ppstg_g_reg_1359_pp0_it26 <= ap_reg_ppstg_g_reg_1359_pp0_it25; ap_reg_ppstg_g_reg_1359_pp0_it27 <= ap_reg_ppstg_g_reg_1359_pp0_it26; ap_reg_ppstg_g_reg_1359_pp0_it28 <= ap_reg_ppstg_g_reg_1359_pp0_it27; ap_reg_ppstg_g_reg_1359_pp0_it29 <= ap_reg_ppstg_g_reg_1359_pp0_it28; ap_reg_ppstg_g_reg_1359_pp0_it30 <= ap_reg_ppstg_g_reg_1359_pp0_it29; ap_reg_ppstg_g_reg_1359_pp0_it31 <= ap_reg_ppstg_g_reg_1359_pp0_it30; ap_reg_ppstg_g_reg_1359_pp0_it32 <= ap_reg_ppstg_g_reg_1359_pp0_it31; ap_reg_ppstg_g_reg_1359_pp0_it33 <= ap_reg_ppstg_g_reg_1359_pp0_it32; ap_reg_ppstg_h_reg_1366_pp0_it12 <= h_reg_1366; ap_reg_ppstg_h_reg_1366_pp0_it13 <= ap_reg_ppstg_h_reg_1366_pp0_it12; ap_reg_ppstg_h_reg_1366_pp0_it14 <= ap_reg_ppstg_h_reg_1366_pp0_it13; ap_reg_ppstg_h_reg_1366_pp0_it15 <= ap_reg_ppstg_h_reg_1366_pp0_it14; ap_reg_ppstg_h_reg_1366_pp0_it16 <= ap_reg_ppstg_h_reg_1366_pp0_it15; ap_reg_ppstg_h_reg_1366_pp0_it17 <= ap_reg_ppstg_h_reg_1366_pp0_it16; ap_reg_ppstg_h_reg_1366_pp0_it18 <= ap_reg_ppstg_h_reg_1366_pp0_it17; ap_reg_ppstg_h_reg_1366_pp0_it19 <= ap_reg_ppstg_h_reg_1366_pp0_it18; ap_reg_ppstg_h_reg_1366_pp0_it20 <= ap_reg_ppstg_h_reg_1366_pp0_it19; ap_reg_ppstg_h_reg_1366_pp0_it21 <= ap_reg_ppstg_h_reg_1366_pp0_it20; ap_reg_ppstg_h_reg_1366_pp0_it22 <= ap_reg_ppstg_h_reg_1366_pp0_it21; ap_reg_ppstg_h_reg_1366_pp0_it23 <= ap_reg_ppstg_h_reg_1366_pp0_it22; ap_reg_ppstg_h_reg_1366_pp0_it24 <= ap_reg_ppstg_h_reg_1366_pp0_it23; ap_reg_ppstg_i_1_reg_1373_pp0_it12 <= i_1_reg_1373; ap_reg_ppstg_i_1_reg_1373_pp0_it13 <= ap_reg_ppstg_i_1_reg_1373_pp0_it12; ap_reg_ppstg_i_1_reg_1373_pp0_it14 <= ap_reg_ppstg_i_1_reg_1373_pp0_it13; ap_reg_ppstg_i_1_reg_1373_pp0_it15 <= ap_reg_ppstg_i_1_reg_1373_pp0_it14; ap_reg_ppstg_i_1_reg_1373_pp0_it16 <= ap_reg_ppstg_i_1_reg_1373_pp0_it15; ap_reg_ppstg_i_1_reg_1373_pp0_it17 <= ap_reg_ppstg_i_1_reg_1373_pp0_it16; ap_reg_ppstg_i_1_reg_1373_pp0_it18 <= ap_reg_ppstg_i_1_reg_1373_pp0_it17; ap_reg_ppstg_i_1_reg_1373_pp0_it19 <= ap_reg_ppstg_i_1_reg_1373_pp0_it18; ap_reg_ppstg_i_1_reg_1373_pp0_it20 <= ap_reg_ppstg_i_1_reg_1373_pp0_it19; ap_reg_ppstg_i_1_reg_1373_pp0_it21 <= ap_reg_ppstg_i_1_reg_1373_pp0_it20; ap_reg_ppstg_i_1_reg_1373_pp0_it22 <= ap_reg_ppstg_i_1_reg_1373_pp0_it21; ap_reg_ppstg_i_1_reg_1373_pp0_it23 <= ap_reg_ppstg_i_1_reg_1373_pp0_it22; ap_reg_ppstg_i_1_reg_1373_pp0_it24 <= ap_reg_ppstg_i_1_reg_1373_pp0_it23; ap_reg_ppstg_j_reg_1338_pp0_it11 <= j_reg_1338; ap_reg_ppstg_j_reg_1338_pp0_it12 <= ap_reg_ppstg_j_reg_1338_pp0_it11; ap_reg_ppstg_j_reg_1338_pp0_it13 <= ap_reg_ppstg_j_reg_1338_pp0_it12; ap_reg_ppstg_j_reg_1338_pp0_it14 <= ap_reg_ppstg_j_reg_1338_pp0_it13; ap_reg_ppstg_j_reg_1338_pp0_it15 <= ap_reg_ppstg_j_reg_1338_pp0_it14; ap_reg_ppstg_j_reg_1338_pp0_it16 <= ap_reg_ppstg_j_reg_1338_pp0_it15; ap_reg_ppstg_j_reg_1338_pp0_it17 <= ap_reg_ppstg_j_reg_1338_pp0_it16; ap_reg_ppstg_j_reg_1338_pp0_it18 <= ap_reg_ppstg_j_reg_1338_pp0_it17; ap_reg_ppstg_j_reg_1338_pp0_it19 <= ap_reg_ppstg_j_reg_1338_pp0_it18; ap_reg_ppstg_j_reg_1338_pp0_it20 <= ap_reg_ppstg_j_reg_1338_pp0_it19; ap_reg_ppstg_j_reg_1338_pp0_it21 <= ap_reg_ppstg_j_reg_1338_pp0_it20; ap_reg_ppstg_j_reg_1338_pp0_it22 <= ap_reg_ppstg_j_reg_1338_pp0_it21; ap_reg_ppstg_j_reg_1338_pp0_it23 <= ap_reg_ppstg_j_reg_1338_pp0_it22; ap_reg_ppstg_j_reg_1338_pp0_it24 <= ap_reg_ppstg_j_reg_1338_pp0_it23; ap_reg_ppstg_k_reg_1345_pp0_it11 <= k_reg_1345; ap_reg_ppstg_k_reg_1345_pp0_it12 <= ap_reg_ppstg_k_reg_1345_pp0_it11; ap_reg_ppstg_k_reg_1345_pp0_it13 <= ap_reg_ppstg_k_reg_1345_pp0_it12; ap_reg_ppstg_k_reg_1345_pp0_it14 <= ap_reg_ppstg_k_reg_1345_pp0_it13; ap_reg_ppstg_k_reg_1345_pp0_it15 <= ap_reg_ppstg_k_reg_1345_pp0_it14; ap_reg_ppstg_k_reg_1345_pp0_it16 <= ap_reg_ppstg_k_reg_1345_pp0_it15; ap_reg_ppstg_k_reg_1345_pp0_it17 <= ap_reg_ppstg_k_reg_1345_pp0_it16; ap_reg_ppstg_k_reg_1345_pp0_it18 <= ap_reg_ppstg_k_reg_1345_pp0_it17; ap_reg_ppstg_k_reg_1345_pp0_it19 <= ap_reg_ppstg_k_reg_1345_pp0_it18; ap_reg_ppstg_k_reg_1345_pp0_it20 <= ap_reg_ppstg_k_reg_1345_pp0_it19; ap_reg_ppstg_k_reg_1345_pp0_it21 <= ap_reg_ppstg_k_reg_1345_pp0_it20; ap_reg_ppstg_k_reg_1345_pp0_it22 <= ap_reg_ppstg_k_reg_1345_pp0_it21; ap_reg_ppstg_k_reg_1345_pp0_it23 <= ap_reg_ppstg_k_reg_1345_pp0_it22; ap_reg_ppstg_k_reg_1345_pp0_it24 <= ap_reg_ppstg_k_reg_1345_pp0_it23; ap_reg_ppstg_l_reg_1352_pp0_it11 <= l_reg_1352; ap_reg_ppstg_l_reg_1352_pp0_it12 <= ap_reg_ppstg_l_reg_1352_pp0_it11; ap_reg_ppstg_l_reg_1352_pp0_it13 <= ap_reg_ppstg_l_reg_1352_pp0_it12; ap_reg_ppstg_l_reg_1352_pp0_it14 <= ap_reg_ppstg_l_reg_1352_pp0_it13; ap_reg_ppstg_l_reg_1352_pp0_it15 <= ap_reg_ppstg_l_reg_1352_pp0_it14; ap_reg_ppstg_l_reg_1352_pp0_it16 <= ap_reg_ppstg_l_reg_1352_pp0_it15; ap_reg_ppstg_l_reg_1352_pp0_it17 <= ap_reg_ppstg_l_reg_1352_pp0_it16; ap_reg_ppstg_l_reg_1352_pp0_it18 <= ap_reg_ppstg_l_reg_1352_pp0_it17; ap_reg_ppstg_l_reg_1352_pp0_it19 <= ap_reg_ppstg_l_reg_1352_pp0_it18; ap_reg_ppstg_l_reg_1352_pp0_it20 <= ap_reg_ppstg_l_reg_1352_pp0_it19; ap_reg_ppstg_l_reg_1352_pp0_it21 <= ap_reg_ppstg_l_reg_1352_pp0_it20; ap_reg_ppstg_l_reg_1352_pp0_it22 <= ap_reg_ppstg_l_reg_1352_pp0_it21; ap_reg_ppstg_l_reg_1352_pp0_it23 <= ap_reg_ppstg_l_reg_1352_pp0_it22; ap_reg_ppstg_l_reg_1352_pp0_it24 <= ap_reg_ppstg_l_reg_1352_pp0_it23; ap_reg_ppstg_l_reg_1352_pp0_it25 <= ap_reg_ppstg_l_reg_1352_pp0_it24; ap_reg_ppstg_l_reg_1352_pp0_it26 <= ap_reg_ppstg_l_reg_1352_pp0_it25; ap_reg_ppstg_l_reg_1352_pp0_it27 <= ap_reg_ppstg_l_reg_1352_pp0_it26; ap_reg_ppstg_l_reg_1352_pp0_it28 <= ap_reg_ppstg_l_reg_1352_pp0_it27; ap_reg_ppstg_l_reg_1352_pp0_it29 <= ap_reg_ppstg_l_reg_1352_pp0_it28; ap_reg_ppstg_l_reg_1352_pp0_it30 <= ap_reg_ppstg_l_reg_1352_pp0_it29; ap_reg_ppstg_l_reg_1352_pp0_it31 <= ap_reg_ppstg_l_reg_1352_pp0_it30; ap_reg_ppstg_l_reg_1352_pp0_it32 <= ap_reg_ppstg_l_reg_1352_pp0_it31; ap_reg_ppstg_l_reg_1352_pp0_it33 <= ap_reg_ppstg_l_reg_1352_pp0_it32; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it10 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it9; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it2 <= rdx_assign_new_reg_1200; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it3 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it2; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it4 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it3; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it5 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it4; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it6 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it5; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it7 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it6; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it8 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it7; ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it9 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it8; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it10 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it9; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it2 <= rdy_assign_new_reg_1205; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it3 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it2; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it4 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it3; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it5 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it4; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it6 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it5; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it7 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it6; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it8 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it7; ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it9 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it8; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it10 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it9; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it2 <= rdz_assign_new_reg_1210; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it3 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it2; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it4 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it3; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it5 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it4; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it6 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it5; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it7 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it6; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it8 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it7; ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it9 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it8; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it48 <= tmp_25_i_reg_1561; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it49 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it48; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it50 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it49; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it51 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it50; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it52 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it51; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it53 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it52; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it54 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it53; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it55 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it54; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it56 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it55; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it57 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it56; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it58 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it57; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it59 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it58; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it60 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it59; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it61 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it60; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it62 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it61; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it63 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it62; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it64 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it63; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it65 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it64; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it66 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it65; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it67 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it66; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it68 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it67; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it69 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it68; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it70 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it69; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it71 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it70; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it72 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it71; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it73 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it72; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it74 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it73; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it75 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it74; ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it76 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it75; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it48 <= tmp_31_i_reg_1566; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it49 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it48; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it50 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it49; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it51 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it50; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it52 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it51; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it53 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it52; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it54 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it53; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it55 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it54; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it56 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it55; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it57 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it56; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it58 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it57; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it59 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it58; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it60 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it59; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it61 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it60; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it62 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it61; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it63 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it62; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it64 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it63; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it65 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it64; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it66 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it65; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it67 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it66; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it68 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it67; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it69 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it68; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it70 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it69; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it71 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it70; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it72 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it71; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it73 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it72; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it74 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it73; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it75 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it74; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it76 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it75; ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it77 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it76; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it48 <= tmp_36_i_reg_1571; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it49 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it48; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it50 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it49; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it51 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it50; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it52 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it51; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it53 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it52; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it54 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it53; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it55 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it54; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it56 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it55; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it57 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it56; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it58 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it57; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it59 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it58; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it60 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it59; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it61 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it60; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it62 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it61; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it63 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it62; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it64 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it63; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it65 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it64; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it66 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it65; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it67 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it66; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it68 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it67; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it69 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it68; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it70 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it69; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it71 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it70; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it72 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it71; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it73 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it72; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it74 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it73; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it75 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it74; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it76 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it75; ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it77 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it76; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30)) then ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it1 <= data_array_addr_2_reg_1144; ap_reg_ppstg_exitcond2_reg_1135_pp0_it1 <= exitcond2_reg_1135; exitcond2_reg_1135 <= exitcond2_fu_791_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it81 = ap_const_lv1_0)) then beta_addr_1174175_part_set_reg_1593 <= beta_addr_1174175_part_set_fu_1054_p5; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30) and (exitcond2_fu_791_p2 = ap_const_lv1_0))) then data_array_addr_2_reg_1144 <= tmp_s_fu_803_p1(1 - 1 downto 0); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30) and (exitcond2_reg_1135 = ap_const_lv1_0))) then data_array_load_2_reg_1150 <= data_array_q0; rdx_assign_new_reg_1200 <= data_array_q0(319 downto 288); rdy_assign_new_reg_1205 <= data_array_q0(351 downto 320); rdz_assign_new_reg_1210 <= data_array_q0(383 downto 352); rex_assign_new_reg_1215 <= data_array_q0(415 downto 384); rey_assign_new_reg_1220 <= data_array_q0(447 downto 416); rez_assign_new_reg_1225 <= data_array_q0(479 downto 448); tmp_3_reg_1155 <= tmp_3_fu_808_p1; v0y_assign_new_reg_1160 <= data_array_q0(63 downto 32); v0z_assign_new_reg_1165 <= data_array_q0(95 downto 64); v1x_assign_new_reg_1170 <= data_array_q0(127 downto 96); v1y_assign_new_reg_1175 <= data_array_q0(159 downto 128); v1z_assign_new_reg_1180 <= data_array_q0(191 downto 160); v2x_assign_new_reg_1185 <= data_array_q0(223 downto 192); v2y_assign_new_reg_1190 <= data_array_q0(255 downto 224); v2z_assign_new_reg_1195 <= data_array_q0(287 downto 256); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it10 = ap_const_lv1_0)) then g_reg_1359 <= g_fu_1006_p1; h_reg_1366 <= h_fu_1010_p1; i_1_reg_1373 <= i_1_fu_1014_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it76 = ap_const_lv1_0)) then im_reg_1576 <= grp_fu_450_p2; tmp_61_neg_i_reg_1583 <= tmp_61_neg_i_fu_1022_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29))) then ins_dest_V_val_reg_1130 <= ins_TDEST; ins_id_V_val_reg_1125 <= ins_TID; ins_keep_V_val_reg_1105 <= ins_TKEEP; ins_last_V_val_reg_1120 <= ins_TLAST; ins_strb_V_val_reg_1110 <= ins_TSTRB; ins_user_V_val_reg_1115 <= ins_TUSER; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it46 = ap_const_lv1_0)) then m_reg_1556 <= grp_fu_326_p2; tmp_25_i_reg_1561 <= grp_fu_330_p2; tmp_31_i_reg_1566 <= grp_fu_334_p2; tmp_36_i_reg_1571 <= grp_fu_338_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ins_TVALID = ap_const_logic_0))) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st16_fsm_15)))) then reg_489 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st17_fsm_16)))) then reg_493 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17)))) then reg_497 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18)))) then reg_501 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st20_fsm_19)))) then reg_505 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st6_fsm_5)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st21_fsm_20)))) then reg_509 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st7_fsm_6)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st22_fsm_21)))) then reg_513 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st8_fsm_7)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)))) then reg_517 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st9_fsm_8)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23)))) then reg_521 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24)))) then reg_525 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st26_fsm_25)))) then reg_529 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st27_fsm_26)))) then reg_533 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st28_fsm_27)))) then reg_537 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28)))) then reg_541 <= ins_TDATA; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_32) and not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st119_fsm_35)))) then reg_545 <= data_array_q1(543 downto 512); reg_549 <= data_array_q1(575 downto 544); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it32 = ap_const_lv1_0)) then tmp_10_i_reg_1504 <= grp_fu_302_p2; tmp_23_i_reg_1510 <= grp_fu_306_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it37 = ap_const_lv1_0)) then tmp_11_i_reg_1521 <= grp_fu_422_p2; tmp_20_i_reg_1526 <= grp_fu_314_p2; tmp_24_i_reg_1531 <= grp_fu_426_p2; tmp_29_i_reg_1536 <= grp_fu_318_p2; tmp_30_i_reg_1541 <= grp_fu_430_p2; tmp_34_i_reg_1546 <= grp_fu_322_p2; tmp_35_i_reg_1551 <= grp_fu_434_p2; tmp_7_i_reg_1516 <= grp_fu_310_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it14 = ap_const_lv1_0)) then tmp_12_i_reg_1400 <= grp_fu_358_p2; tmp_13_i_reg_1405 <= grp_fu_362_p2; tmp_16_i_reg_1410 <= grp_fu_366_p2; tmp_17_i_reg_1415 <= grp_fu_370_p2; tmp_3_i_reg_1390 <= grp_fu_350_p2; tmp_4_i_reg_1395 <= grp_fu_354_p2; tmp_i_41_reg_1385 <= grp_fu_346_p2; tmp_i_reg_1380 <= grp_fu_342_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it23 = ap_const_lv1_0)) then tmp_14_i_reg_1442 <= grp_fu_294_p2; tmp_18_i_reg_1448 <= grp_fu_298_p2; tmp_1_i_reg_1420 <= grp_fu_286_p2; tmp_21_i_reg_1454 <= grp_fu_382_p2; tmp_22_i_reg_1459 <= grp_fu_386_p2; tmp_5_i_reg_1426 <= grp_fu_290_p2; tmp_8_i_reg_1432 <= grp_fu_374_p2; tmp_9_i_reg_1437 <= grp_fu_378_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond2_reg_1135_pp0_it28 = ap_const_lv1_0)) then tmp_15_i_reg_1474 <= grp_fu_398_p2; tmp_19_i_reg_1479 <= grp_fu_402_p2; tmp_27_i_reg_1484 <= grp_fu_406_p2; tmp_28_i_reg_1489 <= grp_fu_410_p2; tmp_2_i_reg_1464 <= grp_fu_390_p2; tmp_32_i_reg_1494 <= grp_fu_414_p2; tmp_33_i_reg_1499 <= grp_fu_418_p2; tmp_6_i_reg_1469 <= grp_fu_394_p2; end if; end if; end process; data_array_addr_reg_1095(0) <= '0'; data_array_addr_1_reg_1100(0) <= '1'; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ins_TVALID, ap_CS_fsm, ap_sig_ioackin_outs_TREADY, exitcond2_fu_791_p2, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it82, ap_reg_ppiten_pp0_it83) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st3_fsm_2; else ap_NS_fsm <= ap_ST_st2_fsm_1; end if; when ap_ST_st3_fsm_2 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st4_fsm_3; else ap_NS_fsm <= ap_ST_st3_fsm_2; end if; when ap_ST_st4_fsm_3 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st5_fsm_4; else ap_NS_fsm <= ap_ST_st4_fsm_3; end if; when ap_ST_st5_fsm_4 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st6_fsm_5; else ap_NS_fsm <= ap_ST_st5_fsm_4; end if; when ap_ST_st6_fsm_5 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st7_fsm_6; else ap_NS_fsm <= ap_ST_st6_fsm_5; end if; when ap_ST_st7_fsm_6 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st8_fsm_7; else ap_NS_fsm <= ap_ST_st7_fsm_6; end if; when ap_ST_st8_fsm_7 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st9_fsm_8; else ap_NS_fsm <= ap_ST_st8_fsm_7; end if; when ap_ST_st9_fsm_8 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st10_fsm_9; else ap_NS_fsm <= ap_ST_st9_fsm_8; end if; when ap_ST_st10_fsm_9 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st11_fsm_10; else ap_NS_fsm <= ap_ST_st10_fsm_9; end if; when ap_ST_st11_fsm_10 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st12_fsm_11; else ap_NS_fsm <= ap_ST_st11_fsm_10; end if; when ap_ST_st12_fsm_11 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st13_fsm_12; else ap_NS_fsm <= ap_ST_st12_fsm_11; end if; when ap_ST_st13_fsm_12 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st14_fsm_13; else ap_NS_fsm <= ap_ST_st13_fsm_12; end if; when ap_ST_st14_fsm_13 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st15_fsm_14; else ap_NS_fsm <= ap_ST_st14_fsm_13; end if; when ap_ST_st15_fsm_14 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st16_fsm_15; else ap_NS_fsm <= ap_ST_st15_fsm_14; end if; when ap_ST_st16_fsm_15 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st17_fsm_16; else ap_NS_fsm <= ap_ST_st16_fsm_15; end if; when ap_ST_st17_fsm_16 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st18_fsm_17; else ap_NS_fsm <= ap_ST_st17_fsm_16; end if; when ap_ST_st18_fsm_17 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st19_fsm_18; else ap_NS_fsm <= ap_ST_st18_fsm_17; end if; when ap_ST_st19_fsm_18 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st20_fsm_19; else ap_NS_fsm <= ap_ST_st19_fsm_18; end if; when ap_ST_st20_fsm_19 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st21_fsm_20; else ap_NS_fsm <= ap_ST_st20_fsm_19; end if; when ap_ST_st21_fsm_20 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st22_fsm_21; else ap_NS_fsm <= ap_ST_st21_fsm_20; end if; when ap_ST_st22_fsm_21 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st23_fsm_22; else ap_NS_fsm <= ap_ST_st22_fsm_21; end if; when ap_ST_st23_fsm_22 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st24_fsm_23; else ap_NS_fsm <= ap_ST_st23_fsm_22; end if; when ap_ST_st24_fsm_23 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st25_fsm_24; else ap_NS_fsm <= ap_ST_st24_fsm_23; end if; when ap_ST_st25_fsm_24 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st26_fsm_25; else ap_NS_fsm <= ap_ST_st25_fsm_24; end if; when ap_ST_st26_fsm_25 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st27_fsm_26; else ap_NS_fsm <= ap_ST_st26_fsm_25; end if; when ap_ST_st27_fsm_26 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st28_fsm_27; else ap_NS_fsm <= ap_ST_st27_fsm_26; end if; when ap_ST_st28_fsm_27 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st29_fsm_28; else ap_NS_fsm <= ap_ST_st28_fsm_27; end if; when ap_ST_st29_fsm_28 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st30_fsm_29; else ap_NS_fsm <= ap_ST_st29_fsm_28; end if; when ap_ST_st30_fsm_29 => if (not((ins_TVALID = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_30; else ap_NS_fsm <= ap_ST_st30_fsm_29; end if; when ap_ST_pp0_stg0_fsm_30 => if ((not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it83) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it82)))) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((exitcond2_fu_791_p2 = ap_const_lv1_0)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_30; elsif (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((exitcond2_fu_791_p2 = ap_const_lv1_0)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))) then ap_NS_fsm <= ap_ST_st115_fsm_31; else ap_NS_fsm <= ap_ST_st115_fsm_31; end if; when ap_ST_st115_fsm_31 => ap_NS_fsm <= ap_ST_st116_fsm_32; when ap_ST_st116_fsm_32 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st117_fsm_33; else ap_NS_fsm <= ap_ST_st116_fsm_32; end if; when ap_ST_st117_fsm_33 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st118_fsm_34; else ap_NS_fsm <= ap_ST_st117_fsm_33; end if; when ap_ST_st118_fsm_34 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st119_fsm_35; else ap_NS_fsm <= ap_ST_st118_fsm_34; end if; when ap_ST_st119_fsm_35 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st120_fsm_36; else ap_NS_fsm <= ap_ST_st119_fsm_35; end if; when ap_ST_st120_fsm_36 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st121_fsm_37; else ap_NS_fsm <= ap_ST_st120_fsm_36; end if; when ap_ST_st121_fsm_37 => if (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY))) then ap_NS_fsm <= ap_ST_st1_fsm_0; else ap_NS_fsm <= ap_ST_st121_fsm_37; end if; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end case; end process; -- ap_rst_n_inv assign process. -- ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; -- ap_sig_bdd_104 assign process. -- ap_sig_bdd_104_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_104 <= (ap_const_lv1_1 = ap_CS_fsm(16 downto 16)); end process; -- ap_sig_bdd_114 assign process. -- ap_sig_bdd_114_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_114 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2)); end process; -- ap_sig_bdd_122 assign process. -- ap_sig_bdd_122_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_122 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17)); end process; -- ap_sig_bdd_132 assign process. -- ap_sig_bdd_132_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_132 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3)); end process; -- ap_sig_bdd_140 assign process. -- ap_sig_bdd_140_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_140 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18)); end process; -- ap_sig_bdd_150 assign process. -- ap_sig_bdd_150_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_150 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4)); end process; -- ap_sig_bdd_158 assign process. -- ap_sig_bdd_158_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_158 <= (ap_const_lv1_1 = ap_CS_fsm(19 downto 19)); end process; -- ap_sig_bdd_168 assign process. -- ap_sig_bdd_168_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_168 <= (ap_const_lv1_1 = ap_CS_fsm(5 downto 5)); end process; -- ap_sig_bdd_176 assign process. -- ap_sig_bdd_176_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_176 <= (ap_const_lv1_1 = ap_CS_fsm(20 downto 20)); end process; -- ap_sig_bdd_186 assign process. -- ap_sig_bdd_186_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_186 <= (ap_const_lv1_1 = ap_CS_fsm(6 downto 6)); end process; -- ap_sig_bdd_1866 assign process. -- ap_sig_bdd_1866_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1866 <= (ap_const_lv1_1 = ap_CS_fsm(33 downto 33)); end process; -- ap_sig_bdd_1874 assign process. -- ap_sig_bdd_1874_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1874 <= (ap_const_lv1_1 = ap_CS_fsm(34 downto 34)); end process; -- ap_sig_bdd_1883 assign process. -- ap_sig_bdd_1883_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1883 <= (ap_const_lv1_1 = ap_CS_fsm(36 downto 36)); end process; -- ap_sig_bdd_1891 assign process. -- ap_sig_bdd_1891_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1891 <= (ap_const_lv1_1 = ap_CS_fsm(37 downto 37)); end process; -- ap_sig_bdd_194 assign process. -- ap_sig_bdd_194_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_194 <= (ap_const_lv1_1 = ap_CS_fsm(21 downto 21)); end process; -- ap_sig_bdd_1948 assign process. -- ap_sig_bdd_1948_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1948 <= (ap_const_lv1_1 = ap_CS_fsm(31 downto 31)); end process; -- ap_sig_bdd_204 assign process. -- ap_sig_bdd_204_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_204 <= (ap_const_lv1_1 = ap_CS_fsm(7 downto 7)); end process; -- ap_sig_bdd_212 assign process. -- ap_sig_bdd_212_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_212 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22)); end process; -- ap_sig_bdd_222 assign process. -- ap_sig_bdd_222_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_222 <= (ap_const_lv1_1 = ap_CS_fsm(8 downto 8)); end process; -- ap_sig_bdd_230 assign process. -- ap_sig_bdd_230_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_230 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23)); end process; -- ap_sig_bdd_240 assign process. -- ap_sig_bdd_240_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_240 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9)); end process; -- ap_sig_bdd_248 assign process. -- ap_sig_bdd_248_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_248 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24)); end process; -- ap_sig_bdd_258 assign process. -- ap_sig_bdd_258_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_258 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10)); end process; -- ap_sig_bdd_266 assign process. -- ap_sig_bdd_266_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_266 <= (ap_const_lv1_1 = ap_CS_fsm(25 downto 25)); end process; -- ap_sig_bdd_276 assign process. -- ap_sig_bdd_276_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_276 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11)); end process; -- ap_sig_bdd_284 assign process. -- ap_sig_bdd_284_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_284 <= (ap_const_lv1_1 = ap_CS_fsm(26 downto 26)); end process; -- ap_sig_bdd_294 assign process. -- ap_sig_bdd_294_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_294 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12)); end process; -- ap_sig_bdd_302 assign process. -- ap_sig_bdd_302_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_302 <= (ap_const_lv1_1 = ap_CS_fsm(27 downto 27)); end process; -- ap_sig_bdd_312 assign process. -- ap_sig_bdd_312_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_312 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13)); end process; -- ap_sig_bdd_320 assign process. -- ap_sig_bdd_320_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_320 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28)); end process; -- ap_sig_bdd_331 assign process. -- ap_sig_bdd_331_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_331 <= (ap_const_lv1_1 = ap_CS_fsm(32 downto 32)); end process; -- ap_sig_bdd_342 assign process. -- ap_sig_bdd_342_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_342 <= (ap_const_lv1_1 = ap_CS_fsm(35 downto 35)); end process; -- ap_sig_bdd_355 assign process. -- ap_sig_bdd_355_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_355 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14)); end process; -- ap_sig_bdd_365 assign process. -- ap_sig_bdd_365_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_365 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29)); end process; -- ap_sig_bdd_387 assign process. -- ap_sig_bdd_387_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_387 <= (ap_const_lv1_1 = ap_CS_fsm(30 downto 30)); end process; -- ap_sig_bdd_75 assign process. -- ap_sig_bdd_75_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_75 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_86 assign process. -- ap_sig_bdd_86_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_86 <= (ap_const_lv1_1 = ap_CS_fsm(15 downto 15)); end process; -- ap_sig_bdd_96 assign process. -- ap_sig_bdd_96_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_96 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1)); end process; -- ap_sig_cseq_ST_pp0_stg0_fsm_30 assign process. -- ap_sig_cseq_ST_pp0_stg0_fsm_30_assign_proc : process(ap_sig_bdd_387) begin if (ap_sig_bdd_387) then ap_sig_cseq_ST_pp0_stg0_fsm_30 <= ap_const_logic_1; else ap_sig_cseq_ST_pp0_stg0_fsm_30 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st10_fsm_9 assign process. -- ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_240) begin if (ap_sig_bdd_240) then ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1; else ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st115_fsm_31 assign process. -- ap_sig_cseq_ST_st115_fsm_31_assign_proc : process(ap_sig_bdd_1948) begin if (ap_sig_bdd_1948) then ap_sig_cseq_ST_st115_fsm_31 <= ap_const_logic_1; else ap_sig_cseq_ST_st115_fsm_31 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st116_fsm_32 assign process. -- ap_sig_cseq_ST_st116_fsm_32_assign_proc : process(ap_sig_bdd_331) begin if (ap_sig_bdd_331) then ap_sig_cseq_ST_st116_fsm_32 <= ap_const_logic_1; else ap_sig_cseq_ST_st116_fsm_32 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st117_fsm_33 assign process. -- ap_sig_cseq_ST_st117_fsm_33_assign_proc : process(ap_sig_bdd_1866) begin if (ap_sig_bdd_1866) then ap_sig_cseq_ST_st117_fsm_33 <= ap_const_logic_1; else ap_sig_cseq_ST_st117_fsm_33 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st118_fsm_34 assign process. -- ap_sig_cseq_ST_st118_fsm_34_assign_proc : process(ap_sig_bdd_1874) begin if (ap_sig_bdd_1874) then ap_sig_cseq_ST_st118_fsm_34 <= ap_const_logic_1; else ap_sig_cseq_ST_st118_fsm_34 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st119_fsm_35 assign process. -- ap_sig_cseq_ST_st119_fsm_35_assign_proc : process(ap_sig_bdd_342) begin if (ap_sig_bdd_342) then ap_sig_cseq_ST_st119_fsm_35 <= ap_const_logic_1; else ap_sig_cseq_ST_st119_fsm_35 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st11_fsm_10 assign process. -- ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_258) begin if (ap_sig_bdd_258) then ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1; else ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st120_fsm_36 assign process. -- ap_sig_cseq_ST_st120_fsm_36_assign_proc : process(ap_sig_bdd_1883) begin if (ap_sig_bdd_1883) then ap_sig_cseq_ST_st120_fsm_36 <= ap_const_logic_1; else ap_sig_cseq_ST_st120_fsm_36 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st121_fsm_37 assign process. -- ap_sig_cseq_ST_st121_fsm_37_assign_proc : process(ap_sig_bdd_1891) begin if (ap_sig_bdd_1891) then ap_sig_cseq_ST_st121_fsm_37 <= ap_const_logic_1; else ap_sig_cseq_ST_st121_fsm_37 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st12_fsm_11 assign process. -- ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_276) begin if (ap_sig_bdd_276) then ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1; else ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st13_fsm_12 assign process. -- ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_294) begin if (ap_sig_bdd_294) then ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1; else ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st14_fsm_13 assign process. -- ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_312) begin if (ap_sig_bdd_312) then ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1; else ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st15_fsm_14 assign process. -- ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_355) begin if (ap_sig_bdd_355) then ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1; else ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st16_fsm_15 assign process. -- ap_sig_cseq_ST_st16_fsm_15_assign_proc : process(ap_sig_bdd_86) begin if (ap_sig_bdd_86) then ap_sig_cseq_ST_st16_fsm_15 <= ap_const_logic_1; else ap_sig_cseq_ST_st16_fsm_15 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st17_fsm_16 assign process. -- ap_sig_cseq_ST_st17_fsm_16_assign_proc : process(ap_sig_bdd_104) begin if (ap_sig_bdd_104) then ap_sig_cseq_ST_st17_fsm_16 <= ap_const_logic_1; else ap_sig_cseq_ST_st17_fsm_16 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st18_fsm_17 assign process. -- ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_122) begin if (ap_sig_bdd_122) then ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1; else ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st19_fsm_18 assign process. -- ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_140) begin if (ap_sig_bdd_140) then ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1; else ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_75) begin if (ap_sig_bdd_75) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st20_fsm_19 assign process. -- ap_sig_cseq_ST_st20_fsm_19_assign_proc : process(ap_sig_bdd_158) begin if (ap_sig_bdd_158) then ap_sig_cseq_ST_st20_fsm_19 <= ap_const_logic_1; else ap_sig_cseq_ST_st20_fsm_19 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st21_fsm_20 assign process. -- ap_sig_cseq_ST_st21_fsm_20_assign_proc : process(ap_sig_bdd_176) begin if (ap_sig_bdd_176) then ap_sig_cseq_ST_st21_fsm_20 <= ap_const_logic_1; else ap_sig_cseq_ST_st21_fsm_20 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st22_fsm_21 assign process. -- ap_sig_cseq_ST_st22_fsm_21_assign_proc : process(ap_sig_bdd_194) begin if (ap_sig_bdd_194) then ap_sig_cseq_ST_st22_fsm_21 <= ap_const_logic_1; else ap_sig_cseq_ST_st22_fsm_21 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st23_fsm_22 assign process. -- ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_212) begin if (ap_sig_bdd_212) then ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1; else ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st24_fsm_23 assign process. -- ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_230) begin if (ap_sig_bdd_230) then ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1; else ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st25_fsm_24 assign process. -- ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_248) begin if (ap_sig_bdd_248) then ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1; else ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st26_fsm_25 assign process. -- ap_sig_cseq_ST_st26_fsm_25_assign_proc : process(ap_sig_bdd_266) begin if (ap_sig_bdd_266) then ap_sig_cseq_ST_st26_fsm_25 <= ap_const_logic_1; else ap_sig_cseq_ST_st26_fsm_25 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st27_fsm_26 assign process. -- ap_sig_cseq_ST_st27_fsm_26_assign_proc : process(ap_sig_bdd_284) begin if (ap_sig_bdd_284) then ap_sig_cseq_ST_st27_fsm_26 <= ap_const_logic_1; else ap_sig_cseq_ST_st27_fsm_26 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st28_fsm_27 assign process. -- ap_sig_cseq_ST_st28_fsm_27_assign_proc : process(ap_sig_bdd_302) begin if (ap_sig_bdd_302) then ap_sig_cseq_ST_st28_fsm_27 <= ap_const_logic_1; else ap_sig_cseq_ST_st28_fsm_27 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st29_fsm_28 assign process. -- ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_320) begin if (ap_sig_bdd_320) then ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1; else ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st2_fsm_1 assign process. -- ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_96) begin if (ap_sig_bdd_96) then ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1; else ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st30_fsm_29 assign process. -- ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_365) begin if (ap_sig_bdd_365) then ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1; else ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st3_fsm_2 assign process. -- ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_114) begin if (ap_sig_bdd_114) then ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1; else ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st4_fsm_3 assign process. -- ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_132) begin if (ap_sig_bdd_132) then ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1; else ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st5_fsm_4 assign process. -- ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_150) begin if (ap_sig_bdd_150) then ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1; else ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st6_fsm_5 assign process. -- ap_sig_cseq_ST_st6_fsm_5_assign_proc : process(ap_sig_bdd_168) begin if (ap_sig_bdd_168) then ap_sig_cseq_ST_st6_fsm_5 <= ap_const_logic_1; else ap_sig_cseq_ST_st6_fsm_5 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st7_fsm_6 assign process. -- ap_sig_cseq_ST_st7_fsm_6_assign_proc : process(ap_sig_bdd_186) begin if (ap_sig_bdd_186) then ap_sig_cseq_ST_st7_fsm_6 <= ap_const_logic_1; else ap_sig_cseq_ST_st7_fsm_6 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st8_fsm_7 assign process. -- ap_sig_cseq_ST_st8_fsm_7_assign_proc : process(ap_sig_bdd_204) begin if (ap_sig_bdd_204) then ap_sig_cseq_ST_st8_fsm_7 <= ap_const_logic_1; else ap_sig_cseq_ST_st8_fsm_7 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st9_fsm_8 assign process. -- ap_sig_cseq_ST_st9_fsm_8_assign_proc : process(ap_sig_bdd_222) begin if (ap_sig_bdd_222) then ap_sig_cseq_ST_st9_fsm_8 <= ap_const_logic_1; else ap_sig_cseq_ST_st9_fsm_8 <= ap_const_logic_0; end if; end process; -- ap_sig_ioackin_outs_TREADY assign process. -- ap_sig_ioackin_outs_TREADY_assign_proc : process(outs_TREADY, ap_reg_ioackin_outs_TREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) then ap_sig_ioackin_outs_TREADY <= outs_TREADY; else ap_sig_ioackin_outs_TREADY <= ap_const_logic_1; end if; end process; beta_addr_1174175_part_set_fu_1054_p5 <= (tmp_2_fu_1044_p4 & ap_reg_ppstg_data_array_load_2_reg_1150_pp0_it81(479 downto 0)); beta_load_fu_1075_p1 <= reg_549; beta_load_s_fu_1090_p1 <= reg_549; beta_write_assign_toint_fu_1040_p1 <= grp_fu_446_p2; data_array_addr_1_gep_fu_220_p3 <= ap_const_lv64_1(1 - 1 downto 0); data_array_addr_gep_fu_208_p3 <= ap_const_lv64_0(1 - 1 downto 0); -- data_array_address0 assign process. -- data_array_address0_assign_proc : process(ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_pp0_stg0_fsm_30, ap_reg_ppiten_pp0_it0, tmp_s_fu_803_p1) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then data_array_address0 <= ap_const_lv64_1(1 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then data_array_address0 <= ap_const_lv64_0(1 - 1 downto 0); elsif (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then data_array_address0 <= tmp_s_fu_803_p1(1 - 1 downto 0); else data_array_address0 <= "X"; end if; end process; -- data_array_address1 assign process. -- data_array_address1_assign_proc : process(data_array_addr_reg_1095, data_array_addr_1_reg_1100, ap_reg_ppiten_pp0_it83, ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it82, ap_sig_cseq_ST_st118_fsm_34, ap_sig_cseq_ST_st115_fsm_31) begin if ((ap_const_logic_1 = ap_reg_ppiten_pp0_it83)) then data_array_address1 <= ap_reg_ppstg_data_array_addr_2_reg_1144_pp0_it82; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_34)) then data_array_address1 <= data_array_addr_1_reg_1100; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st115_fsm_31)) then data_array_address1 <= data_array_addr_reg_1095; else data_array_address1 <= "X"; end if; end process; -- data_array_ce0 assign process. -- data_array_ce0_assign_proc : process(ins_TVALID, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_pp0_stg0_fsm_30, ap_reg_ppiten_pp0_it0) begin if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_30) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)))) then data_array_ce0 <= ap_const_logic_1; else data_array_ce0 <= ap_const_logic_0; end if; end process; -- data_array_ce1 assign process. -- data_array_ce1_assign_proc : process(ap_sig_ioackin_outs_TREADY, ap_reg_ppiten_pp0_it83, ap_sig_cseq_ST_st118_fsm_34, ap_sig_cseq_ST_st115_fsm_31) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it83) or (not((ap_const_logic_0 = ap_sig_ioackin_outs_TREADY)) and (ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_34)) or (ap_const_logic_1 = ap_sig_cseq_ST_st115_fsm_31))) then data_array_ce1 <= ap_const_logic_1; else data_array_ce1 <= ap_const_logic_0; end if; end process; -- data_array_d0 assign process. -- data_array_d0_assign_proc : process(ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st30_fsm_29, rez_addr149150_part_set_fu_647_p5, rez_addr_1146147_part_set_fu_778_p5) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then data_array_d0 <= rez_addr_1146147_part_set_fu_778_p5; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then data_array_d0 <= rez_addr149150_part_set_fu_647_p5; else data_array_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; data_array_d1 <= beta_addr_1174175_part_set_reg_1593; -- data_array_we0 assign process. -- data_array_we0_assign_proc : process(ins_TVALID, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st30_fsm_29) begin if (((not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)))) then data_array_we0 <= ap_const_logic_1; else data_array_we0 <= ap_const_logic_0; end if; end process; -- data_array_we1 assign process. -- data_array_we1_assign_proc : process(ap_reg_ppiten_pp0_it83, ap_reg_ppstg_exitcond2_reg_1135_pp0_it82) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it83) and (ap_reg_ppstg_exitcond2_reg_1135_pp0_it82 = ap_const_lv1_0)))) then data_array_we1 <= ap_const_logic_1; else data_array_we1 <= ap_const_logic_0; end if; end process; exitcond2_fu_791_p2 <= "1" when (i1_reg_238 = ap_const_lv2_2) else "0"; g_fu_1006_p1 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it10; gamma_load_fu_1070_p1 <= reg_545; gamma_load_s_fu_1085_p1 <= reg_545; gamma_write_assign_toint_fu_1036_p1 <= grp_fu_442_p2; grp_fu_250_ce <= ap_const_logic_1; grp_fu_250_p0 <= v0x_assign4_fu_952_p1; grp_fu_250_p1 <= v1x_assign_new_reg_1170; grp_fu_254_ce <= ap_const_logic_1; grp_fu_254_p0 <= v0y_assign_fu_958_p1; grp_fu_254_p1 <= v1y_assign_new_reg_1175; grp_fu_258_ce <= ap_const_logic_1; grp_fu_258_p0 <= v0z_assign_fu_964_p1; grp_fu_258_p1 <= v1z_assign_new_reg_1180; grp_fu_262_ce <= ap_const_logic_1; grp_fu_262_p0 <= v0x_assign4_fu_952_p1; grp_fu_262_p1 <= v2x_assign_new_reg_1185; grp_fu_266_ce <= ap_const_logic_1; grp_fu_266_p0 <= v0y_assign_fu_958_p1; grp_fu_266_p1 <= v2y_assign_new_reg_1190; grp_fu_270_ce <= ap_const_logic_1; grp_fu_270_p0 <= v0z_assign_fu_964_p1; grp_fu_270_p1 <= v2z_assign_new_reg_1195; grp_fu_274_ce <= ap_const_logic_1; grp_fu_274_p0 <= v0x_assign4_fu_952_p1; grp_fu_274_p1 <= rex_assign_new_reg_1215; grp_fu_278_ce <= ap_const_logic_1; grp_fu_278_p0 <= v0y_assign_fu_958_p1; grp_fu_278_p1 <= rey_assign_new_reg_1220; grp_fu_282_ce <= ap_const_logic_1; grp_fu_282_p0 <= v0z_assign_fu_964_p1; grp_fu_282_p1 <= rez_assign_new_reg_1225; grp_fu_286_ce <= ap_const_logic_1; grp_fu_286_p0 <= tmp_i_reg_1380; grp_fu_286_p1 <= tmp_i_41_reg_1385; grp_fu_290_ce <= ap_const_logic_1; grp_fu_290_p0 <= tmp_3_i_reg_1390; grp_fu_290_p1 <= tmp_4_i_reg_1395; grp_fu_294_ce <= ap_const_logic_1; grp_fu_294_p0 <= tmp_12_i_reg_1400; grp_fu_294_p1 <= tmp_13_i_reg_1405; grp_fu_298_ce <= ap_const_logic_1; grp_fu_298_p0 <= tmp_16_i_reg_1410; grp_fu_298_p1 <= tmp_17_i_reg_1415; grp_fu_302_ce <= ap_const_logic_1; grp_fu_302_p0 <= tmp_8_i_reg_1432; grp_fu_302_p1 <= tmp_9_i_reg_1437; grp_fu_306_ce <= ap_const_logic_1; grp_fu_306_p0 <= tmp_21_i_reg_1454; grp_fu_306_p1 <= tmp_22_i_reg_1459; grp_fu_310_ce <= ap_const_logic_1; grp_fu_310_p0 <= tmp_2_i_reg_1464; grp_fu_310_p1 <= tmp_6_i_reg_1469; grp_fu_314_ce <= ap_const_logic_1; grp_fu_314_p0 <= tmp_15_i_reg_1474; grp_fu_314_p1 <= tmp_19_i_reg_1479; grp_fu_318_ce <= ap_const_logic_1; grp_fu_318_p0 <= tmp_27_i_reg_1484; grp_fu_318_p1 <= tmp_28_i_reg_1489; grp_fu_322_ce <= ap_const_logic_1; grp_fu_322_p0 <= tmp_32_i_reg_1494; grp_fu_322_p1 <= tmp_33_i_reg_1499; grp_fu_326_ce <= ap_const_logic_1; grp_fu_326_p0 <= tmp_7_i_reg_1516; grp_fu_326_p1 <= tmp_11_i_reg_1521; grp_fu_330_ce <= ap_const_logic_1; grp_fu_330_p0 <= tmp_20_i_reg_1526; grp_fu_330_p1 <= tmp_24_i_reg_1531; grp_fu_334_ce <= ap_const_logic_1; grp_fu_334_p0 <= tmp_29_i_reg_1536; grp_fu_334_p1 <= tmp_30_i_reg_1541; grp_fu_338_ce <= ap_const_logic_1; grp_fu_338_p0 <= tmp_34_i_reg_1546; grp_fu_338_p1 <= tmp_35_i_reg_1551; grp_fu_342_ce <= ap_const_logic_1; grp_fu_342_p0 <= e_reg_1324; grp_fu_342_p1 <= i_1_fu_1014_p1; grp_fu_346_ce <= ap_const_logic_1; grp_fu_346_p0 <= f_reg_1331; grp_fu_346_p1 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it10; grp_fu_350_ce <= ap_const_logic_1; grp_fu_350_p0 <= f_reg_1331; grp_fu_350_p1 <= ap_reg_ppstg_rdx_assign_new_reg_1200_pp0_it10; grp_fu_354_ce <= ap_const_logic_1; grp_fu_354_p0 <= d_reg_1317; grp_fu_354_p1 <= i_1_fu_1014_p1; grp_fu_358_ce <= ap_const_logic_1; grp_fu_358_p0 <= a_reg_1296; grp_fu_358_p1 <= k_reg_1345; grp_fu_362_ce <= ap_const_logic_1; grp_fu_362_p0 <= j_reg_1338; grp_fu_362_p1 <= b_reg_1303; grp_fu_366_ce <= ap_const_logic_1; grp_fu_366_p0 <= j_reg_1338; grp_fu_366_p1 <= c_reg_1310; grp_fu_370_ce <= ap_const_logic_1; grp_fu_370_p0 <= a_reg_1296; grp_fu_370_p1 <= l_reg_1352; grp_fu_374_ce <= ap_const_logic_1; grp_fu_374_p0 <= ap_reg_ppstg_d_reg_1317_pp0_it19; grp_fu_374_p1 <= ap_reg_ppstg_h_reg_1366_pp0_it19; grp_fu_378_ce <= ap_const_logic_1; grp_fu_378_p0 <= ap_reg_ppstg_e_reg_1324_pp0_it19; grp_fu_378_p1 <= ap_reg_ppstg_g_reg_1359_pp0_it19; grp_fu_382_ce <= ap_const_logic_1; grp_fu_382_p0 <= ap_reg_ppstg_b_reg_1303_pp0_it19; grp_fu_382_p1 <= ap_reg_ppstg_l_reg_1352_pp0_it19; grp_fu_386_ce <= ap_const_logic_1; grp_fu_386_p0 <= ap_reg_ppstg_k_reg_1345_pp0_it19; grp_fu_386_p1 <= ap_reg_ppstg_c_reg_1310_pp0_it19; grp_fu_390_ce <= ap_const_logic_1; grp_fu_390_p0 <= ap_reg_ppstg_a_reg_1296_pp0_it24; grp_fu_390_p1 <= tmp_1_i_reg_1420; grp_fu_394_ce <= ap_const_logic_1; grp_fu_394_p0 <= ap_reg_ppstg_b_reg_1303_pp0_it24; grp_fu_394_p1 <= tmp_5_i_reg_1426; grp_fu_398_ce <= ap_const_logic_1; grp_fu_398_p0 <= ap_reg_ppstg_f_reg_1331_pp0_it24; grp_fu_398_p1 <= tmp_14_i_reg_1442; grp_fu_402_ce <= ap_const_logic_1; grp_fu_402_p0 <= ap_reg_ppstg_e_reg_1324_pp0_it24; grp_fu_402_p1 <= tmp_18_i_reg_1448; grp_fu_406_ce <= ap_const_logic_1; grp_fu_406_p0 <= tmp_14_i_reg_1442; grp_fu_406_p1 <= ap_reg_ppstg_i_1_reg_1373_pp0_it24; grp_fu_410_ce <= ap_const_logic_1; grp_fu_410_p0 <= tmp_18_i_reg_1448; grp_fu_410_p1 <= ap_reg_ppstg_h_reg_1366_pp0_it24; grp_fu_414_ce <= ap_const_logic_1; grp_fu_414_p0 <= ap_reg_ppstg_j_reg_1338_pp0_it24; grp_fu_414_p1 <= tmp_1_i_reg_1420; grp_fu_418_ce <= ap_const_logic_1; grp_fu_418_p0 <= ap_reg_ppstg_k_reg_1345_pp0_it24; grp_fu_418_p1 <= tmp_5_i_reg_1426; grp_fu_422_ce <= ap_const_logic_1; grp_fu_422_p0 <= ap_reg_ppstg_c_reg_1310_pp0_it33; grp_fu_422_p1 <= tmp_10_i_reg_1504; grp_fu_426_ce <= ap_const_logic_1; grp_fu_426_p0 <= ap_reg_ppstg_d_reg_1317_pp0_it33; grp_fu_426_p1 <= tmp_23_i_reg_1510; grp_fu_430_ce <= ap_const_logic_1; grp_fu_430_p0 <= tmp_23_i_reg_1510; grp_fu_430_p1 <= ap_reg_ppstg_g_reg_1359_pp0_it33; grp_fu_434_ce <= ap_const_logic_1; grp_fu_434_p0 <= ap_reg_ppstg_l_reg_1352_pp0_it33; grp_fu_434_p1 <= tmp_10_i_reg_1504; grp_fu_438_ce <= ap_const_logic_1; grp_fu_438_p0 <= tmp_61_neg_i_reg_1583; grp_fu_438_p1 <= im_reg_1576; grp_fu_442_ce <= ap_const_logic_1; grp_fu_442_p0 <= ap_reg_ppstg_tmp_31_i_reg_1566_pp0_it77; grp_fu_442_p1 <= im_reg_1576; grp_fu_446_ce <= ap_const_logic_1; grp_fu_446_p0 <= ap_reg_ppstg_tmp_36_i_reg_1571_pp0_it77; grp_fu_446_p1 <= im_reg_1576; grp_fu_450_ce <= ap_const_logic_1; grp_fu_450_p0 <= ap_const_lv32_3F800000; grp_fu_450_p1 <= m_reg_1556; grp_fu_459_p4 <= data_array_q1(511 downto 480); h_fu_1010_p1 <= ap_reg_ppstg_rdy_assign_new_reg_1205_pp0_it10; i_1_fu_1014_p1 <= ap_reg_ppstg_rdz_assign_new_reg_1210_pp0_it10; i_fu_797_p2 <= std_logic_vector(unsigned(i1_reg_238) + unsigned(ap_const_lv2_1)); -- ins_TREADY assign process. -- ins_TREADY_assign_proc : process(ins_TVALID, ap_sig_cseq_ST_st1_fsm_0, ap_sig_cseq_ST_st16_fsm_15, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st17_fsm_16, ap_sig_cseq_ST_st3_fsm_2, ap_sig_cseq_ST_st18_fsm_17, ap_sig_cseq_ST_st4_fsm_3, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st5_fsm_4, ap_sig_cseq_ST_st20_fsm_19, ap_sig_cseq_ST_st6_fsm_5, ap_sig_cseq_ST_st21_fsm_20, ap_sig_cseq_ST_st7_fsm_6, ap_sig_cseq_ST_st22_fsm_21, ap_sig_cseq_ST_st8_fsm_7, ap_sig_cseq_ST_st23_fsm_22, ap_sig_cseq_ST_st9_fsm_8, ap_sig_cseq_ST_st24_fsm_23, ap_sig_cseq_ST_st10_fsm_9, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st11_fsm_10, ap_sig_cseq_ST_st26_fsm_25, ap_sig_cseq_ST_st12_fsm_11, ap_sig_cseq_ST_st27_fsm_26, ap_sig_cseq_ST_st13_fsm_12, ap_sig_cseq_ST_st28_fsm_27, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st29_fsm_28, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st30_fsm_29) begin if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ins_TVALID = ap_const_logic_0))) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st16_fsm_15)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st17_fsm_16)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st20_fsm_19)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st6_fsm_5)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st21_fsm_20)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st7_fsm_6)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st22_fsm_21)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st8_fsm_7)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st9_fsm_8)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st26_fsm_25)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st27_fsm_26)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st28_fsm_27)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) or (not((ins_TVALID = ap_const_logic_0)) and (ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)))) then ins_TREADY <= ap_const_logic_1; else ins_TREADY <= ap_const_logic_0; end if; end process; ins_data_tmp_load_10_toint_fu_593_p1 <= reg_529; ins_data_tmp_load_11_toint_fu_597_p1 <= reg_533; ins_data_tmp_load_12_toint_fu_601_p1 <= reg_537; ins_data_tmp_load_13_toint_fu_605_p1 <= reg_541; ins_data_tmp_load_14_toint_fu_609_p1 <= ins_TDATA; ins_data_tmp_load_15_toint_fu_660_p1 <= reg_489; ins_data_tmp_load_16_toint_fu_664_p1 <= reg_493; ins_data_tmp_load_17_toint_fu_668_p1 <= reg_497; ins_data_tmp_load_18_toint_fu_672_p1 <= reg_501; ins_data_tmp_load_19_toint_fu_676_p1 <= reg_505; ins_data_tmp_load_1_toint_fu_557_p1 <= reg_493; ins_data_tmp_load_20_toint_fu_680_p1 <= reg_509; ins_data_tmp_load_21_toint_fu_684_p1 <= reg_513; ins_data_tmp_load_22_toint_fu_688_p1 <= reg_517; ins_data_tmp_load_23_toint_fu_692_p1 <= reg_521; ins_data_tmp_load_24_toint_fu_696_p1 <= reg_525; ins_data_tmp_load_25_toint_fu_700_p1 <= reg_529; ins_data_tmp_load_26_toint_fu_704_p1 <= reg_533; ins_data_tmp_load_27_toint_fu_708_p1 <= reg_537; ins_data_tmp_load_28_toint_fu_712_p1 <= reg_541; ins_data_tmp_load_29_toint_fu_740_p1 <= ins_TDATA; ins_data_tmp_load_2_toint_fu_561_p1 <= reg_497; ins_data_tmp_load_3_toint_fu_565_p1 <= reg_501; ins_data_tmp_load_4_toint_fu_569_p1 <= reg_505; ins_data_tmp_load_5_toint_fu_573_p1 <= reg_509; ins_data_tmp_load_6_toint_fu_577_p1 <= reg_513; ins_data_tmp_load_7_toint_fu_581_p1 <= reg_517; ins_data_tmp_load_8_toint_fu_585_p1 <= reg_521; ins_data_tmp_load_9_toint_fu_589_p1 <= reg_525; ins_data_tmp_load_toint_fu_553_p1 <= reg_489; -- outs_TDATA assign process. -- outs_TDATA_assign_proc : process(ap_sig_cseq_ST_st116_fsm_32, ap_sig_cseq_ST_st119_fsm_35, t_load_fu_1065_p1, gamma_load_fu_1070_p1, ap_sig_cseq_ST_st117_fsm_33, beta_load_fu_1075_p1, ap_sig_cseq_ST_st118_fsm_34, t_load_s_fu_1080_p1, gamma_load_s_fu_1085_p1, ap_sig_cseq_ST_st120_fsm_36, beta_load_s_fu_1090_p1, ap_sig_cseq_ST_st121_fsm_37) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_37)) then outs_TDATA <= beta_load_s_fu_1090_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_36)) then outs_TDATA <= gamma_load_s_fu_1085_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st119_fsm_35)) then outs_TDATA <= t_load_s_fu_1080_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_34)) then outs_TDATA <= beta_load_fu_1075_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_33)) then outs_TDATA <= gamma_load_fu_1070_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_32)) then outs_TDATA <= t_load_fu_1065_p1; else outs_TDATA <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; outs_TDEST <= ins_dest_V_val_reg_1130; outs_TID <= ins_id_V_val_reg_1125; outs_TKEEP <= ins_keep_V_val_reg_1105; -- outs_TLAST assign process. -- outs_TLAST_assign_proc : process(ap_sig_cseq_ST_st116_fsm_32, ap_sig_cseq_ST_st119_fsm_35, ins_last_V_val_reg_1120, ap_sig_cseq_ST_st117_fsm_33, ap_sig_cseq_ST_st118_fsm_34, ap_sig_cseq_ST_st120_fsm_36, ap_sig_cseq_ST_st121_fsm_37) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_37)) then outs_TLAST <= ins_last_V_val_reg_1120; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_32) or (ap_const_logic_1 = ap_sig_cseq_ST_st119_fsm_35) or (ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_33) or (ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_34) or (ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_36))) then outs_TLAST <= ap_const_lv1_0; else outs_TLAST <= "X"; end if; end process; outs_TSTRB <= ins_strb_V_val_reg_1110; outs_TUSER <= ins_user_V_val_reg_1115; -- outs_TVALID assign process. -- outs_TVALID_assign_proc : process(ap_sig_cseq_ST_st116_fsm_32, ap_sig_cseq_ST_st119_fsm_35, ap_sig_cseq_ST_st117_fsm_33, ap_sig_cseq_ST_st118_fsm_34, ap_sig_cseq_ST_st120_fsm_36, ap_sig_cseq_ST_st121_fsm_37, ap_reg_ioackin_outs_TREADY) begin if ((((ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_32) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_33) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st118_fsm_34) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st119_fsm_35) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st120_fsm_36) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_37) and (ap_const_logic_0 = ap_reg_ioackin_outs_TREADY)))) then outs_TVALID <= ap_const_logic_1; else outs_TVALID <= ap_const_logic_0; end if; end process; rez_addr149150_part_set_fu_647_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_fu_613_p16); rez_addr_1146147_part_set_fu_778_p5 <= (ap_const_lv576_lc_1(575 downto 480) & tmp_1_fu_744_p16); t_load_fu_1065_p1 <= grp_fu_459_p4; t_load_s_fu_1080_p1 <= grp_fu_459_p4; t_write_assign_toint_fu_1032_p1 <= grp_fu_438_p2; tmp_1_fu_744_p16 <= ((((((((((((((ins_data_tmp_load_29_toint_fu_740_p1 & ins_data_tmp_load_28_toint_fu_712_p1) & ins_data_tmp_load_27_toint_fu_708_p1) & ins_data_tmp_load_26_toint_fu_704_p1) & ins_data_tmp_load_25_toint_fu_700_p1) & ins_data_tmp_load_24_toint_fu_696_p1) & ins_data_tmp_load_23_toint_fu_692_p1) & ins_data_tmp_load_22_toint_fu_688_p1) & ins_data_tmp_load_21_toint_fu_684_p1) & ins_data_tmp_load_20_toint_fu_680_p1) & ins_data_tmp_load_19_toint_fu_676_p1) & ins_data_tmp_load_18_toint_fu_672_p1) & ins_data_tmp_load_17_toint_fu_668_p1) & ins_data_tmp_load_16_toint_fu_664_p1) & ins_data_tmp_load_15_toint_fu_660_p1); tmp_2_fu_1044_p4 <= ((beta_write_assign_toint_fu_1040_p1 & gamma_write_assign_toint_fu_1036_p1) & t_write_assign_toint_fu_1032_p1); tmp_3_fu_808_p1 <= data_array_q0(32 - 1 downto 0); tmp_61_neg_i_fu_1022_p2 <= (tmp_61_to_int_i_fu_1019_p1 xor ap_const_lv32_80000000); tmp_61_to_int_i_fu_1019_p1 <= ap_reg_ppstg_tmp_25_i_reg_1561_pp0_it76; tmp_fu_613_p16 <= ((((((((((((((ins_data_tmp_load_14_toint_fu_609_p1 & ins_data_tmp_load_13_toint_fu_605_p1) & ins_data_tmp_load_12_toint_fu_601_p1) & ins_data_tmp_load_11_toint_fu_597_p1) & ins_data_tmp_load_10_toint_fu_593_p1) & ins_data_tmp_load_9_toint_fu_589_p1) & ins_data_tmp_load_8_toint_fu_585_p1) & ins_data_tmp_load_7_toint_fu_581_p1) & ins_data_tmp_load_6_toint_fu_577_p1) & ins_data_tmp_load_5_toint_fu_573_p1) & ins_data_tmp_load_4_toint_fu_569_p1) & ins_data_tmp_load_3_toint_fu_565_p1) & ins_data_tmp_load_2_toint_fu_561_p1) & ins_data_tmp_load_1_toint_fu_557_p1) & ins_data_tmp_load_toint_fu_553_p1); tmp_s_fu_803_p1 <= std_logic_vector(resize(unsigned(i1_reg_238),64)); v0x_assign4_fu_952_p1 <= tmp_3_reg_1155; v0y_assign_fu_958_p1 <= v0y_assign_new_reg_1160; v0z_assign_fu_964_p1 <= v0z_assign_new_reg_1165; end behav;
mit
ec187ce1fb6c40f7d3c99a61068adcf2
0.604052
2.713429
false
false
false
false
davewebb8211/ghdl
libraries/vital95/vital_primitives.vhdl
6
68,265
-- ----------------------------------------------------------------------------- -- Title : Standard VITAL_Primitives Package -- : $Revision$ -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4 -- : -- Purpose : This packages defines standard types, constants, functions -- : and procedures for use in developing ASIC models. -- : Specifically a set of logic primitives are defined. -- : -- Known Errors : -- : -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the objects (types, subtypes, constants, functions, -- : procedures ... etc.) that can be used by a user. The package -- : body shall be considered the formal definition of the -- : semantics of this package. Tool developers may choose to -- : implement the package body in the most efficient manner -- : available to them. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Acknowledgments: -- This code was originally developed under the "VHDL Initiative Toward ASIC -- Libraries" (VITAL), an industry sponsored initiative. Technical -- Director: William Billowitch, VHDL Technology Group; U.S. Coordinator: -- Steve Schultz; Steering Committee Members: Victor Berman, Cadence Design -- Systems; Oz Levia, Synopsys Inc.; Ray Ryan, Ryan & Ryan; Herman van Beek, -- Texas Instruments; Victor Martin, Hewlett-Packard Company. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Modification History : -- ---------------------------------------------------------------------------- -- Version No:|Auth:| Mod.Date:| Changes Made: -- v95.0 A | | 06/02/95 | Initial ballot draft 1995 -- ---------------------------------------------------------------------------- -- LIBRARY IEEE; USE IEEE.Std_Logic_1164.ALL; USE IEEE.VITAL_Timing.ALL; PACKAGE VITAL_Primitives IS -- ------------------------------------------------------------------------ -- Type and Subtype Declarations -- ------------------------------------------------------------------------ -- For Truth and State Tables SUBTYPE VitalTruthSymbolType IS VitalTableSymbolType RANGE 'X' TO 'Z'; SUBTYPE VitalStateSymbolType IS VitalTableSymbolType RANGE '/' TO 'S'; TYPE VitalTruthTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> ) OF VitalTruthSymbolType; TYPE VitalStateTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> ) OF VitalStateSymbolType; -- --------------------------------- -- Default values used by primitives -- --------------------------------- CONSTANT VitalDefDelay01 : VitalDelayType01; -- Propagation delays CONSTANT VitalDefDelay01Z : VitalDelayType01Z; -- ------------------------------------------------------------------------ -- VITAL Primitives -- -- The primitives packages contains a collections of common gates, -- including AND, OR, XOR, NAND, NOR, XNOR, BUF, INV, MUX and DECODER -- functions. In addition, for sequential devices, a STATE TABLE construct -- is provided. For complex functions a modeler may wish to use either -- a collection of connected VITAL primitives, or a TRUTH TABLE construct. -- -- For each primitive a Function and Procedure is provided. The primitive -- functions are provided to support behavioral modeling styles. The -- primitive procedures are provided to support structural modeling styles. -- -- The procedures wait internally for an event on an input signal, compute -- the new result, perform glitch handling, schedule transaction on the -- output signals, and wait for future input events. All of the functional -- (logic) input or output parameters of the primitive procedures are -- signals. All the other parameters are constants. -- -- The procedure primitives are parameterized for separate path delays -- from each input signal. All path delays default to 0 ns. -- -- The sequential primitive functions compute the defined function and -- return a value of type std_ulogic or std_logic_vector. All parameters -- of the primitive functions are constants of mode IN. -- -- The primitives are based on 1164 operators. The user may also elect to -- express functions using the 1164 operators as well. These styles are -- all equally acceptable methods for device modeling. -- -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: N-input logic device function calls: -- VitalAND VitalOR VitalXOR -- VitalNAND VitalNOR VitalXNOR -- -- Description: The function calls return the evaluated logic function -- corresponding to the function name. -- -- Arguments: -- -- IN Type Description -- Data std_logic_vector The input signals for the n-bit -- wide logic functions. -- ResultMap VitalResultMapType The output signal strength -- result map to modify default -- result mapping. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic The evaluated logic function of -- the n-bit wide primitives. -- -- ------------------------------------------------------------------------- FUNCTION VitalAND ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalOR ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXOR ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNAND ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNOR ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXNOR ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: N-input logic device concurrent procedure calls. -- VitalAND VitalOR VitalXOR -- VitalNAND VitalNOR VitalXNOR -- -- Description: The procedure calls return the evaluated logic function -- corresponding to the function name as a parameter to the -- procedure. Propagation delay form data to q is a -- a parameter to the procedure. A vector of delay values -- for inputs to output are provided. It is noted that -- limitations in SDF make the back annotation of the delay -- array difficult. -- -- Arguments: -- -- IN Type Description -- Data std_logic_vector The input signals for the n- -- bit wide logic functions. -- tpd_data_q VitalDelayArrayType01 The propagation delay from -- the data inputs to the output -- q. -- -- INOUT -- none -- -- OUT -- q std_ulogic The output signal of the -- evaluated logic function. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalAND ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalOR ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXOR ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNAND ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNOR ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXNOR ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); -- ------------------------------------------------------------------------- -- -- Sequential -- Primitive -- Function Name: 2,3 and 4 input logic device function calls. -- -- VitalAND2 VitalOR2 VitalXOR2 -- VitalAND3 VitalOR3 VitalXOR3 -- VitalAND4 VitalOR4 VitalXOR4 -- -- VitalNAND2 VitalNOR2 VitalXNOR2 -- VitalNAND3 VitalNOR3 VitalXNOR3 -- VitalNAND4 VitalNOR4 VitalXNOR4 -- -- Description: The function calls return the evaluated 2, 3 or 4 input -- logic function corresponding to the function name. -- -- Arguments: -- -- IN Type Description -- a, b, c, d std_ulogic 2 input devices have a and b as -- inputs. 3 input devices have a, b -- and c as inputs. 4 input devices -- have a, b, c and d as inputs. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic The result of the evaluated logic -- function. -- -- ------------------------------------------------------------------------- FUNCTION VitalAND2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalOR2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXOR2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNAND2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNOR2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXNOR2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalAND3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalOR3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXOR3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNAND3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNOR3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXNOR3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalAND4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalOR4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXOR4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNAND4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNOR4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXNOR4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: 2, 3 and 4 input logic device concurrent procedure -- calls. -- -- VitalAND2 VitalOR2 VitalXOR2 -- VitalAND3 VitalOR3 VitalXOR3 -- VitalAND4 VitalOR4 VitalXOR4 -- -- VitalNAND2 VitalNOR2 VitalXNOR2 -- VitalNAND3 VitalNOR3 VitalXNOR3 -- VitalNAND4 VitalNOR4 VitalXNOR4 -- -- Description: The procedure calls return the evaluated logic function -- corresponding to the function name as a parameter to the -- procedure. Propagation delays from a and b to q are -- a parameter to the procedure. The default propagation -- delay is 0 ns. -- -- Arguments: -- -- IN Type Description -- a, b, c, d std_ulogic 2 input devices have a and b as -- inputs. 3 input devices have a, b -- and c as inputs. 4 input devices -- have a, b, c and d as inputs. -- tpd_a_q VitalDelayType01 The propagation delay from the a -- input to output q for 2, 3 and 4 -- input devices. -- tpd_b_q VitalDelayType01 The propagation delay from the b -- input to output q for 2, 3 and 4 -- input devices. -- tpd_c_q VitalDelayType01 The propagation delay from the c -- input to output q for 3 and 4 input -- devices. -- tpd_d_q VitalDelayType01 The propagation delay from the d -- input to output q for 4 input -- devices. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping. -- -- INOUT -- none -- -- OUT -- q std_ulogic The output signal of the evaluated -- logic function. -- -- Returns -- none -- ------------------------------------------------------------------------- PROCEDURE VitalAND2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalOR2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXOR2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNAND2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNOR2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXNOR2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalAND3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalOR3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXOR3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNAND3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNOR3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXNOR3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalAND4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalOR4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXOR4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNAND4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNOR4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXNOR4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: Buffer logic device concurrent procedure calls. -- -- Description: Four buffer sequential primitive function calls are -- provided. One is a simple buffer and the others -- offer high and low enables and the four permits -- propagation of Z as shown below: -- -- VitalBUF Standard non-inverting buffer -- VitalBUFIF0 Non-inverting buffer with Enable low -- VitalBUFIF1 Non-inverting buffer with Enable high -- VitalIDENT Pass buffer capable of propagating Z -- -- Arguments: -- -- IN Type Description -- Data std_ulogic Input to the buffers -- Enable std_ulogic Enable for the enable high and low -- buffers. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- simple buffer. -- VitalResultZMapType The output signal strength result map -- to modify default result mapping -- which has high impedance capability -- for the enable high, enable low and -- identity buffers. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic The output signal of the evaluated -- buffer function. -- -- ------------------------------------------------------------------------- FUNCTION VitalBUF ( CONSTANT Data : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalBUFIF0 ( CONSTANT Data, Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; FUNCTION VitalBUFIF1 ( CONSTANT Data, Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; FUNCTION VitalIDENT ( CONSTANT Data : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: Buffer device procedure calls. -- -- Description: Four buffer concurrent primitive procedure calls are -- provided. One is a simple buffer and the others -- offer high and low enables and the fourth permits -- propagation of Z as shown below: -- -- VitalBUF Standard non-inverting buffer -- VitalBUFIF0 Non-inverting buffer with Enable low -- VitalBUFIF1 Non-inverting buffer with Enable high -- VitalIDENT Pass buffer capable of propagating Z -- -- Arguments: -- -- IN Type Description -- a std_ulogic Input signal to the buffers -- Enable std_ulogic Enable signal for the enable high and -- low buffers. -- tpd_a_q VitalDelayType01 Propagation delay from input to -- output for the simple buffer. -- VitalDelayType01Z Propagation delay from input to -- to output for the enable high and low -- and identity buffers. -- tpd_enable_q VitalDelayType01Z Propagation delay from enable to -- output for the enable high and low -- buffers. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- simple buffer. -- VitalResultZMapType The output signal strength result map -- to modify default result mapping -- which has high impedance capability -- for the enable high, enable low and -- identity buffers. -- -- INOUT -- none -- -- OUT -- q std_ulogic Output of the buffers. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalBUF ( SIGNAL q : OUT std_ulogic; SIGNAL a : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalBUFIF0 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap); PROCEDURE VitalBUFIF1 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap); PROCEDURE VitalIDENT ( SIGNAL q : OUT std_ulogic; SIGNAL a : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ); -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: VitalINV, VitalINVIF0, VitalINVIF1 -- -- Description: Inverter functions which return the inverted signal -- value. Inverters with enable low and high are provided -- which can drive high impedance when inactive. -- -- Arguments: -- -- IN Type Description -- Data std_ulogic Input to the inverter -- Enable std_ulogic Enable to the enable high and low -- inverters. -- ResultMap VitalResultMap The output signal strength result map -- to modify default result mapping for -- simple inverter. -- VitalResultZMapType The output signal strength result map -- to modify default result mapping -- which has high impedance capability -- for the enable high, enable low -- inverters. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic Output of the inverter -- -- ------------------------------------------------------------------------- FUNCTION VitalINV ( CONSTANT Data : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalINVIF0 ( CONSTANT Data, Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; FUNCTION VitalINVIF1 ( CONSTANT Data, Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: VitalINV, VitalINVIF0, VitalINVIF1 -- -- Description: The concurrent primitive procedure calls implement a -- signal inversion function. The output is a parameter to -- the procedure. The path delay information is passed as -- a parameter to the call. -- -- Arguments: -- -- IN Type Description -- a std_ulogic Input signal for the simple inverter -- Data std_ulogic Input signal for the enable high and -- low inverters. -- Enable std_ulogic Enable signal for the enable high and -- low inverters. -- tpd_a_q VitalDelayType01 Propagation delay from input a to -- output q for the simple inverter. -- tpd_data_q VitalDelayType01 Propagation delay from input data to -- output q for the enable high and low -- inverters. -- tpd_enable_q VitalDelayType01Z Propagation delay from input enable -- to output q for the enable high and -- low inverters. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- simple inverter. -- VitalResultZMapType The output signal strength result map -- to modify default result mapping -- which has high impedance capability -- for the enable high, enable low -- inverters. -- -- INOUT -- none -- -- OUT -- q std_ulogic Output signal of the inverter. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalINV ( SIGNAL q : OUT std_ulogic; SIGNAL a : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalINVIF0 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap); PROCEDURE VitalINVIF1 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap); -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: VitalMUX, VitalMUX2, VitalMUX4, VitalMUX8 -- -- Description: The VitalMUX functions return the selected data bit -- based on the value of dSelect. For MUX2, the function -- returns data0 when dselect is 0 and returns data1 when -- dselect is 1. When dselect is X, result is X for MUX2 -- when data0 /= data1. X propagation is reduced when the -- dselect signal is X and both data signals are identical. -- When this is the case, the result returned is the value -- of the data signals. -- -- For the N input device: -- -- N must equal 2**(bits of dSelect) -- -- Arguments: -- -- IN Type Description -- Data std_logic_vector Input signal for the N-bit, 4-bit and -- 8-bit mux. -- Data1,Data0 std_ulogic Input signals for the 2-bit mux. -- dSelect std_ulogic Select signal for 2-bit mux -- std_logic_vector2 Select signal for 4-bit mux -- std_logic_vector3 Select signal for 8-bit mux -- std_logic_vector Select signal for N-Bit mux -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- all muxes. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic The value of the selected bit is -- returned. -- -- ------------------------------------------------------------------------- FUNCTION VitalMUX ( CONSTANT Data : IN std_logic_vector; CONSTANT dSelect : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalMUX2 ( CONSTANT Data1, Data0 : IN std_ulogic; CONSTANT dSelect : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalMUX4 ( CONSTANT Data : IN std_logic_vector4; CONSTANT dSelect : IN std_logic_vector2; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalMUX8 ( CONSTANT Data : IN std_logic_vector8; CONSTANT dSelect : IN std_logic_vector3; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: VitalMUX, VitalMUX2, VitalMUX4, VitalMUX8 -- -- Description: The VitalMUX concurrent primitive procedures calls -- return in the output q the value of the selected data -- bit based on the value of dsel. For the two bit mux, -- the data returned is either d0 or d1, the data input. -- For 4, 8 and N-bit functions, data is the input and is -- of type std_logic_vector. For the 2-bit mux, if d0 or -- d1 are X, the output is X only when d0 do not equal d1. -- When d0 and d1 are equal, the return value is this value -- to reduce X propagation. -- -- Propagation delay information is passed as a parameter -- to the procedure call for delays from data to output and -- select to output. For 2-bit muxes, the propagation -- delays from data are provided for d0 and d1 to output. -- -- -- Arguments: -- -- IN Type Description -- d1,d0 std_ulogic Input signals for the 2-bit mux. -- Data std_logic_vector4 Input signals for the 4-bit mux. -- std_logic_vector8 Input signals for the 8-bit mux. -- std_logic_vector Input signals for the N-bit mux. -- dsel std_ulogic Select signal for the 2-bit mux. -- std_logic_vector2 Select signals for the 4-bit mux. -- std_logic_vector3 Select signals for the 8-bit mux. -- std_logic_vector Select signals for the N-bit mux. -- tpd_d1_q VitalDelayType01 Propagation delay from input d1 to -- output q for 2-bit mux. -- tpd_d0_q VitalDelayType01 Propagation delay from input d0 to -- output q for 2-bit mux. -- tpd_data_q VitalDelayArrayType01 Propagation delay from input data -- to output q for 4-bit, 8-bit and -- N-bit muxes. -- tpd_dsel_q VitalDelayType01 Propagation delay from input dsel -- to output q for 2-bit mux. -- VitalDelayArrayType01 Propagation delay from input dsel -- to output q for 4-bit, 8-bit and -- N-bit muxes. -- ResultMap VitalResultMapType The output signal strength result -- map to modify default result -- mapping for all muxes. -- -- INOUT -- none -- -- OUT -- q std_ulogic The value of the selected signal. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalMUX ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; SIGNAL dSel : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_dsel_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalMUX2 ( SIGNAL q : OUT std_ulogic; SIGNAL d1, d0 : IN std_ulogic; SIGNAL dSel : IN std_ulogic; CONSTANT tpd_d1_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d0_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_dsel_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalMUX4 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector4; SIGNAL dSel : IN std_logic_vector2; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_dsel_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalMUX8 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector8; SIGNAL dSel : IN std_logic_vector3; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_dsel_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: VitalDECODER, VitalDECODER2, VitalDECODER4, -- VitalDECODER8 -- -- Description: The VitalDECODER functions are the sequential primitive -- calls for decoder logic. The functions are provided -- for N, 2, 4 and 8-bit outputs. -- -- The N-bit decoder is (2**(bits of data)) wide. -- -- The VitalDECODER returns 0 if enable is 0. -- The VitalDECODER returns the result bit set to 1 if -- enable is 1. All other bits of returned result are -- set to 0. -- -- The returned array is in descending order: -- (n-1 downto 0). -- -- Arguments: -- -- IN Type Description -- Data std_ulogic Input signal for 2-bit decoder. -- std_logic_vector2 Input signals for 4-bit decoder. -- std_logic_vector3 Input signals for 8-bit decoder. -- std_logic_vector Input signals for N-bit decoder. -- Enable std_ulogic Enable input signal. The result is -- output when enable is high. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- all output signals of the decoders. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_logic_vector2 The output of the 2-bit decoder. -- std_logic_vector4 The output of the 4-bit decoder. -- std_logic_vector8 The output of the 8-bit decoder. -- std_logic_vector The output of the n-bit decoder. -- -- ------------------------------------------------------------------------- FUNCTION VitalDECODER ( CONSTANT Data : IN std_logic_vector; CONSTANT Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_logic_vector; FUNCTION VitalDECODER2 ( CONSTANT Data : IN std_ulogic; CONSTANT Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_logic_vector2; FUNCTION VitalDECODER4 ( CONSTANT Data : IN std_logic_vector2; CONSTANT Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_logic_vector4; FUNCTION VitalDECODER8 ( CONSTANT Data : IN std_logic_vector3; CONSTANT Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_logic_vector8; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: VitalDECODER, VitalDECODER2, VitalDECODER4, -- VitalDECODER8 -- -- Description: The VitalDECODER procedures are the concurrent primitive -- procedure calls for decoder functions. The procedures -- are provided for N, 2, 4 and 8 outputs. -- -- The N-bit decoder is (2**(bits of data)) wide. -- -- The procedural form of the decoder is used for -- distributed delay modeling. The delay information for -- each path is passed as an argument to the procedure. -- -- Result is set to 0 if enable is 0. -- The result bit represented by data is set to 1 if -- enable is 1. All other bits of result are set to 0. -- -- The result array is in descending order: (n-1 downto 0). -- -- For the N-bit decoder, the delay path is a vector of -- delays from inputs to outputs. -- -- Arguments: -- -- IN Type Description -- Data std_ulogic Input signal for 2-bit decoder. -- std_logic_vector2 Input signals for 4-bit decoder. -- std_logic_vector3 Input signals for 8-bit decoder. -- std_logic_vector Input signals for N-bit decoder. -- enable std_ulogic Enable input signal. The result is -- output when enable is high. -- tpd_data_q VitalDelayType01 Propagation delay from input data -- to output q for 2-bit decoder. -- VitalDelayArrayType01 Propagation delay from input data -- to output q for 4, 8 and n-bit -- decoders. -- tpd_enable_q VitalDelayType01 Propagation delay from input enable -- to output q for 2, 4, 8 and n-bit -- decoders. -- -- INOUT -- none -- -- OUT -- q std_logic_vector2 Output signals for 2-bit decoder. -- std_logic_vector4 Output signals for 4-bit decoder. -- std_logic_vector8 Output signals for 8-bit decoder. -- std_logic_vector Output signals for n-bit decoder. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalDECODER ( SIGNAL q : OUT std_logic_vector; SIGNAL Data : IN std_logic_vector; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalDECODER2 ( SIGNAL q : OUT std_logic_vector2; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalDECODER4 ( SIGNAL q : OUT std_logic_vector4; SIGNAL Data : IN std_logic_vector2; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalDECODER8 ( SIGNAL q : OUT std_logic_vector8; SIGNAL Data : IN std_logic_vector3; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); -- ------------------------------------------------------------------------- -- Function Name: VitalTruthTable -- -- Description: VitalTruthTable implements a truth table. Given -- a set of inputs, a sequential search is performed -- to match the input. If a match is found, the output -- is set based on the contents of the CONSTANT TruthTable. -- If there is no match, all X's are returned. There is -- no limit to the size of the table. -- -- There is a procedure and function for VitalTruthTable. -- For each of these, a single value output (std_logic) and -- a multi-value output (std_logic_vector) are provided. -- -- The first dimension of the table is for number of -- entries in the truth table and second dimension is for -- the number of elements in a row. The number of inputs -- in the row should be Data'LENGTH plus result'LENGTH. -- -- Elements is a row will be interpreted as -- Input(NumInputs - 1),.., Input(0), -- Result(NumOutputs - 1),.., Result(0) -- -- All inputs will be mapped to the X01 subtype -- -- If the value of Result is not in the range 'X' to 'Z' -- then an error will be reported. Also, the Result is -- always given either as a 0, 1, X or Z value. -- -- Arguments: -- -- IN Type Description -- TruthTable The input constant which defines the -- behavior in truth table form. -- DataIn The inputs to the truth table used to -- perform input match to select -- output(s) to value(s) to drive. -- -- INOUT -- none -- -- OUT -- Result std_logic Concurrent procedure version scalar -- output. -- std_logic_vector Concurrent procedure version vector -- output. -- -- Returns -- Result std_logic Function version scalar output. -- std_logic_vector Function version vector output. -- -- ------------------------------------------------------------------------- FUNCTION VitalTruthTable ( CONSTANT TruthTable : IN VitalTruthTableType; CONSTANT DataIn : IN std_logic_vector ) RETURN std_logic_vector; FUNCTION VitalTruthTable ( CONSTANT TruthTable : IN VitalTruthTableType; CONSTANT DataIn : IN std_logic_vector ) RETURN std_logic; PROCEDURE VitalTruthTable ( SIGNAL Result : OUT std_logic_vector; CONSTANT TruthTable : IN VitalTruthTableType; CONSTANT DataIn : IN std_logic_vector ); PROCEDURE VitalTruthTable ( SIGNAL Result : OUT std_logic; CONSTANT TruthTable : IN VitalTruthTableType; CONSTANT DataIn : IN std_logic_vector ); -- ------------------------------------------------------------------------- -- -- Function Name: VitalStateTable -- -- Description: VitalStateTable is a non-concurrent implementation of a -- state machine (Moore Machine). It is used to model -- sequential devices and devices with internal states. -- -- The procedure takes the value of the state table -- data set and performs a sequential search of the -- CONSTANT StateTable until a match is found. Once a -- match is found, the result of that match is applied -- to Result. If there is no match, all X's are returned. -- The resultant output becomes the input for the next -- state. -- -- The first dimension of the table is the number of -- entries in the state table and second dimension is the -- number of elements in a row of the table. The number of -- inputs in the row should be DataIn'LENGTH. Result should -- contain the current state (which will become the next -- state) as well as the outputs -- -- Elements is a row of the table will be interpreted as -- Input(NumInputs-1),.., Input(0), State(NumStates-1), -- ..., State(0),Output(NumOutputs-1),.., Output(0) -- -- where State(numStates-1) DOWNTO State(0) represent the -- present state and Output(NumOutputs - 1) DOWNTO -- Outputs(NumOutputs - NumStates) represent the new -- values of the state variables (i.e. the next state). -- Also, Output(NumOutputs - NumStates - 1) -- -- This procedure returns the next state and the new -- outputs when a match is made between the present state -- and present inputs and the state table. A search is -- made starting at the top of the state table and -- terminates with the first match. If no match is found -- then the next state and new outputs are set to all 'X's. -- -- (Asynchronous inputs (i.e. resets and clears) must be -- handled by placing the corresponding entries at the top -- of the table. ) -- -- All inputs will be mapped to the X01 subtype. -- -- NOTE: Edge transitions should not be used as values -- for the state variables in the present state -- portion of the state table. The only valid -- values that can be used for the present state -- portion of the state table are: -- 'X', '0', '1', 'B', '-' -- -- Arguments: -- -- IN Type Description -- StateTable VitalStateTableType The input constant which defines -- the behavior in state table form. -- DataIn std_logic_vector The current state inputs to the -- state table used to perform input -- matches and transition -- calculations. -- NumStates NATURAL Number of state variables -- -- INOUT -- Result std_logic Output signal for scalar version of -- the concurrent procedure call. -- std_logic_vector Output signals for vector version -- of the concurrent procedure call. -- PreviousDataIn std_logic_vector The previous inputs and states used -- in transition calculations and to -- set outputs for steady state cases. -- -- OUT -- none -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalStateTable ( VARIABLE Result : INOUT std_logic_vector; VARIABLE PreviousDataIn : INOUT std_logic_vector; CONSTANT StateTable : IN VitalStateTableType; CONSTANT DataIn : IN std_logic_vector; CONSTANT NumStates : IN NATURAL ); PROCEDURE VitalStateTable ( VARIABLE Result : INOUT std_logic; VARIABLE PreviousDataIn : INOUT std_logic_vector; CONSTANT StateTable : IN VitalStateTableType; CONSTANT DataIn : IN std_logic_vector ); PROCEDURE VitalStateTable ( SIGNAL Result : INOUT std_logic_vector; CONSTANT StateTable : IN VitalStateTableType; SIGNAL DataIn : IN std_logic_vector; CONSTANT NumStates : IN NATURAL ); PROCEDURE VitalStateTable ( SIGNAL Result : INOUT std_logic; CONSTANT StateTable : IN VitalStateTableType; SIGNAL DataIn : IN std_logic_vector ); -- ------------------------------------------------------------------------- -- -- Function Name: VitalResolve -- -- Description: VitalResolve takes a vector of signals and resolves -- them to a std_ulogic value. This procedure can be used -- to resolve multiple drivers in a single model. -- -- Arguments: -- -- IN Type Description -- Data std_logic_vector Set of input signals which drive a -- common signal. -- -- INOUT -- none -- -- OUT -- q std_ulogic Output signal which is the resolved -- value being driven by the collection of -- input signals. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalResolve ( SIGNAL q : OUT std_ulogic; CONSTANT Data : IN std_logic_vector); END VITAL_Primitives;
gpl-2.0
bf9174c9fe240dd6ac9c4dc31e22d575
0.468732
5.733182
false
false
false
false
Kalugy/Procesadorarquitectura
Segmentado/Barra3.vhd
1
2,183
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:59:10 12/04/2017 -- Design Name: -- Module Name: Barra3 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Barra3 is Port ( Reset : in STD_LOGIC; Clk : in STD_LOGIC; a18in : in STD_LOGIC_VECTOR (31 downto 0); aluresultin : in STD_LOGIC_VECTOR (31 downto 0); wrenmenin : in STD_LOGIC; PCCin : in STD_LOGIC_VECTOR (31 downto 0); PCCout : out STD_LOGIC_VECTOR (31 downto 0); rfsource : in STD_LOGIC_VECTOR (1 downto 0); RD : in STD_LOGIC_VECTOR (5 downto 0); RDout : out STD_LOGIC_VECTOR (5 downto 0); a18inout : out STD_LOGIC_VECTOR (31 downto 0); aluresultout : out STD_LOGIC_VECTOR (31 downto 0); wrenmeninout : out STD_LOGIC; rfsourceout : out STD_LOGIC_VECTOR (1 downto 0)); end Barra3; architecture Behavioral of Barra3 is begin process(Clk,Reset,a18in,aluresultin,wrenmenin,PCCin,rfsource,RD ) begin if reset='1' then a18inout <= "00000000000000000000000000000000"; aluresultout <= "00000000000000000000000000000000"; wrenmeninout <= '0'; rfsourceout <= "00"; PCCout<= "00000000000000000000000000000000"; RDout <= "000000"; elsif(rising_edge(Clk)) then RDout<= RD; a18inout <= a18in; aluresultout <= aluresultin; wrenmeninout <= wrenmenin; rfsourceout <= rfsource; PCCout<=PCCin; end if; end process; end Behavioral;
gpl-3.0
66bd59ca78a947c8f53d0b442ca08526
0.585433
4.042593
false
false
false
false
louis-bonicel/VHDL
Porte_AND/adder_tb.vhd
2
1,527
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:05:11 02/06/2015 -- Design Name: -- Module Name: adder_tb - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder_tb is end adder_tb; architecture archi of adder_tb is signal entree1, entree2, sortie: std_logic_vector(3 downto 0); signal carry, overflow: std_logic; component adder port (a,b: in std_logic_vector (3 downto 0); s: out std_logic_vector (3 downto 0); cf, ovf: out std_logic); end component; begin -- de la même manière que l'on fait un port map, on va faire un generic map pour -- attribuer une valeur au paramètre N de AddN uut: adder port map (a=> entree1, b => entree2, s => sortie, cf => carry, ovf => overflow); stimuli_entree1: process begin entree1 <= (others => '0'); wait for 10 ns; loop entree1 <= entree1 + 1; wait for 10 ns; end loop; end process; stimuli_entree2: process begin entree2<= (others => '0') ; loop if entree1=0 then entree2 <= entree2 + 1; end if; wait for 10 ns ; end loop ; end process; end archi;
gpl-2.0
a79e2310f6ab10a5da3a93790abf7c7a
0.547479
3.334061
false
false
false
false
loetlab-jena/das-atv
hdl/src/timing_gen.vhd
1
1,739
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity timing_gen is port ( clk : in std_logic; de : in std_logic; vs : in std_logic; hs : in std_logic; sync : out std_logic; burst : out std_logic ); end entity; architecture rtl of timing_gen is signal de_d : std_logic; signal vs_d : std_logic; signal hs_d : std_logic; signal hcnt : unsigned(10 downto 0); signal vcnt : integer range 0 to 1023; signal ss : std_logic; -- short sync signal ns : std_logic; -- normal sync signal bs : std_logic; -- burst sync signal ls : std_logic; -- long sync begin counter : process begin wait until rising_edge(clk); vs_d <= vs; hs_d <= hs; hcnt <= hcnt + 1; if hs_d = '1' and hs = '0' then hcnt <= (others => '0'); vcnt <= vcnt + 1; end if; if vs_d = '1' and vs = '0' and hs_d = '1' and hs = '0' then vcnt <= 0; end if; end process; sync_generator : process begin wait until rising_edge(clk); if hcnt = 0 then case vcnt is when 0|1|2|313|314 => ls <= '1'; bs <= '0'; when 3|4|310|311|312|315|316|622|623|624 => ss <= '1'; bs <= '0'; when others => ns <= '1'; bs <= '1'; end case; end if; if hcnt = 863 then case vcnt is when 0|1|312|313|314 => ls <= '1'; when 2|3|4|310|311|315|316|622|623|624 => ss <= '1'; when others => end case; end if; if hcnt = 54 or hcnt = 918 then ss <= '0'; end if; if hcnt = 127 then ns <= '0'; end if; if hcnt = 810 or hcnt = 1674 then ls <= '0'; end if; if hcnt = 151 and bs = '1' then burst <= '1'; end if; if hcnt = 212 and bs = '1' then burst <= '0'; end if; end process; sync <= ss or ns or ls; end rtl;
gpl-2.0
81aee75bd816a50ef5b206b25ec72b6b
0.557217
2.484286
false
false
false
false
RaulHuertas/rhpackageexporter
MurmurHashGenerator/SearchRowTestbench.vhd
1
4,247
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.ALL; use IEEE.numeric_std.all; use work.MurmurHashUtils.ALL; entity SearchRowTestbench is end SearchRowTestbench; architecture Behavioral of SearchRowTestbench is constant DATA_WIDTH_A_USAR : integer := 32; constant ADDR_WIDTH_A_USAR : integer := 10; signal clk : std_logic;-- un solo reloj para ambos puertos de la BRAM signal dataToCompare : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0); signal operationID : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0); signal previousIndex : std_logic_vector( (ADDR_WIDTH_A_USAR-1) downto 0); signal compare : std_logic := '0';--El dato actual se debe comparar signal previousResult : std_logic;--El resultado es encontrado'1' o no signal porta_wr : std_logic; signal porta_waddr : std_logic_vector( (ADDR_WIDTH_A_USAR-1) downto 0); signal porta_din : std_logic_vector( (DATA_WIDTH_A_USAR-1) downto 0); --valores de saldia de esta columna signal result : std_logic;--El resultado es encontrado'1' o no signal nextIndex : std_logic_vector( (ADDR_WIDTH_A_USAR-1) downto 0); signal compareFinished : std_logic; --Resultado de una comparación listo signal dataReadDuringIOTest : std_logic_vector( (DATA_WIDTH_A_USAR-1) downto 0); signal dataCompared : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0); signal valorLeido_dbg : ieee.numeric_std.unsigned( (DATA_WIDTH_A_USAR-1) downto 0); constant clk_period : time := 10 ns; constant radioTest : std_logic_vector( (ADDR_WIDTH_A_USAR-1) downto 0):="0100000000"; begin uut : entity work.BinarySearch_ComparingRow generic map ( DATA_WIDTH => DATA_WIDTH_A_USAR, ADDR_WIDTH => ADDR_WIDTH_A_USAR ) port map( clk => clk, radio => radioTest, dataToCompare => dataToCompare, operationID => operationID, previousIndex => previousIndex, compare => compare, previousResult => previousResult, porta_wr => porta_wr, porta_waddr => porta_waddr, porta_din => porta_din, result => result, nextIndex => nextIndex, compareFinished => compareFinished, dataCompared => dataCompared, valorLeido_dbg => valorLeido_dbg ); clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; test : process variable readWriteCounter : integer := 0; variable dataToWrite : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0) := ( others => '0'); variable addrToWrite : std_logic_vector((ADDR_WIDTH_A_USAR-1) downto 0) := ( others => '0'); begin -- Primero grabar los datos en la memoria porta_wr <= '0'; porta_waddr <= addrToWrite; porta_din <= dataToWrite; previousResult <= '0'; wait for clk_period; porta_wr <= '1'; while readWriteCounter < (2**ADDR_WIDTH_A_USAR) loop porta_waddr <= addrToWrite; porta_din <= dataToWrite; wait for clk_period; dataToWrite := dataToWrite+1; addrToWrite := addrToWrite+1; readWriteCounter := readWriteCounter+1; end loop; -- Ahora comparar un dato de prueba porta_wr <= '0'; wait for clk_period; dataToCompare <= x"00000001"; operationID <= (others=>'1'); previousIndex <= "1000000000"; compare<= '0'; previousResult <= '0'; wait for clk_period; compare<= '1'; wait for clk_period; compare<= '0'; wait; end process; end Behavioral;
bsd-3-clause
1d3b5e183499ae5399e35a042fa3b449
0.544041
4.31066
false
true
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1/simulation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_rng.vhd
3
4,028
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_rng.vhd -- -- Description: -- Used for generation of pseudo random numbers -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; ENTITY system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_rng IS GENERIC ( WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); END ENTITY; ARCHITECTURE rg_arch OF system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_rng IS BEGIN PROCESS (CLK,RESET) VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); VARIABLE temp : STD_LOGIC := '0'; BEGIN IF(RESET = '1') THEN rand_temp := conv_std_logic_vector(SEED,width); temp := '0'; ELSIF (CLK'event AND CLK = '1') THEN IF (ENABLE = '1') THEN temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); rand_temp(0) := temp; END IF; END IF; RANDOM_NUM <= rand_temp; END PROCESS; END ARCHITECTURE;
bsd-3-clause
3e95d54c7eba1d8071d579e44a079ddc
0.643992
4.266949
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_1/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_1_dgen.vhd
4
4,710
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_1_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg.ALL; ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_1_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_1_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 50 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:system_axi_vdma_0_wrapper_fifo_generator_v9_1_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
bsd-3-clause
23c8b36003a6d0d3bf176b3261736765
0.607856
4.109948
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fsub_7_full_dsp_32/sim/tri_intersect_ap_fsub_7_full_dsp_32.vhd
1
10,725
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.0 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_0; USE floating_point_v7_0.floating_point_v7_0; ENTITY tri_intersect_ap_fsub_7_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END tri_intersect_ap_fsub_7_full_dsp_32; ARCHITECTURE tri_intersect_ap_fsub_7_full_dsp_32_arch OF tri_intersect_ap_fsub_7_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tri_intersect_ap_fsub_7_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_0 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 1, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 7, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END tri_intersect_ap_fsub_7_full_dsp_32_arch;
mit
88f438d31eb563dabd62a811e3f3d09d
0.632727
3.216857
false
false
false
false
davewebb8211/ghdl
libraries/vital2000/timing_p.vhdl
7
65,467
------------------------------------------------------------------------------- -- Title : Standard VITAL TIMING Package -- : $Revision$ -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4 -- : -- Purpose : This packages defines standard types, attributes, constants, -- : functions and procedures for use in developing ASIC models. -- : -- Known Errors : -- : -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the objects (types, subtypes, constants, functions, -- : procedures ... etc.) that can be used by a user. The package -- : body shall be considered the formal definition of the -- : semantics of this package. Tool developers may choose to -- : implement the package body in the most efficient manner -- : available to them. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Acknowledgments: -- This code was originally developed under the "VHDL Initiative Toward ASIC -- Libraries" (VITAL), an industry sponsored initiative. Technical -- Director: William Billowitch, VHDL Technology Group; U.S. Coordinator: -- Steve Schultz; Steering Committee Members: Victor Berman, Cadence Design -- Systems; Oz Levia, Synopsys Inc.; Ray Ryan, Ryan & Ryan; Herman van Beek, -- Texas Instruments; Victor Martin, Hewlett-Packard Company. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Modification History : -- ---------------------------------------------------------------------------- -- Version No:|Auth:| Mod.Date:| Changes Made: -- v95.0 A | | 06/02/95 | Initial ballot draft 1995 -- v95.1 | | 08/31/95 | #203 - Timing violations at time 0 -- #204 - Output mapping prior to glitch detection -- v98.0 |TAG | 03/27/98 | Initial ballot draft 1998 -- | #IR225 - Negative Premptive Glitch -- **Pkg_effected=VitalPathDelay, -- VitalPathDelay01,VitalPathDelay01z. -- #IR105 - Skew timing check needed -- **Pkg_effected=NONE, New code added!! -- #IR248 - Allows VPD to use a default timing -- delay -- **Pkg_effected=VitalPathDelay, -- VitalPathDelay01,VitalPathDelay01z, -- #IR250 - Corrects fastpath condition in VPD -- **Pkg_effected=VitalPathDelay01, -- VitalPathDelay01z, -- #IR252 - Corrects cancelled timing check call if -- condition expires. -- **Pkg_effected=VitalSetupHoldCheck, -- VitalRecoveryRemovalCheck. -- #IR105 - Skew timing check -- **Pkg_effected=NONE, New code added -- v98.1 | jdc | 03/25/99 | Changed UseDefaultDelay to IgnoreDefaultDelay -- and set default to FALSE in VitalPathDelay() -- v00.7 | dbb | 07/18/00 | Removed "maximum" from VitalPeriodPulse() -- comments LIBRARY IEEE; USE IEEE.Std_Logic_1164.ALL; PACKAGE VITAL_Timing IS TYPE VitalTransitionType IS ( tr01, tr10, tr0z, trz1, tr1z, trz0, tr0X, trx1, tr1x, trx0, trxz, trzx); SUBTYPE VitalDelayType IS TIME; TYPE VitalDelayType01 IS ARRAY (VitalTransitionType RANGE tr01 to tr10) OF TIME; TYPE VitalDelayType01Z IS ARRAY (VitalTransitionType RANGE tr01 to trz0) OF TIME; TYPE VitalDelayType01ZX IS ARRAY (VitalTransitionType RANGE tr01 to trzx) OF TIME; TYPE VitalDelayArrayType IS ARRAY (NATURAL RANGE <>) OF VitalDelayType; TYPE VitalDelayArrayType01 IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01; TYPE VitalDelayArrayType01Z IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01Z; TYPE VitalDelayArrayType01ZX IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01ZX; -- ---------------------------------------------------------------------- -- ********************************************************************** -- ---------------------------------------------------------------------- CONSTANT VitalZeroDelay : VitalDelayType := 0 ns; CONSTANT VitalZeroDelay01 : VitalDelayType01 := ( 0 ns, 0 ns ); CONSTANT VitalZeroDelay01Z : VitalDelayType01Z := ( OTHERS => 0 ns ); CONSTANT VitalZeroDelay01ZX : VitalDelayType01ZX := ( OTHERS => 0 ns ); --------------------------------------------------------------------------- -- examples of usage: --------------------------------------------------------------------------- -- tpd_CLK_Q : VitalDelayType := 5 ns; -- tpd_CLK_Q : VitalDelayType01 := (tr01 => 2 ns, tr10 => 3 ns); -- tpd_CLK_Q : VitalDelayType01Z := ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns ); -- tpd_CLK_Q : VitalDelayArrayType(0 to 1) -- := (0 => 5 ns, 1 => 6 ns); -- tpd_CLK_Q : VitalDelayArrayType01(0 to 1) -- := (0 => (tr01 => 2 ns, tr10 => 3 ns), -- 1 => (tr01 => 2 ns, tr10 => 3 ns)); -- tpd_CLK_Q : VitalDelayArrayType01Z(0 to 1) -- := (0 => ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns ), -- 1 => ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns )); --------------------------------------------------------------------------- -- TRUE if the model is LEVEL0 | LEVEL1 compliant ATTRIBUTE VITAL_Level0 : BOOLEAN; ATTRIBUTE VITAL_Level1 : BOOLEAN; SUBTYPE std_logic_vector2 IS std_logic_vector(1 DOWNTO 0); SUBTYPE std_logic_vector3 IS std_logic_vector(2 DOWNTO 0); SUBTYPE std_logic_vector4 IS std_logic_vector(3 DOWNTO 0); SUBTYPE std_logic_vector8 IS std_logic_vector(7 DOWNTO 0); -- Types for strength mapping of outputs TYPE VitalOutputMapType IS ARRAY ( std_ulogic ) OF std_ulogic; TYPE VitalResultMapType IS ARRAY ( UX01 ) OF std_ulogic; TYPE VitalResultZMapType IS ARRAY ( UX01Z ) OF std_ulogic; CONSTANT VitalDefaultOutputMap : VitalOutputMapType := "UX01ZWLH-"; CONSTANT VitalDefaultResultMap : VitalResultMapType := ( 'U', 'X', '0', '1' ); CONSTANT VitalDefaultResultZMap : VitalResultZMapType := ( 'U', 'X', '0', '1', 'Z' ); -- Types for fields of VitalTimingDataType TYPE VitalTimeArrayT IS ARRAY (INTEGER RANGE <>) OF TIME; TYPE VitalTimeArrayPT IS ACCESS VitalTimeArrayT; TYPE VitalBoolArrayT IS ARRAY (INTEGER RANGE <>) OF BOOLEAN; TYPE VitalBoolArrayPT IS ACCESS VitalBoolArrayT; TYPE VitalLogicArrayPT IS ACCESS std_logic_vector; TYPE VitalTimingDataType IS RECORD NotFirstFlag : BOOLEAN; RefLast : X01; RefTime : TIME; HoldEn : BOOLEAN; TestLast : std_ulogic; TestTime : TIME; SetupEn : BOOLEAN; TestLastA : VitalLogicArrayPT; TestTimeA : VitalTimeArrayPT; HoldEnA : VitalBoolArrayPT; SetupEnA : VitalBoolArrayPT; END RECORD; FUNCTION VitalTimingDataInit RETURN VitalTimingDataType; -- type for internal data of VitalPeriodPulseCheck TYPE VitalPeriodDataType IS RECORD Last : X01; Rise : TIME; Fall : TIME; NotFirstFlag : BOOLEAN; END RECORD; CONSTANT VitalPeriodDataInit : VitalPeriodDataType := ('X', 0 ns, 0 ns, FALSE ); -- Type for specifying the kind of Glitch handling to use TYPE VitalGlitchKindType IS (OnEvent, OnDetect, VitalInertial, VitalTransport); TYPE VitalGlitchDataType IS RECORD SchedTime : TIME; GlitchTime : TIME; SchedValue : std_ulogic; LastValue : std_ulogic; END RECORD; TYPE VitalGlitchDataArrayType IS ARRAY (NATURAL RANGE <>) OF VitalGlitchDataType; -- PathTypes: for handling simple PathDelay info TYPE VitalPathType IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType; -- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; TYPE VitalPath01Type IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType01; -- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; TYPE VitalPath01ZType IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType01Z;-- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; -- For representing multiple paths to an output TYPE VitalPathArrayType IS ARRAY (NATURAL RANGE <> ) OF VitalPathType; TYPE VitalPathArray01Type IS ARRAY (NATURAL RANGE <> ) OF VitalPath01Type; TYPE VitalPathArray01ZType IS ARRAY (NATURAL RANGE <> ) OF VitalPath01ZType; TYPE VitalTableSymbolType IS ( '/', -- 0 -> 1 '\', -- 1 -> 0 'P', -- Union of '/' and '^' (any edge to 1) 'N', -- Union of '\' and 'v' (any edge to 0) 'r', -- 0 -> X 'f', -- 1 -> X 'p', -- Union of '/' and 'r' (any edge from 0) 'n', -- Union of '\' and 'f' (any edge from 1) 'R', -- Union of '^' and 'p' (any possible rising edge) 'F', -- Union of 'v' and 'n' (any possible falling edge) '^', -- X -> 1 'v', -- X -> 0 'E', -- Union of 'v' and '^' (any edge from X) 'A', -- Union of 'r' and '^' (rising edge to or from 'X') 'D', -- Union of 'f' and 'v' (falling edge to or from 'X') '*', -- Union of 'R' and 'F' (any edge) 'X', -- Unknown level '0', -- low level '1', -- high level '-', -- don't care 'B', -- 0 or 1 'Z', -- High Impedance 'S' -- steady value ); SUBTYPE VitalEdgeSymbolType IS VitalTableSymbolType RANGE '/' TO '*'; -- Addition of Vital Skew Type Information -- March 14, 1998 --------------------------------------------------------------------------- -- Procedures and Type Definitions for Defining Skews --------------------------------------------------------------------------- TYPE VitalSkewExpectedType IS (none, s1r, s1f, s2r, s2f); TYPE VitalSkewDataType IS RECORD ExpectedType : VitalSkewExpectedType; Signal1Old1 : TIME; Signal2Old1 : TIME; Signal1Old2 : TIME; Signal2Old2 : TIME; END RECORD; CONSTANT VitalSkewDataInit : VitalSkewDataType := ( none, 0 ns, 0 ns, 0 ns, 0 ns ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalExtendToFillDelay -- -- Description: A six element array of delay values of type -- VitalDelayType01Z is returned when a 1, 2 or 6 -- element array is given. This function will convert -- VitalDelayType and VitalDelayType01 delay values into -- a VitalDelayType01Z type following these rules: -- -- When a VitalDelayType is passed, all six transition -- values are assigned the input value. When a -- VitalDelayType01 is passed, the 01 transitions are -- assigned to the 01, 0Z and Z1 transitions and the 10 -- transitions are assigned to 10, 1Z and Z0 transition -- values. When a VitalDelayType01Z is passed, the values -- are kept as is. -- -- The function is overloaded based on input type. -- -- There is no function to fill a 12 value delay -- type. -- -- Arguments: -- -- IN Type Description -- Delay A one, two or six delay value Vital- -- DelayType is passed and a six delay, -- VitalDelayType01Z, item is returned. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- VitalDelayType01Z -- -- ------------------------------------------------------------------------- FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType ) RETURN VitalDelayType01Z; FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType01 ) RETURN VitalDelayType01Z; FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType01Z ) RETURN VitalDelayType01Z; -- ------------------------------------------------------------------------ -- -- Function Name: VitalCalcDelay -- -- Description: This function accepts a 1, 2 or 6 value delay and -- chooses the correct delay time to delay the NewVal -- signal. This function is overloaded based on the -- delay type passed. The function returns a single value -- of time. -- -- This function is provided for Level 0 models in order -- to calculate the delay which should be applied -- for the passed signal. The delay selection is performed -- using the OldVal and the NewVal to determine the -- transition to select. The default value of OldVal is X. -- -- This function cannot be used in a Level 1 model since -- the VitalPathDelay routines perform the delay path -- selection and output driving function. -- -- Arguments: -- -- IN Type Description -- NewVal New value of the signal to be -- assigned -- OldVal Previous value of the signal. -- Default value is 'X' -- Delay The delay structure from which to -- select the appropriate delay. The -- function overload is based on the -- type of delay passed. In the case of -- the single delay, VitalDelayType, no -- selection is performed, since there -- is only one value to choose from. -- For the other cases, the transition -- from the old value to the new value -- decide the value returned. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- Time The time value selected from the -- Delay INPUT is returned. -- -- ------------------------------------------------------------------------- FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType ) RETURN TIME; FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType01 ) RETURN TIME; FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType01Z ) RETURN TIME; -- ------------------------------------------------------------------------ -- -- Function Name: VitalPathDelay -- -- Description: VitalPathDelay is the Level 1 routine used to select -- the propagation delay path and schedule a new output -- value. -- -- For single and dual delay values, VitalDelayType and -- VitalDelayType01 are used. The output value is -- scheduled with a calculated delay without strength -- modification. -- -- For the six delay value, VitalDelayType01Z, the output -- value is scheduled with a calculated delay. The drive -- strength can be modified to handle weak signal strengths -- to model tri-state devices, pull-ups and pull-downs as -- an example. -- -- The correspondence between the delay type and the -- path delay function is as follows: -- -- Delay Type Path Type -- -- VitalDelayType VitalPathDelay -- VitalDelayType01 VitalPathDelay01 -- VitalDelayType01Z VitalPathDelay01Z -- -- For each of these routines, the following capabilities -- is provided: -- -- o Transition dependent path delay selection -- o User controlled glitch detection with the ability -- to generate "X" on output and report the violation -- o Control of the severity level for message generation -- o Scheduling of the computed values on the specified -- signal. -- -- Selection of the appropriate path delay begins with the -- candidate paths. The candidate paths are selected by -- identifying the paths for which the PathCondition is -- true. If there is a single candidate path, then that -- delay is selected. If there is more than one candidate -- path, then the shortest delay is selected using -- transition dependent delay selection. If there is no -- candidate paths, then the delay specified by the -- DefaultDelay parameter to the path delay is used. -- -- Once the delay is known, the output signal is then -- scheduled with that delay. In the case of -- VitalPathDelay01Z, an additional result mapping of -- the output value is performed before scheduling. The -- result mapping is performed after transition dependent -- delay selection but before scheduling the final output. -- -- In order to perform glitch detection, the user is -- obligated to provide a variable of VitalGlitchDataType -- for the propagation delay functions to use. The user -- cannot modify or use this information. -- -- Arguments: -- -- IN Type Description -- OutSignalName string The name of the output signal -- OutTemp std_logic The new output value to be driven -- Paths VitalPathArrayType A list of paths of VitalPathArray -- VitalPathArrayType01 type. The VitalPathDelay routine -- VitalPathArrayType01Z is overloaded based on the type -- of constant passed in. With -- VitalPathArrayType01Z, the -- resulting output strengths can be -- mapped. -- DefaultDelay VitalDelayType The default delay can be changed -- VitalDelayType01 from zero-delay to another set -- VitalDelayType01Z of values. -- -- IgnoreDefaultDelay BOOLEAN If TRUE, the default delay will -- be used when no paths are -- selected. If false, no event -- will be scheduled if no paths are -- selected. -- -- Mode VitalGlitchKindType The value of this constant -- selects the type of glitch -- detection. -- OnEvent Glitch on transition event -- | OnDetect Glitch immediate on detection -- | VitalInertial No glitch, use INERTIAL -- assignment -- | VitalTransport No glitch, use TRANSPORT -- assignment -- XOn BOOLEAN Control for generation of 'X' on -- glitch. When TRUE, 'X's are -- scheduled for glitches, otherwise -- no are generated. -- MsgOn BOOLEAN Control for message generation on -- glitch detect. When TRUE, -- glitches are reported, otherwise -- they are not reported. -- MsgSeverity SEVERITY_LEVEL The level at which the message, -- or assertion, will be reported. -- IgnoreDefaultDelay BOOLEAN Tells the VPD whether to use the -- default delay value in the absense -- of a valid delay for input conditions 3/14/98 MG -- -- OutputMap VitalOutputMapType For VitalPathDelay01Z, the output -- can be mapped to alternate -- strengths to model tri-state -- devices, pull-ups and pull-downs. -- -- INOUT -- GlitchData VitalGlitchDataType The internal data storage -- variable required to detect -- glitches. -- -- OUT -- OutSignal std_logic The output signal to be driven -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalPathDelay ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArrayType; CONSTANT DefaultDelay : IN VitalDelayType := VitalZeroDelay; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT NegPreemptOn : IN BOOLEAN := FALSE; --IR225 3/14/98 CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE --IR248 3/14/98 ); PROCEDURE VitalPathDelay01 ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArray01Type; CONSTANT DefaultDelay : IN VitalDelayType01 := VitalZeroDelay01; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT NegPreemptOn : IN BOOLEAN := FALSE; --IR225 3/14/98 CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE; --IR248 3/14/98 CONSTANT RejectFastPath : IN BOOLEAN := FALSE --IR250 ); PROCEDURE VitalPathDelay01Z ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArray01ZType; CONSTANT DefaultDelay : IN VitalDelayType01Z := VitalZeroDelay01Z; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap; CONSTANT NegPreemptOn : IN BOOLEAN := FALSE; --IR225 3/14/98 CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE; --IR248 3/14/98 CONSTANT RejectFastPath : IN BOOLEAN := FALSE --IR250 ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalWireDelay -- -- Description: VitalWireDelay is used to delay an input signal. -- The delay is selected from the input parameter passed. -- The function is useful for back annotation of actual -- net delays. -- -- The function is overloaded to permit passing a delay -- value for twire for VitalDelayType, VitalDelayType01 -- and VitalDelayType01Z. twire is a generic which can -- be back annotated and must be constructed to follow -- the SDF to generic mapping rules. -- -- Arguments: -- -- IN Type Description -- InSig std_ulogic The input signal (port) to be -- delayed. -- twire VitalDelayType The delay value for which the input -- VitalDelayType01 signal should be delayed. For Vital- -- VitalDelayType01Z DelayType, the value is single value -- passed. For VitalDelayType01 and -- VitalDelayType01Z, the appropriate -- delay value is selected by VitalCalc- -- Delay. -- -- INOUT -- none -- -- OUT -- OutSig std_ulogic The internal delayed signal -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType ); PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType01 ); PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType01Z ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalSignalDelay -- -- Description: The VitalSignalDelay procedure is called in a signal -- delay block in the architecture to delay the -- appropriate test or reference signal in order to -- accommodate negative constraint checks. -- -- The amount of delay is of type TIME and is a constant. -- -- Arguments: -- -- IN Type Description -- InSig std_ulogic The signal to be delayed. -- dly TIME The amount of time the signal is -- delayed. -- -- INOUT -- none -- -- OUT -- OutSig std_ulogic The delayed signal -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalSignalDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT dly : IN TIME ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalSetupHoldCheck -- -- Description: The VitalSetupHoldCheck procedure detects a setup or a -- hold violation on the input test signal with respect -- to the corresponding input reference signal. The timing -- constraints are specified through parameters -- representing the high and low values for the setup and -- hold values for the setup and hold times. This -- procedure assumes non-negative values for setup and hold -- timing constraints. -- -- It is assumed that negative timing constraints -- are handled by internally delaying the test or -- reference signals. Negative setup times result in -- a delayed reference signal. Negative hold times -- result in a delayed test signal. Furthermore, the -- delays and constraints associated with these and -- other signals may need to be appropriately -- adjusted so that all constraint intervals overlap -- the delayed reference signals and all constraint -- values (with respect to the delayed signals) are -- non-negative. -- -- This function is overloaded based on the input -- TestSignal. A vector and scalar form are provided. -- -- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX -- : -- : -->| error region |<-- -- : -- _______________________________ -- RefSignal \______________________________ -- : | | | -- : | -->| |<-- thold -- : -->| tsetup |<-- -- -- Arguments: -- -- IN Type Description -- TestSignal std_ulogic Value of test signal -- std_logic_vector -- TestSignalName STRING Name of test signal -- TestDelay TIME Model's internal delay associated -- with TestSignal -- RefSignal std_ulogic Value of reference signal -- RefSignalName STRING Name of reference signal -- RefDelay TIME Model's internal delay associated -- with RefSignal -- SetupHigh TIME Absolute minimum time duration before -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "1" state without -- causing a setup violation. -- SetupLow TIME Absolute minimum time duration before -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "0" state without -- causing a setup violation. -- HoldHigh TIME Absolute minimum time duration after -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "1" state without -- causing a hold violation. -- HoldLow TIME Absolute minimum time duration after -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "0" state without -- causing a hold violation. -- CheckEnabled BOOLEAN Check performed if TRUE. -- RefTransition VitalEdgeSymbolType -- Reference edge specified. Events on -- the RefSignal which match the edge -- spec. are used as reference edges. -- HeaderMsg STRING String that will accompany any -- assertion messages produced. -- XOn BOOLEAN If TRUE, Violation output parameter -- is set to "X". Otherwise, Violation -- is always set to "0". -- MsgOn BOOLEAN If TRUE, set and hold violation -- message will be generated. -- Otherwise, no messages are generated, -- even upon violations. -- MsgSeverity SEVERITY_LEVEL Severity level for the assertion. -- EnableSetupOnTest BOOLEAN If FALSE at the time that the -- TestSignal signal changes, -- no setup check will be performed. -- EnableSetupOnRef BOOLEAN If FALSE at the time that the -- RefSignal signal changes, -- no setup check will be performed. -- EnableHoldOnRef BOOLEAN If FALSE at the time that the -- RefSignal signal changes, -- no hold check will be performed. -- EnableHoldOnTest BOOLEAN If FALSE at the time that the -- TestSignal signal changes, -- no hold check will be performed. -- -- INOUT -- TimingData VitalTimingDataType -- VitalSetupHoldCheck information -- storage area. This is used -- internally to detect reference edges -- and record the time of the last edge. -- -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalSetupHoldCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN TIME := 0 ns; CONSTANT SetupLow : IN TIME := 0 ns; CONSTANT HoldHigh : IN TIME := 0 ns; CONSTANT HoldLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE --IR252 3/23/98 ); PROCEDURE VitalSetupHoldCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN TIME := 0 ns; CONSTANT SetupLow : IN TIME := 0 ns; CONSTANT HoldHigh : IN TIME := 0 ns; CONSTANT HoldLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE --IR252 3/23/98 ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalRecoveryRemovalCheck -- -- Description: The VitalRecoveryRemovalCheck detects the presence of -- a recovery or removal violation on the input test -- signal with respect to the corresponding input reference -- signal. It assumes non-negative values of setup and -- hold timing constraints. The timing constraint is -- specified through parameters representing the recovery -- and removal times associated with a reference edge of -- the reference signal. A flag indicates whether a test -- signal is asserted when it is high or when it is low. -- -- It is assumed that negative timing constraints -- are handled by internally delaying the test or -- reference signals. Negative recovery times result in -- a delayed reference signal. Negative removal times -- result in a delayed test signal. Furthermore, the -- delays and constraints associated with these and -- other signals may need to be appropriately -- adjusted so that all constraint intervals overlap -- the delayed reference signals and all constraint -- values (with respect to the delayed signals) are -- non-negative. -- -- Arguments: -- -- IN Type Description -- TestSignal std_ulogic Value of TestSignal. The routine is -- TestSignalName STRING Name of TestSignal -- TestDelay TIME Model internal delay associated with -- the TestSignal -- RefSignal std_ulogic Value of RefSignal -- RefSignalName STRING Name of RefSignal -- RefDelay TIME Model internal delay associated with -- the RefSignal -- Recovery TIME A change to an unasserted value on -- the asynchronous TestSignal must -- precede reference edge (on RefSignal) -- by at least this time. -- Removal TIME An asserted condition must be present -- on the asynchronous TestSignal for at -- least the removal time following a -- reference edge on RefSignal. -- ActiveLow BOOLEAN A flag which indicates if TestSignal -- is asserted when it is low - "0." -- FALSE indicate that TestSignal is -- asserted when it has a value "1." -- CheckEnabled BOOLEAN The check in enabled when the value -- is TRUE, otherwise the constraints -- are not checked. -- RefTransition VitalEdgeSymbolType -- Reference edge specifier. Events on -- RefSignal will match the edge -- specified. -- HeaderMsg STRING A header message that will accompany -- any assertion message. -- XOn BOOLEAN When TRUE, the output Violation is -- set to "X." When FALSE, it is always -- "0." -- MsgOn BOOLEAN When TRUE, violation messages are -- output. When FALSE, no messages are -- generated. -- MsgSeverity SEVERITY_LEVEL Severity level of the asserted -- message. -- EnableRecOnTest BOOLEAN If FALSE at the time that the -- TestSignal signal changes, -- no recovery check will be performed. -- EnableRecOnRef BOOLEAN If FALSE at the time that the -- RefSignal signal changes, -- no recovery check will be performed. -- EnableRemOnRef BOOLEAN If FALSE at the time that the -- RefSignal signal changes, -- no removal check will be performed. -- EnableRemOnTest BOOLEAN If FALSE at the time that the -- TestSignal signal changes, -- no removal check will be performed. -- -- INOUT -- TimingData VitalTimingDataType -- VitalRecoveryRemovalCheck information -- storage area. This is used -- internally to detect reference edges -- and record the time of the last edge. -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalRecoveryRemovalCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT Recovery : IN TIME := 0 ns; CONSTANT Removal : IN TIME := 0 ns; CONSTANT ActiveLow : IN BOOLEAN := TRUE; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT EnableRecOnTest : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableRecOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableRemOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98 CONSTANT EnableRemOnTest : IN BOOLEAN := TRUE --IR252 3/23/98 ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalPeriodPulseCheck -- -- Description: VitalPeriodPulseCheck checks for minimum -- periodicity and pulse width for "1" and "0" values of -- the input test signal. The timing constraint is -- specified through parameters representing the minimal -- period between successive rising and falling edges of -- the input test signal and the minimum pulse widths -- associated with high and low values. -- -- VitalPeriodCheck's accepts rising and falling edges -- from 1 and 0 as well as transitions to and from 'X.' -- -- _______________ __________ -- ____________| |_______| -- -- |<--- pw_hi --->| -- |<-------- period ----->| -- -->| pw_lo |<-- -- -- Arguments: -- IN Type Description -- TestSignal std_ulogic Value of test signal -- TestSignalName STRING Name of the test signal -- TestDelay TIME Model's internal delay associated -- with TestSignal -- Period TIME Minimum period allowed between -- consecutive rising ('P') or -- falling ('F') transitions. -- PulseWidthHigh TIME Minimum time allowed for a high -- pulse ('1' or 'H') -- PulseWidthLow TIME Minimum time allowed for a low -- pulse ('0' or 'L') -- CheckEnabled BOOLEAN Check performed if TRUE. -- HeaderMsg STRING String that will accompany any -- assertion messages produced. -- XOn BOOLEAN If TRUE, Violation output parameter -- is set to "X". Otherwise, Violation -- is always set to "0". -- XOnChecks is a global that allows for -- only timing checks to be turned on. -- MsgOn BOOLEAN If TRUE, period/pulse violation -- message will be generated. -- Otherwise, no messages are generated, -- even though a violation is detected. -- MsgOnChecks allows for only timing -- check messages to be turned on. -- MsgSeverity SEVERITY_LEVEL Severity level for the assertion. -- -- INOUT -- PeriodData VitalPeriodDataType -- VitalPeriodPulseCheck information -- storage area. This is used -- internally to detect reference edges -- and record the pulse and period -- times. -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------ PROCEDURE VitalPeriodPulseCheck ( VARIABLE Violation : OUT X01; VARIABLE PeriodData : INOUT VitalPeriodDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName : IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; CONSTANT Period : IN TIME := 0 ns; CONSTANT PulseWidthHigh : IN TIME := 0 ns; CONSTANT PulseWidthLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalInPhaseSkewCheck -- -- Description: The VitalInPhaseSkewCheck procedure detects an in-phase -- skew violation between input signals Signal1 and Signal2. -- This is a timer based skew check in which a -- violation is detected if Signal1 and Signal2 are in -- different logic states longer than the specified skew -- interval. -- -- The timing constraints are specified through parameters -- representing the skew values for the different states -- of Signal1 and Signal2. -- -- -- Signal2 XXXXXXXXXXXX___________________________XXXXXXXXXXXXXXXXXXXXXX -- : -- : -->| |<-- -- : Signal2 should go low in this region -- : -- -- ____________ -- Signal1 \_________________________________________________ -- : | | -- : |<-------- tskew -------->| -- -- Arguments: -- -- IN Type Description -- Signal1 std_ulogic Value of first signal -- Signal1Name STRING Name of first signal -- Signal1Delay TIME Model's internal delay associated -- with Signal1 -- Signal2 std_ulogic Value of second signal -- Signal2Name STRING Name of second signal -- Signal2Delay TIME Model's internal delay associated -- with Signal2 -- SkewS1S2RiseRise TIME Absolute maximum time duration for -- which Signal2 can remain at "0" -- after Signal1 goes to the "1" state, -- without causing a skew violation. -- SkewS2S1RiseRise TIME Absolute maximum time duration for -- which Signal1 can remain at "0" -- after Signal2 goes to the "1" state, -- without causing a skew violation. -- SkewS1S2FallFall TIME Absolute maximum time duration for -- which Signal2 can remain at "1" -- after Signal1 goes to the "0" state, -- without causing a skew violation. -- SkewS2S1FallFall TIME Absolute maximum time duration for -- which Signal1 can remain at "1" -- after Signal2 goes to the "0" state, -- without causing a skew violation. -- CheckEnabled BOOLEAN Check performed if TRUE. -- HeaderMsg STRING String that will accompany any -- assertion messages produced. -- XOn BOOLEAN If TRUE, Violation output parameter -- is set to "X". Otherwise, Violation -- is always set to "0." -- MsgOn BOOLEAN If TRUE, skew timing violation -- messages will be generated. -- Otherwise, no messages are generated, -- even upon violations. -- MsgSeverity SEVERITY_LEVEL Severity level for the assertion. -- -- INOUT -- SkewData VitalSkewDataType -- VitalInPhaseSkewCheck information -- storage area. This is used -- internally to detect signal edges -- and record the time of the last edge. -- -- -- Trigger std_ulogic This signal is used to trigger the -- process in which the timing check -- occurs upon expiry of the skew -- interval. -- -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalInPhaseSkewCheck ( VARIABLE Violation : OUT X01; VARIABLE SkewData : INOUT VitalSkewDataType; SIGNAL Signal1 : IN std_ulogic; CONSTANT Signal1Name : IN STRING := ""; CONSTANT Signal1Delay : IN TIME := 0 ns; SIGNAL Signal2 : IN std_ulogic; CONSTANT Signal2Name : IN STRING := ""; CONSTANT Signal2Delay : IN TIME := 0 ns; CONSTANT SkewS1S2RiseRise : IN TIME := TIME'HIGH; CONSTANT SkewS2S1RiseRise : IN TIME := TIME'HIGH; CONSTANT SkewS1S2FallFall : IN TIME := TIME'HIGH; CONSTANT SkewS2S1FallFall : IN TIME := TIME'HIGH; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT HeaderMsg : IN STRING := ""; SIGNAL Trigger : INOUT std_ulogic ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalOutPhaseSkewCheck -- -- Description: The VitalOutPhaseSkewCheck procedure detects an -- out-of-phase skew violation between input signals Signal1 -- and Signal2. This is a timer based skew check in -- which a violation is detected if Signal1 and Signal2 are -- in the same logic state longer than the specified skew -- interval. -- -- The timing constraints are specified through parameters -- representing the skew values for the different states -- of Signal1 and Signal2. -- -- -- Signal2 XXXXXXXXXXXX___________________________XXXXXXXXXXXXXXXXXXXXXX -- : -- : -->| |<-- -- : Signal2 should go high in this region -- : -- -- ____________ -- Signal1 \_________________________________________________ -- : | | -- : |<-------- tskew -------->| -- -- Arguments: -- -- IN Type Description -- Signal1 std_ulogic Value of first signal -- Signal1Name STRING Name of first signal -- Signal1Delay TIME Model's internal delay associated -- with Signal1 -- Signal2 std_ulogic Value of second signal -- Signal2Name STRING Name of second signal -- Signal2Delay TIME Model's internal delay associated -- with Signal2 -- SkewS1S2RiseFall TIME Absolute maximum time duration for -- which Signal2 can remain at "1" -- after Signal1 goes to the "1" state, -- without causing a skew violation. -- SkewS2S1RiseFall TIME Absolute maximum time duration for -- which Signal1 can remain at "1" -- after Signal2 goes to the "1" state, -- without causing a skew violation. -- SkewS1S2FallRise TIME Absolute maximum time duration for -- which Signal2 can remain at "0" -- after Signal1 goes to the "0" state, -- without causing a skew violation. -- SkewS2S1FallRise TIME Absolute maximum time duration for -- which Signal1 can remain at "0" -- after Signal2 goes to the "0" state, -- without causing a skew violation. -- CheckEnabled BOOLEAN Check performed if TRUE. -- HeaderMsg STRING String that will accompany any -- assertion messages produced. -- XOn BOOLEAN If TRUE, Violation output parameter -- is set to "X". Otherwise, Violation -- is always set to "0." -- MsgOn BOOLEAN If TRUE, skew timing violation -- messages will be generated. -- Otherwise, no messages are generated, -- even upon violations. -- MsgSeverity SEVERITY_LEVEL Severity level for the assertion. -- -- INOUT -- SkewData VitalSkewDataType -- VitalInPhaseSkewCheck information -- storage area. This is used -- internally to detect signal edges -- and record the time of the last edge. -- -- Trigger std_ulogic This signal is used to trigger the -- process in which the timing check -- occurs upon expiry of the skew -- interval. -- -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalOutPhaseSkewCheck ( VARIABLE Violation : OUT X01; VARIABLE SkewData : INOUT VitalSkewDataType; SIGNAL Signal1 : IN std_ulogic; CONSTANT Signal1Name : IN STRING := ""; CONSTANT Signal1Delay : IN TIME := 0 ns; SIGNAL Signal2 : IN std_ulogic; CONSTANT Signal2Name : IN STRING := ""; CONSTANT Signal2Delay : IN TIME := 0 ns; CONSTANT SkewS1S2RiseFall : IN TIME := TIME'HIGH; CONSTANT SkewS2S1RiseFall : IN TIME := TIME'HIGH; CONSTANT SkewS1S2FallRise : IN TIME := TIME'HIGH; CONSTANT SkewS2S1FallRise : IN TIME := TIME'HIGH; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT HeaderMsg : IN STRING := ""; SIGNAL Trigger : INOUT std_ulogic ); END VITAL_Timing;
gpl-2.0
1a474e9af8f3e23210cb66f422ae9fdd
0.444071
6.024386
false
true
false
false
kennethlyn/parallella-lcd-fpga
system/system_top.vhd
3
7,527
------------------------------------------------------------------------------- -- system_top.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_top is port ( processing_system7_0_MIO : inout std_logic_vector(53 downto 0); processing_system7_0_PS_SRSTB_pin : in std_logic; processing_system7_0_PS_CLK_pin : in std_logic; processing_system7_0_PS_PORB_pin : in std_logic; processing_system7_0_DDR_Clk : inout std_logic; processing_system7_0_DDR_Clk_n : inout std_logic; processing_system7_0_DDR_CKE : inout std_logic; processing_system7_0_DDR_CS_n : inout std_logic; processing_system7_0_DDR_RAS_n : inout std_logic; processing_system7_0_DDR_CAS_n : inout std_logic; processing_system7_0_DDR_WEB_pin : out std_logic; processing_system7_0_DDR_BankAddr : inout std_logic_vector(2 downto 0); processing_system7_0_DDR_Addr : inout std_logic_vector(14 downto 0); processing_system7_0_DDR_ODT : inout std_logic; processing_system7_0_DDR_DRSTB : inout std_logic; processing_system7_0_DDR_DQ : inout std_logic_vector(31 downto 0); processing_system7_0_DDR_DM : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS_n : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_VRN : inout std_logic; processing_system7_0_DDR_VRP : inout std_logic; I2C_SCL : inout std_logic; I2C_SDA : inout std_logic; I2C_INT_N : in std_logic; HSYNC : out std_logic; VSYNC : out std_logic; PXL_CLK : out std_logic; DE : out std_logic; RED : out std_logic_vector(5 downto 0); GREEN : out std_logic_vector(5 downto 0); BLUE : out std_logic_vector(5 downto 0); brigthness_pin : out std_logic; tft_ena : out std_logic; tft_wake_n : out std_logic ); end system_top; architecture STRUCTURE of system_top is component system is port ( processing_system7_0_MIO : inout std_logic_vector(53 downto 0); processing_system7_0_PS_SRSTB_pin : in std_logic; processing_system7_0_PS_CLK_pin : in std_logic; processing_system7_0_PS_PORB_pin : in std_logic; processing_system7_0_DDR_Clk : inout std_logic; processing_system7_0_DDR_Clk_n : inout std_logic; processing_system7_0_DDR_CKE : inout std_logic; processing_system7_0_DDR_CS_n : inout std_logic; processing_system7_0_DDR_RAS_n : inout std_logic; processing_system7_0_DDR_CAS_n : inout std_logic; processing_system7_0_DDR_WEB_pin : out std_logic; processing_system7_0_DDR_BankAddr : inout std_logic_vector(2 downto 0); processing_system7_0_DDR_Addr : inout std_logic_vector(14 downto 0); processing_system7_0_DDR_ODT : inout std_logic; processing_system7_0_DDR_DRSTB : inout std_logic; processing_system7_0_DDR_DQ : inout std_logic_vector(31 downto 0); processing_system7_0_DDR_DM : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS_n : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_VRN : inout std_logic; processing_system7_0_DDR_VRP : inout std_logic; processing_system7_0_I2C0_SCL_pin :inout std_logic; processing_system7_0_I2C0_SDA_pin :inout std_logic; processing_system7_0_I2C0_INT_N_pin : in std_logic; processing_system7_0_FCLK_CLK0_pin : out std_logic; axi_dispctrl_0_HSYNC_O_pin : out std_logic; axi_dispctrl_0_VSYNC_O_pin : out std_logic; axi_dispctrl_0_PXL_CLK_O_pin : out std_logic; axi_dispctrl_0_DE_O_pin : out std_logic; axi_dispctrl_0_RED_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_GREEN_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_BLUE_O_pin : out std_logic_vector(7 downto 0); axi_dispctrl_0_ENABLE_O_pin : out std_logic ); end component; attribute BOX_TYPE : STRING; attribute BOX_TYPE of system : component is "user_black_box"; signal axi_dispctrl_0_HSYNC_O_pin : std_logic; signal axi_dispctrl_0_VSYNC_O_pin : std_logic; signal axi_dispctrl_0_PXL_CLK_O_pin : std_logic; signal axi_dispctrl_0_DE_O_pin : std_logic; signal axi_dispctrl_0_RED_O_pin : std_logic_vector(7 downto 0); signal axi_dispctrl_0_GREEN_O_pin : std_logic_vector(7 downto 0); signal axi_dispctrl_0_BLUE_O_pin : std_logic_vector(7 downto 0); signal axi_dispctrl_0_ENABLE_O_pin : std_logic; signal clk_100MHz : std_logic; begin brigthness_pin <= '1'; tft_ena <= axi_dispctrl_0_ENABLE_O_pin; HSYNC <= axi_dispctrl_0_HSYNC_O_pin; VSYNC <= axi_dispctrl_0_VSYNC_O_pin; PXL_CLK <= axi_dispctrl_0_PXL_CLK_O_pin; DE <= axi_dispctrl_0_DE_O_pin; RED <= axi_dispctrl_0_RED_O_pin (7 downto 2); GREEN <= axi_dispctrl_0_GREEN_O_pin (7 downto 2); BLUE <= axi_dispctrl_0_BLUE_O_pin (7 downto 2); lcd_reset: process (clk_100MHz) variable rst_count: integer range 0 to 1_000_000 := 0; begin if( rising_edge(clk_100MHz) ) then if( rst_count = 1_000_000 ) then tft_wake_n <= '1'; else tft_wake_n <= '0'; rst_count := rst_count + 1; end if; end if; end process; system_i : system port map ( processing_system7_0_MIO => processing_system7_0_MIO, processing_system7_0_PS_SRSTB_pin => processing_system7_0_PS_SRSTB_pin, processing_system7_0_PS_CLK_pin => processing_system7_0_PS_CLK_pin, processing_system7_0_PS_PORB_pin => processing_system7_0_PS_PORB_pin, processing_system7_0_DDR_Clk => processing_system7_0_DDR_Clk, processing_system7_0_DDR_Clk_n => processing_system7_0_DDR_Clk_n, processing_system7_0_DDR_CKE => processing_system7_0_DDR_CKE, processing_system7_0_DDR_CS_n => processing_system7_0_DDR_CS_n, processing_system7_0_DDR_RAS_n => processing_system7_0_DDR_RAS_n, processing_system7_0_DDR_CAS_n => processing_system7_0_DDR_CAS_n, processing_system7_0_DDR_WEB_pin => processing_system7_0_DDR_WEB_pin, processing_system7_0_DDR_BankAddr => processing_system7_0_DDR_BankAddr, processing_system7_0_DDR_Addr => processing_system7_0_DDR_Addr, processing_system7_0_DDR_ODT => processing_system7_0_DDR_ODT, processing_system7_0_DDR_DRSTB => processing_system7_0_DDR_DRSTB, processing_system7_0_DDR_DQ => processing_system7_0_DDR_DQ, processing_system7_0_DDR_DM => processing_system7_0_DDR_DM, processing_system7_0_DDR_DQS => processing_system7_0_DDR_DQS, processing_system7_0_DDR_DQS_n => processing_system7_0_DDR_DQS_n, processing_system7_0_DDR_VRN => processing_system7_0_DDR_VRN, processing_system7_0_DDR_VRP => processing_system7_0_DDR_VRP, processing_system7_0_I2C0_SCL_pin => I2C_SCL, processing_system7_0_I2C0_SDA_pin => I2C_SDA, processing_system7_0_I2C0_INT_N_pin => I2C_INT_N, processing_system7_0_FCLK_CLK0_pin => clk_100MHz, axi_dispctrl_0_HSYNC_O_pin => axi_dispctrl_0_HSYNC_O_pin, axi_dispctrl_0_VSYNC_O_pin => axi_dispctrl_0_VSYNC_O_pin, axi_dispctrl_0_PXL_CLK_O_pin => axi_dispctrl_0_PXL_CLK_O_pin, axi_dispctrl_0_DE_O_pin => axi_dispctrl_0_DE_O_pin, axi_dispctrl_0_RED_O_pin => axi_dispctrl_0_RED_O_pin, axi_dispctrl_0_GREEN_O_pin => axi_dispctrl_0_GREEN_O_pin, axi_dispctrl_0_BLUE_O_pin => axi_dispctrl_0_BLUE_O_pin, axi_dispctrl_0_ENABLE_O_pin => axi_dispctrl_0_ENABLE_O_pin ); end architecture STRUCTURE;
bsd-3-clause
b69b117bdff9d358ca5e5f561d12a286
0.671981
2.875095
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_3/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_3_pctrl.vhd
4
16,627
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_3_pkg.ALL; ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_3_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_3_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL reset_ex1 : STD_LOGIC := '0'; SIGNAL reset_ex2 : STD_LOGIC := '0'; SIGNAL reset_ex3 : STD_LOGIC := '0'; SIGNAL ae_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_i & empty_chk_i & '0' & ae_chk_i; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_i = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state = '0' AND state_d1 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- -- Reset pulse extension require for FULL flags checks -- FULL flag may stay high for 3 clocks after reset is removed. PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN reset_ex1 <= '1'; reset_ex2 <= '1'; reset_ex3 <= '1'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN reset_ex1 <= '0'; reset_ex2 <= reset_ex1; reset_ex3 <= reset_ex2; END IF; END PROCESS; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_i = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_i = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 50 ns; PRC_RD_EN <= prc_re_i AFTER 50 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; -- Almost empty flag checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN ae_chk_i <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF((EMPTY = '1' AND ALMOST_EMPTY = '0') OR (state = '1' AND FULL = '1' AND ALMOST_EMPTY = '1')) THEN ae_chk_i <= '1'; ELSE ae_chk_i <= '0'; END IF; END IF; END PROCESS; ----------------------------------------------------- RESET_EN <= reset_en_i; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN state_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN state_d1 <= state; END IF; END PROCESS; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:system_axi_vdma_0_wrapper_fifo_generator_v9_3_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_i = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:system_axi_vdma_0_wrapper_fifo_generator_v9_3_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_i = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND EMPTY = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(EMPTY = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; END ARCHITECTURE;
bsd-3-clause
a705c641ed22deb6af944f68f11c1a7c
0.524027
3.338755
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_3/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_3_dgen.vhd
4
4,710
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_3_pkg.ALL; ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_3_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_3_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 50 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:system_axi_vdma_0_wrapper_fifo_generator_v9_3_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
bsd-3-clause
44741d9f6d5d5545022c5d6f8ae4b0a6
0.607856
4.109948
false
false
false
false
alemedeiros/flappy_vhdl
obstacles/update_obstacles.vhd
1
1,501
-- file: obstacles/update_obstacles.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Update and generate new obstacles for game. library ieee ; use ieee.std_logic_1164.all ; library modules; use modules.obstacles.all; entity update_obstacles is generic ( H_RES : natural := 128 ; -- Horizontal Resolution V_RES : natural := 96 ; -- Vertical Resolution N_OBST : natural := 4 -- Number of obstacles ) ; port ( new_obst : in std_logic ; obst_count : buffer integer range -2 to 255 ; low_obst : out integer range 0 to V_RES - 1 ; high_obst : out integer range 0 to V_RES - 1 ; obst_rem : out std_logic ; clock : in std_logic ; enable : in std_logic ; reset : in std_logic ) ; end update_obstacles ; architecture behavior of update_obstacles is signal pos : integer range 0 to H_RES / N_OBST - 1 ; signal low_aux : integer range 0 to V_RES - 1 ; begin process (new_obst) begin if reset = '1' then obst_count <= -2 ; elsif enable = '1' and rising_edge(new_obst) then obst_count <= obst_count + 1 ; end if; end process ; qlow1: generate_random generic map (V_RES => V_RES) port map (seed => "10011", clock => new_obst, rand => low_aux) ; high_obst <= V_RES - low_aux - 35 ; low_obst <= low_aux ; obst_rem <= new_obst ; end behavior;
bsd-3-clause
2af208fc548c86c22a3feb77747b8c38
0.61026
3.380631
false
false
false
false
davewebb8211/ghdl
libraries/vital2000/prmtvs_p.vhdl
7
68,530
-- ----------------------------------------------------------------------------- -- Title : Standard VITAL_Primitives Package -- : $Revision$ -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4 -- : -- Purpose : This packages defines standard types, constants, functions -- : and procedures for use in developing ASIC models. -- : Specifically a set of logic primitives are defined. -- : -- Known Errors : -- : -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the objects (types, subtypes, constants, functions, -- : procedures ... etc.) that can be used by a user. The package -- : body shall be considered the formal definition of the -- : semantics of this package. Tool developers may choose to -- : implement the package body in the most efficient manner -- : available to them. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Acknowledgments: -- This code was originally developed under the "VHDL Initiative Toward ASIC -- Libraries" (VITAL), an industry sponsored initiative. Technical -- Director: William Billowitch, VHDL Technology Group; U.S. Coordinator: -- Steve Schultz; Steering Committee Members: Victor Berman, Cadence Design -- Systems; Oz Levia, Synopsys Inc.; Ray Ryan, Ryan & Ryan; Herman van Beek, -- Texas Instruments; Victor Martin, Hewlett-Packard Company. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Modification History : -- ---------------------------------------------------------------------------- -- Version No:|Auth:| Mod.Date:| Changes Made: -- v95.0 A | | 06/02/95 | Initial ballot draft 1995 -- ---------------------------------------------------------------------------- -- v95.3 | ddl | 09/24/96 | #236 - VitalTruthTable DataIn should be of -- | | | of class SIGNAL (PROPOSED) -- ---------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.Std_Logic_1164.ALL; USE IEEE.VITAL_Timing.ALL; PACKAGE VITAL_Primitives IS -- ------------------------------------------------------------------------ -- Type and Subtype Declarations -- ------------------------------------------------------------------------ -- For Truth and State Tables SUBTYPE VitalTruthSymbolType IS VitalTableSymbolType RANGE 'X' TO 'Z'; SUBTYPE VitalStateSymbolType IS VitalTableSymbolType RANGE '/' TO 'S'; TYPE VitalTruthTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> ) OF VitalTruthSymbolType; TYPE VitalStateTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> ) OF VitalStateSymbolType; -- --------------------------------- -- Default values used by primitives -- --------------------------------- CONSTANT VitalDefDelay01 : VitalDelayType01; -- Propagation delays CONSTANT VitalDefDelay01Z : VitalDelayType01Z; -- ------------------------------------------------------------------------ -- VITAL Primitives -- -- The primitives packages contains a collections of common gates, -- including AND, OR, XOR, NAND, NOR, XNOR, BUF, INV, MUX and DECODER -- functions. In addition, for sequential devices, a STATE TABLE construct -- is provided. For complex functions a modeler may wish to use either -- a collection of connected VITAL primitives, or a TRUTH TABLE construct. -- -- For each primitive a Function and Procedure is provided. The primitive -- functions are provided to support behavioral modeling styles. The -- primitive procedures are provided to support structural modeling styles. -- -- The procedures wait internally for an event on an input signal, compute -- the new result, perform glitch handling, schedule transaction on the -- output signals, and wait for future input events. All of the functional -- (logic) input or output parameters of the primitive procedures are -- signals. All the other parameters are constants. -- -- The procedure primitives are parameterized for separate path delays -- from each input signal. All path delays default to 0 ns. -- -- The sequential primitive functions compute the defined function and -- return a value of type std_ulogic or std_logic_vector. All parameters -- of the primitive functions are constants of mode IN. -- -- The primitives are based on 1164 operators. The user may also elect to -- express functions using the 1164 operators as well. These styles are -- all equally acceptable methods for device modeling. -- -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: N-input logic device function calls: -- VitalAND VitalOR VitalXOR -- VitalNAND VitalNOR VitalXNOR -- -- Description: The function calls return the evaluated logic function -- corresponding to the function name. -- -- Arguments: -- -- IN Type Description -- Data std_logic_vector The input signals for the n-bit -- wide logic functions. -- ResultMap VitalResultMapType The output signal strength -- result map to modify default -- result mapping. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic The evaluated logic function of -- the n-bit wide primitives. -- -- ------------------------------------------------------------------------- FUNCTION VitalAND ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalOR ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXOR ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNAND ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNOR ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXNOR ( CONSTANT Data : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: N-input logic device concurrent procedure calls. -- VitalAND VitalOR VitalXOR -- VitalNAND VitalNOR VitalXNOR -- -- Description: The procedure calls return the evaluated logic function -- corresponding to the function name as a parameter to the -- procedure. Propagation delay form data to q is a -- a parameter to the procedure. A vector of delay values -- for inputs to output are provided. It is noted that -- limitations in SDF make the back annotation of the delay -- array difficult. -- -- Arguments: -- -- IN Type Description -- Data std_logic_vector The input signals for the n- -- bit wide logic functions. -- tpd_data_q VitalDelayArrayType01 The propagation delay from -- the data inputs to the output -- q. -- -- INOUT -- none -- -- OUT -- q std_ulogic The output signal of the -- evaluated logic function. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalAND ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalOR ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXOR ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNAND ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNOR ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXNOR ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); -- ------------------------------------------------------------------------- -- -- Sequential -- Primitive -- Function Name: 2,3 and 4 input logic device function calls. -- -- VitalAND2 VitalOR2 VitalXOR2 -- VitalAND3 VitalOR3 VitalXOR3 -- VitalAND4 VitalOR4 VitalXOR4 -- -- VitalNAND2 VitalNOR2 VitalXNOR2 -- VitalNAND3 VitalNOR3 VitalXNOR3 -- VitalNAND4 VitalNOR4 VitalXNOR4 -- -- Description: The function calls return the evaluated 2, 3 or 4 input -- logic function corresponding to the function name. -- -- Arguments: -- -- IN Type Description -- a, b, c, d std_ulogic 2 input devices have a and b as -- inputs. 3 input devices have a, b -- and c as inputs. 4 input devices -- have a, b, c and d as inputs. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic The result of the evaluated logic -- function. -- -- ------------------------------------------------------------------------- FUNCTION VitalAND2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalOR2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXOR2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNAND2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNOR2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXNOR2 ( CONSTANT a, b : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalAND3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalOR3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXOR3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNAND3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNOR3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXNOR3 ( CONSTANT a, b, c : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalAND4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalOR4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXOR4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNAND4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalNOR4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalXNOR4 ( CONSTANT a, b, c, d : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: 2, 3 and 4 input logic device concurrent procedure -- calls. -- -- VitalAND2 VitalOR2 VitalXOR2 -- VitalAND3 VitalOR3 VitalXOR3 -- VitalAND4 VitalOR4 VitalXOR4 -- -- VitalNAND2 VitalNOR2 VitalXNOR2 -- VitalNAND3 VitalNOR3 VitalXNOR3 -- VitalNAND4 VitalNOR4 VitalXNOR4 -- -- Description: The procedure calls return the evaluated logic function -- corresponding to the function name as a parameter to the -- procedure. Propagation delays from a and b to q are -- a parameter to the procedure. The default propagation -- delay is 0 ns. -- -- Arguments: -- -- IN Type Description -- a, b, c, d std_ulogic 2 input devices have a and b as -- inputs. 3 input devices have a, b -- and c as inputs. 4 input devices -- have a, b, c and d as inputs. -- tpd_a_q VitalDelayType01 The propagation delay from the a -- input to output q for 2, 3 and 4 -- input devices. -- tpd_b_q VitalDelayType01 The propagation delay from the b -- input to output q for 2, 3 and 4 -- input devices. -- tpd_c_q VitalDelayType01 The propagation delay from the c -- input to output q for 3 and 4 input -- devices. -- tpd_d_q VitalDelayType01 The propagation delay from the d -- input to output q for 4 input -- devices. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping. -- -- INOUT -- none -- -- OUT -- q std_ulogic The output signal of the evaluated -- logic function. -- -- Returns -- none -- ------------------------------------------------------------------------- PROCEDURE VitalAND2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalOR2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXOR2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNAND2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNOR2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXNOR2 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalAND3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalOR3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXOR3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNAND3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNOR3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXNOR3 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalAND4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalOR4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXOR4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNAND4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalNOR4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalXNOR4 ( SIGNAL q : OUT std_ulogic; SIGNAL a, b, c, d : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_b_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_c_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: Buffer logic device concurrent procedure calls. -- -- Description: Four buffer sequential primitive function calls are -- provided. One is a simple buffer and the others -- offer high and low enables and the four permits -- propagation of Z as shown below: -- -- VitalBUF Standard non-inverting buffer -- VitalBUFIF0 Non-inverting buffer with Enable low -- VitalBUFIF1 Non-inverting buffer with Enable high -- VitalIDENT Pass buffer capable of propagating Z -- -- Arguments: -- -- IN Type Description -- Data std_ulogic Input to the buffers -- Enable std_ulogic Enable for the enable high and low -- buffers. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- simple buffer. -- VitalResultZMapType The output signal strength result map -- to modify default result mapping -- which has high impedance capability -- for the enable high, enable low and -- identity buffers. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic The output signal of the evaluated -- buffer function. -- -- ------------------------------------------------------------------------- FUNCTION VitalBUF ( CONSTANT Data : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalBUFIF0 ( CONSTANT Data, Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; FUNCTION VitalBUFIF1 ( CONSTANT Data, Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; FUNCTION VitalIDENT ( CONSTANT Data : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: Buffer device procedure calls. -- -- Description: Four buffer concurrent primitive procedure calls are -- provided. One is a simple buffer and the others -- offer high and low enables and the fourth permits -- propagation of Z as shown below: -- -- VitalBUF Standard non-inverting buffer -- VitalBUFIF0 Non-inverting buffer with Enable low -- VitalBUFIF1 Non-inverting buffer with Enable high -- VitalIDENT Pass buffer capable of propagating Z -- -- Arguments: -- -- IN Type Description -- a std_ulogic Input signal to the buffers -- Enable std_ulogic Enable signal for the enable high and -- low buffers. -- tpd_a_q VitalDelayType01 Propagation delay from input to -- output for the simple buffer. -- VitalDelayType01Z Propagation delay from input to -- to output for the enable high and low -- and identity buffers. -- tpd_enable_q VitalDelayType01Z Propagation delay from enable to -- output for the enable high and low -- buffers. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- simple buffer. -- VitalResultZMapType The output signal strength result map -- to modify default result mapping -- which has high impedance capability -- for the enable high, enable low and -- identity buffers. -- -- INOUT -- none -- -- OUT -- q std_ulogic Output of the buffers. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalBUF ( SIGNAL q : OUT std_ulogic; SIGNAL a : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalBUFIF0 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap); PROCEDURE VitalBUFIF1 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap); PROCEDURE VitalIDENT ( SIGNAL q : OUT std_ulogic; SIGNAL a : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ); -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: VitalINV, VitalINVIF0, VitalINVIF1 -- -- Description: Inverter functions which return the inverted signal -- value. Inverters with enable low and high are provided -- which can drive high impedance when inactive. -- -- Arguments: -- -- IN Type Description -- Data std_ulogic Input to the inverter -- Enable std_ulogic Enable to the enable high and low -- inverters. -- ResultMap VitalResultMap The output signal strength result map -- to modify default result mapping for -- simple inverter. -- VitalResultZMapType The output signal strength result map -- to modify default result mapping -- which has high impedance capability -- for the enable high, enable low -- inverters. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic Output of the inverter -- -- ------------------------------------------------------------------------- FUNCTION VitalINV ( CONSTANT Data : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalINVIF0 ( CONSTANT Data, Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; FUNCTION VitalINVIF1 ( CONSTANT Data, Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: VitalINV, VitalINVIF0, VitalINVIF1 -- -- Description: The concurrent primitive procedure calls implement a -- signal inversion function. The output is a parameter to -- the procedure. The path delay information is passed as -- a parameter to the call. -- -- Arguments: -- -- IN Type Description -- a std_ulogic Input signal for the simple inverter -- Data std_ulogic Input signal for the enable high and -- low inverters. -- Enable std_ulogic Enable signal for the enable high and -- low inverters. -- tpd_a_q VitalDelayType01 Propagation delay from input a to -- output q for the simple inverter. -- tpd_data_q VitalDelayType01 Propagation delay from input data to -- output q for the enable high and low -- inverters. -- tpd_enable_q VitalDelayType01Z Propagation delay from input enable -- to output q for the enable high and -- low inverters. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- simple inverter. -- VitalResultZMapType The output signal strength result map -- to modify default result mapping -- which has high impedance capability -- for the enable high, enable low -- inverters. -- -- INOUT -- none -- -- OUT -- q std_ulogic Output signal of the inverter. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalINV ( SIGNAL q : OUT std_ulogic; SIGNAL a : IN std_ulogic; CONSTANT tpd_a_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalINVIF0 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap); PROCEDURE VitalINVIF1 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01Z := VitalDefDelay01Z; CONSTANT ResultMap : IN VitalResultZMapType := VitalDefaultResultZMap); -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: VitalMUX, VitalMUX2, VitalMUX4, VitalMUX8 -- -- Description: The VitalMUX functions return the selected data bit -- based on the value of dSelect. For MUX2, the function -- returns data0 when dselect is 0 and returns data1 when -- dselect is 1. When dselect is X, result is X for MUX2 -- when data0 /= data1. X propagation is reduced when the -- dselect signal is X and both data signals are identical. -- When this is the case, the result returned is the value -- of the data signals. -- -- For the N input device: -- -- N must equal 2**(bits of dSelect) -- -- Arguments: -- -- IN Type Description -- Data std_logic_vector Input signal for the N-bit, 4-bit and -- 8-bit mux. -- Data1,Data0 std_ulogic Input signals for the 2-bit mux. -- dSelect std_ulogic Select signal for 2-bit mux -- std_logic_vector2 Select signal for 4-bit mux -- std_logic_vector3 Select signal for 8-bit mux -- std_logic_vector Select signal for N-Bit mux -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- all muxes. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_ulogic The value of the selected bit is -- returned. -- -- ------------------------------------------------------------------------- FUNCTION VitalMUX ( CONSTANT Data : IN std_logic_vector; CONSTANT dSelect : IN std_logic_vector; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalMUX2 ( CONSTANT Data1, Data0 : IN std_ulogic; CONSTANT dSelect : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalMUX4 ( CONSTANT Data : IN std_logic_vector4; CONSTANT dSelect : IN std_logic_vector2; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; FUNCTION VitalMUX8 ( CONSTANT Data : IN std_logic_vector8; CONSTANT dSelect : IN std_logic_vector3; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_ulogic; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: VitalMUX, VitalMUX2, VitalMUX4, VitalMUX8 -- -- Description: The VitalMUX concurrent primitive procedures calls -- return in the output q the value of the selected data -- bit based on the value of dsel. For the two bit mux, -- the data returned is either d0 or d1, the data input. -- For 4, 8 and N-bit functions, data is the input and is -- of type std_logic_vector. For the 2-bit mux, if d0 or -- d1 are X, the output is X only when d0 do not equal d1. -- When d0 and d1 are equal, the return value is this value -- to reduce X propagation. -- -- Propagation delay information is passed as a parameter -- to the procedure call for delays from data to output and -- select to output. For 2-bit muxes, the propagation -- delays from data are provided for d0 and d1 to output. -- -- -- Arguments: -- -- IN Type Description -- d1,d0 std_ulogic Input signals for the 2-bit mux. -- Data std_logic_vector4 Input signals for the 4-bit mux. -- std_logic_vector8 Input signals for the 8-bit mux. -- std_logic_vector Input signals for the N-bit mux. -- dsel std_ulogic Select signal for the 2-bit mux. -- std_logic_vector2 Select signals for the 4-bit mux. -- std_logic_vector3 Select signals for the 8-bit mux. -- std_logic_vector Select signals for the N-bit mux. -- tpd_d1_q VitalDelayType01 Propagation delay from input d1 to -- output q for 2-bit mux. -- tpd_d0_q VitalDelayType01 Propagation delay from input d0 to -- output q for 2-bit mux. -- tpd_data_q VitalDelayArrayType01 Propagation delay from input data -- to output q for 4-bit, 8-bit and -- N-bit muxes. -- tpd_dsel_q VitalDelayType01 Propagation delay from input dsel -- to output q for 2-bit mux. -- VitalDelayArrayType01 Propagation delay from input dsel -- to output q for 4-bit, 8-bit and -- N-bit muxes. -- ResultMap VitalResultMapType The output signal strength result -- map to modify default result -- mapping for all muxes. -- -- INOUT -- none -- -- OUT -- q std_ulogic The value of the selected signal. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalMUX ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector; SIGNAL dSel : IN std_logic_vector; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_dsel_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalMUX2 ( SIGNAL q : OUT std_ulogic; SIGNAL d1, d0 : IN std_ulogic; SIGNAL dSel : IN std_ulogic; CONSTANT tpd_d1_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_d0_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_dsel_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalMUX4 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector4; SIGNAL dSel : IN std_logic_vector2; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_dsel_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalMUX8 ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector8; SIGNAL dSel : IN std_logic_vector3; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_dsel_q : IN VitalDelayArrayType01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); -- ------------------------------------------------------------------------ -- -- Sequential -- Primitive -- Function Name: VitalDECODER, VitalDECODER2, VitalDECODER4, -- VitalDECODER8 -- -- Description: The VitalDECODER functions are the sequential primitive -- calls for decoder logic. The functions are provided -- for N, 2, 4 and 8-bit outputs. -- -- The N-bit decoder is (2**(bits of data)) wide. -- -- The VitalDECODER returns 0 if enable is 0. -- The VitalDECODER returns the result bit set to 1 if -- enable is 1. All other bits of returned result are -- set to 0. -- -- The returned array is in descending order: -- (n-1 downto 0). -- -- Arguments: -- -- IN Type Description -- Data std_ulogic Input signal for 2-bit decoder. -- std_logic_vector2 Input signals for 4-bit decoder. -- std_logic_vector3 Input signals for 8-bit decoder. -- std_logic_vector Input signals for N-bit decoder. -- Enable std_ulogic Enable input signal. The result is -- output when enable is high. -- ResultMap VitalResultMapType The output signal strength result map -- to modify default result mapping for -- all output signals of the decoders. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- std_logic_vector2 The output of the 2-bit decoder. -- std_logic_vector4 The output of the 4-bit decoder. -- std_logic_vector8 The output of the 8-bit decoder. -- std_logic_vector The output of the n-bit decoder. -- -- ------------------------------------------------------------------------- FUNCTION VitalDECODER ( CONSTANT Data : IN std_logic_vector; CONSTANT Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_logic_vector; FUNCTION VitalDECODER2 ( CONSTANT Data : IN std_ulogic; CONSTANT Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_logic_vector2; FUNCTION VitalDECODER4 ( CONSTANT Data : IN std_logic_vector2; CONSTANT Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_logic_vector4; FUNCTION VitalDECODER8 ( CONSTANT Data : IN std_logic_vector3; CONSTANT Enable : IN std_ulogic; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ) RETURN std_logic_vector8; -- ------------------------------------------------------------------------- -- -- Concurrent -- Primitive -- Procedure Name: VitalDECODER, VitalDECODER2, VitalDECODER4, -- VitalDECODER8 -- -- Description: The VitalDECODER procedures are the concurrent primitive -- procedure calls for decoder functions. The procedures -- are provided for N, 2, 4 and 8 outputs. -- -- The N-bit decoder is (2**(bits of data)) wide. -- -- The procedural form of the decoder is used for -- distributed delay modeling. The delay information for -- each path is passed as an argument to the procedure. -- -- Result is set to 0 if enable is 0. -- The result bit represented by data is set to 1 if -- enable is 1. All other bits of result are set to 0. -- -- The result array is in descending order: (n-1 downto 0). -- -- For the N-bit decoder, the delay path is a vector of -- delays from inputs to outputs. -- -- Arguments: -- -- IN Type Description -- Data std_ulogic Input signal for 2-bit decoder. -- std_logic_vector2 Input signals for 4-bit decoder. -- std_logic_vector3 Input signals for 8-bit decoder. -- std_logic_vector Input signals for N-bit decoder. -- enable std_ulogic Enable input signal. The result is -- output when enable is high. -- tpd_data_q VitalDelayType01 Propagation delay from input data -- to output q for 2-bit decoder. -- VitalDelayArrayType01 Propagation delay from input data -- to output q for 4, 8 and n-bit -- decoders. -- tpd_enable_q VitalDelayType01 Propagation delay from input enable -- to output q for 2, 4, 8 and n-bit -- decoders. -- -- INOUT -- none -- -- OUT -- q std_logic_vector2 Output signals for 2-bit decoder. -- std_logic_vector4 Output signals for 4-bit decoder. -- std_logic_vector8 Output signals for 8-bit decoder. -- std_logic_vector Output signals for n-bit decoder. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalDECODER ( SIGNAL q : OUT std_logic_vector; SIGNAL Data : IN std_logic_vector; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalDECODER2 ( SIGNAL q : OUT std_logic_vector2; SIGNAL Data : IN std_ulogic; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalDECODER4 ( SIGNAL q : OUT std_logic_vector4; SIGNAL Data : IN std_logic_vector2; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); PROCEDURE VitalDECODER8 ( SIGNAL q : OUT std_logic_vector8; SIGNAL Data : IN std_logic_vector3; SIGNAL Enable : IN std_ulogic; CONSTANT tpd_data_q : IN VitalDelayArrayType01; CONSTANT tpd_enable_q : IN VitalDelayType01 := VitalDefDelay01; CONSTANT ResultMap : IN VitalResultMapType := VitalDefaultResultMap ); -- ------------------------------------------------------------------------- -- Function Name: VitalTruthTable -- -- Description: VitalTruthTable implements a truth table. Given -- a set of inputs, a sequential search is performed -- to match the input. If a match is found, the output -- is set based on the contents of the CONSTANT TruthTable. -- If there is no match, all X's are returned. There is -- no limit to the size of the table. -- -- There is a procedure and function for VitalTruthTable. -- For each of these, a single value output (std_logic) and -- a multi-value output (std_logic_vector) are provided. -- -- The first dimension of the table is for number of -- entries in the truth table and second dimension is for -- the number of elements in a row. The number of inputs -- in the row should be Data'LENGTH plus result'LENGTH. -- -- Elements is a row will be interpreted as -- Input(NumInputs - 1),.., Input(0), -- Result(NumOutputs - 1),.., Result(0) -- -- All inputs will be mapped to the X01 subtype -- -- If the value of Result is not in the range 'X' to 'Z' -- then an error will be reported. Also, the Result is -- always given either as a 0, 1, X or Z value. -- -- Arguments: -- -- IN Type Description -- TruthTable The input constant which defines the -- behavior in truth table form. -- DataIn The inputs to the truth table used to -- perform input match to select -- output(s) to value(s) to drive. -- -- INOUT -- none -- -- OUT -- Result std_logic Concurrent procedure version scalar -- output. -- std_logic_vector Concurrent procedure version vector -- output. -- -- Returns -- Result std_logic Function version scalar output. -- std_logic_vector Function version vector output. -- -- ------------------------------------------------------------------------- FUNCTION VitalTruthTable ( CONSTANT TruthTable : IN VitalTruthTableType; CONSTANT DataIn : IN std_logic_vector ) RETURN std_logic_vector; FUNCTION VitalTruthTable ( CONSTANT TruthTable : IN VitalTruthTableType; CONSTANT DataIn : IN std_logic_vector ) RETURN std_logic; PROCEDURE VitalTruthTable ( SIGNAL Result : OUT std_logic_vector; CONSTANT TruthTable : IN VitalTruthTableType; SIGNAL DataIn : IN std_logic_vector -- IR#236 ); PROCEDURE VitalTruthTable ( SIGNAL Result : OUT std_logic; CONSTANT TruthTable : IN VitalTruthTableType; SIGNAL DataIn : IN std_logic_vector -- IR#236 ); -- ------------------------------------------------------------------------- -- -- Function Name: VitalStateTable -- -- Description: VitalStateTable is a non-concurrent implementation of a -- state machine (Moore Machine). It is used to model -- sequential devices and devices with internal states. -- -- The procedure takes the value of the state table -- data set and performs a sequential search of the -- CONSTANT StateTable until a match is found. Once a -- match is found, the result of that match is applied -- to Result. If there is no match, all X's are returned. -- The resultant output becomes the input for the next -- state. -- -- The first dimension of the table is the number of -- entries in the state table and second dimension is the -- number of elements in a row of the table. The number of -- inputs in the row should be DataIn'LENGTH. Result should -- contain the current state (which will become the next -- state) as well as the outputs -- -- Elements is a row of the table will be interpreted as -- Input(NumInputs-1),.., Input(0), State(NumStates-1), -- ..., State(0),Output(NumOutputs-1),.., Output(0) -- -- where State(numStates-1) DOWNTO State(0) represent the -- present state and Output(NumOutputs - 1) DOWNTO -- Outputs(NumOutputs - NumStates) represent the new -- values of the state variables (i.e. the next state). -- Also, Output(NumOutputs - NumStates - 1) -- -- This procedure returns the next state and the new -- outputs when a match is made between the present state -- and present inputs and the state table. A search is -- made starting at the top of the state table and -- terminates with the first match. If no match is found -- then the next state and new outputs are set to all 'X's. -- -- (Asynchronous inputs (i.e. resets and clears) must be -- handled by placing the corresponding entries at the top -- of the table. ) -- -- All inputs will be mapped to the X01 subtype. -- -- NOTE: Edge transitions should not be used as values -- for the state variables in the present state -- portion of the state table. The only valid -- values that can be used for the present state -- portion of the state table are: -- 'X', '0', '1', 'B', '-' -- -- Arguments: -- -- IN Type Description -- StateTable VitalStateTableType The input constant which defines -- the behavior in state table form. -- DataIn std_logic_vector The current state inputs to the -- state table used to perform input -- matches and transition -- calculations. -- NumStates NATURAL Number of state variables -- -- INOUT -- Result std_logic Output signal for scalar version of -- the concurrent procedure call. -- std_logic_vector Output signals for vector version -- of the concurrent procedure call. -- PreviousDataIn std_logic_vector The previous inputs and states used -- in transition calculations and to -- set outputs for steady state cases. -- -- OUT -- none -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalStateTable ( VARIABLE Result : INOUT std_logic_vector; VARIABLE PreviousDataIn : INOUT std_logic_vector; CONSTANT StateTable : IN VitalStateTableType; CONSTANT DataIn : IN std_logic_vector; CONSTANT NumStates : IN NATURAL ); PROCEDURE VitalStateTable ( VARIABLE Result : INOUT std_logic; VARIABLE PreviousDataIn : INOUT std_logic_vector; CONSTANT StateTable : IN VitalStateTableType; CONSTANT DataIn : IN std_logic_vector ); PROCEDURE VitalStateTable ( SIGNAL Result : INOUT std_logic_vector; CONSTANT StateTable : IN VitalStateTableType; SIGNAL DataIn : IN std_logic_vector; CONSTANT NumStates : IN NATURAL ); PROCEDURE VitalStateTable ( SIGNAL Result : INOUT std_logic; CONSTANT StateTable : IN VitalStateTableType; SIGNAL DataIn : IN std_logic_vector ); -- ------------------------------------------------------------------------- -- -- Function Name: VitalResolve -- -- Description: VitalResolve takes a vector of signals and resolves -- them to a std_ulogic value. This procedure can be used -- to resolve multiple drivers in a single model. -- -- Arguments: -- -- IN Type Description -- Data std_logic_vector Set of input signals which drive a -- common signal. -- -- INOUT -- none -- -- OUT -- q std_ulogic Output signal which is the resolved -- value being driven by the collection of -- input signals. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalResolve ( SIGNAL q : OUT std_ulogic; SIGNAL Data : IN std_logic_vector); --IR236 4/2/98 END VITAL_Primitives;
gpl-2.0
2aac9391fbf9015d854bc2a41daa5345
0.468102
5.723712
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/hdl/system_axi_vdma_0_wrapper.vhd
3
17,777
------------------------------------------------------------------------------- -- system_axi_vdma_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library axi_vdma_v5_04_a; use axi_vdma_v5_04_a.all; entity system_axi_vdma_0_wrapper is port ( s_axi_lite_aclk : in std_logic; m_axi_sg_aclk : in std_logic; m_axi_mm2s_aclk : in std_logic; m_axi_s2mm_aclk : in std_logic; m_axis_mm2s_aclk : in std_logic; s_axis_s2mm_aclk : in std_logic; axi_resetn : in std_logic; s_axi_lite_awvalid : in std_logic; s_axi_lite_awready : out std_logic; s_axi_lite_awaddr : in std_logic_vector(8 downto 0); s_axi_lite_wvalid : in std_logic; s_axi_lite_wready : out std_logic; s_axi_lite_wdata : in std_logic_vector(31 downto 0); s_axi_lite_bresp : out std_logic_vector(1 downto 0); s_axi_lite_bvalid : out std_logic; s_axi_lite_bready : in std_logic; s_axi_lite_arvalid : in std_logic; s_axi_lite_arready : out std_logic; s_axi_lite_araddr : in std_logic_vector(8 downto 0); s_axi_lite_rvalid : out std_logic; s_axi_lite_rready : in std_logic; s_axi_lite_rdata : out std_logic_vector(31 downto 0); s_axi_lite_rresp : out std_logic_vector(1 downto 0); m_axi_sg_araddr : out std_logic_vector(31 downto 0); m_axi_sg_arlen : out std_logic_vector(7 downto 0); m_axi_sg_arsize : out std_logic_vector(2 downto 0); m_axi_sg_arburst : out std_logic_vector(1 downto 0); m_axi_sg_arprot : out std_logic_vector(2 downto 0); m_axi_sg_arcache : out std_logic_vector(3 downto 0); m_axi_sg_arvalid : out std_logic; m_axi_sg_arready : in std_logic; m_axi_sg_rdata : in std_logic_vector(31 downto 0); m_axi_sg_rresp : in std_logic_vector(1 downto 0); m_axi_sg_rlast : in std_logic; m_axi_sg_rvalid : in std_logic; m_axi_sg_rready : out std_logic; m_axi_mm2s_araddr : out std_logic_vector(31 downto 0); m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); m_axi_mm2s_arvalid : out std_logic; m_axi_mm2s_arready : in std_logic; m_axi_mm2s_rdata : in std_logic_vector(63 downto 0); m_axi_mm2s_rresp : in std_logic_vector(1 downto 0); m_axi_mm2s_rlast : in std_logic; m_axi_mm2s_rvalid : in std_logic; m_axi_mm2s_rready : out std_logic; mm2s_prmry_reset_out_n : out std_logic; m_axis_mm2s_tdata : out std_logic_vector(31 downto 0); m_axis_mm2s_tkeep : out std_logic_vector(3 downto 0); m_axis_mm2s_tvalid : out std_logic; m_axis_mm2s_tready : in std_logic; m_axis_mm2s_tlast : out std_logic; m_axis_mm2s_tuser : out std_logic_vector(0 to 0); m_axi_s2mm_awaddr : out std_logic_vector(31 downto 0); m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); m_axi_s2mm_awvalid : out std_logic; m_axi_s2mm_awready : in std_logic; m_axi_s2mm_wdata : out std_logic_vector(31 downto 0); m_axi_s2mm_wstrb : out std_logic_vector(3 downto 0); m_axi_s2mm_wlast : out std_logic; m_axi_s2mm_wvalid : out std_logic; m_axi_s2mm_wready : in std_logic; m_axi_s2mm_bresp : in std_logic_vector(1 downto 0); m_axi_s2mm_bvalid : in std_logic; m_axi_s2mm_bready : out std_logic; s2mm_prmry_reset_out_n : out std_logic; s_axis_s2mm_tdata : in std_logic_vector(31 downto 0); s_axis_s2mm_tkeep : in std_logic_vector(3 downto 0); s_axis_s2mm_tvalid : in std_logic; s_axis_s2mm_tready : out std_logic; s_axis_s2mm_tlast : in std_logic; s_axis_s2mm_tuser : in std_logic_vector(0 to 0); mm2s_fsync : in std_logic; mm2s_frame_ptr_in : in std_logic_vector(5 downto 0); mm2s_frame_ptr_out : out std_logic_vector(5 downto 0); mm2s_fsync_out : out std_logic; mm2s_prmtr_update : out std_logic; mm2s_buffer_empty : out std_logic; mm2s_buffer_almost_empty : out std_logic; s2mm_fsync : in std_logic; s2mm_frame_ptr_in : in std_logic_vector(5 downto 0); s2mm_frame_ptr_out : out std_logic_vector(5 downto 0); s2mm_fsync_out : out std_logic; s2mm_buffer_full : out std_logic; s2mm_buffer_almost_full : out std_logic; s2mm_prmtr_update : out std_logic; mm2s_introut : out std_logic; s2mm_introut : out std_logic; axi_vdma_tstvec : out std_logic_vector(63 downto 0) ); attribute x_core_info : STRING; attribute x_core_info of system_axi_vdma_0_wrapper : entity is "axi_vdma_v5_04_a"; end system_axi_vdma_0_wrapper; architecture STRUCTURE of system_axi_vdma_0_wrapper is component axi_vdma is generic ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_NUM_FSTORES : INTEGER; C_USE_FSYNC : INTEGER; C_FLUSH_ON_FSYNC : INTEGER; C_DYNAMIC_RESOLUTION : INTEGER; C_INCLUDE_SG : INTEGER; C_INCLUDE_INTERNAL_GENLOCK : INTEGER; C_ENABLE_VIDPRMTR_READS : INTEGER; C_INCLUDE_MM2S : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_SOF_ENABLE : INTEGER; C_MM2S_MAX_BURST_LENGTH : INTEGER; C_MM2S_GENLOCK_MODE : INTEGER; C_MM2S_GENLOCK_NUM_MASTERS : INTEGER; C_MM2S_GENLOCK_REPEAT_EN : INTEGER; C_MM2S_LINEBUFFER_DEPTH : INTEGER; C_MM2S_LINEBUFFER_THRESH : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXIS_MM2S_TUSER_BITS : INTEGER; C_INCLUDE_S2MM : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_SOF_ENABLE : INTEGER; C_S2MM_MAX_BURST_LENGTH : INTEGER; C_S2MM_GENLOCK_MODE : INTEGER; C_S2MM_GENLOCK_NUM_MASTERS : INTEGER; C_S2MM_GENLOCK_REPEAT_EN : INTEGER; C_S2MM_LINEBUFFER_DEPTH : INTEGER; C_S2MM_LINEBUFFER_THRESH : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_S_AXIS_S2MM_TUSER_BITS : INTEGER; C_FAMILY : STRING; C_INSTANCE : STRING ); port ( s_axi_lite_aclk : in std_logic; m_axi_sg_aclk : in std_logic; m_axi_mm2s_aclk : in std_logic; m_axi_s2mm_aclk : in std_logic; m_axis_mm2s_aclk : in std_logic; s_axis_s2mm_aclk : in std_logic; axi_resetn : in std_logic; s_axi_lite_awvalid : in std_logic; s_axi_lite_awready : out std_logic; s_axi_lite_awaddr : in std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); s_axi_lite_wvalid : in std_logic; s_axi_lite_wready : out std_logic; s_axi_lite_wdata : in std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); s_axi_lite_bresp : out std_logic_vector(1 downto 0); s_axi_lite_bvalid : out std_logic; s_axi_lite_bready : in std_logic; s_axi_lite_arvalid : in std_logic; s_axi_lite_arready : out std_logic; s_axi_lite_araddr : in std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); s_axi_lite_rvalid : out std_logic; s_axi_lite_rready : in std_logic; s_axi_lite_rdata : out std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); s_axi_lite_rresp : out std_logic_vector(1 downto 0); m_axi_sg_araddr : out std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); m_axi_sg_arlen : out std_logic_vector(7 downto 0); m_axi_sg_arsize : out std_logic_vector(2 downto 0); m_axi_sg_arburst : out std_logic_vector(1 downto 0); m_axi_sg_arprot : out std_logic_vector(2 downto 0); m_axi_sg_arcache : out std_logic_vector(3 downto 0); m_axi_sg_arvalid : out std_logic; m_axi_sg_arready : in std_logic; m_axi_sg_rdata : in std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0); m_axi_sg_rresp : in std_logic_vector(1 downto 0); m_axi_sg_rlast : in std_logic; m_axi_sg_rvalid : in std_logic; m_axi_sg_rready : out std_logic; m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); m_axi_mm2s_arvalid : out std_logic; m_axi_mm2s_arready : in std_logic; m_axi_mm2s_rdata : in std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); m_axi_mm2s_rresp : in std_logic_vector(1 downto 0); m_axi_mm2s_rlast : in std_logic; m_axi_mm2s_rvalid : in std_logic; m_axi_mm2s_rready : out std_logic; mm2s_prmry_reset_out_n : out std_logic; m_axis_mm2s_tdata : out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); m_axis_mm2s_tkeep : out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); m_axis_mm2s_tvalid : out std_logic; m_axis_mm2s_tready : in std_logic; m_axis_mm2s_tlast : out std_logic; m_axis_mm2s_tuser : out std_logic_vector(C_M_AXIS_MM2S_TUSER_BITS-1 to 0); m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); m_axi_s2mm_awvalid : out std_logic; m_axi_s2mm_awready : in std_logic; m_axi_s2mm_wdata : out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); m_axi_s2mm_wstrb : out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); m_axi_s2mm_wlast : out std_logic; m_axi_s2mm_wvalid : out std_logic; m_axi_s2mm_wready : in std_logic; m_axi_s2mm_bresp : in std_logic_vector(1 downto 0); m_axi_s2mm_bvalid : in std_logic; m_axi_s2mm_bready : out std_logic; s2mm_prmry_reset_out_n : out std_logic; s_axis_s2mm_tdata : in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); s_axis_s2mm_tkeep : in std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); s_axis_s2mm_tvalid : in std_logic; s_axis_s2mm_tready : out std_logic; s_axis_s2mm_tlast : in std_logic; s_axis_s2mm_tuser : in std_logic_vector(C_S_AXIS_S2MM_TUSER_BITS-1 to 0); mm2s_fsync : in std_logic; mm2s_frame_ptr_in : in std_logic_vector((C_MM2S_GENLOCK_NUM_MASTERS*6)-1 downto 0); mm2s_frame_ptr_out : out std_logic_vector(5 downto 0); mm2s_fsync_out : out std_logic; mm2s_prmtr_update : out std_logic; mm2s_buffer_empty : out std_logic; mm2s_buffer_almost_empty : out std_logic; s2mm_fsync : in std_logic; s2mm_frame_ptr_in : in std_logic_vector((C_S2MM_GENLOCK_NUM_MASTERS*6)-1 downto 0); s2mm_frame_ptr_out : out std_logic_vector(5 downto 0); s2mm_fsync_out : out std_logic; s2mm_buffer_full : out std_logic; s2mm_buffer_almost_full : out std_logic; s2mm_prmtr_update : out std_logic; mm2s_introut : out std_logic; s2mm_introut : out std_logic; axi_vdma_tstvec : out std_logic_vector(63 downto 0) ); end component; begin axi_vdma_0 : axi_vdma generic map ( C_S_AXI_LITE_ADDR_WIDTH => 9, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 1, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_NUM_FSTORES => 3, C_USE_FSYNC => 1, C_FLUSH_ON_FSYNC => 1, C_DYNAMIC_RESOLUTION => 1, C_INCLUDE_SG => 0, C_INCLUDE_INTERNAL_GENLOCK => 1, C_ENABLE_VIDPRMTR_READS => 1, C_INCLUDE_MM2S => 1, C_M_AXI_MM2S_DATA_WIDTH => 64, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_INCLUDE_MM2S_DRE => 0, C_INCLUDE_MM2S_SF => 1, C_MM2S_SOF_ENABLE => 1, C_MM2S_MAX_BURST_LENGTH => 16, C_MM2S_GENLOCK_MODE => 1, C_MM2S_GENLOCK_NUM_MASTERS => 1, C_MM2S_GENLOCK_REPEAT_EN => 0, C_MM2S_LINEBUFFER_DEPTH => 2048, C_MM2S_LINEBUFFER_THRESH => 1000, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXIS_MM2S_TUSER_BITS => 1, C_INCLUDE_S2MM => 0, C_M_AXI_S2MM_DATA_WIDTH => 32, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_INCLUDE_S2MM_DRE => 0, C_INCLUDE_S2MM_SF => 1, C_S2MM_SOF_ENABLE => 1, C_S2MM_MAX_BURST_LENGTH => 16, C_S2MM_GENLOCK_MODE => 0, C_S2MM_GENLOCK_NUM_MASTERS => 1, C_S2MM_GENLOCK_REPEAT_EN => 1, C_S2MM_LINEBUFFER_DEPTH => 128, C_S2MM_LINEBUFFER_THRESH => 4, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_S_AXIS_S2MM_TUSER_BITS => 1, C_FAMILY => "zynq", C_INSTANCE => "axi_vdma_0" ) port map ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => m_axi_sg_aclk, m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axi_s2mm_aclk => m_axi_s2mm_aclk, m_axis_mm2s_aclk => m_axis_mm2s_aclk, s_axis_s2mm_aclk => s_axis_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, m_axi_sg_araddr => m_axi_sg_araddr, m_axi_sg_arlen => m_axi_sg_arlen, m_axi_sg_arsize => m_axi_sg_arsize, m_axi_sg_arburst => m_axi_sg_arburst, m_axi_sg_arprot => m_axi_sg_arprot, m_axi_sg_arcache => m_axi_sg_arcache, m_axi_sg_arvalid => m_axi_sg_arvalid, m_axi_sg_arready => m_axi_sg_arready, m_axi_sg_rdata => m_axi_sg_rdata, m_axi_sg_rresp => m_axi_sg_rresp, m_axi_sg_rlast => m_axi_sg_rlast, m_axi_sg_rvalid => m_axi_sg_rvalid, m_axi_sg_rready => m_axi_sg_rready, m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axis_mm2s_tuser => m_axis_mm2s_tuser, m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, s_axis_s2mm_tuser => s_axis_s2mm_tuser, mm2s_fsync => mm2s_fsync, mm2s_frame_ptr_in => mm2s_frame_ptr_in, mm2s_frame_ptr_out => mm2s_frame_ptr_out, mm2s_fsync_out => mm2s_fsync_out, mm2s_prmtr_update => mm2s_prmtr_update, mm2s_buffer_empty => mm2s_buffer_empty, mm2s_buffer_almost_empty => mm2s_buffer_almost_empty, s2mm_fsync => s2mm_fsync, s2mm_frame_ptr_in => s2mm_frame_ptr_in, s2mm_frame_ptr_out => s2mm_frame_ptr_out, s2mm_fsync_out => s2mm_fsync_out, s2mm_buffer_full => s2mm_buffer_full, s2mm_buffer_almost_full => s2mm_buffer_almost_full, s2mm_prmtr_update => s2mm_prmtr_update, mm2s_introut => mm2s_introut, s2mm_introut => s2mm_introut, axi_vdma_tstvec => axi_vdma_tstvec ); end architecture STRUCTURE;
bsd-3-clause
5b69238bcfc94f9eac07a1e5896d7047
0.620577
2.60507
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/0728269d/hdl/src/vhdl/axi_dma_smple_sm.vhd
1
16,873
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_smple_sm.vhd -- Description: This entity contains the DMA Controller State Machine for -- Simple DMA mode. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_smple_sm is generic ( C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_MICRO_DMA : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- run_stop : in std_logic ; -- keyhole : in std_logic ; stop : in std_logic ; -- cmnd_idle : out std_logic ; -- sts_idle : out std_logic ; -- -- -- DataMover Status -- sts_received : in std_logic ; -- sts_received_clr : out std_logic ; -- -- -- DataMover Command -- cmnd_wr : out std_logic ; -- cmnd_data : out std_logic_vector -- ((C_M_AXI_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- cmnd_pending : in std_logic ; -- -- -- Trasnfer Qualifiers -- xfer_length_wren : in std_logic ; -- xfer_address : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH-1 downto 0) ; -- xfer_length : in std_logic_vector -- (C_SG_LENGTH_WIDTH - 1 downto 0) -- ); end axi_dma_smple_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_smple_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Command Destination Stream Offset constant CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_ADDR_WIDTH) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SMPL_STATE_TYPE is ( IDLE, EXECUTE_XFER, WAIT_STATUS ); signal smpl_cs : SMPL_STATE_TYPE; signal smpl_ns : SMPL_STATE_TYPE; -- State Machine Signals signal write_cmnd_cmb : std_logic := '0'; signal cmnd_wr_i : std_logic := '0'; signal sts_received_clr_cmb : std_logic := '0'; signal cmnds_queued : std_logic := '0'; signal cmd_dumb : std_logic_vector (31 downto 0) := (others => '0'); signal zeros : std_logic_vector (45 downto 0) := (others => '0'); signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Pass command write control out cmnd_wr <= cmnd_wr_i; burst_type <= '1' and (not keyhole); -- 0 means fixed burst -- 1 means increment burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(smpl_cs, run_stop, xfer_length_wren, sts_received, cmnd_pending, cmnds_queued, stop ) begin -- Default signal assignment write_cmnd_cmb <= '0'; sts_received_clr_cmb <= '0'; cmnd_idle <= '0'; smpl_ns <= smpl_cs; case smpl_cs is ------------------------------------------------------------------- when IDLE => -- Running, no errors, and new length written,then execute -- transfer if( run_stop = '1' and xfer_length_wren = '1' and stop = '0' and cmnds_queued = '0') then smpl_ns <= EXECUTE_XFER; else cmnd_idle <= '1'; end if; ------------------------------------------------------------------- when EXECUTE_XFER => -- error detected if(stop = '1')then smpl_ns <= IDLE; -- Write another command if there is not one already pending elsif(cmnd_pending = '0')then write_cmnd_cmb <= '1'; smpl_ns <= WAIT_STATUS; else smpl_ns <= EXECUTE_XFER; end if; ------------------------------------------------------------------- when WAIT_STATUS => -- wait until desc update complete or error occurs if(sts_received = '1' or stop = '1')then sts_received_clr_cmb <= '1'; smpl_ns <= IDLE; else smpl_ns <= WAIT_STATUS; end if; ------------------------------------------------------------------- -- coverage off when others => smpl_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then smpl_cs <= IDLE; else smpl_cs <= smpl_ns; end if; end if; end process REGISTER_STATE; -- Register state machine signals REGISTER_STATE_SIGS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn ='0')then sts_received_clr <= '0'; else sts_received_clr <= sts_received_clr_cmb; end if; end if; end process REGISTER_STATE_SIGS; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then cmnd_wr_i <= '0'; cmnd_data <= (others => '0'); -- SM issued a command write elsif(write_cmnd_cmb = '1')then cmnd_wr_i <= '1'; cmnd_data <= zeros & cmd_dumb & CMD_RSVD -- Command Tag & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode -- Command & xfer_address -- Command Address & '1' -- Command SOF & '1' -- Command EOF & CMD_DSA -- Stream Offset & burst_type -- Key Hole Operation'1' -- Not Used & PAD_VALUE & xfer_length; else cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then cmnd_wr_i <= '0'; cmnd_data <= (others => '0'); -- SM issued a command write elsif(write_cmnd_cmb = '1')then cmnd_wr_i <= '1'; cmnd_data <= zeros & cmd_dumb & CMD_RSVD -- Command Tag & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode -- Command & xfer_address -- Command Address & '1' -- Command SOF & '1' -- Command EOF & CMD_DSA -- Stream Offset & burst_type -- key Hole Operation '1' -- Not Used & xfer_length; else cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Flag indicating command being processed by Datamover ------------------------------------------------------------------------------- -- count number of queued commands to keep track of what datamover is still -- working on CMD2STS_COUNTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or stop = '1')then cmnds_queued <= '0'; elsif(cmnd_wr_i = '1')then cmnds_queued <= '1'; elsif(sts_received = '1')then cmnds_queued <= '0'; end if; end if; end process CMD2STS_COUNTER; -- Indicate status is idle when no cmnd/sts queued sts_idle <= '1' when cmnds_queued = '0' else '0'; end implementation;
mit
d59a5795004f2c524a6839d757252816
0.382208
5.458751
false
false
false
false
alemedeiros/flappy_vhdl
input/input_parser.vhd
1
1,329
-- file: input/input_parser.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Parses input signals from switches and keys and attributes the adequate -- values to the internal signals. library ieee ; use ieee.std_logic_1164.all ; use ieee.std_logic_unsigned.all ; use ieee.numeric_std.all ; entity input_parser is generic ( V_RES : natural := 96 -- Vertical Resolution ) ; port ( key : in std_logic_vector(3 downto 0) ; sw : in std_logic_vector(9 downto 0) ; clock : in std_logic ; jump : out std_logic ; reset : out std_logic ; pause : out std_logic ; gravity : out integer range 0 to V_RES - 1 ) ; end input_parser ; architecture behavior of input_parser is begin -- Syncronize input with circuit clock changes to minimize hazards. process(clock) variable tmp_key : std_logic_vector(3 downto 0) ; variable tmp_sw : std_logic_vector(9 downto 0) ; begin if rising_edge(clock) then -- Update output. jump <= not tmp_key(3) ; reset <= not tmp_key(2) ; pause <= tmp_sw(9) ; gravity <= to_integer(signed(tmp_sw(7 downto 0))) ; -- Update local buffer. tmp_key := key ; tmp_sw := sw ; end if ; end process ; end behavior ;
bsd-3-clause
08ba27976713091b75b5575520b6bd42
0.64936
3.194712
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_3/example_design/system_axi_vdma_0_wrapper_fifo_generator_v9_3_exdes.vhd
3
5,689
-------------------------------------------------------------------------------- -- -- FIFO Generator Core - core top file for implementation -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_exdes.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity system_axi_vdma_0_wrapper_fifo_generator_v9_3_exdes is PORT ( CLK : IN std_logic; DATA_COUNT : OUT std_logic_vector(7-1 DOWNTO 0); WR_ACK : OUT std_logic; VALID : OUT std_logic; ALMOST_EMPTY : OUT std_logic; SRST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(67-1 DOWNTO 0); DOUT : OUT std_logic_vector(67-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end system_axi_vdma_0_wrapper_fifo_generator_v9_3_exdes; architecture xilinx of system_axi_vdma_0_wrapper_fifo_generator_v9_3_exdes is signal clk_i : std_logic; component system_axi_vdma_0_wrapper_fifo_generator_v9_3 is PORT ( CLK : IN std_logic; DATA_COUNT : OUT std_logic_vector(7-1 DOWNTO 0); WR_ACK : OUT std_logic; VALID : OUT std_logic; ALMOST_EMPTY : OUT std_logic; SRST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(67-1 DOWNTO 0); DOUT : OUT std_logic_vector(67-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin clk_buf: bufg PORT map( i => CLK, o => clk_i ); exdes_inst : system_axi_vdma_0_wrapper_fifo_generator_v9_3 PORT MAP ( CLK => clk_i, DATA_COUNT => data_count, WR_ACK => wr_ack, VALID => valid, ALMOST_EMPTY => almost_empty, SRST => srst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
bsd-3-clause
765f13ffcc27f7f8fb5cbd9865a5235c
0.517841
4.788721
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fdiv_28_no_dsp_32/synth/tri_intersect_ap_fdiv_28_no_dsp_32.vhd
3
12,682
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.0 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_0; USE floating_point_v7_0.floating_point_v7_0; ENTITY tri_intersect_ap_fdiv_28_no_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END tri_intersect_ap_fdiv_28_no_dsp_32; ARCHITECTURE tri_intersect_ap_fdiv_28_no_dsp_32_arch OF tri_intersect_ap_fdiv_28_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tri_intersect_ap_fdiv_28_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tri_intersect_ap_fdiv_28_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_0,Vivado 2015.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tri_intersect_ap_fdiv_28_no_dsp_32_arch : ARCHITECTURE IS "tri_intersect_ap_fdiv_28_no_dsp_32,floating_point_v7_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tri_intersect_ap_fdiv_28_no_dsp_32_arch: ARCHITECTURE IS "tri_intersect_ap_fdiv_28_no_dsp_32,floating_point_v7_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.0,x_ipCoreRevision=8,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=1,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=28,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_0 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 1, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 28, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END tri_intersect_ap_fdiv_28_no_dsp_32_arch;
mit
8ccb3230cdc6bd37fbb26bd4a3204491
0.651948
3.021682
false
false
false
false
louis-bonicel/VHDL
Porte_AND/componant_1_tb.vhd
2
1,440
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:55:04 01/15/2015 -- Design Name: -- Module Name: componant_1_tb - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity componant_1_tb is end componant_1_tb; architecture Behavioral of componant_1_tb is signal entree1, entree2, sortie1, sortie2 : std_logic; component componant_1 port (e1,e2 : in std_logic; s1,s2 : out std_logic); end component; begin uut: componant_1 port map (e1 => entree1, e2 => entree2, s1 => sortie1, s2 => sortie2); stimuli:process begin entree1<='0'; entree2<='0'; wait for 30 ns; entree1<='1'; wait for 30 ns; entree1<='0'; entree2<='1'; wait for 30 ns; entree1<='1'; wait for 30 ns; end process; end Behavioral;
gpl-2.0
47fe247d72f8ce14229a047248dddd72
0.572917
3.512195
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/bd/triangle_intersect/ip/triangle_intersect_xlconcat_0_0/synth/triangle_intersect_xlconcat_0_0.vhd
1
8,960
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlconcat:2.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlconcat; ENTITY triangle_intersect_xlconcat_0_0 IS PORT ( In0 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END triangle_intersect_xlconcat_0_0; ARCHITECTURE triangle_intersect_xlconcat_0_0_arch OF triangle_intersect_xlconcat_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF triangle_intersect_xlconcat_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlconcat IS GENERIC ( IN0_WIDTH : INTEGER; IN1_WIDTH : INTEGER; IN2_WIDTH : INTEGER; IN3_WIDTH : INTEGER; IN4_WIDTH : INTEGER; IN5_WIDTH : INTEGER; IN6_WIDTH : INTEGER; IN7_WIDTH : INTEGER; IN8_WIDTH : INTEGER; IN9_WIDTH : INTEGER; IN10_WIDTH : INTEGER; IN11_WIDTH : INTEGER; IN12_WIDTH : INTEGER; IN13_WIDTH : INTEGER; IN14_WIDTH : INTEGER; IN15_WIDTH : INTEGER; IN16_WIDTH : INTEGER; IN17_WIDTH : INTEGER; IN18_WIDTH : INTEGER; IN19_WIDTH : INTEGER; IN20_WIDTH : INTEGER; IN21_WIDTH : INTEGER; IN22_WIDTH : INTEGER; IN23_WIDTH : INTEGER; IN24_WIDTH : INTEGER; IN25_WIDTH : INTEGER; IN26_WIDTH : INTEGER; IN27_WIDTH : INTEGER; IN28_WIDTH : INTEGER; IN29_WIDTH : INTEGER; IN30_WIDTH : INTEGER; IN31_WIDTH : INTEGER; dout_width : INTEGER; NUM_PORTS : INTEGER ); PORT ( In0 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In5 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In6 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In7 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In8 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In9 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In10 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In11 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In12 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In13 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In14 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In15 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In16 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In17 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In18 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In19 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In20 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In21 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In22 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In23 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In24 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In25 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In26 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In27 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In28 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In29 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In30 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In31 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT xlconcat; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF triangle_intersect_xlconcat_0_0_arch: ARCHITECTURE IS "xlconcat,Vivado 2015.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF triangle_intersect_xlconcat_0_0_arch : ARCHITECTURE IS "triangle_intersect_xlconcat_0_0,xlconcat,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF triangle_intersect_xlconcat_0_0_arch: ARCHITECTURE IS "triangle_intersect_xlconcat_0_0,xlconcat,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlconcat,x_ipVersion=2.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,IN0_WIDTH=1,IN1_WIDTH=1,IN2_WIDTH=1,IN3_WIDTH=1,IN4_WIDTH=1,IN5_WIDTH=1,IN6_WIDTH=1,IN7_WIDTH=1,IN8_WIDTH=1,IN9_WIDTH=1,IN10_WIDTH=1,IN11_WIDTH=1,IN12_WIDTH=1,IN13_WIDTH=1,IN14_WIDTH=1,IN15_WIDTH=1,IN16_WIDTH=1,IN17_WIDTH=1,IN18_WIDTH=1,IN19_WIDTH=1,IN20_WIDTH=1,IN21_WIDTH=1,IN22_WIDTH=1,IN23_WIDTH=1,IN24_WIDTH=1,IN25_WIDTH=1,IN26_WIDTH=1,IN27_WIDTH=1,IN28_WIDTH=1,IN29_WIDTH=1,IN30_WIDTH=1,IN31_WIDTH=1,dout_width=2,NUM_PORTS=2}"; BEGIN U0 : xlconcat GENERIC MAP ( IN0_WIDTH => 1, IN1_WIDTH => 1, IN2_WIDTH => 1, IN3_WIDTH => 1, IN4_WIDTH => 1, IN5_WIDTH => 1, IN6_WIDTH => 1, IN7_WIDTH => 1, IN8_WIDTH => 1, IN9_WIDTH => 1, IN10_WIDTH => 1, IN11_WIDTH => 1, IN12_WIDTH => 1, IN13_WIDTH => 1, IN14_WIDTH => 1, IN15_WIDTH => 1, IN16_WIDTH => 1, IN17_WIDTH => 1, IN18_WIDTH => 1, IN19_WIDTH => 1, IN20_WIDTH => 1, IN21_WIDTH => 1, IN22_WIDTH => 1, IN23_WIDTH => 1, IN24_WIDTH => 1, IN25_WIDTH => 1, IN26_WIDTH => 1, IN27_WIDTH => 1, IN28_WIDTH => 1, IN29_WIDTH => 1, IN30_WIDTH => 1, IN31_WIDTH => 1, dout_width => 2, NUM_PORTS => 2 ) PORT MAP ( In0 => In0, In1 => In1, In2 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In3 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In4 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In5 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In6 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In7 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In8 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In9 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In10 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In11 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In12 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In13 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In14 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In15 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In16 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In17 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In18 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In19 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In20 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In21 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In22 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In23 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In24 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In25 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In26 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In27 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In28 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In29 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In30 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In31 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), dout => dout ); END triangle_intersect_xlconcat_0_0_arch;
mit
708228c977aa6fbddbbe053dfd7e78b2
0.651339
3.267688
false
false
false
false
Kalugy/Procesadorarquitectura
Terceryfullprocesador/WindowsManager.vhd
2
2,607
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_arith.ALL; use IEEE.STD_LOGIC_unsigned.ALL; entity WindowsManager is Port ( cwp : in STD_LOGIC; rs1 : in STD_LOGIC_VECTOR (4 downto 0); rs2 : in STD_LOGIC_VECTOR (4 downto 0); rd : in STD_LOGIC_VECTOR (4 downto 0); op : in STD_LOGIC_VECTOR (1 downto 0); op3 : in STD_LOGIC_VECTOR (5 downto 0); cwpout : out STD_LOGIC; rs1out : out STD_LOGIC_VECTOR (5 downto 0); rs2out : out STD_LOGIC_VECTOR (5 downto 0); rdout : out STD_LOGIC_VECTOR (5 downto 0):=(others=>'0')); end WindowsManager; architecture Behavioral of WindowsManager is signal int_rs1, int_rs2, int_rd : integer range 0 to 39 := 0; begin process(cwp,rs1,rs2,rd,op,op3) begin --guardar instruccion save if (op = "10" and op3 = "111100") then cwpout <= '0'; --reset instruction elsif (op = "10" and op3 = "111101") then cwpout <= '1'; end if; --registros goli rs1 if (rs1 >= "11000" and rs1 <= "11111") then int_rs1 <= conv_integer(rs1) - conv_integer(cwp) * 16; --input elsif (rs1 >= "10000" and rs1 <= "10111") then int_rs1 <= conv_integer(rs1) + conv_integer(cwp) * 16; --local elsif (rs1 >= "01000" and rs1 <= "01111") then int_rs1 <= conv_integer(rs1) + conv_integer(cwp) * 16; --output elsif (rs1 >= "00000" and rs1 <= "00111") then int_rs1 <= conv_integer(rs1); --global end if; --registros goli rs2 if (rs2 >= "11000" and rs2 <= "11111") then int_rs2 <= conv_integer(rs2) - conv_integer(cwp) * 16; --input elsif (rs2 >= "10000" and rs2 <= "10111") then int_rs2 <= conv_integer(rs2) + conv_integer(cwp) * 16; --local elsif (rs2 >= "01000" and rs2 <= "01111") then int_rs2 <= conv_integer(rs2) + conv_integer(cwp) * 16; --output elsif (rs2 >= "00000" and rs2 <= "00111") then int_rs2 <= conv_integer(rs2); --global end if; --registros goli rd if (rd >= "11000" and rd <= "11111") then int_rd <= conv_integer(rd) - conv_integer(cwp) * 16; --input elsif (rd >= "10000" and rd <= "10111") then int_rd <= conv_integer(rd) + conv_integer(cwp) * 16; --local elsif (rd >= "01000" and rd <= "01111") then int_rd <= conv_integer(rd) + conv_integer(cwp) * 16; --output elsif (rd >= "00000" and rd <= "00111") then int_rd <= conv_integer(rd); --global end if; end process; rs1out <= conv_std_logic_vector(int_rs1, 6); rs2out <= conv_std_logic_vector(int_rs2, 6); rdout <= conv_std_logic_vector(int_rd, 6); end Behavioral;
gpl-3.0
5e38a6ff9071246929948b6c8b482e55
0.591484
2.84607
false
false
false
false
RaulHuertas/rhpackageexporter
MurmurHashGenerator/ImplementationTest1.vhdl
1
4,644
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.ALL; use IEEE.numeric_std.all; use work.MurmurHashUtils.ALL; entity ImplementationTest1 is port( --entradas clk : in std_logic; inputData : in std_logic_vector(7 downto 0); --salidas canAccept_output : out std_logic; resultReady_output : out std_logic; result_output : out std_logic_vector(31 downto 0) ); end entity ImplementationTest1; architecture structural of ImplementationTest1 is -- generar un registro de salto en la entrada para generar las entradas -- al modulo type registroEntradas is array (27 downto 0) of std_logic_vector(7 downto 0); signal registro : registroEntradas; signal resultID_output : std_logic_vector(31 downto 0); -- signal dataStep1_dbg : std_logic_vector(31 downto 0); -- signal dataStep2_dbg : std_logic_vector(31 downto 0); -- signal dataStep3_dbg : std_logic_vector(31 downto 0); -- signal dataStep4_dbg : std_logic_vector(31 downto 0); -- signal dataStep5_dbg : std_logic_vector(31 downto 0); -- signal dataStep1_ID_dbg : std_logic_vector(31 downto 0); -- signal dataStep2_ID_dbg : std_logic_vector(31 downto 0); -- signal dataStep3_ID_dbg : std_logic_vector(31 downto 0); -- signal dataStep4_ID_dbg : std_logic_vector(31 downto 0); -- signal dataStep5_ID_dbg : std_logic_vector(31 downto 0); -- signal finalStep1_dbg : out std_logic_vector(31 downto 0); -- signal finalStep2_dbg : out std_logic_vector(31 downto 0); -- signal finalStep3_dbg : out std_logic_vector(31 downto 0); -- signal finalStep4_dbg : out std_logic_vector(31 downto 0); -- signal finalStep5_dbg : out std_logic_vector(31 downto 0) -- signal finalStep1_ID_dbg : out std_logic_vector(31 downto 0); -- signal finalStep2_ID_dbg : out std_logic_vector(31 downto 0); -- signal finalStep3_ID_dbg : out std_logic_vector(31 downto 0); -- signal finalStep4_ID_dbg : out std_logic_vector(31 downto 0); -- signal finalStep5_ID_dbg : out std_logic_vector(31 downto 0) signal inputBlock : std_logic_vector(31 downto 0); signal operationID : std_logic_vector(31 downto 0); signal seed : std_logic_vector(31 downto 0); begin -- generar al logica del registro de salto --EntradaDatos: process( clk, registro, inputData) begin -- if rising_edge(clk) then -- end if;--clk --end process EntradaDatos; salto: for i in 0 to 27 generate first_reg: if i=0 generate clk0: process(clk, inputData) begin if rising_edge(clk)then registro(0)<= inputData; end if; end process clk0; end generate first_reg; restOf_reg: if i>0 generate clkall: process(clk, registro) begin if rising_edge(clk)then registro(i)<= registro(i-1); end if; end process clkall; end generate restOf_reg; end generate salto; inputBlock <= registro(0)&registro(1)&registro(2)&registro(3); operationID <= registro(8)&registro(9)&registro(10)&registro(11); seed <= registro(12)&registro(13)&registro(14)&registro(15); --instanciar el modulo a probar hashGenerator: work.MurmurHashUtils.MurmurHash32Generator port map ( --entradas inputBlock => inputBlock , readInput => registro(4)(0), blockLength => registro(5)(1 downto 0), finalBlock => registro(6)(0), start => registro(7)(0), operationID => (others => '-'), seed => seed, --salidas canAccept => canAccept_output, resultReady => resultReady_output, result => open, resultID => open, clk => clk, dataStep1_dbg => open, dataStep2_dbg => open, dataStep3_dbg => open, dataStep4_dbg => open, dataStep5_dbg => open, dataStep1_ID_dbg => open, dataStep2_ID_dbg => open, dataStep3_ID_dbg => open, dataStep4_ID_dbg => open, dataStep5_ID_dbg => open, finalStep1_dbg => open, finalStep2_dbg => open, finalStep3_dbg => open, finalStep4_dbg => open, finalStep5_dbg => open, finalStep1_ID_dbg => open, finalStep2_ID_dbg => open, finalStep3_ID_dbg => open, finalStep4_ID_dbg => open, finalStep5_ID_dbg => open ); end architecture structural;
bsd-3-clause
238942f0d2c82b5bf8f6a163bef6ef36
0.601852
3.724138
false
false
false
false
makestuff/s3b_sdram
try1/memctrl/hexutil.vhdl
1
2,992
-- -- Copyright (C) 2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package hexutil is function to_1(c : character) return std_logic; function to_2(c : character) return std_logic_vector; function to_3(c : character) return std_logic_vector; function to_4(c : character) return std_logic_vector; end package; package body hexutil is -- Return the bits of the supplied hex nibble function to_4(c : character) return std_logic_vector is variable nibble : std_logic_vector(3 downto 0); begin case c is when '0' => nibble := "0000"; when '1' => nibble := "0001"; when '2' => nibble := "0010"; when '3' => nibble := "0011"; when '4' => nibble := "0100"; when '5' => nibble := "0101"; when '6' => nibble := "0110"; when '7' => nibble := "0111"; when '8' => nibble := "1000"; when '9' => nibble := "1001"; when 'a' => nibble := "1010"; when 'A' => nibble := "1010"; when 'b' => nibble := "1011"; when 'B' => nibble := "1011"; when 'c' => nibble := "1100"; when 'C' => nibble := "1100"; when 'd' => nibble := "1101"; when 'D' => nibble := "1101"; when 'e' => nibble := "1110"; when 'E' => nibble := "1110"; when 'f' => nibble := "1111"; when 'F' => nibble := "1111"; when 'X' => nibble := "XXXX"; when 'x' => nibble := "XXXX"; when 'Z' => nibble := "ZZZZ"; when 'z' => nibble := "ZZZZ"; when others => nibble := "UUUU"; end case; return nibble; end function; -- Return the least-significant bit of the supplied hex nibble function to_1(c : character) return std_logic is variable nibble : std_logic_vector(3 downto 0); begin nibble := to_4(c); return nibble(0); end function; -- Return two least-significant bits of the supplied hex nibble function to_2(c : character) return std_logic_vector is variable nibble : std_logic_vector(3 downto 0); begin nibble := to_4(c); return nibble(1 downto 0); end function; -- Return three least-significant bits of the supplied hex nibble function to_3(c : character) return std_logic_vector is variable nibble : std_logic_vector(3 downto 0); begin nibble := to_4(c); return nibble(2 downto 0); end function; end package body;
gpl-3.0
4a8199fc11efb996e1ff4f1b1611dd1f
0.624332
3.169492
false
false
false
false
Kalugy/Procesadorarquitectura
Primerprocesador17octubre/ALU.vhd
1
1,690
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:19:49 10/04/2017 -- Design Name: -- Module Name: ALU - ARQALU -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU is Port ( OPER1 : in STD_LOGIC_VECTOR (31 downto 0); OPER2 : in STD_LOGIC_VECTOR (31 downto 0); ALURESULT : out STD_LOGIC_VECTOR (31 downto 0); ALUOP : in STD_LOGIC_VECTOR (5 downto 0)); end ALU; architecture ARQALU of ALU is begin process(OPER1,OPER2,ALUOP) begin if(ALUOP = "000010")then ALURESULT<= OPER1 OR OPER2; elsif(ALUOP = "000011")then ALURESULT<= OPER1 XOR OPER2; elsif(ALUOP = "000000")then ALURESULT<= OPER1 + OPER2; elsif(ALUOP = "000100")then ALURESULT<= OPER1 - OPER2; elsif(ALUOP = "000001")then ALURESULT<= OPER1 AND OPER2; elsif(ALUOP = "000101")then ALURESULT<= OPER1 AND (not OPER2); elsif(ALUOP = "000110")then ALURESULT<= OPER1 NOR OPER2; elsif(ALUOP = "000111")then ALURESULT<= OPER1 XNOR OPER2; end if; end process; end ARQALU;
gpl-3.0
601fd4ebfdc49cacdaea5317a1e4e26a
0.60355
3.603412
false
false
false
false
Kalugy/Procesadorarquitectura
Terceryfullprocesador/RF.vhd
1
1,688
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity RF is Port ( rs1 : in STD_LOGIC_VECTOR (5 downto 0); rs2 : in STD_LOGIC_VECTOR (5 downto 0); rd : in STD_LOGIC_VECTOR (5 downto 0); dwr : in STD_LOGIC_VECTOR (31 downto 0); rst : in STD_LOGIC; wre : in STD_LOGIC; cRd : out STD_LOGIC_VECTOR (31 downto 0); crs1 : out STD_LOGIC_VECTOR (31 downto 0); crs2 : out STD_LOGIC_VECTOR (31 downto 0)); end RF; architecture Behavioral of RF is type ram_type is array (39 downto 0) of std_logic_vector (31 downto 0); signal RAM: ram_type; begin RAM(0)<= "00000000000000000000000000000000";--serciora g0 process (rst,rd,rs1,rs2,dwr,RAM,wre) begin if rst = '1' then RAM <= (others=>"00000000000000000000000000000000"); crs1 <="00000000000000000000000000000000"; crs2 <="00000000000000000000000000000000"; cRd <= "00000000000000000000000000000000"; RAM(15)<= "00000000000000000000000000001101";--por el jmpl para que lea el main elsif rd /= "000000" and wre='1' then RAM(conv_integer(rd)) <= dwr; crs1 <= RAM(conv_integer(rs1)); crs2 <= RAM(conv_integer(rs2)); cRd <= RAM(conv_integer(rd)); else crs1 <= RAM(conv_integer(rs1)); crs2 <= RAM(conv_integer(rs2)); cRd <= RAM(conv_integer(rd)); end if; end process; end Behavioral;
gpl-3.0
c100e90abc0dfb07a4dad348bd3f63e6
0.543246
4.02864
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2/simulation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_dverif.vhd
3
5,736
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_dverif.vhd -- -- Description: -- Used for FIFO read interface stimulus generation and data checking -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_pkg.ALL; ENTITY system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE fg_dv_arch OF system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_dverif IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL data_chk : STD_LOGIC := '1'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL pr_r_en : STD_LOGIC := '0'; SIGNAL rd_en_d1 : STD_LOGIC := '1'; BEGIN DOUT_CHK <= data_chk; RD_EN <= rd_en_i; rd_en_i <= PRC_RD_EN; rd_en_d1 <= '1'; data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE ------------------------------------------------------- -- Expected data generation and checking for data_fifo ------------------------------------------------------- pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst2:system_axi_interconnect_2_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => RD_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_r_en ); END GENERATE; PROCESS (RD_CLK,RESET) BEGIN IF(RESET = '1') THEN data_chk <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF(EMPTY = '0') THEN IF(DATA_OUT = expected_dout) THEN data_chk <= '0'; ELSE data_chk <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE data_fifo_chk; END ARCHITECTURE;
bsd-3-clause
42d4661cf635865600359eb759d5f9fd
0.585251
4.02244
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/0728269d/hdl/src/vhdl/axi_dma_mm2s_sg_if.vhd
1
47,006
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sg_if.vhd -- Description: This entity is the MM2S Scatter Gather Interface for Descriptor -- Fetches and Updates. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library lib_cdc_v1_0; library lib_srl_fifo_v1_0; use lib_srl_fifo_v1_0.srl_fifo_f; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sg_if is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1 ; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0 ; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 ; -- 1 IOC bit + 32 Update Status Bits C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for MM2S Read Port C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- Master AXI Control Stream Data Width C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex5" -- Target FPGA Device Family ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- SG MM2S Descriptor Fetch AXI Stream In -- m_axis_mm2s_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_ftch_tvalid : in std_logic ; -- m_axis_mm2s_ftch_tready : out std_logic ; -- m_axis_mm2s_ftch_tlast : in std_logic ; -- m_axis_mm2s_ftch_tdata_new : in std_logic_vector -- (96+31*0+(0+2)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_mm2s_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_mm2s_ftch_tvalid_new : in std_logic ; -- m_axis_ftch1_desc_available : in std_logic; -- -- -- SG MM2S Descriptor Update AXI Stream Out -- s_axis_mm2s_updtptr_tdata : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s_axis_mm2s_updtptr_tvalid : out std_logic ; -- s_axis_mm2s_updtptr_tready : in std_logic ; -- s_axis_mm2s_updtptr_tlast : out std_logic ; -- -- s_axis_mm2s_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtsts_tvalid : out std_logic ; -- s_axis_mm2s_updtsts_tready : in std_logic ; -- s_axis_mm2s_updtsts_tlast : out std_logic ; -- -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : out std_logic ; -- desc_fetch_req : in std_logic ; -- desc_fetch_done : out std_logic ; -- updt_pending : out std_logic ; packet_in_progress : out std_logic ; -- -- -- MM2S Descriptor Update Request (from mm2s_sm) -- desc_update_done : out std_logic ; -- -- mm2s_sts_received_clr : out std_logic ; -- mm2s_sts_received : in std_logic ; -- mm2s_ftch_stale_desc : in std_logic ; -- mm2s_done : in std_logic ; -- mm2s_interr : in std_logic ; -- mm2s_slverr : in std_logic ; -- mm2s_decerr : in std_logic ; -- mm2s_tag : in std_logic_vector(3 downto 0) ; -- mm2s_halt : in std_logic ; -- -- -- Control Stream Output -- cntrlstrm_fifo_wren : out std_logic ; -- cntrlstrm_fifo_din : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); -- cntrlstrm_fifo_full : in std_logic ; -- -- -- -- MM2S Descriptor Field Output -- mm2s_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_new_curdesc_wren : out std_logic ; -- -- mm2s_desc_baddress : out std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : out std_logic ; -- mm2s_desc_sof : out std_logic ; -- mm2s_desc_cmplt : out std_logic ; -- mm2s_desc_info : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app0 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app1 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app2 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app3 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app4 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) -- ); end axi_dma_mm2s_sg_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sg_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Status reserved bits constant RESERVED_STS : std_logic_vector(4 downto 0) := (others => '0'); -- Used to determine when Control word is coming, in order to check SOF bit. -- This then indicates that the app fields need to be directed towards the -- control stream fifo. -- Word Five Count -- Incrementing these counts by 2 as i am now sending two extra fields from BD --constant SEVEN_COUNT : std_logic_vector(3 downto 0) := "1011"; --"0111"; constant SEVEN_COUNT : std_logic_vector(3 downto 0) := "0001"; -- Word Six Count --constant EIGHT_COUNT : std_logic_vector(3 downto 0) := "0101"; --"1000"; constant EIGHT_COUNT : std_logic_vector(3 downto 0) := "0010"; -- Word Seven Count --constant NINE_COUNT : std_logic_vector(3 downto 0) := "1010"; --"1001"; constant NINE_COUNT : std_logic_vector(3 downto 0) := "0011"; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_shftenbl : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal desc_fetch_done_i : std_logic := '0'; signal desc_reg12 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg11 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg10 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg9 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg8 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg7 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg6 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg5 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_dummy : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_dummy1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_curdesc_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_curdesc_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_baddr_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_baddr_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_v_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_s_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); -- Fetch control signals for driving out control app stream signal analyze_control : std_logic := '0'; signal redirect_app : std_logic := '0'; signal redirect_app_d1 : std_logic := '0'; signal redirect_app_re : std_logic := '0'; signal redirect_app_hold : std_logic := '0'; signal mask_fifo_write : std_logic := '0'; -- Current descriptor control and fetch throttle control signal mm2s_new_curdesc_wren_i : std_logic := '0'; signal mm2s_pending_update : std_logic := '0'; signal mm2s_pending_ptr_updt : std_logic := '0'; -- Descriptor Update Signals signal mm2s_complete : std_logic := '0'; signal mm2s_xferd_bytes : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_xferd_bytes_int : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- Update Descriptor Pointer Holding Registers signal updt_desc_reg0 : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal updt_desc_64_reg0 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); signal updt_desc_reg1 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); -- Update Descriptor Status Holding Register signal updt_desc_reg2 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); -- Pointer shift control signal updt_shftenbl : std_logic := '0'; -- Update pointer stream signal updtptr_tvalid : std_logic := '0'; signal updtptr_tlast : std_logic := '0'; signal updtptr_tdata : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- Update status stream signal updtsts_tvalid : std_logic := '0'; signal updtsts_tlast : std_logic := '0'; signal updtsts_tdata : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Status control signal sts_received : std_logic := '0'; signal sts_received_d1 : std_logic := '0'; signal sts_received_re : std_logic := '0'; -- Queued Update signals signal updt_data_clr : std_logic := '0'; signal updt_sts_clr : std_logic := '0'; signal updt_data : std_logic := '0'; signal updt_sts : std_logic := '0'; signal packet_start : std_logic := '0'; signal packet_end : std_logic := '0'; signal mm2s_halt_d1_cdc_tig : std_logic := '0'; signal mm2s_halt_cdc_d2 : std_logic := '0'; signal mm2s_halt_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF mm2s_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF mm2s_halt_cdc_d2 : SIGNAL IS "true"; signal temp : std_logic := '0'; signal m_axis_mm2s_ftch_tlast_new : std_logic := '1'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Drive buffer length out mm2s_desc_blength <= mm2s_desc_blength_i; mm2s_desc_blength_v <= mm2s_desc_blength_v_i; mm2s_desc_blength_s <= mm2s_desc_blength_s_i; -- Drive fetch request done on tlast desc_fetch_done_i <= m_axis_mm2s_ftch_tlast_new and m_axis_mm2s_ftch_tvalid_new; -- pass out of module desc_fetch_done <= desc_fetch_done_i; -- Shift in data from SG engine if tvalid and fetch request ftch_shftenbl <= m_axis_mm2s_ftch_tvalid_new and ftch_tready and desc_fetch_req and not mm2s_pending_update; -- Passed curdes write out to register module mm2s_new_curdesc_wren <= desc_fetch_done_i; --mm2s_new_curdesc_wren_i; -- tvalid asserted means descriptor availble desc_available <= m_axis_ftch1_desc_available; --m_axis_mm2s_ftch_tvalid_new; --***************************************************************************-- --** Register DataMover Halt to secondary if needed --***************************************************************************-- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt will remain asserted until halt_cmplt detected in -- reset module in secondary clock domain. REG_TO_SECONDARY : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => mm2s_halt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => mm2s_halt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- if(m_axi_sg_aresetn = '0')then -- -- mm2s_halt_d1_cdc_tig <= '0'; -- -- mm2s_halt_d2 <= '0'; -- -- else -- mm2s_halt_d1_cdc_tig <= mm2s_halt; -- mm2s_halt_cdc_d2 <= mm2s_halt_d1_cdc_tig; -- -- end if; -- end if; -- end process REG_TO_SECONDARY; mm2s_halt_d2 <= mm2s_halt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through mm2s_halt_d2 <= mm2s_halt; end generate GEN_FOR_SYNC; --***************************************************************************-- --** Descriptor Fetch Logic **-- --***************************************************************************-- packet_start <= '1' when mm2s_new_curdesc_wren_i ='1' and desc_reg6(DESC_SOF_BIT) = '1' else '0'; packet_end <= '1' when mm2s_new_curdesc_wren_i ='1' and desc_reg6(DESC_EOF_BIT) = '1' else '0'; REG_PACKET_PROGRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or packet_end = '1')then packet_in_progress <= '0'; elsif(packet_start = '1')then packet_in_progress <= '1'; end if; end if; end process REG_PACKET_PROGRESS; -- Status/Control stream enabled therefore APP fields are included GEN_FTCHIF_WITH_APP : if (C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate -- Control Stream Ethernet TAG constant ETHERNET_CNTRL_TAG : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH - 1 downto 0) := X"A000_0000"; begin desc_reg7(30 downto 0) <= (others => '0'); desc_reg7 (DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); -- downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); ADDR_64BIT : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin mm2s_desc_baddr_msb <= m_axis_mm2s_ftch_tdata_new (128 downto 97); mm2s_desc_curdesc_msb <= m_axis_mm2s_ftch_tdata_new (160 downto 129); end generate ADDR_64BIT; ADDR_32BIT : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin mm2s_desc_curdesc_msb <= (others => '0'); mm2s_desc_baddr_msb <= (others => '0'); end generate ADDR_32BIT; mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_baddr_lsb <= desc_reg2; -- desc 5 are reserved and thus don't care -- CR 583779, need to pass on tuser and cache information mm2s_desc_info <= (others => '0'); --desc_reg4; -- this coincides with desc_fetch_done mm2s_desc_blength_i <= desc_reg6(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); mm2s_desc_blength_v_i <= (others => '0'); mm2s_desc_blength_s_i <= (others => '0'); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= desc_reg7(DESC_STS_CMPLTD_BIT); mm2s_desc_app0 <= desc_reg8; mm2s_desc_app1 <= desc_reg9; mm2s_desc_app2 <= desc_reg10; mm2s_desc_app3 <= desc_reg11; mm2s_desc_app4 <= desc_reg12; -- Drive ready if descriptor fetch request is being made -- If not redirecting app fields then drive ready based on sm request -- If redirecting app fields then drive ready based on room in cntrl strm fifo ftch_tready <= desc_fetch_req -- desc fetch request and not mm2s_pending_update; -- no pntr updates pending m_axis_mm2s_ftch_tready <= ftch_tready; redirect_app <= '0'; cntrlstrm_fifo_din <= (others => '0'); cntrlstrm_fifo_wren <= '0'; end generate GEN_FTCHIF_WITH_APP; -- Status/Control stream diabled therefore APP fields are NOT included GEN_FTCHIF_WITHOUT_APP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate GEN_NO_MCDMA : if C_ENABLE_MULTI_CHANNEL = 0 generate desc_reg7(30 downto 0) <= (others => '0'); desc_reg7(DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); --95 downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); --127 downto 96); ADDR1_64BIT : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin mm2s_desc_baddr_msb <= m_axis_mm2s_ftch_tdata_new (128 downto 97); mm2s_desc_curdesc_msb <= m_axis_mm2s_ftch_tdata_new (160 downto 129); end generate ADDR1_64BIT; ADDR1_32BIT : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin mm2s_desc_curdesc_msb <= (others => '0'); mm2s_desc_baddr_msb <= (others => '0'); end generate ADDR1_32BIT; mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_baddr_lsb <= desc_reg2; -- desc 4 and desc 5 are reserved and thus don't care -- CR 583779, need to send the user and xchache info mm2s_desc_info <= (others => '0'); --desc_reg4; mm2s_desc_blength_i <= desc_reg6(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); mm2s_desc_blength_v_i <= (others => '0'); mm2s_desc_blength_s_i <= (others => '0'); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= desc_reg7(DESC_STS_CMPLTD_BIT); mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); end generate GEN_NO_MCDMA; GEN_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate desc_reg7(30 downto 0) <= (others => '0'); desc_reg7 (DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); --95 downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); --127 downto 96); desc_reg4 <= m_axis_mm2s_ftch_tdata_mcdma_new (31 downto 0); --63 downto 32); desc_reg5 <= m_axis_mm2s_ftch_tdata_mcdma_new (63 downto 32); ADDR2_64BIT : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin mm2s_desc_curdesc_msb <= m_axis_mm2s_ftch_tdata_new (128 downto 97); mm2s_desc_baddr_msb <= m_axis_mm2s_ftch_tdata_new (160 downto 129); end generate ADDR2_64BIT; ADDR2_32BIT : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin mm2s_desc_curdesc_msb <= (others => '0'); mm2s_desc_baddr_msb <= (others => '0'); end generate ADDR2_32BIT; mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_baddr_lsb <= desc_reg2; -- As per new MCDMA descriptor mm2s_desc_info <= desc_reg4; -- (31 downto 24) & desc_reg7 (23 downto 0); mm2s_desc_blength_s_i <= "0000000" & desc_reg5(15 downto 0); mm2s_desc_blength_v_i <= "0000000000" & desc_reg5(31 downto 19); mm2s_desc_blength_i <= "0000000" & desc_reg6(15 downto 0); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= '0' ; --desc_reg7(DESC_STS_CMPLTD_BIT); -- we are not considering the completed bit mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); end generate GEN_MCDMA; -- Drive ready if descriptor fetch request is being made ftch_tready <= desc_fetch_req -- desc fetch request and not mm2s_pending_update; -- no pntr updates pending m_axis_mm2s_ftch_tready <= ftch_tready; cntrlstrm_fifo_wren <= '0'; cntrlstrm_fifo_din <= (others => '0'); end generate GEN_FTCHIF_WITHOUT_APP; ------------------------------------------------------------------------------- -- BUFFER ADDRESS ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_BUFADDR : if C_M_AXI_MM2S_ADDR_WIDTH > 32 generate mm2s_desc_baddress <= mm2s_desc_baddr_msb & mm2s_desc_baddr_lsb; end generate GEN_NEW_64BIT_BUFADDR; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_BUFADDR : if C_M_AXI_MM2S_ADDR_WIDTH = 32 generate mm2s_desc_baddress <= mm2s_desc_baddr_lsb; end generate GEN_NEW_32BIT_BUFADDR; ------------------------------------------------------------------------------- -- NEW CURRENT DESCRIPTOR ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate mm2s_new_curdesc <= mm2s_desc_curdesc_msb & mm2s_desc_curdesc_lsb; end generate GEN_NEW_64BIT_CURDESC; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate mm2s_new_curdesc <= mm2s_desc_curdesc_lsb; end generate GEN_NEW_32BIT_CURDESC; mm2s_new_curdesc_wren_i <= desc_fetch_done_i; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- --***************************************************************************** --** Pointer Update Logic --***************************************************************************** ----------------------------------------------------------------------- -- Capture LSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD0: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg0 (31 downto 0) <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then updt_desc_reg0 (31 downto 0) <= mm2s_desc_curdesc_lsb; end if; end if; end process UPDT_DESC_WRD0; UPDT_ADDR_64BIT : if C_M_AXI_MM2S_ADDR_WIDTH > 32 generate begin UPDT_DESC_WRD0_1: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg0 (C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then updt_desc_reg0 (C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= mm2s_desc_curdesc_msb; end if; end if; end process UPDT_DESC_WRD0_1; end generate UPDT_ADDR_64BIT; ----------------------------------------------------------------------- -- Capture MSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD1: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg1 <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then updt_desc_reg1 <= DESC_LAST & mm2s_desc_curdesc_msb; -- Shift data out on shift enable elsif(updt_shftenbl = '1')then updt_desc_reg1 <= (others => '0'); end if; end if; end process UPDT_DESC_WRD1; -- Shift in data from SG engine if tvalid, tready, and not on last word updt_shftenbl <= updt_data and updtptr_tvalid and s_axis_mm2s_updtptr_tready; -- Update data done when updating data and tlast received and target -- (i.e. SG Engine) is ready updt_data_clr <= '1' when updtptr_tvalid = '1' and updtptr_tlast = '1' and s_axis_mm2s_updtptr_tready = '1' else '0'; -- When desc data ready for update set and hold flag until -- data can be updated to queue. Note it may -- be held off due to update of status UPDT_DATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then updt_data <= '0'; -- clear flag when data update complete -- elsif(updt_data_clr = '1')then -- updt_data <= '0'; -- -- set flag when desc fetched as indicated -- -- by curdesc wren elsif(mm2s_new_curdesc_wren_i = '1')then updt_data <= '1'; end if; end if; end process UPDT_DATA_PROCESS; updtptr_tvalid <= updt_data; updtptr_tlast <= DESC_LAST; --updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH); updtptr_tdata <= updt_desc_reg0(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --***************************************************************************** --** Status Update Logic --***************************************************************************** mm2s_complete <= '1'; -- Fixed at '1' --------------------------------------------------------------------------- -- Descriptor queuing turned on in sg engine therefore need to instantiate -- fifo to hold fetch buffer lengths. Also need to throttle fetches -- if pointer has not been updated yet or length fifo is full --------------------------------------------------------------------------- GEN_UPDT_FOR_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate signal xb_fifo_reset : std_logic; -- xfer'ed bytes fifo reset signal xb_fifo_full : std_logic; -- xfer'ed bytes fifo full begin ----------------------------------------------------------------------- -- Need to flag a pending pointer update to prevent subsequent fetch of -- descriptor from stepping on the stored pointer, and buffer length ----------------------------------------------------------------------- REG_PENDING_UPDT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then mm2s_pending_ptr_updt <= '0'; elsif (desc_fetch_done_i = '1') then --(mm2s_new_curdesc_wren_i = '1')then mm2s_pending_ptr_updt <= '1'; end if; end if; end process REG_PENDING_UPDT; -- Pointer pending update or xferred bytes fifo full mm2s_pending_update <= mm2s_pending_ptr_updt or xb_fifo_full; updt_pending <= mm2s_pending_update; ----------------------------------------------------------------------- -- On MM2S transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- GEN_MICRO_DMA : if C_MICRO_DMA = 1 generate mm2s_xferd_bytes <= (others => '0'); xb_fifo_full <= '0'; end generate GEN_MICRO_DMA; GEN_NO_MICRO_DMA : if C_MICRO_DMA = 0 generate XFERRED_BYTE_FIFO : entity lib_srl_fifo_v1_0.srl_fifo_f generic map( C_DWIDTH => BUFFER_LENGTH_WIDTH , C_DEPTH => 16 , C_FAMILY => C_FAMILY ) port map( Clk => m_axi_sg_aclk , Reset => xb_fifo_reset , FIFO_Write => desc_fetch_done_i, --mm2s_new_curdesc_wren_i , Data_In => mm2s_desc_blength_i , FIFO_Read => sts_received_re , Data_Out => mm2s_xferd_bytes , FIFO_Empty => open , FIFO_Full => xb_fifo_full , Addr => open ); end generate GEN_NO_MICRO_DMA; xb_fifo_reset <= not m_axi_sg_aresetn; -- clear status received flag in cmdsts_if to -- allow more status to be received from datamover mm2s_sts_received_clr <= updt_sts_clr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= mm2s_sts_received; end if; end if; end process REG_STATUS; -- CR566306 - status invalid during halt --sts_received_re <= mm2s_sts_received and not sts_received_d1; sts_received_re <= mm2s_sts_received and not sts_received_d1 and not mm2s_halt_d2; end generate GEN_UPDT_FOR_QUEUE; --------------------------------------------------------------------------- -- If no queue in sg engine then do not need to instantiate a -- fifo to hold buffer lengths. Also do not need to hold off -- fetch based on if status has been updated or not because -- descriptors are only processed one at a time --------------------------------------------------------------------------- GEN_UPDT_FOR_NO_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin mm2s_sts_received_clr <= '1'; -- Not needed for the No Queue configuration mm2s_pending_update <= '0'; -- Not needed for the No Queue configuration ----------------------------------------------------------------------- -- On MM2S transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- REG_XFERRED_BYTES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_xferd_bytes <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then mm2s_xferd_bytes <= mm2s_desc_blength_i; end if; end if; end process REG_XFERRED_BYTES; -- Status received based on a DONE or an ERROR from DataMover sts_received <= mm2s_done or mm2s_interr or mm2s_decerr or mm2s_slverr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= sts_received; end if; end if; end process REG_STATUS; -- CR566306 - status invalid during halt --sts_received_re <= mm2s_sts_received and not sts_received_d1; sts_received_re <= sts_received and not sts_received_d1 and not mm2s_halt_d2; end generate GEN_UPDT_FOR_NO_QUEUE; ----------------------------------------------------------------------- -- Receive Status SG Update Logic ----------------------------------------------------------------------- -- clear flag when updating status and see a tlast and target -- (i.e. sg engine) is ready updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tlast = '1' and updtsts_tvalid = '1' and s_axis_mm2s_updtsts_tready = '1' else '0'; -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_sts_clr = '1')then updt_sts <= '0'; -- clear flag when status update done -- or datamover halted -- elsif(updt_sts_clr = '1')then -- updt_sts <= '0'; -- -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg2 <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_reg2 <= DESC_LAST & mm2s_tag(DATAMOVER_STS_TAGLSB_BIT) -- Desc_IOC & mm2s_complete & mm2s_decerr & mm2s_slverr & mm2s_interr & RESERVED_STS & mm2s_xferd_bytes; end if; end if; end process UPDT_DESC_WRD2; updtsts_tdata <= updt_desc_reg2(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- MSB asserts last on last word of update stream updtsts_tlast <= updt_desc_reg2(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive tvalid updtsts_tvalid <= updt_sts; -- Drive update done to mm2s sm for the no queue case to indicate -- readyd to fetch next descriptor UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; -- Update Pointer Stream s_axis_mm2s_updtptr_tvalid <= updtptr_tvalid; s_axis_mm2s_updtptr_tlast <= updtptr_tlast and updtptr_tvalid; s_axis_mm2s_updtptr_tdata <= updtptr_tdata ; -- Update Status Stream s_axis_mm2s_updtsts_tvalid <= updtsts_tvalid; s_axis_mm2s_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; s_axis_mm2s_updtsts_tdata <= updtsts_tdata ; ----------------------------------------------------------------------- end implementation;
mit
193223305c2a0492ff2cc1ee5ff2628b
0.468132
4.031044
false
false
false
false
fumyuun/tasty
src/tasty.vhd
1
1,934
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.snes_lib.all; entity tasty_snes is port ( clk_i : in std_logic; rst_i : in std_logic; snes_js_btn_i : in snes_js_btn_r; snes_js_bus_i : in snes_js_bus_i_r; snes_js_bus_o : out snes_js_bus_o_r; -- debug debug_enabled_i : in std_logic; -- enable the buttons on the board switch_i : in std_logic_vector(15 downto 0); clock_indicator_o : out std_logic; latch_indicator_o : out std_logic; btn_indicator_o : out snes_js_btn_r; pc_o : out std_logic_vector(15 downto 0) ); end entity tasty_snes; architecture behavioral of tasty_snes is signal debug_js_inputs_s : snes_js_btn_r; signal generated_js_inputs_s : snes_js_btn_r; signal selected_js_inputs_s : snes_js_btn_r; signal ctrl_pause_s : std_logic; -- controller is not done yet issuing current inputs signal generator_pause_s : std_logic; -- goes to generator begin debug_js_inputs_s <= snes_js_btn_i; selected_js_inputs_s <= debug_js_inputs_s when debug_enabled_i = '1' else generated_js_inputs_s; btn_indicator_o <= selected_js_inputs_s; generator_pause_s <= '1' when debug_enabled_i = '1' or ctrl_pause_s = '1' else '0'; snes_btn_ctrl0: entity work.snes_btn_ctrl port map ( clk_i => clk_i, snes_js_btn_i => selected_js_inputs_s, snes_js_bus_i => snes_js_bus_i, snes_js_bus_o => snes_js_bus_o, clock_indicator_o => clock_indicator_o, latch_indicator_o => latch_indicator_o, pause_o => ctrl_pause_s ); js_generator0: entity work.js_generator port map ( clk_i => clk_i, rst_i => rst_i, pause_i => generator_pause_s, js_o => generated_js_inputs_s, pc_o => pc_o ); end;
mit
39dc44fef4c88453ad142162ad1baed8
0.579628
3.050473
false
false
false
false
nickdesaulniers/Omicron
instr_mem/inst_mem.vhd
1
4,955
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- -- FOR A PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- (c) Copyright 1995-2009 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -- You must compile the wrapper file inst_mem.vhd when simulating -- the core, inst_mem. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY inst_mem IS port ( clka: IN std_logic; addra: IN std_logic_VECTOR(6 downto 0); douta: OUT std_logic_VECTOR(15 downto 0)); END inst_mem; ARCHITECTURE inst_mem_a OF inst_mem IS -- synthesis translate_off component wrapped_inst_mem port ( clka: IN std_logic; addra: IN std_logic_VECTOR(6 downto 0); douta: OUT std_logic_VECTOR(15 downto 0)); end component; -- Configuration specification for all : wrapped_inst_mem use entity XilinxCoreLib.blk_mem_gen_v4_3(behavioral) generic map( c_has_regceb => 0, c_has_regcea => 0, c_mem_type => 3, c_rstram_b => 0, c_rstram_a => 0, c_has_injecterr => 0, c_rst_type => "SYNC", c_prim_type => 1, c_read_width_b => 16, c_initb_val => "0", c_family => "spartan3", c_read_width_a => 16, c_disable_warn_bhv_coll => 0, c_use_softecc => 0, c_write_mode_b => "WRITE_FIRST", c_init_file_name => "no_coe_file_loaded", c_write_mode_a => "WRITE_FIRST", c_mux_pipeline_stages => 0, c_has_softecc_output_regs_b => 0, c_has_mem_output_regs_b => 0, c_has_mem_output_regs_a => 0, c_load_init_file => 0, c_xdevicefamily => "spartan3e", c_write_depth_b => 128, c_write_depth_a => 128, c_has_rstb => 0, c_has_rsta => 0, c_has_mux_output_regs_b => 0, c_inita_val => "0", c_has_mux_output_regs_a => 0, c_addra_width => 7, c_has_softecc_input_regs_a => 0, c_addrb_width => 7, c_default_data => "0", c_use_ecc => 0, c_algorithm => 1, c_disable_warn_bhv_range => 0, c_write_width_b => 16, c_write_width_a => 16, c_read_depth_b => 128, c_read_depth_a => 128, c_byte_size => 9, c_sim_collision_check => "NONE", c_common_clk => 0, c_wea_width => 1, c_has_enb => 0, c_web_width => 1, c_has_ena => 0, c_use_byte_web => 0, c_use_byte_wea => 0, c_rst_priority_b => "CE", c_rst_priority_a => "CE", c_use_default_data => 0); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_inst_mem port map ( clka => clka, addra => addra, douta => douta); -- synthesis translate_on END inst_mem_a;
gpl-3.0
7496d708cad8d13e17c45537d30a110d
0.55217
3.71161
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_1/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg.vhd
3
11,907
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg.vhd -- -- Description: -- This is the demo testbench package file for FIFO Generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_1_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_1_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_1_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_1_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_1_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_1_exdes IS PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(9-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(9-1 DOWNTO 0); RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(34-1 DOWNTO 0); DOUT : OUT std_logic_vector(34-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg; PACKAGE BODY system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg;
bsd-3-clause
c0ae52362a8655c061513a161012d827
0.515411
3.852151
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1/simulation/system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_pkg.vhd
3
11,785
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_pkg.vhd -- -- Description: -- This is the demo testbench package file for FIFO Generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_exdes IS PORT ( CLK : IN std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(5-1 DOWNTO 0); DOUT : OUT std_logic_vector(5-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_pkg; PACKAGE BODY system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END system_axi_interconnect_2_wrapper_fifo_generator_v9_1_1_pkg;
bsd-3-clause
14cc9168f06b3c003efd022256c77898
0.519813
3.87537
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/bd/triangle_intersect/hdl/triangle_intersect_wrapper.vhd
1
3,551
--Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015 --Date : Sun May 08 18:17:54 2016 --Host : Win10Desktop running 64-bit major release (build 9200) --Command : generate_target triangle_intersect_wrapper.bd --Design : triangle_intersect_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity triangle_intersect_wrapper is port ( DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_cas_n : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC ); end triangle_intersect_wrapper; architecture STRUCTURE of triangle_intersect_wrapper is component triangle_intersect is port ( DDR_cas_n : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC ); end component triangle_intersect; begin triangle_intersect_i: component triangle_intersect port map ( DDR_addr(14 downto 0) => DDR_addr(14 downto 0), DDR_ba(2 downto 0) => DDR_ba(2 downto 0), DDR_cas_n => DDR_cas_n, DDR_ck_n => DDR_ck_n, DDR_ck_p => DDR_ck_p, DDR_cke => DDR_cke, DDR_cs_n => DDR_cs_n, DDR_dm(3 downto 0) => DDR_dm(3 downto 0), DDR_dq(31 downto 0) => DDR_dq(31 downto 0), DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0), DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0), DDR_odt => DDR_odt, DDR_ras_n => DDR_ras_n, DDR_reset_n => DDR_reset_n, DDR_we_n => DDR_we_n, FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn, FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp, FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0), FIXED_IO_ps_clk => FIXED_IO_ps_clk, FIXED_IO_ps_porb => FIXED_IO_ps_porb, FIXED_IO_ps_srstb => FIXED_IO_ps_srstb ); end STRUCTURE;
mit
b38019a5d832ad8d80564bd20aef44f9
0.599549
3.156444
false
false
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/impl/vhdl/project.srcs/sources_1/ip/tri_intersect_ap_fsub_7_full_dsp_32/axi_utils_v2_0/hdl/axi_utils_v2_0_vh_rfs.vhd
9
291,505
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JXUswxk/vlP3T21f4a2SFc6PSvqh6JLgD+lHJbzfNbG5Y8XWjO+cqxvLe0d7RbaRM8M9M7fGrbBc LUc7zZygoA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Yb1rxKXv6eLdbo7L9fDEa7+9xPwXj79RFDjuWsfjFjEvFeGt/8fNMk/2TdTDSvg0JtXgnTOR5HEV zqaY02rwJrLcAMDLJKMNvxtbkmKDg/BdHcR4rCvOu2RDeG4WOYtdwxjFoA7amWV3SYBoKRZAgqPT x/IthvnrpQ8b9JcoPSU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block PUjf5uIs3yUnYBVj+f2Qm/iV5ElvwQQeDyEkv12nGFyWR0hdxpQ8ohBOMpj3njwCd9PZ+NGVHZy9 cDF+B1Vhvqm1hofVCx/xbJ/2WLtNToVNKc2OYAfDjy8ePJ2B0flVDvWbeGPwnqcOWwcvwJZlrjZv RgrdsV0ZdV2/IbqHtLqRwMJW5D9kYChG9TAenhy7jxBmAKGzVhfDwAxECyxiab5DhsvdoaIcl/en 2MdDHlAR6xKYbscy7GNrdAufMtRHaDw1tt/rjcYd6yiG2NcF/fauvrxSqVUVBVrEhW1qTRRA+mn8 Stu7JkH0wx0rRd+Hy0+mHSPWkGx2HT0aXurZqA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YowD/xfnzZ94rbizyoReVCgRZnMPtDgrWLgU+SkxdURN4QU7QlLFSte4klxTQ9lDA72W39wNO2pa PfZ03AE0ff1JzvMyLhlCO8DNE1+BwXUoW3kegfsdf463536TyB6T/x4zRrEdnhwuVW3Yr1yJ3I4L qZIzphsIKj2Gdlsx+0w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AGq/2s7X4eyDx/V/MHtbe21C2W5gdsPTnzugDgwZbYtu9n1W6P5qarbN6weNF3qWRMxhMxE/6588 R3DikNTvq7NZo089LDe6GfGOaXMZCr4O+QC4nK4eEN0QSwwOErzDlGKwXyu83cy68OK1Lx7YDhq5 eRZgKecU0qLE0k4eip9d6Jabqo+qEvzDJ+bVu4ZYyfwuaLKbk+Arm2tk1bqvhp9WApW4DYRHi79y l7KT9T2FR/UuZbqzyMRqoVK1o4+MjDuOqRuIYF2y7OALBWWcz6p9KOfsrmKhOtPmNYrhusVmx/7I sLWnBiqkQmO8C+HL+mZxRbORInWzNLSI3ZAYSQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214048) `protect data_block yDLRxNSo3Zkca5J/bTB0AM0ZY4BOh/FPczaYc4sQbNp0qWG/l4rLinwz2yYrU/w17Ql5wvKtIeEL d7hf8fHF64B6hnRRBgQTHpP6RfGQnbY+VUEajATpXYNTkxoK44WU9meWX4H8UrgLl5mI2no9X95A S1vA282dL5Xb13uPLAUz7oo2IGruYPGk5uZdQtIplPZHPX+RZ5sUx+elsid3WWlu61WxGWbU1kdz nxVgqNcPX4dq3z1iye/JfidIhBUV9092kePmbQtEmv1Lyxtz09laIkfXstbgQLliCG99Fvr/Br10 nWw2TIENhILcezI8VsOsF8pbAYGZMc8VJtQy2c/kXmGrnRlgORUNdLYAHnFuL8cKW7XMkwMzWwSB W6Zpt1jPSEtbibA2o7w943JRvYupGUfO3K7jY/dpZ+t7+aczNpzwCFUDPjWpLzYaGXBuKzYmIv7u BBmGvv3C0O5pL1bjLD5g+BOCemW9ujapceI0CT4mHQU/sU808CaMShKLJEdl1jYs8vljCKXokxPr UGnX0hL9zIiGf0VDAnRMcBjKoC/bMQhlGJsvTSIICtSXT++qdPsLT37KKHdsXWLDjfbCqZZhlT3W 2PR87ZC2of+KGNxq1HcEXUjr4GeWPX9LydxUIUd5gck3a1/wZ32wqoJoyps7lugXIZZeLiFhKsrw bycuasDNyYi5mzSD17MhkDklvtthHkeTUhHXF5gym37c5q6cUZ5kOpjU4CMXf6i3tYYJNy5nfrtf 05l9MTd2KkUO0TQxfdPtk19Fev0BhJ1geHDlFpwjLJY6UIs5pV1ir9iiBRnL6w4Ke5xpx1dZNB8z CiufQahyL4A9V/CAlaCwyvlV+syfRdtAXldVs3F9S/fda/BDzfd0apu+m6qhLL/bU6o3ILzCVnPe ZR/CZmGotcqnUVwYw8pMXbLAZJ35zHo66KsuemHq4+CUfQZdGk3faZuRBVvqhkyWuDRKY8xwHIJV f5YXhdrzlvj3/iIXxtawCueOKEviqqZHpd7zbW+CsNxey+IEPr3oM7YnJRgroOJN4ueH/xZDBbLU ccAV/WWgzHzquhuLjj5k2WZR12FQcS9txpw6HPv49MQwE/ndESYAXwFTFcEUxKJC22uBqM3Dp5Is +PuMjRtRXjCHkpjZFTJSD8J9ZNjQr1qT+DllkKnT155vqn18LvKQvxY8nbTZ4qz615euI34U7ik9 TGBUT3A0uU/ahbRKKS7iwxuSuADIA8U2T7iG635tVix7JnP/Q9tQK6ehnVme8Xjj/X3V74GngTtf EFc8ZfSpBCqtr4b3rX3uqpoae5WcSMS2bso8/vGmQB2+NHbhMAWvXH/HFKajRYCQK6BFW91RxTjp MAufiog3XaQyuBbRqaiab9eFSucrU0D2p2GKYgzAcRBEHzSQFUwjHUIALJeVC+C0FnXC3ccOuwqs 4W0cRhVaYLVQsr6HWccTadvBwvaBq9lFI6t3ZAXMgmsaKTFvrSQW+cXmN7iM/m9iPGoTDjmdksl+ yrckRsBA173oTsHDvesaO2d08u9dtlR1tDUBfLlh8DSMOLjZ2dlvbKPUrEkv6y3CnGPij+yyN4a8 yuZgWUt5eTRDXr+HT3pgk2B7Vr06TPf87Rf3Sp8HuOgjs7qhSo5FTNNSAMlxHMcBD5kGMK+r5iIz Nc+4m5BJ9APnKrRmPAEpvuxTu1+BvRKgJInmJSj8lUsDjBTG7O0bCIzltn6MbKv4I787ZfVULjjn FfITwRyPG13ELW65vWyH5Qj4wjL6rTBXgFNRxLDX2F4+Lt66F8jAOK2JJjuz/YuYhXUUPSt0pt5d cbNdq6cgz5lJbLuI8JfAXbnLC4upuBgXroztzfDFyGJAlh4z5PuMKIo1nD2ROWnQWZ6/yID5ml4R KOkkqeG2weYTDnH8VExxYJxI7QYDLjN+Brn2WryG5bJXvT4kUTCK93ugunGeDKIDd6zagdG6uNv2 VTOrTLdUezl2XXC2irWIwr2RmdUn40ygXrgqlNBvihalpyYcW5EiXjH0oC2yarifPPXtap03MINJ Ups/qxEGIZlYoylLy0Frt361eQoksT1lVmX1dzuB8htgIhOtpstQBI/yxV/JjH+fsybHkBt6YjLF yPZAh1TN58/GamYbcvHJPgCSc2RTsMuY3mSFWDlpiySe3jSmotCABgvzBL00fPgb0GWkRvhPUnc4 QxgGFk9kk2mZzdgdolWiAT0aM+KlPLfe9Irez9w9xzS/wVQMW+tAfBeTGURtt/UjKXJsP1XMslXq r2Cis1cYAqeWEy/CnlNwQQHQgX6L6fXy1MmHNkkHBafcayfhBiJyCfWiAfymYYgwSfDdiG2YPvz6 HDD2yM3ibhkrV4xOn72pkeonYRyBYEgaO0DZSzGrHSUZOrjxnDjqH3uJT0cR7yTJjk8MV67EyZtU TFjXdPZYtb/ZLYcSRDe7nmQWaet5C2ZkX77ciFbXZNJxdFw8KrEyVos7DHzsA//9ulNzHuwEPFMc Ht+gnyo+dRK8Gz9M2krHxLi+E6OmLaoRbVj8Uub/FrVFogNVNkbmHhsvEZ7WFTwG/nppzuGUIfKj F87AQHsdAu2mJ1HCvb97cI3WRvCX2lfyGFPTgP8222KJ1XGs81HVSzqVrW3LHcc3xYndGHTAs+8F d+P0S3nmcO7xMdnY4QhDUgQRUO2VMLzHV74epB1gJ/z0DNMCUpw129lJzBd2oS2cPYzK4bs+YU+t QP7MekcaFTKuEYCYpbJM4lUQ0BtpWNd42VD7uykXBGZog4+78QlvThgoc8GgamVFPm4kPOZWbUvf /DTGoytI1RL8PKx1ydykxBeqUnZ+U95BPVBFQk+2BLrwVSOKLoMTQ5X2zrK5Oo0RU4bvR/+zokcA tpLckqoFjD8UyvQsf+/xVFRDoSOLMHJa9Nay6tB8JHWKXqpT8KkFlkQk2FIcHCiv5tRZN5d4JmdU mzDGLfARW03h5xaa2d3NFq7tkS9l73sUr4lVkKHUHmQh7Ml6frv1t9B03qgxdgUGagZIBQoo38sg JZ/ink8QdihZBj3PZ7rtWwpaSmBte3YW+IuiC6Ia0DurRXJVzDwA00ZdUpXY+Xtdz27FJJKsJ8Qg QmAH0MLy/7dGzjqJrulyvL32amv/V7sPSUkpeEKwAA/G4S460F3potxp+0GEK3Bmdo05n0AuI4Rh AaVDCtPiSXvt4VMoXZs0W5d1l6yBPo9mhHZGyMZbOvHn3GmJuKFx/UwL0veS98zd02kOWqEGeoza 4B4jK1UTMaGvbvgcEZE0jglLG961AFYzVm8nBw7dBQRmxo+c57APALO5Qhlww7T2vISLGnH5k/k6 vtqztX6smtq70CyS3ANmt67PebPVckulp9N2I6opaNAttg6YahbTxvZeeEyDDWHeW3wFR4eU732A vNio8lpCCArcy1BuTwqSM8HVLuCDdWyVKeWRzuisRI2jUaQGWSuKKlH4iXEAilUIGmF9x/ulFunV Ld9R+JbSN+tDoCBSo6l7WxHsMMrlUpZxIPKFGg76Z2wPnkDRlGF+heolNuG9RXoQweFiJvpEqtIE hDz27VsrH2MTNHUnHeZgHuagPPu3hCptUNrM+iqR5//T55GSdvmxys4Ai8yBg1AxzXMF/g3ChY/Q dIyoKEDHoCCITenWAOaIQMTXnX8foybeVMzCPV/uaJR7TJ03uWGRz9cFAGcMGmgu3g92XgmAxyFr cJrgHIg4IL6I5AzhuY577D0axxWs1vxgNiG++MKKh473Biu8fis83ZDPMgGxdbHkKO2MU5fvrpZ3 Jf6SZ5PvemhPHPmxpAJi3K1bjOL8stDvZU86B2MABwWfKkdbuvajaLXMoB8hameEDG6hn9M3HyvE X3m6GqTs2B0CdMOzBIH3DcAc1DAESogcRLuTRKxESIkxII2KH34tGRCxfGy2+IozbDTJDxOuP9fy yTga3U0g+hKRoe0DDfqIwocycxQLmMwixyIoZQqJakTqnaV3APO+/dAasz/3CSNzQVnO6T9cm2J+ ws5KeChTcg4OUeWFdi6fsseXtaA/cqliwLeEDFN0JJb4xOKbLWxVLEBOqBtajCps/zB1NwFphKsc 6npwzUkWlGcG+UEjtGf98wE+xCzCGCo9Mk7CZzVbZSvAhVy7TIdtS+q0BYoPI9EUwBzShn/nI754 0FVVfp80XDzGPQKy8r8uNvlXpZnDTBGrYmibOEDdyHuDjuDeb1RfT/FJvOIo3pHVAoQBpoVQdy3Y XPUDofEPkQ1fJ+clQ+PzMPnWqe/S37dbUGSSLEoYNtDOF6fFhXUA6pLek2jVA/IE25Ka7w7opngd 9HhEoc/h6nOHkh3DwnOQ4b/uSuRYq/A7C97fNmMbhAZFxorPvXZdxRJEuX9WtN6UkgVfASywZLbz O7FTDjwPHM/aOUszckR8tQVAfHUEoyw4XIX7HlVpFgiNNr0J0ckQRHHJ0aRr/kPOPlJJkIvbhfVC WACYtV0WQ68NaKaGAjkbK1XnyjNie0+3YaRDau6H/N6OwKAzIgDD7D3RsMsDNnoKZgKaxYWFuC25 BzgILbqkcxcm42yTWHNpBDHn3Gv6C4jIP7JHvAAwChx+l2yqndbqd5zA7iHALB+ykhHODHu8tvq4 O80rzkjJZZxY/9vCM/ddk2+eQkqLCojZFTxNSJhV0v21hUKmdIWH/Q69W06LjKoo4yh61Y98UKxS jY88phAeLbtV6Y8J2fNcLme3UdcCYSbOan34b4vGhSBa6X/HNVgAJd0SFX9CFHAq8SpQKvZT5XYQ QaPMECEGjKtluQH5cxLNp7YjXx0NJIxA5oK57rgScGGlCq/WUN/kWv9kxHwYXe78+pk6Y/NwNB1E SRVY+vivZvsHFIWGXBeG1D5fiOy8niVoTTOgxnPd98T/vj7Srdr/2MpP1yLJsXHHKBCKI3hfCcDn OW8YhXV1SDR9ylcQbqYBPR5siCnfbmQKQWEb9Ae6z1ri16jmy7ZiLr3iWjH9oMcb+ZWwap6cWZc9 AZg2DlyqqYlZLkOWBEECYB3EOBQoSxHnx0oKqCCSkkLGeQUSKVuitDBj1J4meupjTGeo/2JyUKDD t63c0uyhhYnwkXLOVHbWaUZ8ib3WCt5tS0s0798mdS2HDya/xul30GzWtAJeM8RB9htyJ1i/h1TV Dh0+ta+0inX6NipSh19GBYiqqLDk8+fF9WviP/yZ2h4x5rGURRDGxVbHpA8RnV6w4TM2nwQsyrXw Ky973W6y2KrB2licF3lTvQsgI03K9DgecxTTKjCBSLrtMT+fIPgrfw6t/RHaLjtWytqzDI0yB2e+ hYVO9BbIordBi/sK9EwsKu+ee+KbCbkSnqDktouVO/kUgH13JEgMxvETUvL+FOE+jf0xPrVsBtpi uCcz04BRmtgtYv7QOI/N+O/A3FfZF4whqSbtyJ1Qy0Og9Xr/pI8YKeOKlCjHlkWHykHluxaB2ye9 +IcarAPEDsN1o9/fPiWXAll1jproJKGi1bpJZUnqIUswJX/1SDWWFuEMjGx12/CMtv42ldVdk3OG MkqyLDv8EiWFNbp9riJYq5KN8/6+rhZ8nLkWRbSnEq0qmnKEV8pssxi6+dCFSipC07SX1KD27lWg 86KXJe7kqwHAtRouGgybMqj0GQe0G1zFH0Sq2sfanBazjiEloi+ZQuXnWeEAy8vHPhUcJlP8/a76 OvUIx/d46yefCmLqKLebqDu0Rk+P54lQhjSYapmDlMSxh0ulp1Uo6iCAviD3PlMCETTQN4elVAg5 YFuHITzB7mILC3WCnMpLahxalc1IZtUc23vPMJHxLiiYREZScYLUTFfDGfoE2TYZKNjgsZljz0Fc ggh+L1A4+U3YgMeFB6DgTkiRfx34uDp+O8C4KlWQBvsnKxUS5AVuiv3vWF7EbYEYjpqKo9q98slw HuaQ9ujbKCqBurE+h2m+o4eOHpIuZv3xiVFYJ+m2bYwmCjq53tibwqgFzLNcibG2qYmr7Q82q3fm ilPiA+pZcbXrRkdiYQYY7do55+7LVVHmVA0bIpoHTBSSZ5jzfBWSvSCYnXJtL6LVKDd0McSgUb1j 8WpddykGQX+Uuxceu5cNWcHzYHuyaBH5Ac6j7hultKokxPlpXpKQoLiqn6YvcmSgbN4PN1TpX/Zm dc92ChEKXyhmRkOZDZDvhdgDjLnJy44ogR60dSuNnN6JqKCNPhQCx2iVUQr2k64vXF+Njx1X3jZ7 IlTHcTH2UWqc/0XtRVlQ/9VE+IPUT4/HY0OALoadAc0kCG2YMl19Z4/cfB2KZdxQ4fPwKxAwqQmU 3IGdeAJwdM+H+Z94R+keZbX1j7nfyb3+DcwpQh9pjekfNHtYFhX3wnwioA+DO7j6vE6MIsKgksp2 3/I70Ek7jTfwpJPHkLA2ciuJYVGhns2q6Nb3bjdoGSjUYjrPQ/U2J2Rzk0zt74SzhkGdVUSexqiB 7Z41g7noABfvdlfZiYVukdJlKccU/06YiIDUlMAlqS0YAaH1H7CVOpDM7e+6jo8qMUX+sMYxgKHY I2TlGajmWL74dJG/rPCSqjlwtpVaLm/cnJyDj2QYKF52hLUfTo38KgBmJmJQn+rsELYByQ8de0BT 3uH4dKyPGmlAO1JFV1krpe3ncvQ/Ynnlbs3UsrgxqfJRTtDUOpDXk2N+VSDeJRaEw1MU5kV37Psn yLW1iyXl+Jn5oRIdbftLmAW0YADOesoy9eAKF6uExga94yB5Ietjl4jMPqPcvD1YhpIx/MZWaxFn jPZa8OQxxRSIEYtIznxYDQsLsWnHL3JIZTUZt6oWu9w+22cXl3NtqamM8dT8T6rgqKP6X9hfq+f4 49BR5wvCdijD4O4rAxK7jrT2b77OfwzeUYjAtaWuubR9f/OPMQdP+Zsqwk43XgnIHZz8Fp+pzHXq /Xtq8L62+LFL4rnWBCGTtapjb+2PRZYYuNT1U0Lxql3S3+/Gn2g0QXPs5C1XNr4HkBO9aOC0gzx/ PKnbOnKvb2tfJPwIcUMuVci96vYHTligs8eREEkuKDqm0H5DQOgLgLwhMnd9CyMeycyewwQEGMxy oIeVmXuwo+CWNOk0BV0/PaZfrjyQUzFLOpya+YxmqHmR3z908zOFdofu2sZhGq2YQMKGkwt1BaLW R7Rx8HCPCe4rc39PEn4zHvw30rgGVpwyMxQQ7ByEus/XLLlVK3ebuTLhXnqk5Z8VsuqqcuWCpUuG iFNznag7aCmcKse1pKseqXs8NR6hvJ/g+1iSZVLhpIbCLvKqwXa15eu4hX9rbecn0sb4rHZofwA+ SwOsGLBxbVGh6U3qo6uldlm48s4SjWMf18O0DyGiCLFadlf340xa1a89gbS5qK2Pv0pjSBvR87OQ h6JidvIbJe88DfWsqAHXH0pDvy1VjDKZbxdNFybN8OOjxTVgQ3iTFEzqo6mcHujvCT06xUt1hQ21 MJjc5bSD6Weajw8ESE4FigEl0SJO5F1QA6SGm+4BXCy699XS0s6drZAyJqNo2RPCtVQHgVai6O1I OBi2B6UPntOJhHZTrpynZ42/NMFBQVjY4EHP+WIoC8amWqaIYFRazpLTWtp7ttN5XggTgN9Koz4o fjYMyTxRy+oGSwmLAC0PnrHvINGzGs0Z4zxRKtIorHOGrQ97gapU8c1ZhhLuKVym1Pai7moYZp6o 3CiT2u1ZrssuNNuy0FcQ2wAKrgm/8UR8kd7pj2QuSMHE7AYBU1Qu2CHQH9QjMhsFNsldDApVBm83 Q8CaXHdYZZX88gIIAIKTvfUXwrYkXn1M7jKj9wfqHcUzkiVlgNGtCfZfO9lijeh8w4Ey6pUcpV23 lPDpr+9U5i6kz5aJadn42OK1UwoZz4urXEixPBX1LlUIDTfT55vz1JIg9id9olL8+Z+kv/37OzXT nxP+48Q2vhdhwdw8MQxMXjTJTNvArg/iF56Dysr6TnXXYWwIIilFqw+an1++BoU7iybWezxbfg6c fnJDrYIHeggFHKDmeeaJKYpCZw/XgTUTEpEq7c1DHCOccpNq6JXM2ry4G4+drZ6OsChVSvViJBeZ jJZR9QK/7ntBlmlcgdDg2QqqFCZdhvSscaLe9pUr5jm+gmOuKQ3tq8GASXlKoR5eeA5ReEwDcBVJ +V/+svfUAmgjH3hNzGYw5dhFRhJ/I+2/VvibOTyTi3BdcIeO9+jSFV3NB0rZXlErkkr9a46iHAY4 Y8NSmJkPws2K9abAuRNBSaactx5KeM36LAEf6ZY2qVptloXZGfQXtmMnudS3vHzRhr0pxmcb4dlj Jj+kjoLFJMFD49A0GYJIpGnfB8jMLTNJ1oHnyz8zLayvnbOFI6Uvb8OCoh5bzTnTVvRXJOB5SrxX PAbjIE8tyaluvMQxj0f6SANSc5dJPP/wB4emUcoFMB4ChGRHAAYrcA7TObY2EMH/pkdk4Wt3v3OJ UDA7EeLNsQ1E/hzBpyuQv3gn3adXdSXbZ7O9taOddJ3xPXs3ILljL/aNfNmg1AAHZXBRR8xdGnfo MUrHKPNkGPd3lwvSyGrrSWRXIpExVtL+sLAs41Xm5hERqmGdhYDUI3+dDYah/efj4y900OpFeNxU oW+M7jExE7opB9+tsyRHY+uez/TfJxXhdjUgBjeI8xWc5DOng0xSIvYPoks9j3bgT45TVlkmrVwr vskcmR4zo5bcPBhHFkeafQQ/4E2Q8/ZjOeRmRowNN5XBZerKYQ5c0EnCFMgePgBcq8z1JUwImnFZ rB7wSogX9wTk8OBQgzzaJvZxj0r3n0HpgsitnS5ta3o5KhckC6UOSNiBA5QCkXrMeNhERjag3PNa P163B+GKJG8j9KDvqWl17kDAfRSe+6YqmLjX2Sm8NlKzQeiYCOb3wmFA59B1HpxzT5SH5PCVGZuH NxrmAAtdLND0GwrbWXq5BIscP+kSNqSIQocIFQfdJD0IMtBfWAsSAe33pjqbHC43X/tYLalj8tG8 pex9pM7eAnr1Kz64dCGVn5Y2y4HxeipKmAinpqFEO5gOSUsiHk2kQcyD0LygaP16i1/RaggIZkwJ XasLihFrP7tmIMQ4VGM3lNCoK6uj+8Zh7oCvGM3x+nz5LwgLvjYKUON7zSQwu29P7tyuGy3QYZdt 95sypr7jv7wq5EEa5aThubpvYAww/LNy4OeJC7Ji14kwLSG4F9BwWdLIS4wRmeo1r1NKAfyeybaE QhCXzuzxPc7zvTPRgqoVmDbUV4XqVI+RaAZJ9Mags1X3539RoimWEWGCXlfZ9JcBMgpCNkA5UhtF DUMbWomPpiQMD+U+Ij7rOUsZY1fbS8bOapsuH+i8nO1URdQW7F0lETX+4EqtogGpm0Q++FJmuZJC tr8TImQ+hVPu5nDpFMQMHhBcJXMbi2SlNqTF4jgVx5i7gMFN3h9NTuCUDxo30xTklcmp0Y7cIb+s SlwGi/9FxP8RU5IF9HaiduGv6yWihuSshgrR1LkKos81YZd2G12f07OO8RHaPPwuBouk6rclM+0l LybhWiDzHedr88w3DPKc77J9QlpaMRmiUjwX1uHCkmtFRUgCKd+79dUAVsf85vx6liEpenMbGtT2 X8XOxnTUxUH2w6j5wzdFJguYX8Wu3AHypzzZpOZLzioWLzVf6+QvMCum1JCgc68cEeIpRmZnWGH4 9trxEuLaQBXcwC0tAaB/X+Eqg1BBwcwwAvRlHLFoKB1rICMu8auI9YqwtJGPQI5fa5fUU5lyMfJm 5SLUH5d1HcvFbAXIDds/1VDPfYnnCO3HjU6eI/vux5tdQ5sQOS+eK2sVku6a8r1qDmKb6YAMXf7u X04DhzVRBcsLGN/KITHBNxbP+18F2AKqLHm628vRo8TrCw+b3QbHyGqz3K3yDyXxyYmRefutUJyj 8Gonad/fHQTPFrbHjNUdywZc9nueSbUBVMyz6MyRtpPX2jOg41ogZOUd2jlnG7pH8enTYctwvSr1 omD+I3up26xvy4ffbGGg+9Sn+T+Jj/QsK3hx9wgAXVCwCg1VdGk6DmElmhcXJCa8Tmi7zefLovcV 54eqFKHjduVYYlNvbUCqnrj+0WVkzfddux/7EE07vJR8wQPsJ7xI0oqQ0lyFpsfzMzk9d/O59c3K ZJe9xJooMxpECTtR2cYRgILq68Mg97ZyrprX31zLoRpJQWqc2fL846iLo0pjvflCL9/XdHZj9w7V TNmmpzyzvhSu6k60Yb1/NtKO8IW0LyZo9E/yfhkMdpNVg0gQn+LTES1vGg0gZboyJUpN9Y0jVXVq jnkTxwxAIMCAhWVYcqlliHRy7DTqZ/RAmyTCz/nWTYRkojAIpd8hoFRpeq+7/DUBNi1wfYBhF0Oq 1ccUxjyARh2IK1iTXjqCNv1RvN4DEWSejmwOrb4pP404rnAJNu1HcdA1Owz324mZtV+BiQDV9WMY 8rLEeaYxEHxEBrqkP9cfDjaj/VSKxmaxbukl6MvuoUB+H9ejkTJarHtClEMJx92G79loE/LUpHeT 6qVF5rLQBWdeeDwSuGPyXw0CsY6Add90fHg6xgkY5ENGGqD8+0mP5I/NIldXKDOJAAXCejso78lq YNWat3GTN0weVJJDfmTLUHkxr82OKi5zb8DG5K9z8KH2ra+CyO91u1m6FsWX3geyep91Kvyc7zCs VBqjSmnpTBVBSPp7vPTprH68aoiK4lY7ZDVgbfk2n4FmYC7WMbe4KNc4q6s1Ie1PbNGqGoNOqG6x nAZpZarUZkb0b8OwRReENVcH8yUUrHjzkYAhYTKSBxNPNfQCIFnJH6k3j/vrDqRoC/0pKj5kYpqt UDX+YDnaD23R/6T7jDWLw633hz2J5Zfw7Wrg4GksUn4+NS13PLFndGO3chw0vEAroNYsYbctbzaR u9el0uqur3AYaSjqdNgvFKEp65whFUNBpDhaxp7f/JISNiYJA06q6JWhYPvitFYPm+tBKNG7u2e0 GsdvjsEtVEFPpLDKCA5u/lSxr9+pyiJqZxKB9Ku+ulIlBvOMvIN+ArDulHbxldWBjA5Zjaq+Q4vm Lxwf5f9i1DZOz+uoXmaRvVE9/AxjGqvvI+EGi57Zp2YR1/xTAugK76zYZyILka2N9fd14NQF9/tw NQDakn5+eMLLg2fOqozCMr2iOmJiZFyH+bUBhcOZBGjQ1bEgc4iJ64h4nwFtK279TMlQ2M5OlCle +1seXLs/npGuftwWluTw2ubf64qB/5KiKiqfgfNcJZCbGwcs0QxFp1VT8JKNx18Z/DJf/JWlLAyx 2uR+jvGlGiZQzeyoj4kgy54AJpu1gxCq7/ymj2jTnWCTJvEjZdxmwl3YAcR0m29rqnAuqjoDzOb1 JOJwi8XNvEGKDCSLnYWjxbA/rka3UvdYxcPYFgqJr737887+DJBJA5eJtx9/sAPsD4FfSjo0TO7v D7eBbyeVw+4oa7SV2zkwkOqJzwPyggH6/QlHX/PeuKfmgF9r3S5KWntjhNStOvdBlhU0HleSl6MU 5yioVQ1DxJ7nCVRA3ZvKJAZMROAxX8Kypnu313S33mDf5gTaGNmrUTetM9mmsFilMgdgMzk1sFtu kMnYPO2zjqnhNWaxYag8cksmk3vZo9kEccRd9DKN5rIIUKUKn/atTuF9/7PbjDkkYqjkdra7XHls gIWtFX5Oz+h/CkCbgar+487K5QrgSBLJHy16g2GD6zO9Zdu0W/pupSkLcuQSgYflLshbt4rUx4Dv o08rKFt/BTurRkONpcnKzagZQdZrMcenLWBlh9LXPjknZihL5G3kRhqSTopomKn7XgbaSx836ahp Rd8yrNBWmZ+QdCFRUhOygRM41kNkCNEDx+FiZVfcqdKJHWXm7XA79iVEx70m0ytC8QatStUTF9sz kHfBCyytmA5U8RnknSRiM+4cJOUrl/u/Ewa5UxChtzfDfSjS/O6jFukJuFTFxu4bzOwt4RtF8sOU 08V2BVVZA2AUtNh1wD7dvIG5hOPiYcjZYmpeuYamx3ift96kkx0bSHDy2r7eBSkTB0uJmDR6+A+6 VS50ECbZIuz8Bakr1kIS6EJaEpFo4o6ywaWl8ZiKzCbWBTsbpHI8JJo6d14dDp4Gb2sV19Knx+NG gugpCcnipueVkCPnGzCDSJze63IX0KRiKxLeKfriPPNcRpAYKaulWDQkHA0yYD95cxGvBDNGoDvl BBKPQ1QjIqhSHhJ6ag8LoAJDOIrOgo756KEIwJGYSySkuSE7iFUYt4fY6ZiOUPZRNPg+1FFHbfpI bKTcOr/hVAej/7+PR3vxF7yz/VUTS3Pz1wdeX0TIflNMcZAvOsO4FrPxPfLhyPtsT2675GzIj82d TfLtPCcJaJBULEmmmc/xG1rAbV6fwvcCJkLD6FCeHRpeV5Z8IAJfzgw647K/ANWVp/MuIfCspGBJ QpKxyCTQ1EzSl8PjB2bjQyyFmgAKn3tH8iBmk+qH4edFct20mSoiNI55k5zYqo6hBRtn0Ifvyy7A tsl8icABXzE1nxSDRGleSQkGOCgjtJc5gkajGkagKFQFIHXK+gNwpu+tsHELkuaUxOFxY9aY7WYD y1THg+OgP5x8mCppcGYaImVRg5V5NWQZ2lZikfBgAcGiivJqf82My74Eq304UtLwMasOZDQc12dp IvyWtC3bsDPmzAAdQnMutyYGe4EgYXt6OGRsQ3X1ZVKp/jXDBvuRctNNlwK0ENtLPE0GiIFx6oSM MuqbYxOKaNhW8RNGl+qJArXcKyTV3C3yLZ5WfEWlSziyGc5x53DyhL4jhrXVALp9mc4wReuY9WEz 0dA5MPG/2maIFj5jbey6reFADRvajq8yYOzmZz/ZPUnl7f+OwFhsCfFHlULCO+hERc4geLe7LVir E1bD1NeWgGEx86pqzk6yfrDSCrntVQ9j75mC6xIgqzBcYRHYZsfFti354UN++X0GnOlEmdQB33K2 iN2bNmjRXlxxIbcipgZ1uwiZwLRFB29A/HnGSj7ZlI+g7JSO0E+cCXRPfO5ZyUZurdUixbyeW/eV iTYcp8utg3Y8ZXkdv7j7Lejjopy8XUhH3STFvHw2aMdqQznj7i2pax0eQmNLksZ/gF9zPxsEEvB4 cbQD/4E5Ca4tQMxCSo4tpFMFh01d5jZw6Im1PQVbBQmvoGpLqX9UXWBPJ+ftFom3+zeZUxJBaWlu yQ99/ZeO+fXFTle5289uvM1Dg5709uLd5HqR9lbZPtMU862r9BllF5YNSYe2gYbe1RI1ZlyB3/s+ IG6vdkPG/jDuzKlQw89xm1fUGBfZjZwVFpEX/aLa7w3yilI4uAMgoyY53ESqnu2IpjiKy6NHSPDE 8f7UiGM2h7lUkWgTHxONF8D52V1Pfdxu5+PyyqnwAHNpVpk9p5ZBTygR7pdlwlBRTf3PoDBJakHc 0Ts1rL+IseBnOmR/D2K+u1B5fbSntDI8qwgdw9JK8gkMREStHAGwkRjMxE4BzrWXOax3Dgc54UAg U8XVOkEdffD3O5H3yDzHbYCRblG+2i0+pGNIZfphaKJKjDWK8mwBd8ODcfmbiI+JZEBqYFAyfv1Z zxlfRsym1O0jZmlebNFV7C7WTbvXbv1Y33OpcmCuZJuLWtBbkcpsEDRGi8h9/LOjeX5qDmcIVEtx VTms2cv4xgq6h0tBFuVl0gcePmrPrQ4wV54gspj9SV+zzIhVboP/zTicXtxnqX8tv4Ws5UoMJFlN gk0oatbjR+ERimCMmZUsb6uTLYxtWAgkw7JogemPFpsCVcvmzoJVbQ5hO/WjuONyrRe2PEph68Wq IaBjwbxeTVFtssf8+1O9pdbkQgJgFv7J1+Q3GV+mB5r1pbKrixyuDJC70w5dtuRAkmYeGdfp+WBI wQhczudEXTkN2xlR/Y/9KRdeXJk1DYYXXNt4iTPAlirQFm4ijVxFWEBW90vhWzGhv3L5wWRJH4C5 ErpMp4jQt4NjKKcDSPw+HUwtsueM63eitTnj9QPWG4N7RJTLl6qQLIxTvXfdqk/x9bzAQpbXsGGu NRjdgcwI6uzmjfFbeeBtZn7WLfE8nCHLNjupFtZmB+LIWtXG5ZJkCe0mcvJdWV8R6hGQ5t0TCWcA hWbUKa/24duZURuGq4NYHNaBXlheJmxvcd13mjHAKL8SjmC3x7yDcwaJi7+OVRB5kh3DHXiC223/ b4udwwAU6bhGWWcWHgU7zTiRyxZVtCOhajf30Ckfffi9Cv2YxHyxk88S6qXEN4h96miYMGZ/oOfO NMyuLuVLlMKUToC34dxJm+6RxWVBOnqJ1R5s7i55XolUIq91RszQ5LAI/anBD1WnOv61C41dnzCG R3W9zuKeKIBctSil+DUt9Mp4d97movukEW6ISf1tm2YNU5fE+jMw9Mk/Zo9kurXxUc1RlN2JsSDl gI7L5j1SZKSInPfTbmkQVmHYvZ47a0A2GCPR7oCsJCU16uuJUCf2Fr4jqSkkSIG93tXDV1XNpZR1 Qw8k7W03NCpi1LtP37VY5ojZXS+QAYQl4YAV2MlZoXoghsFRTjI6zpfpNVgFmTql9HCsgdBEDpMZ 2dYd1J0fkd6xlZ9RiavlxEcjfdY6q8wDh6toNCKOK3d1Mfq2XXJrwnQH39o42l2A7m+5BDMVcJmB zJmw4+pdF/LVluJrw38uOyVYS0WflpGFbLY4iiuUw0qrUlcwqq7otgvOWeePv9hXff8YdIGqQl8o wT5fgApYFvG/jbRNopf0Ez62GeB7Zg9jPZ2VRdqyK6Rn+8UEkmhanNj/rr1JtLRFCA7AsMMBZ6Jc XtCl99kM0jZ2TDwII/90j6Aw0uRN87sawVybrOcH8UpQr8N4kvTcozth5xWwMDZf22csfsi/saOw R0ZWY7iJ9yrN3SJdmKVZIX+re2tSSf+MYkGi1u5xEGxwFCLbRfB7qcn6S3yxt3G/En0k2RGVLpb4 ugThvnaBa95dBLSV2moYyf+h3gjSB92DmhC+37rSnHH26DXe23PzLZV47WgjtdGhVex3xyt3dv9d /JqZE07PGQmU9V1gXrLj5DN+++lKQ1CQVevvfK007LFrQxcvofC5DLHWffan6Lpnv7nvL5bzBOao ajdg2WJaBaPLVxcPo56HrjRRk8/qfOaWX/Tn6QhhFp+GKA/5SYwZrOhoDcZqfSSsmT8qs3usjBhG Ak5DfHvyyTFYLj7zfH+3qgfl/qb3VTiw2qhdRe2t/ly5YDVNh4V25XPzzgTdpmtVNc3HS/ussn0c vu8hAnt4y9a4X4ZwVAUuzbPFQo+oZ1EBH7URX2lhVt+Wxa5xkYXErsLBs2F708xlowgN3ggAhewR R+N879uIzrIitoiviG7bck7rw5I6FnAi00O2I+UmzF/u/hanibwWBypRzkRwUjAY4fGWRdrXuDXG vREi61DGaO8Ssw/wEw4n+sWStXwg092Mp7zu+JWOPLpCvLANl83pwnJK9kIQAcd2cMHIgp8Vxt3a jsNExgvUYIRxFl/RDsULjWS2vGSGhZslpAV76ZO9dFewiTG/R2dAwAN4p8WZZC6pJpvERIOTltHy 1JPRIbeiWvmOHWVhRIBl10HvPsYZa4qsvfkgi3iJg5fKFB7ZovWfiu+RBZOk6DaWXHJi9P4mvR1E 5JBrCL9SzlHRJKBJxuL+HbSTHdwliHvXLZM8jxqqmLIJCGb8yLZPdFTJx8yVOpGD+7j70ru30zVF 10Rjm4Nfi8LfLKzE83I2scH/K/qeNSOm26XY1XFvCYtehFSeWhJusY8Qc7UtcGQRj/xoicC0fpsL JE7jNfwRPYMSHDV/bjCVqMUw0eESDPinNT060vonAsuOMt5MrKwrtkvacJmDlT+ZD8QadA1PSR83 cq2LjyIgFNHSpT7WfQiauRJYnFQ+MdBNF38b9XQKYyAibgjo3dT+7qLMdpL6LSt6le9N0ZU9JRsx 1GlR6TSoEGXfkWWJjPv5Xz8XgYJuV7vPhlyxpkocmSQPmBD52Y0KTMwzzaAEBskdLAyhxi2xsCe3 0d3OxwXN8KowkSEKjZISAs4Zkn5WJkJJmeTQFnd2C36QwAkmGfLM+p0ik/FTDqQKIue8qmyhoRZU 65QbwvG+hN88wvAivX4myneFCT9e5cZbgipZCnlQ2romrwumZtIP39/zdwXv09X6p3brZ3JeI37A fUnHi6QBcmeCnKQiownTWWnsO2NFkoNAK5ikWBlVmpWgzhzNvDf8Zj2v3+CgQrAuY3cSY0l18nSK kH2RtqMYftnraPH30YSBQ4hqdeTaQ8a5Pu0EFXUfdDoO2qF4hcRz3kQwxKH3dA/Dz6z56P0fJYMW u7jeZOPPhMTyk+0n6Qg0VZfSy0yAouQOAJFUiajRQceOOszUCu004qIH25hrgrRzw6nSoJ80amto m6Lu+mfeY19+XRqc1swCI3N1r9G2AQelDo4OH+YzuV+5tIge0gq/pYjmOL7d7tcOU37D2p4AWtBA 8JcKTPXJWOJ4E3Dp/whGMcCmenEiph+vZP7pZzDyG3i2X9V5zDaZBYpIbzhlYDhc2sV68/+f9Sp5 QOcWKrkJJ/c/CaRRncIQbwUJ0JA9ekSSP8TKyKovyzWHOKK/nL7j5hVjiYnnzWm2jQF4GzukdgY+ RvzEabFGS3927TkpAFjg1djnklwggC2BLjnuE2axbSRuDS7S5Fe5dGDV41rkdegzaafvoKiOmBdq dGbI8puspgeLmJjWur96ZHAoVgR8B+j2Ua1h8dPjoycLXdw6fCvnI+3sxEJvTygfQvl8T08glYWZ YZoVHy+dBFaPJmnIaBPtgf5TWZueHo/tP6c/TP2d7pvBWlsYXWio9v2+Lt+d8/n2ZAiN0ql64XAV dkNvkWSYux4VzMoLaIzDz4BqNfXbrwwI5jC5VgnLJoVmfcSXaPUJQEhlePHyGHauDCNdtAlD4L6q V9uxarTFU0R4y3vBf2zznrEvKJyIS9HhgY7vT9hFaspo+9+q4bzR/a2421sxB6dfts1PEShoXzHI Er4huGUiS8ETSeUsQ/Pr/KVKjhjS8H/Cz3jPpvnBh3A3VX4f++L1kVVa4zIMUIYTp8QkfjZeMWOZ EyWsQdfiyN8+S2el/V/b1z22M/fCubOdpUSCaU48zfXmaeiQH8hHAKaqzUSVKL2bZtyXaH4PwGd1 Ly/XKNxp5Xslh4yDOWYSFpOtmapTkdCpBYxUPBv1uRXPJwF1LYVP7kvVEUIvA54rxx9CNoQH64BK 8ZYjf5pY9v0RpXxKZ1Qe1/b9YJH0hcS7Nlc6yGLv+PiUnXVw7ij9hLcyAi9tBDm70N83UzqmLhRR xNQIKmAyriSOdIMblVmEAJOUdJ8RRlYGCzdwKcwWCcx7Y8zSoXbjcoI+UUDwLIP5PO4J36/iAiVx vANNloo92m5x4TOR/rCbGzkmS7woGMIxgQA7mf0ll3Thv2n/Fe3ZwsJO8XtEjEqhh5qaFOxwloIW uOHzlLVq/GGq+HFH9vmevqsufQrRPYR2de/oYdfR7R+uPw6YjA7Jdxj3gw/b5jqd0nr+S/YVO2bY ygDCbXdrgtD1+s0ugQ/ondG2hqVz8PBz9JQ7jQIACIljJuL2szY2trNohaKOZHa/epivtlttBejy i79bw54Kgt40xbsk3IMdDhKdNFjIwOBPk/dzye69C2E/XXYbJpHNtr3u5LZc76Yv4xvDpYQ/D53X QXK1bS+Fw7VgZL4eItoiCvsRawfwpoS8LLmpyHsX6+bhciaO96QLr+NpPp04rtGGIc2cio+FJ1Wv +wZih6m3CJ8qyyBK59tj3Q6+9FmKCCCkb5ibairfM2icIDzFsdZDZRLsSSm7I531Z2Kbja0zy8tp PzRa702VI+dsA550c6GHvk8lh6bViEfSfhDUyEGPgSmfZpS5trxOPLzhj4ey75IfNDL+K/GSKTji 2wWaKKgNyDlQlAhTbYauO+ore9PgvjwZZ/rEUCVnLlwqhhELRHDodZv9OOcxGQr6cb+qROK2ZfHv fpb8ZlnVIUgKURrGyUXBOKX4Ng3Q5bG6zyovZmXs8GGcGm/are5SG/kC2307bxYzkq6zjsB62Kcm PtHWR5IL7INpJHKXxMoiCXawcGvTJS4LOMy56wv4M/U87SqwC7u0GBvUgQoUrFYXjfcXC/FIWibg qP+nZHwjSORi6dvnq5Jy1TGbl+kV5WKVm28eAOS5ygjnigOSKf1S6f4DQ2C06BnQCxVYO53y4rIY xWANrxNud6253UQVCHh4gzdbYYaPyqgfwX6IwUvruGgvbh/8w64saFHbijD77mKgwhFJVX6iM8ms hYQexoecqstS6gqnymDO1if9UEHjtOS0NZk2XiP9Sbgc1kviPFe0SU1jbP9i/T2JTxC0foDxTNyY ly246XzJ2HxiltZOblQLZTiav3mS+nccUuu0vaZzsyD6pP1EN3dNQ+AIzY22YJ1DhPWMtqtB3Ad1 YQ3pKu6cPEZjTHC2yNaKGQinVyg1GhEe5uR/qRA+zeRYcUKgdLfl5iH2/rDpJ8ufmRwmLY6UiXq3 U5Drb90D1esqAx7j3AfUYA0USmWzU709bPRsZj4WzAgQGB+ZrrpV2woY+pHzzqrLG5tBnZtCHB8O 6pb79EpZvEqcWLXYfR0AfUSlr2rZ8iEMYv0SiyLCFNFV+tbUfxNvoULTVDWQHlFZJ5GAqy6m+t4N AISBchJXbhkS6T03nxCXVgb0IWA2PaWdd6Yoj64hyg1en5HtKvTaPlbcdYis6+cAl90Y3s+LJ/pO FpGnUMjivJBYjw8g9hfee9KSK9ubVkgc0b2aRDzJtCtJ5xoj4mlPxzIHSTwFdMpuFlLhY1+PEsLA JK/yeTGlEkAYa3Q/5+oBqNIyMiKebwcBc84PDeRsLbtkhxA7zMz3qcuSEMpOQhEOuoEL7IPhZASW zixGXn9tgbvAvu10e3xEt5L+NSvFOe55Txch8Y+6mcR1Zo7NjHiddZhKJSOGfv1zVAqzWDjRwA/9 Dwvu7xt/tsmrgDnvf7lJ4t25o5DGZRz6IfD+8t4Q/FJVNCbMrmOsGSxVzuqy2ihUp/h/M0I4irXr fnXdxcHNcB7oZoGhIhI1dkdIvfhACISa8LHS1qgUY0uvPkoXp+PC8mexy5lYL2Dhdx6M8eHzbSt2 7IOTApLfx6GpaBIxiCb6XXGWt347f/Siej7GfSuTlBLIlbVQhelvWM6uBpn4DykvHlIfeGZc8muX 6q2Wm4W0Ha+iaW8lz61nzbXMR9jNCHlNmPJXlpIm6jkPn0INJmqmMJRDXik4xRRYxc2aHvi/ajEY yGK+LvrmN/h/hRT36lO7ki+BkQiUBJpE75ps1oSMCcrsG+ChA22zjW8qIQeeR7mUEijFEEqshqH5 VCZXre/n91qPzsKw2YUeRN8juKQsUTAimgPYVcOYhLP5J+ypFUwiadf3JUaHfgCwrQwaNwjpmk68 /rvC+Wobx7kf1h99+5kUoKRaEstAB+ZMK/byL3D4ph8ozy6cGd0H5VDaZeu51kDsEs00N6ZmDYt+ sJ3bGO2ZA/7cVgM4WUEiraKaUQqNFJg2r9eWkCubG9rmABg492MlZQ/WFa1HvZKM6LLGB5aSj0xD kFHtJnX8zTX4zHOIoID3bAgV9g1l/d/TVdbu8UqGk2ON7aBuHM2QIXM+nxN9lPepfQd/UdW4C2SB lyyaj1R2c5x5PJK/bCpqfftFj+cnch03Tw3bnyGGlDVrPTdGwVOEh026Eu3uaM57GU1xlsY8FC4A VYshhgB7yANKlky+mUZHw8XMcK0RwQteJ8w03WGufL2+raYKpf1IBz0gwnb7EhZ6kNT6G8Dlz3Rd EuFk7ouvHS8GxpVDjYsKtTOgz9jjg+MfByJx0Y+OefPgnSFEpGH6J+YSYuaeuqwluaIGSQzFveuI SolgNlEhFCxFs6Cq3rkyJndG9l9UiIPeEC9dxHTZdZRoFqULn4IzN+tWU+1v8s5dJze6Sqamm2QP Dsgdf07GbQrtNrM+tCXujMsWozonI3VJMtOPYRft4ik4gjEEEnn2KaI9h4xi1Bd/PFJ8ZnQko8mj WEWL+hbjQXmJ53foQhi+0bSt11xkO1dlZaRAYPp3bfnblm3MGGc74n8afN/q3o38QwVmYnijY4dR IzrO0BH5AY5DI/QkYPGOO11X7eGN65rWGpduex9VYqpgqj14CWmJhDTggM0+B8j9vk/iNAcbwx/X Z7/azYfMAvoxLKMkylZ257SUoCzLaFgtWyCEpXF25Lk3oKbBTyzNYfcjcDR7qeJZ/8ExlOdPheoq ZGIY+10V0LGRspzFidR0J+zJSJ6HgJGpNrHegwrnDy6V6SBfiFmZepwOsW4sHQUDYCObvE0diMR/ 2S2UOCPy7//kZP8Q1ptgxru25x4IHAqu6zaKokhRqwNT1mg1yYVL/ifAj8EkkLrxTy7KkpM7hdyq h8MhoVcFSEBDttj26UrMi+/5mHDhISwiMIO6xl1pRin4OTgj9uSnzv8E7X/fYtETzs60H1/Dnu69 sJ5k5Eqdlhmfb8MKSy4SLvQ09USN+JtufTmZ2zLN+tBvGiUtXAQuSLN1zmGjGJQmBbcLeDvD2ihV asNLwIfvv5PZKgaWwd5IC+K0m7YArtRExu500Ly9oAynyXuJ8JbE7NkCLpkK+gUC/vphuPvR8MzJ wAGDx4dUaMZAKc+VJzoby8NGP6FNNiT0isVUILvtyZszFGKit1vwPZdBbL/kqH3QhIYyU5JotUCO aQpxB0relMIapfQIelIZv6ECjziqHmiqYk4aY6+R0S72oMvljXPnrYcZoP1+Cv1KGdcihBDlR2qB H77JzlebRAInvBLjMFciGA3x4Yk1pnXrgLi3VFHFWHZ64NwXNwSHxsd4tKarI7D9pczQa5P4pJqC RnyM1tHHS2eigGHTarV7Ne6c7SWhA5HvLtR8lz+impv34QA4GvI6C+p3LHqxBsyenaTl3Ko6s0tE FmJtff6sJ07eELTHVt318jLVgur/NdE47WIOLizsIMZujawgAS79Ri5QHEwGXtCw6/yMhlerzwp6 H+XATDRHKkljpD4x0LNzFJ36V5lHvmd17X1NJ5zr/ZbBLWMBU4QedMe8/hbzyS2MYtLy3/76PPTs WUYjmci25/fqaBJG0rE3ISHq4om77UrKCwkLUIIk+5xo1SxI04+CB7WAS9flZSFFhfERS6NXuOpr CSavmMEaMG6vrJkW02fQa6UnSypK4DEkgTYTC4E6zwJzBxkoK27drM+GJBVzYFyryzkNuj6Qv8Wy lQ50nU+6GwwVa5qf3UU4K6YEL2me22NifS6sErnKHrrCvbT3AOu3MXReviFF2/euKQSapETmPqAJ DNE2wn/WwowENMB3YsayEdvezqAhSOCbW0sR7TehoTnl5ghGusKbilqoXwGGky52SISIVCjkac+n 0zBddHpNdOiK/1BE1kqsiV5zEc8QiXzbUQUKGB4IuFwZraXHZXdEyLeI5n1pShsK3tcJxtSwk+ZD Ny2YN/D3JlhAGtk7XN/u6LHbUrMkIFP2Vy6P/RaKTj5mVdKO//W6Gb+IDABAJqMVtMFNEZS/pSZd lMVWFjIV6NzHYXISskUjtwDEAWdfzlBDjduqilbzoxHYin43WiNDw7UlSovoKtjHfcGjp5yiirBM q/7HLyxhHJphQRHiOiatfc9UAd121Af4xKj2TVuwLJfnN4kSJqUM3gDFIe6Jaxa1DUFKEBx5QuEr B+TiIaipHsPYfciT0YhUW9ZlDVsp4w+E5yvZjy3D0n4rEAp3h/Q8zvomB5XHp9dxlhUUVvpuC/I1 ITxsrzHX1YT2pN/428iILmtqCM6JNcvr5C3ve4EqpRyohR57pbt/7qOIEYoAxJkOKCMiVEOCfF/q JFyA1sijm3Gka9uNwC540xkr1QgFZSm0pOj6VOjmm2FSyOHuN4tEfpKcSnY8MkIIDhxJVhFkfPpj gYEaru4J9Rn7hm30ANMyUyyT7xelHzQYcKfhnKdR0Lu0gSlXEj4orv4pQOBNrxnNOEP8riDqFIjU cQM/2yYiLtRrNAutMQFTKA7rTM6XjJeparnENb/PP2PDVRhyhe2OSviucniF7n27Iqpa2hNd2aDT axusxqFE29uOh0oKNeeHyPcLBWWuHF26G1VBygFNHlcV6TqgZABTOXKSb300TA0i7KdERZfznuPj z/gD5GhpCAd2z1NgtmJdY4rv9DJ/g4CjKOkqmHzROe+s0hfIlPW6igmidHihdSI1PQEM7KhA9c3P DedvbRab1IrRdBJwlwKolrsP2JYXTz3kLcetu9Ezyj/o4QyvsGQh0M6BEP0TR86ukw++3hV0u5Ni 4hRQw50bCJSsGFM7scMtZ6B1ixVOYWgkcFNkcHkp7QYDEZcbhXqXejtJQiacp6ZqQkMS5IDV+628 HXXhXBn47AB0nt79XUSauwqpb21F675xyCBuS6krDv6SEbIIID+4G2akmj93SEtGrzQWPvJCX2ay LkfxKmd9YHmRUrzBYPSPhCylXMOFO9qtNmFml6RkkeJSF55cl8ORzW9dtqXuhN3TvlrqCHgBHUZ5 5rU68v+C6DRt+cFvhbyOWDoj7RYrJp86U6Z1Qnsx4+CNxVsSk+2x6hQyMp5mZ2tayZz/pgyXZJbn HYlke32+bBQukw4+kZrkUipupjP//HxbXeqxkAgQa7QawfsaMwRrs3oTQTMlTT4CY5vH3B95E/F5 pt/YCbvXZLv9EkqVSVHyopuEJosgC1Cbvieix81CXpWb5VPuCF3SbRxXW47nVjUm7MoiROctPsW4 TiYKVNZKyXW1Z1h8Wf7mdBz7OoI6P6xWHhqIH/ZzPqKRY7QavPUzAYIrt1+q0xLPQCrBPGm5S82d Zu0KSb9ipdiD9DK/iOqvdVhM5HQQ0yUdQHb6XMVXdKIVPH4oQBvIejUURFOziJWYRqhSLBSVaLRv hOtRLhVgQ5zsQpHCBNV+NX1kDws4usYh5cQ9is/F/1atSlafD5PTlgriaBkyAREs3v3r6/DOJi/5 lGAx56NEgICs5Oaxox7IaUqfsPBnLs1L6kDo3gaKBRvgpe02Z7sYw/o5oP/Vke6N1mhSBvbYkYtB emuKXm+daGOkb/WAoSS5wrO1hZ+Im1B/0hF0Br/umkO3TZFQm6TGK/JvRhLfWMx5bi/VDT8dMAfZ BhLECtcipk5g0n5mPK58sFToopBd3wMnawRYOsdmQIb6/WwkKjv3dMboGz6QrJUZPz0OGEaKUEcN 2tk1QBYwG6Di+52NoIz/Uc/OOTytpAHvH8o8XZNAM/uwRMR/3LyihTIDhLJ0ZRCU+dXRgO2QRBDL XzKriQNP2GlW1osqlvw40O+bfmetLXGXHX6RM1YlLtbod7ZpE97LlJ2/sTBoN0xQD080kHZCgkxu 9+u0hg7C76eEY7ZOUShFO2NuTU0PwSUE45OsDQhkUJ8xOju45r5j/GHqdJLKHD+d3CH0Uxb8V4EP 0szFfH5yNcgN0b8H0ZYFq5lfvGyTS0jOzEMVheXK+5/ruYT/h8IQMucxPBawmlJ+8ji8DdlqMF+F QDvpXqNNvNEZUA65lIDL+ySp/b6krslLrI9Ax4Ood3AQ93NQdp3BOcD7qGyoOd4o05JTtbjXYX0z d+Ktk8/JMNBo6OHKtYBJEGrcHbKb93AiHbCzEwi7Fxar+WnjzkplM9yKGboHnohrLqCuQGqNDMHf yPmo1zCWZbFwTeZ1P8/VZT2WGIsrCGczuF/tuNZcx5OP60HqAESsi0mLKf0Y9cVducO+6UrZi1ZQ UYYZ++cySiM/SraFnIOqXSPvg9mk72BsnKpP3r3JcOSpVZmGnZL1vqxy1NXdryoGXZ/BuYAqk3Sp lgj+iieNv/esJRITnd+bylJz/Jeej9naFTReUedQUTeHB/EcSnIclRHvv8Xk+qjZkn1cq4ugYrgD qhxlFX6fh75qSIz40Gj3pPl2PJRuxoKj2DqtaAyWYV4R5VXH17taHhkbgh/kjMpVbv3b9krczL3E 7NCLHSSythVkBMRHVaheZVUrsVRtDtG8Bf/2zHp7iUp0gAXO+NMxbcRqKGsWIaHwMgtHaFlZXFPS IPoqxi39VMMKQABy4f73Y0/LO+Z8j311YiglO7b4b7+ctcdG4Y/cWYOKlo6o1CWRg6sb455ihrDO LAyWM4mDbZ/WISP0NJeEkdwywMCYWPcJXMk0GrHQadEPVOlX0s8xYJTtoWppe6ztFS98NYcgZAT9 TLm8OOpN3VzI18CfOpcgrMa1w4IMcJp7/F4soACpYnvNO1frdafzsEzMDJTsx7ZQ4MT8ff2mnxwT yTlYWo3eHjxs5lR1a3AM2K9RRPWI255XRsIL3WFbNJwKHGLiBRP30cw72criFEzWX4lx51HwLWM4 tMwmpACW1DL91pZmD1WSD2KjEiZj6Jl5mksdK7feP/BZVdXbA4qD3zJIVidCjrf+q9lsaXzZqCGw RSXzoUPf8QV5tMwgizf5FCKbTAdZuNo1i9B9R79U/3QpgMqe+uhUA86vUm0i9JnTffHTCels4Ry2 AU9sWTrerWCOfU7+DoFRugQwk8spaN6bHgPjJr32WkLBeyt7N0J2Au9wbkqaKEP7Hk20gwW4mzVN BMNIL06Qe/sBMp/H3fZ6ziWVaWzoBpJ3I16oYj/EyvsNmvvVrIodD2X5XlbnlylchLt0jAGalfNB aCvFuocvLdFY6QPFLlfy51SwYVkPlgi8v0dgqLCkaGi41mXQvn0W9SsEkEkyWtfG0f20q4XqZyjl /F2QQnn64f9ov8JlEJ5DI/2NPHLbFW0jJ2LyObQyXAQkxWB4ZqjclFdKV7U9WJQo7jfdZe7/wFQ8 RP2YeTCwpykT870n0etD5AiaMJ02GAG0qvWpR5O64Gsph3Fxnt5zwdctHm0MbtNTWJW+CUG/EZTj M9asOh05KAOZcVlZgqQQJkpQm+uCdcf+xg5TBy4jeRdfR808MGBwjOhn7t0MGgyHntayFLo/1BTq aqMe7VqnZzuIsUYB1IrNHpZQo5n/5LwME+6KvMeUBFfFgSBEXGG742It5XeKrOup/+UNTT8YGEX1 snd1JtUkwBSB2SPwszJk+U+f8Z5eVjyzlSxj8/YRo2Qo4YDJhteZPcdhgsqs2OGJX0asSRQYa3ru qlfE77LRnAbAqbR6eN86RxvOpgCS6r3+RoMtISPB3kahIZmJpORYZXirxBduzF7PTjV3KkYiF57S pPOjXHSBSYiwm1VCXq4w3WCwuxKYz/Mt3mWFC2u517HfmyLwmnIbT1t1jWnbjFGcw4JIX1pPgocI UEZtw5qvZcbT/yTOQ4Y1Pwah1afKzM85TT/0Tsvd7eCVRlegjdCNhnTDPzWhdqAOyv1sh8oXIliK Htij8MVhPdLawvdX16d+Nrn7QgGd8J+8DHTq/G8YrgEEhM0ZNaaJllHC2CiU93zCgCCVRARDe2IX aLGHkduIiWJxkXGpEjFSy95mkE/LWysRGYno3X2ISnfl01dfyDd+Pa09Koft02rhJBLnqnk+KoPX IxDiNQjw8uLauvcY0cXc7dm84E4twh4GK9qcUIxoz815CkdHybv7j29xvvrkuMOTGS+VUwKi2NIP mdgKOb2lxBv5exraUgubQdyzfsRww87KXgixV6sXJhQZnRicmyllpxo/f5PDviYzXRikyB0c3iCq ygnySAfBA/oudFmHI2GHwu/tJU5b4tlVHyWz5SmdNhHeKDoQF1RiHjUPGMx0yS6zNf5turPCcSuS DC7/cGS9zHSH8GbveENRX8xBbf4dMNfqfqbMFkUMZZq0TjveDlVsQLMEkrsn7UPGrRqRbBSLZ72q X7CMKFX6E00LNl4u7dRVR5OHdLZyWM5X2dGzFK1eoC0ItbzJysArnZMXRfUU38aomAKVmSSIgBYp fk+o6HvsBNErA0df5VWE5FWwrsu43eZaIHlLzokAdxPczt40YOzC+BLnt9t/uq3ptcc4xPkWOC66 0cklIpRBuXsHaUdbONyEbxds7Tv5Ykb6UxBBUTOpZ54Zj5zi6st4/xteLnvOPAae5H0hbJP+6PhF u83tgLLsJ8RJCjMZSFkioj0GULAuibv3GzTLvLSG2OTGRsvlqKHbwk4ohB0AJlgrcw/1Z1trTCMG uUwjj4u1znMPYqCi+I7iwhGxtiaUtre15jh0837fgZE+VGs/N1gr8ul/ThSw7YZzXle0q4Yieqbs izt2N5QHkKYDPn9RNbhN7XTqSydHKm5cqcXGuB4urLSakwyhtfbWIQw/v8l5xz4FUIoYPrNLM6I9 qiZd8s2yqrBermePCjgl3xZX7+4mSSOw7dZFsGj2dqkr+cAY3OIj9cTkjGXdbCNiw78W72RHyjTS 7ozP6g0zXhr5EFFIpMRrWUyhXJyOLYf6nCJ6ftp5l/OZaSdSF3SSDMZYneO6GVGk9zZv80T4YazO R1yIewaBXMtJ135oeAyCOKE2A1TWYwjRqey428HDfLxPo4ZD7j+GBeJptBRbgO+wBJSfErG34b0/ eTssyXQ7nLeJiaN+/v9mwebM8RaHybsZFrR43YRpcJoyz5LtIccC+qQofatRf8gVhqBIkJyAPuIr d6k9Q3Jvy6k4WB8K6cedDkPPNLI2qhhquYZ6f2kY5XGkkkZXlLijZ8Gb25a5LZiXYx8EMEP9UTH/ qnPIawrXmJB7I4ZT2SF/1xQylGpziG944DCVMqRPB0HmeHHN9e5lrvgbNKiRcJ+nsKCxIk3N539S z5lQ5beuE4AJ7hbx3TuxQZOGnPCjkLkLoPIOb6oocaVxgMhO3+Em9IlXRt23XktHayCA9wQfskna 66gFJI6j77vzYHqrVt41+7dcN2YTEyENeLpY6soAahwAON/FdUuAtIS77qQFqkXOUjLQm8xnWorx g7DWgEXvBr2STy48NY+lR6JfvaVzPR1et3P7IAqRRMP3NaR+wtFpywgxcP5yVun6+IskLtVOq/WB 9lPMjMxTU40GkJ4W5FgdY6XBvePRkgnvojxOkNelejPiYk1ABqCTHoME9gIba9EX5OD3MDJws0L6 qWrTHs4kAG3/yAMrXyWx+MisIMevygWmKtFVKC7boh3S+VBc3ux4VP1Qky7/1Sy/KhJbi1dHUVZ8 Tcw+Urwfzeq3KHCCt0pxBBkdvdiu0uF8GKi5TEj4m1Afl1l2OuAjX0Nh6lk4CA6MxbFsBA/QGH5f ELJCANOx4aPBc5sYG0nm8OY6gOEkhTIzUSrs2iPCoXsv1Fd28tuapZ9vc2LwnwEOcQ+3v1fliBtj cylAJe3TmphNMGRlPN1VuZLiPeoQRidn9Hd2XSCdSIMRI/HL3JObLpoUrmHSrS9PODOjTg6V7iBj fnjXlioKaPhW4f6UmckkNfJxE6mcL68JUHKI1/8T71A3qdnJvN8OZLTnIYLmDIrfuSQmghbVuki4 IwkE/daB84bXMTJ6L+2F3jskTd7n18vxv2uh6lWIxKF/uOl3GpATI2s8wPPr45JtQ70keWA+cE2a FKcoJ/I5Q3QwCZqnyGMMs8DpPMqE4QqLQUZAU8y2UK5XndkSjHSux+vQeNKaNcuXxf5X9uU9bAbT PE1p1vrTkmHSriyc9njN6HdOpEl+uL4sNcg+RoaUig7xsXyI0LDjraDiFx6i8nZIyMNCQ5Zs9zE8 UqBl/HeQTTGTZcJ4BWKSpuV1zIIR6TIgqinAMNLofB7Wuxp+ytZZp/CtPpo79Ez1dCSa8oQEm6rF qJF/tO8aWPHDGHMOAcbMyU1yKUcZaQHOtqCYnFeN1R+vjWuJtD6ArTH21EppwAI3MtIN5kQLbGtz y7QGStp6iY1DL4VxNQffZgH2spA/mMLG9fW8Pz9CPj8+APJQnYC95Y3IzLgU7zzVmkIkHczq0Oby 5EJN8L8wexD6zhzAZlKePlDy0FUD1oDb8lHK+L0DYYisQ1ic5PUenHL5ldmHeE8YOYNfSXpcazCA Qfppt7pI2pG7CEvnvZWR2Q4CMkxuRD5vaQTCOm0A+LOaVEQLVyBgrYK5JeQkp6bVoOs4TJ21L8k4 OY2QExv0Q6lHOLUrHHEPUIhJkShMpLvyV2r6rTv3dT6WHjioi/qIuOzXBFdyMse/Bnzi1IxEFx4l s5Rg68zZ1U7WUx6qqvwserx6N4fCeFLYM/8CoF+m1VC0BthvEmwjct+7uwNhIUhaa968buWsc+4p aQl4d+GOmJwNw22bQf4ZpRxqbptppTCAPEECR6W4ZFjlcrEh/v27f06e3fOdUfeaMwXwhzOYywy4 QqSXRtXSntIFSXtquPMvnx/jrvKtzQrmmcqIQXDkoibsFYyNZCWtnTDhroJM1VD6bN5in6VkhRV7 N5hIOQn2E8v7Lw1FA5mQJ5P02zsafmU3iFsfmPvCbUtfpUhxMhMGc4K7qfbd4S7yXpVMssGTT6z/ k/Lyi/5mkUXCFkIRrFnoWDkNIrLgJvlaKZKgFNMrghWhpyCUZFAcK1tK65F2sBo/XIppZsi4W3VA ayhzgVa6/4Y5rzGONi7b0Bzw612gX2hRcFuYjLPDGRM/c9sQ37nI/kkBNaD0EtcANhSHk6xlu04l Y9iIrv5R7DEuyBSwmDBedGOph0MV4nHIVdBXetwbeii2LC44NgI8614bIyBX0Wpc6r1FtlGkKGMT jM3ChG+q8xuz/s+w5PEAfqsKOtIg1/kFw3dcN5a/UaEkWb0jy2ey4nYg+udwMLCGby6Fb5HlDkIG 3l7t/NQf+Q6M0MaP9C0Ttmu5d6XXNWAOf73gUHSLPa5zoLkjM/V+/hQvOBTyWaPEKDjfHlQ1Vbm+ 91hl1mlCuwEZIJ5JVbD320fSPPmEg/sm7IaIlOuI5HpOf/MzdgNSHVdMyf4Q1ZGIJwnHEfzn3YbW bW8pFPkMDFY6lR16F3hxLs2zy+vnt4B3oZxjCSxyjXNF1nMBRCoYqZzj+biLcBBfTMdzIuRUy6JC NCrM8Wb/iF2SLvFOV8Uc32eCPGzG0wLOBoNM6s/dw1mZuHHQ6z1U/jzuhIHfLGRMrclRIpZh+Spc 4Sylvi6aS/pZ/5lIUNq9glZ5LhCVyzt94KB/qJ1pvnBaKy/Zh01F9ajCRnpBpw7ssLd5p1JDyI/v P8YuCFmSvQNrg878hMvP3Va+ZM1E7ELCo2x0iL1t5hZhAmwqqVgvnw/70s/GI/LKf+uLwH98M7fZ waP7lJStlkm9AqB+NaR6Tr0iXTa4ipUijFeDc4oj9jnZoh7dH5AxmJbU1MhrARcauea/8fiHMyR0 OLW9CpZkitUmUnK2zms9mg7+ak13t9GmDYDgEo9GdswseKvZspNj4M+n6kDwplpCqT3y/6gZF2tc KlQ4+pcAm+HSHEmIKxwb7JbQT+uYpIE806b7MK19TDkxKrPzU4QZVKBlZmL1HDDDPVn1th9Q79hC zNCX43cPaofrD5WNNtByuVUkJ7Y7ORprg3Wrsrm+QgJxONrCsh4Be3QZGYJv2zH4YxGZoFpzuttz MoWa3G8rGLuuUjL7+jFU/H3pajosqJOcZ3MU/YB3uFhjLjl70WRi6cm3PISz9IdDk7vP4pD5wvwz 7LMLQOCSWVR4oOeX07xt9MF1JvfzWfEIIlRs4Cq3fczCR4MZVlqHlf0i81QGH3Mm//ErgHaWlmlx hZOBkHwoN/wNJzfUIL72RdPqOdj2SZVV6xj3EhSZpuqlQiDZa8YBYBb5/XSjdvVS5Sy9AWEyRK99 nAi0LVKd7OFCpm+TTDAUv7WSW031qoxP3yyel7Fj/Ex1F3MXvQqbL42kvDPMaQY0Y2mOvTTBPoGg pvnx89Yn+Cdlb0gR+lupGO+1Ka5vRpJ5D+rCLA236Crp7ryFNbKv8ifGWh05lCrkkd4hFlrCbLjO Q7qv69HN3X/+lWTBPMFXgWGFzUyCdDEpTge/ZMm377oVujsM/o4tsaU0s6BK/7Frzfi548Rvu1ju fmHwrs/jObUN6JZn6rKSlW4XK9QAaNVuCfE4wChXnk99ON7g57baIES17uXa9Skk8/zKZdfG+g0Q PlQuWiykHRN5wJqAqakbudeBAvDiX6aq263Tuy26t4gm/BE3yuPdqs3C9rczRRH25JurthR4MFQQ /hTktLZb9QQd/bejC8qQcM56r05au7lcOOpoaVWXA317C377cvhe4TOnnbiWLAKDlZ/qGabzRJOI G0RlPfU9FKCqK7FnjULH+0z13JYz4bnLfrN6fJOGtxZBWfq6VuHYDG2/rxNOSVfYUaxJbwFrAh5q EO5SVAJchUcOV+0CkIjRwce5Ny+o+W8oQpcTC9xIFtILg8Q+/zUA7wxG/YWjT9B23FyJbz50Bq0Z WKM1FkejjLB4RBujJKVEIxjL7IOztYDuHYqUQ8bMeUGW4Hy4UqrRfw6W1Yv0zXUhEmVJpZKVqp5V BEjgm8PYhMXFNzBrE3yVmxA5eVhtWQgfWmlEp/YzJufJFP+uy9FyURRFSpO2C89LWiFWoXTXglen jgsxk7uGurtwguqTCADV1s8mBWNksZiUop+/zR0Eq/FOeCLaTJLHwFt1tcTvIM0ldpS2KUKG7mqO 9bsOCndlIf49HoBhS+Ev1HH3Pu7Yy9Nh2SOpEJLAN+QqJv+Umcl7OucJQSc9gmyarvqvi06UDTXB wbyazz/omytdb1jMTx5KexQ5S38msQHPb2wfNuQgHQSGZyO39dmuEsRRTyt+gDTP08RseHfxaPi8 dh1RmS9ex3k2/pLEp+vQy9y55kMf0oYWYC3fgRfd29n3cdTuabj//aXLkckgwyZSHrey6UqZ8EN0 C95CYovCFRiHn1t0o70xBKlxYJiw+zD0u8fdb+bPR1wnKGOB+CCIMdlcIq2SUk8A96dP7V5Dudda ajM0GKWXG8DO2UcXtfAmHIfk5P+XhUWZfyKo+obVeet2PpMkWk8DmRtNW861ozdBZpstg31P7NB7 Nft8TMgvjgJbXBOFmLsVfk3ZGDKVPB86YoD6v1/Pw4KIsS+yNfb7vgyLPWcAinQtjTwsqQ9sWISR ipiPk4XhOkVcWwX6+6reogQqaiDrd+KUV8mdoqQ5dFuN/QmnfARR4tMobBbtsz3NqL2m4Yi2jk+r rYid6coxnSb6lpbMasrxcIFs1IxNKS3ah51DS6ziAWTYy+bL0wxk585TDKRGQrbaFIFbuSALLSGQ +ZPWjJJNhVUDl1mzcMvDZbY4kAeZAUiBJVq6o2eYpiEa/Qluonwa0P67rWUqsj/vhRuIr1VAPH3K QpsmBB/gXQTssa6HENwj1+OZjjZoT+Nzkk52H8Dl0DmFo+ysrzZ9ld5+yMbBFL/Yq2bpyWtUdIFB YwhuU3HOOfyrPDvW/0HOduv7yHCi33i6NNiE6S/AdxbObA/6hlvAAcYOp0+VObD45zU4gfQVCZHV AG3lQZWGzvFziQf6SdZirf7S5Gk5+bbKz5kcl6+N4ASv98SZnbDvD5m/xQ33P9yTpLoSIYfAcm2O zC1j4sTxtSmkGXFpm9CApYSIT6SxSdI94ewiTpGzyzpOq1x/dtuEfuE+c8ZQqMqGIV7OTaMRwDuG DLqKZp94ALgYASagjJxbQ4NTwWU6es//KlUjRW3ZIgWZ9QqYcyZCBCeW4/S+SoUr3r7N85jwWi3q 4iNmXlUxZ7V0VeZSmP4YcgBEXX/2/0nKumAUbOy+mdBdG5zHI1MN/EspljsWYF7dUk2v8hL8NNCE KslnDl6D0d8zUQfnGTTfg5kaIB2yBjWDQOrK+BYwkYG6JS5+2eHFlKZ7Ecz4IEd0wfhdHvkJhraI C54JPAeY9eL+4M1rmnwj7B3lHZJUkXn9ppiJDGgrvsaLUGWVnFfkS7I4R2iK4g2DUpqyh8ArPr06 xSYgKclWb99P0QjT+fadAI6aIMJ/aRJdmU1w+8HzjBVlHVVQqSPHLV+7dOMNmrWpB0DGKRe2OFRV Qb+R1mklU++lExzSNbjUEWs2n3c3POmNe79/EnN3J+IkEdOYKuU1hF2cw9lLsLtbInytNfApFX5+ KgXHaxQsMqyGHxgYbTjBFU8ONOcPsaNuXrQ2RGrnePqPbR0dVv7trAmF7EE8ycaGt+35UZVFV4BE C7mAKRLin+oxKZv6OfJXdF9U3w8NlOAvSMOiQ34JGXvjwPefmewl0PlMMN4TigyZl6I7PvoBoc/3 b7PQuyNDlREg0u61KtOzOow7znnigw2vHrlc56iL59Tb+xihykoyVaShH2mPY25Cqs0UhtIGlZGz /kysYlcIY59YjXhSSHstw4CtGcTD8QXYqbx4397HmyOh/8ilIHWrdXwgdKmjtDNr+BmsBd5NQBfz 07PCTy0nwQKFiJmzvYPTGG+k3k3lj6hmpbdtr5UY1QC+RAGPVOg3ScUjUK4IXhy3u6kmWIY8/XFD HmMad/l/7zo9bsZssIjlrAYLPaaczMbuJBFprKarIL3FW9mqyB8h04kHXPC8kj6bFQSG9iziVMeH 5Bnxpebev6QUAm56/tlh8Bvc9Hw2iXrHHI7hp0gqL/K5Gv5GfyPP6lNGqJEhCx46eULm67M6On1B xipBfweMQAKmYlL8lgfe9SN9eUQ63GpHuOdJjeEdOwJUK1yeEK61txUxwD/vnVBP7sGRHQy2klQW PHcW4IktUTZldQuQ+b54RwrWfE2f+nGnEHDqbs/uPmSQr8EPMvhAcb3PYXEAqNolpTRQT4x5/iHu ZNZ0Fzu99x/W/BGTWIiG6OxOPv1J7gzM/yVZGTLFyXY5pn1wh7/N/xvUhCdJuGOXMcA1pDwNc3/8 XVzOEowNhoDxFy9sgIjQ4W+wMCsdHdTHV5nz7a/7OwrpaIgzYddDCgZDoJp9dyKmSHBbcIQqMqSK FnywysLl12aoreh8zK36LqL4R5DOTxvn5pF+uZsjj/h3t9TWNgzfmPxtIfNEe2pAcOFRx1L7mkGJ 9qcU3nghocs50rnS0FmATuHWg53+NHEh9RWdIdl6nuZNWZG7kMxNqC5XJzSHsnc12Gj3w9sVHdB8 r4owx/lL+mCsroGBe1OQgUMzEgN+Mt7Brc9hzVQeeuci/Bc9TKuTBh4Ia3aO1UYXAyIahid8UhXt HVsKzJlIxw/Fp9T7VZ952gmOG08dsP7HpFvnCswRPEm04Xbf12dR/+MP8cm06IUsTq+Yd29SeXvh +8vc6IU+KJ9TEEbeOIjPHnHmmaHu4P8RHU4bDF4qPYrbSsMFtirEgpFrQUuBFqZnxJXDA/T0JNig XURQ+M7PXSUgJ3mieJ4NHeIphwKbAaLNfV8d7in5GtIPB0FwWS+c60FEbOB5Tsyk+ONxnQC+sk0a HpkQXaG94n0NzvLyqViU1fo7//2vKCx75B/aoy5UqKC8o0mwiTHDH5BJImzYN8eedXyXJkvrM490 7MVOnyUPK8UIDh5crbIshc6tX16CYvilsV06rnIoGDbUckY4lVfnbj8PVdYfGO7gSxYJBNdL4cIM vNYLfwEqBOX5hcy629b8wFIoBK+s1I+rVUqBQOAqAhUpRIngG6aY9euBhBcJjxot3U9A5yrAJi6a ThNuDZ4rV724fpnqZxpoUycwPcMStihPUFu5jMHMkveE4J2lwAccBuhILmhNc6MtaMxj6v2wkE8p WXZTu+HznhAkXInZv1oyqkiEdqR+50vqc57jyRLx0pma0jKc44grsZcyQJwia0Sz+hA9LiODOvon 7kAt80Y8IoKUozYB9wfC56H4fluC03uT7nZAQ0Zt5B1ps+WqoCbvcjefBbFQG0o6sBU4Lx+gWYTe 66p6rHZInnOfPbYakf15SUfKBTlgzzdZhw2jiMLQeU4ghGIdb69HzPa4zrNt45nuHSPJp/VCoPqM JXWqjfwoTVdsalU/UvQNEde4TKDC4u9DDwMR6S4GRT9dduiIRDJo0N+cqd4zE2Kkl+Sj49NThtwz gqKHkpUl0XzG9s10KjBqiXsCe8ra4vsmFfimdMu5lh9RFkcu0I23KeZjJpKWolOoWMz2TntayVdI +i2lVSEKeVXRX+DuKRzt5vgDy17d8cf+ecp1/vNa5iIQukE4LqINMc3pvFmChN4lFjPHzdCAT9Fg f/UbM+yqc3t06ykw4ARVBlHrM9Cr7z9M6+eNVctO2DNvSM9XEpdBMjA9trnMLrxNT+Quche+74FJ zf86zk7ifeaZQHgWgFhbERkyYAD+C8CVdq5c1gX2AARMtC5vsaXArpMbH0MLVd1BAK47FNSNZyMR vDY4LKe+Sp6qF7N7XaqEN8VMjjdHIg35/zMNkFjPShMXkcblGpDD+aGrcBu5KcwnThuDNx9df8A0 ENI/BO1TH2NdRoHK4TKNoMlcK6qMe2TU7BTpVf2KcrE7Dagog/oX3apRR1By2wts5VLrUOCyPQwM V5PW+MUJx0Jv34QUQSqcTU0jXvvYzyDcgQd9W/wksKti8tQaOlEIRCguJSQ8QnifBDMmO7rRnFAC RYi5uzslJQONVO1xoUbYpaMGvt5HfnFS4kGJ1JVhmENjna/1nA6gALpXYW+v/yqEl0HfTBhKslEA kjtBUo4FzBz+TjnBlsvD0AaY4QslCqzWq299zlqx9i9WUvZC93dg2Gw1/uvlOpdZEuV6Ad+hZas/ +WnjP0UENsgdbACSL1nTVzGZKBpOj9nH20h3GLks0m8Oy/WdL8N/K2AC26x3oURrkMZQZKk7FevB gP3UaT8U8PnrnJA3KL58yi7idB12QpiMOFemJoGvPhiroyKcLgqOaFIERgBr05bSKjqz9MzLf8Fh JjHRi6PHcAHRpih77q8qxWyQOEmfUfpoVaJm1wV99Qx05K5MAApkrbHyfMO1Uv/AUrl+N1b+H5RE ch6eqMKXv8hv806gOGUCe3KmanAZnrOWaMjGjvpaWzZrevlD3GbIQjEaO6k19KmoKGr//PzVWb5W DEM0YIX6dHPIGUzObgWsOfXhxR3qKZoCKaW9vI6a7iCyXKqJL12H08JAzfUl0emmmLkgghkMyvoW eG4nN7jorojV1nbrWsSigbKwCHoT+s7YOmwFvSQPmhCkl9TtA8Y8HBrl1ff/zjDpRuWYVWr7fCvR s/IUi77JDI1rzL7ZZi3QLQBFJmQoIjKHG1wkVkajMugrcdyYLFwhArpZkN423QRW7kE4cOWp8OOC KxK4MjkB1HGeW98NVTmFOJT1e93EqMTOxx+55yfjTwFqGU40GaxDvFCBJVeF2PpvOqyHZw4tS8mo DxzjXVpUER7Mur3YUHzR+bLlGQ20dBG0HIYIRKwSF8YsnfYjMjXvMi773v3ndx2PBJbUwT7njptc ssEo9RN7Etipvo1ypVJPWk9rs9zVwvbL08T6emrmS1GxdTJxiH4So9iMUwLtHhJoWiHOi3AnbK1C +D9Wr1hbG1goFHpnd5PJympH99grNdIfMdVV/rglB4nNCkA1kaUpZ5Yt2FFt34bQ/DAGf6IpnjsM K5gWOnvGnZE3266245sErBPO6ANJbgTUcDV4WSpzD/79YGcOj92uAhaZ6Z73ZjaqheO27jNkaOnI EMYD94T7n2fuDySvG1j7UKhruvZNUzBesbp/L1r0mTe99MP6Qo8TD+c2Pfks+H9H3ZOdqd5gve3Y SpvQcCuSlTgOR+EMjxuRSSmndSszv3Q1JC1wchaoh7ZYXcBDUlF2FzUE5QMoow/vs+uGxlnIisA+ AXLUg+OAg6F7oerM+AbBK0jtqS2qaUiD+ptLVaUwdg16jJiVPqOMWk9GyZw9xY8bn9zQGbf1pHnc U/KuQ3XtsWZjkWNJyQZ/1m6O1p8i+Kp+tJ3EZwGiFsamqutd5UcyHUmfwSq9/uWL+YOxCTOhuLYj qX6p9r6ztzkaQzLlmmMzDcNpdltKRBWfVeGsYfV5uZhaHXIZ1OqV6YKVjlQYa8T0Ci7UlirSXIMn 9APHZoZMDR7AWCLCfiRNSFjMC8yv7xM2iWSj67bOV16ltbBXxpJjyX9C+OWIGSJw6gOZbB/N3sVj LJ9Z2b3TNQdXGC2ecXlcGao65Y/Se5WSOWwlmsONXRdSzTHmPL/3U4zzAto+VQWDDH/8wLNp93z1 Q8kGSpUzJmIlmX124GHRpvGg7WjUQc2zPzjuY71o1ShpcHs6psRgjJvp0nfxQPLeR+iBHLR2o0UV DeeMTfAR71n0cn1X/MekIcFlDDOYZeAbyWyJqQZNhnoeuBd0J6RiJeOlU1skLqyRAN5MIjV+rusu 2ls6kVT+cbr2076661aoJRR5Vr4EjWoE8vIf/f0nH+XrAUhATD5LBNeOF02nc2c76ga5c5+SigDf 2dC/A1iFZJjzlhqKZ+DW6s6SXiRdanL6UOBbU3J5lI0GWEZ+ZdB1X44H20s+YR8+Y9kQY0+vmler 6pVgdAgYzQ8+9ew5uFvKeya5z8ovLLCnjrq55B6H2eF+KrhjMjpuNxB7fEGItVhBTIJ6w/Fm3nzN JUc6/bgU0SbzvVGLoAnFhDiLju/VUuepf+uJ/j0HNMgG1zRPWb3001ekeUkxAIQGeUEBan7dgAdA 5+7OduMNjGqRZJlrmviI3cjv68DkTwP15TgabJipQuDFJdICV0Bam8P/PV7rx0onc2CId2htvfbI EwOd4nHCuLO3+R92BiZdSed/CtYUAupLAZDwFKYsKTrc2tLZJlqW7/i6qQMLeZBfx177sQ8kgA1d nrVW293KRQh5+8MmjV3dKDWsEeV7iECjGn72G312pEJmgkVI6gmIIlgWI5eE2dyibOXrNq7tSrn1 dHUd3MqhB56ee4NSDxcB8xPd9T8CL9U2Z/8q5dtBvENPlHcYCXqd3y5kf+YJZo94D0uoaoER+4OQ ToDsyzkGltbKM2tIZgLjxUi6C5KCe7jsJ+pZ9H9pIs/lMzlwh6gfpLIjGNooljwIu4+ysEbc7ypk a85aE2FL+2B2hCk65M+sIAGCX1vQ5EheYb23PvRa+WInK0ZW4Dl1Gl7btvH7uGDxUfggmNmzkdJv LmBOYXoA215bnnAuf9hnqDnQe3L4guqzKUlf5Tr/V4XFRgCGgAGlVC5rliqtsJh4T2BojoD6Iz/i oj7gfSKY1UH5htkHaftuiAJp3aTbVbdYoWn81sB8C9ySA2JYVghmafns+ZhbbCDH2AWDC4I6AWDq BMkG6WSpUHZqVPROPAfQw44VilYS5CXVpW6LzFoZRs+p3lQI2zQxbWoXb83XMLhxkKbJmjVAbgjU U35wv9yZE7wtFBnvxn07925vMC7v8xtmREQsejB4V0JYX1kH3fohxJjEM+YWfvpJWxiBflqGiQqk Qgtba130RrdeFFoSHY/8lGY4bvR4trGXa3bX2zcGqwQRsfzgYb8saRXngryeg3AR3oq0wa6d39RA BLvLSn7CrQwVh0P2/lSvCq+l4hJRdcxqBzffRghaerbRXqGWtRQsWz2+bQvvtMw3QmEjTh1DlGWt kuto4yLuVPpCd4oCHNjA865LXU0kj5fTVJtbEhNdsz9PROZTIndnSqvEj+io0zzCCDjMivVihAVb 6FkRBm6BMFMF00u21dydMv0dNhhR6AL82vvnR7KGjM920C47GtgS2NA4/U0RUv7rcwwfeL/lCeC6 ty+lnV2YXqmUTkLiU4zVsV1U7OndHrQ84pcUtQThuD5fv4jIPA+7ArbAA54YI3+FD4dkwgkPELUb fp0Y1QmP7CL5uxl7eTpXJ4rQhrm6AQu1qPCcILgM9uWGUbcrtcR2NuHzcwXF5MJY2k0yVF1FN6Eh CLzBOaypLgh4inj/3arLIZcaMmON7xk8dnHDWIO+XxnOsUWC/aIpLk+dhnH4KqnOsWDsHrmu10Ry Z7c/mREKksuVwnvevbBAZnbI8nngANTRBY6v46S3D4+exFsBReumokAMNcxbLjESQN4J0ZnO2kK7 3dax+BsEQtgbGNoAaQ7m9brbct+8LomavNEOO/diW5xGkZDiiqFRcOLXNsG/ARn0XsqKMcNo6TLj vCQ0QjmP6ZUF7o/j9gxb9b6wQ1V5h1d6uNchrLAAUMUbEpow0F6bCteOUvXLiHoyO5XSkfKLL+Bb VTh/FT9TfL6bXN7EOiiAifIh3Sm10IfCislgJoOEEiK5hybl4jbizOB5SmZjLYrHOGcR81tliM0A vfSr7QLwjNXAsM5vHv0iA5Nmp6wSJ5MqVgPj74dNebp+Sc1k9EYAg6xCYR9DM11qPqoXwa3FGsHL 0hFDggswizBtxRe/hYaqmePtLpg67ZcucPRhw6OrbzYQChIRuXhjT9SXIJcSu4RoQz/qfTN2HlnW xNLTGIrNUGCjDFQf6xytmlfrlr+fzLFyhwV6a3xPyvFhSOj+2VaWeH4nfF50T5lgp30g6Fqyci5A JmaJ6f0eWGMdYn9FUoC9tlJC+geKSfEfzzDB/SsjI6eWc0MCQPaGpx1RNfRosXvnnNgl1b3iCPSq yMK6jCgNqD3B5sA4Z85gaAnDkuauZ1WKZt9mMaTgx4Lg5WE43+qzRWjY2s/7/G7Rog0/1R/hoN6W AZyjNyboKJczk4N8DPdphulcMgatwrgpWM1Z/2n61RwlTS3e0ZDoEcFkb53p3hgOB29ooyxk6R4X W04MJ6kZL3lEV5/AsuFcmrUfMbRJ8FY6BA2nTZ0iZwQdgaC8iPDqDC7JpeFcWVSLBA/a7Fi3hmfh TRCGWB6g38XYx+NzNg12E7H2NJasFwHDOKonlkvHtHSB8M7NxJfTewxCYnE6gWkrdHshKF+ppQEJ 5Q8HCiCuzB+dXT0GW5kFA7MfCwwB5SvnStGDQGXwbwfOljLpbNzSFPpn7nFn3WyU9bFKlA92ZuYW PW6TNxraQhlpqcj1MEOEBigyTEtQHdi479VXY6Li4GMlxPYFQx7tIJwd3B5rWCjvup1SP3JdT+Gw qk5RayUyBXhRBtO9kbseEQ7bmcZgjKtFd3XEttStj6ekMZErexj+SDPVsY5XBA+Egr6uUXsowG0X aOQFPbWRIH7PKQyBv99Y1OKVasCinAJJ0RC9nr5Gb8AAs5vjrA3NuLPul32W+e0qTlsmeL8nQuZx GlixVom8b8SVVJvLHkQNSO/4bAK8pRzWi0fzErNpWveYedNI/ncFPE5bcxTWDjwbFDvCwcdIrgZi h9gNphj9/OB7G3tAUO0QNdce/JrGNOuKT7KSAMTdMz20c7uINoAWnSfxQnjRuNHcBWNu+Jp1UTHQ HzjTN8IlVjel6/4g/CwHSzNnf3NRXrtescpNv7KVHfWygJJ9p2a7LL0qETnBHrLfR4yU6LDK0wHW nNRepd2SPDPQwPs3PTKJSWptDJAGCVwPuw1zeOfDSo2dpSpcAW8M2/c6qPlb8KNslF8DYZzMr/Jd 8BIvE1Sojlqu3QzYu2xDPkA3cfOa0nZmj7JA/+s4U50XBrg3rW4D1Txg9AC+lPM9OxTxO7S4geQj GWHWr0x1VxS4/I1T180LjncS8/C417TFecSBdktLBiIomHCHD621bO/mGNSfysQXlCoi4Umfskhg Rd2fQmFIW3kNk5L4UybU0mplSAc9bPwBvCbyIi43/T0HVjx5EB+vVI/9SrG31Vld7L3BoO2gQaFG pJEpWIld0MvY2UzMeT0OXOLG45sy5o0myunpAReAkVE2XovAg5P7G0YnYsRlSDEK4XSJMuAGhbY8 V6ScOL7lcBlhicQCKdAeso0TjebHXvttdFi3oxf4pHjEklMr40MbxStBdRQtpMkU1bKmYS4060ri 8mUm3iN1NJlFHmoZs//F5Cx09TKu7zLLGisWwedlXbKfJhGaqnFYQUExwwzvCoqL8oqKMYv0mQ/d /3YsCXPhNP6DzrmOxrU2IRaymOBBkeyUtZvw6LkigArSEFqXQ+isKCg1YjmOe8THJ/ZaQIaDayUV psIel4Y0wua6z5Rpf3PShCEZqto0vcOnnOthlmrmwJT0qgZk3JviBzlDxUFZeQr1C9Fyye+L07Zs GMrSz29pf0VUca1jzmx1mKB4ig2ej2wh1dWQ6+xmOzXViJCOPJcb/LY5i3BArCV5V5G8cLpCUEED 3rOO2F+1HAdmpPUab7bAOIz6YV8k8uK7KCjBmN7NmVvgHsqNZFuUU7gamEiXWT/RjEWc1QkSAdy6 Y7TLamTBI5ILFul9aT/mjEYM6h/dZsjj4SSgJDOGhca2IfznUnIzDEyBVNaRoZNEPj3NQG3i6Vj7 QIBYLdVGRZdnO8QTWxluP/WRIUmHF3yHCK6PI2IfMw6CdkN1ShiCnzDJv6DbMwg2b1mmY4wNS8aA HvTNn+yjlt9XTM5SvAKA4l/2D0GLKh1M1DTf9QnBwW/jBeKv/69DJBJ+hZno7AWagnpwMZkyrr+6 jR60NCC7qnaMZA/02Q5oRk1ijoV3p6wy1g1stKPzVCeF/zJX45qqu2noJ3keqhS0J7SEVpnjlQtq zwe+GBjkrnB8pbsPKHLp0BqGfRC2Bv1zQe+cY526sNR0XEIvh6X6g6h74WHdHbEUP5r/cxbXPQCP q6qZMy42o1kT1+5V88ZWmaUsn/uXHD0wDwkfFzwQJFNq6wTOaiDXnUnVl8gM76W2A5DdvvLcHaZQ UGuVRLEIl77XfgepguVHrVQRU3QEMUj4iUn6y7XJ3mbJ5W77BXvOAavkG4VjizguiBYUIgl21UoW mLZfgMXfhmlnGFP8Dh+dpAcad3KoTvHIbxmPK0vGqr8MVLbday0xzyqCJem66RcnkjpaDgdD3whb vxRS/wBROo5jbtrB9Mru/NBf2olydPXH96eTZiD4zDSRowWjrPFMXoeH5XSWzeL+tqeN+czDZ+SU rmUEZTWTZBaVAjmeKWJ5tbKiYRMZt40lsa+NygD7EdobyEWC1727sQPfTVCDTjw2nwQq7lv7vFSd HR6JXwqN+iWtEXAeo5qpR0riZO1rAn+KnKHn5CF4Y0Mr2haiQeMVwu/bu/nOiruFGlknzj6eWK8X Gl6rbV1xIdp3GptkWgvrPp5/HcrIZ6lnVsZNRn7/XX5SYZyK7GOIUDBkDh1894OOTEqSXA36hbDw ccw78PAmC9gd6GbWfazoH4//NvWFkd7ZgkhMtxh/kvBYz2pjIrvaotKO1ruaUSR3a0nGeIo3UrgQ b49AklyWyrsS30VfUKJhOscrB3KHqPd1fmvmgFaDYnE/L8cYhLdr2iSjZd6ROQEoVp/DVxEh3Plm u7hywcV/cKHwMiSTwm3L4/4mlWcAlb8pdM959irnLhueav8+8jz6CL4aWeJvlFSn0sef3tYImBKm bxr21RbDUp1PINg9Audefr4hUrOQNHIJlEpO0kbvxW5ibcuRRLATWuYD8cs+Pglgi2Q9Dx6aCkzh wu8bTkQf5t5TR823nRRzYTy/3VLE1pPkERDN8HOhsdGk3SMmWwfOm9kdl2n2fKDkoBqSY25sFvi9 6/gfXHn/uhhqEd+ZXvYIcYxlO4awFmMkIP4kO474x2eC9XjuwG595lGRpBxO7kOHnPP09aRYIK6b cXNQp7Wgs7r/6BBEYiUqu4JyNmK7vBjFQ8sPm1SyyRRMM+jI9zC7bsl7L6aC2Er5muFdeRMFzAO+ C6p5c3VcdS5fRMY6XmWHz9PM447m9vTHYSBnKsjXOeEuCv18ihkInbOw91I/aQNv6DW3z6XCIJVP KQtyqwDqoDfskZb/KXAUGauNwyx9FvwiLH72kJtVCuLEX58r92lApRH8cLJdLUbWYEN+P501rkdJ oJTfsnU7fpHyEW5mBRs07hEjTWYVCuqKfQuBiFnrO3knIhVCq9Mh9uP7JY6IHNI+rCjC95vEmUnn 4drfk/w2mChrPmpSc1rR/zgfrLpOUde9HLH0flcmY0JeUdLgsKM6k0kZGfL3q093RvQUbyg/TcQF Br0t03J0VcUP6D3/VQRz3MPchTzTVRfea3hpfgQXbgAcE5w/t7SyDn2TWl5VFbgGsYdWrwgiVuxa LURsEZ8SinfTR7zgT32poimmS3fYAItXRhWOKRbt0LBB0iA+e8rtua+ukzKQiHJJYoXoT+VZM6p7 LxZONZEL8mVEan572VhY4ywvj7vEIBBWZCRQQWlzMjcjjIXN143ii39+L2y2Kt67mpO00UQq/zeR 4XLq368rQXNA38KqP4MsepdO7QrjTcXkKL/LBGW1EIRg9MQC1eytOx03qDKVi2Ji6pPxVxCML/IY oI9Bz2CRVAv1CZhSyY8HAsH93Jq/i90ir6jJGcJtKnKL7kAY1emJ7gouNo/Zgsq63RVKNrnBA5ol E1+fQ4wqqR55w5x5CykP9RaCCszu8e6YH0LMud+4Q8W5vdiFjugCgkqHpzgyJgDK2QNoHq/+axyG hj63mOCVfEXNnLRiaF1jZ4BG2psQsra1AFJwTNAlu7YcbdX3XflQvN0C6EOGNBxoRIu+6GktrpxY h26fc2qLOtQZtSHjerxtx+jYkFHSzb64mIbIpFHegQIbOkLYFitbl65w8pKSMXHCvJjDkKxMRZ/A 3FqSC/1sMfo5CgRxa0MHThQjBjthBJ0PvNBX/J0Yo3PPvnz9aA8c6Zu2j1UTWpq9KzT7t4/YoGe9 hGPaGPkbnYIYt+0tpSzw8LH8oBCLUoFUgsZPIGCFz5dOOU0u8rXSQlrMLmD58zv3OCK4LjydSRCj SL43iQVcJmwmIs5PJ9GAXRMF/Xm23qNNju1egIZv8HTvsHsE3bJPJHqwLisUmRN2SuQXc6yRZ52/ 3J/ptE9SNuscTalKXpqb6YZcpN1XWlGCjoR5viTqXPwGXxfEw1oqlBdvn9MCZRCVa3evcyov+jqk wnMF3rUAD6xAD+dL+G3kiLjTfxflCbeR2xNO313luGNQSR3Sqia84sCzxw9ena43KtybpYBuobjn 3Pk/xZlbZJ6jMbVKSx6BT4/SAGNiMmqfd18bPIOVbjPvRTtvqFsEUxfrL9+vT2vFzRRqc5BNMkye ojbJVZvijAJ8oLdxDL+27tAlXvdLwL3ANDUPbUMafhjmzmMM7b4tbkaPeWtlDd03f1e3AFwdarSh wkROgGGZtOtVdDmpbbXvsSpnKAP1JFZy2c+XQxjGq34cALc4SSMWgpfEVPUQ8zBs0K7naPFTjEwO eV1ULFaMkE9T/htU3A138U7uCiJ/O6z6tnbV55EaxiV/jvDzeVqn8mosj5k62/ThIbL6XuApV7Zg 96BHJVLdcGMi94pG45yOIHXF9/hVGQBR6IpHZdrXhJ15kHAo/d2EUNyYchDp5nIS8V5qQEEuhi5S e7E5UWpd2FPHBBDuk8LFHWhyUvWuNZQ/sj9BGCZHKWQC6Vn0/uK2zQI2m4mQ8OlH86jWou/HOqyy DU7ZnP+XFNDgliYpSLWjXt2RfC/fRUKK28OgFsmloXnghmhX9rFEpYjmHQJnthoPTE1+M0w3KdI/ dHeEzLDH2VnUJvYwo/7PsBNtWBaji7SgcKUYNzcMCUvbUTy3rCfkHKENvBDETd7vNduQEOZvsnUW kUl2olujF2eZl5fg19o6N8RRtM6Geh6U//4w1EsFU6YWAmQW4Az83WaxD5aosH9G/Bba4f/1IqFk aGaFSXvPILm2m9w4hqSKgxnImJbBZiYCHSnICrEudIliGiI/Z8jL6h12+CBnfdzHa9sphWrQMQ39 TIAzrmvnKuO2osYSZxz2hdtE3SyMPMXEbRichTxJ2T4eO+VB79CUMZh3TPjmTm3Zu7gbI8ezQWv/ I/Rx90iUjN3xEX/gEpJtWSSOkrLjC0v4/FaHM+yt6xfNz0hJZCpOGew1ki+Fe9pzL2WDJ0kjMeOY vGXbnBRopc6Pp+5sob1bAETWKNetl85+ZGd9lXM8kaF6ng+7nVqAqLJEnrt89QBZBzN7SES9X7f1 xYOhI+YL7jcvzXZdCHzEx/uCoPu86AXvkY6fARtVleTOTWF+5GS0sC8mRWOORNOFWBWJNgWanYit jL6oHgKE5iJsmUjEDwouayyyYVkWKjTPWBU91o6wO27In/phNkbQ0nviflLmCjkrfhXZiT9jKhvL Qp8rrArUp5t7XHdG+boC5ucwStlecJBcNBx0OHb2SmoussbmDu7mh3ZMApcp0rduzAdvyjcNBVCS NoHVfot4JreGb1ZKLQ4K4BBGaTV6FajnVt6hYFL4hTW5Te8a/+v/MyFwtY37XgyVLh2CY4eD1Hd2 wcKtjH5ooVtfN/mkEhjKU3cMzAx+mMn3nOIBSxVVXa9RLQnGin4OsDcAhHbZgacpngNof7aE4Vwx sVXOH3YjVdHpc/oQ3r7XSThG22SVEDnrqs91x/gJnnPvI1bms1426i6fNLKVHNVbMM2EMADkjFE5 LSD1eFocaIdt78VBWn0f8jtPCkNumMWOGXEJWczKNDmnmicgUjXvEPHmnm8VR4BpLvVp6S7vVmUe siGA8HUqDOU+W2Ne/Kx+5VkL3WSEcecbpxlYXG4jk0Grh9gMQ20Jg2piKy/qVhFOlSKZDXtr6iP2 odyEEwVzqnQkyY2Pr67Mwv+9juZPXZWAsqrnFQrT3Kl3f3rno2SpVpjkjVpZ36o5MdPVDf5X1MwF QCoaLmm0fl+/jd6IDqq6xDa7o9b0aDnu32aWz59IsVw52OHX6PlhPpXKulKQxTwqclEfNmmLR4Ll Zp++k62bc0lxH01KtEIg49B2/qYkg02bM5k+fypfL9SvKA4BEIYQNkyvSYap733je5wjrT1SppzS c6VFfraFg1uYTaDvnupnTPlOfJCFAczHhSMv0suO6VzwXRZR930LYeRWv8Yf7ACpooJFoRIeCtJj JwwOuJYT2pw4uWiSW7ABSNDCaVG+6Yii8rWerVfubmMs2/bkdceBMjftjhiYKKn0bp/Zj7qYRflL Eepr9bH4tT5ki4kHwJ0TbTCgn6S+eTIeZPFwwfTTTzTYDvreh87BzdB2ZZ3Pls7K+fs1Il4zPDBn 5tYUZ/TMVkXqg6QYA51E8RZ1fBmvDNXW2kjPC0Yiv2oxtRldiYA/uupdYaaFvmrArJZp7zBo5VSL DOKzb9dcqvjm1WHG2igeMhPhpU2Rrft9jQByFL/VH1KX0vUuk5h38G2Ez318GYLSqzWF1xVHbqqf xuoCJXNfT+cVtHeqnrP1EWrO0OLcycjoS+G7ST7FpAM8C9vXvo3wfkrphwTIySkSXNhxVFnl0DsT o73DOfc4Qnc70mGJUZRkLMhFNICPoTDI5j6Wyz09f2UbTAyVDuzLDriTfM27mG4nC/0vq/B7rLGG pWKig6amLo+RWb6vJwlMUcQd6e8JtxkM6Mh2DrmroFTqZUP+9YhoxXXAikdyyyabtZ3doiTF4eEb 9JhRQzEAKV1Y6VxYonVsBQyhKvz43OdXWTaBaSrN1fbPHAGKH9Q0TzcLGLCEVgDmqDqFGJO5oiJl SgM4vBTSivfjGaTONjjq34OK+Nf2HC700ZMeergsSpT3xq8kfIg8iiCGBMS+QG2DL76U5OAJJme8 rRfIoDjEn8atB0RcfDiQnaCiN7n5srZSYKGOzeQANM1A2WTHtME5XnGsXzma+ZjCMCb4YvqdeCgQ OhD4b5mcyDubWCTgVYEPjtIAPVviKSdh1oQPYYK4oR6ks+r3mGD7MpqbhnXvkAi9HK5kiMRCvb6A nJiExEccl1tchFpsDj7FbHtJzdeRUqXVbMSxeTNtwxB+WN19H4ZdZObbTgZO5Q/Q1Xfh1PvPyueR OxLsNOXsJzvRrmVVgi5QfpUmj6FZBs2ule7J4fYo4nQsQ8oibvdoOu+QrG9UN23ruAEcqZJWlwOB FOaf54z4EEsuMQJgO0i/3w9P8kiJGIux340CoIu1jvQV85tiHwwfqMoTjehZALvhsDw8y3sbsrz8 LUtaJF9vhx8g2d5tS+/aCGHX+TYfO2T4LydCRo76QmMTqI6Pay4zAnM3O5MnDUMz7QAVH8DMMw7S +s6xX4ybfGEfTvtgz9t6K6U++Po+P6zdsOxcLp3Z0wi41IvbZT3v+h3cT3Mi0jc7LUgxMKZYLBB5 UaSlTS3r62FrSQL9wEcPUfTusCvcaGFqTt+9eeRAh47FYq51tRAh2QbJOkGPKVDboXrOS2ORAqsK WcucxE7Z6FIBBwALch8DwXftG4vge0fLhpMd9v3/lKQ7u4J2sUCnw/QHMRlVIvhsjigi+KCYWGOt q8mC3+PKFjHuiIVBgvlFDxJSrOXIdpKiatoG53DkjU42NS0Xdbs+2Ydw/LaLYdM/q72RxgHvoPo1 0Pp1QZ6xeyA8UB6uGNlGRX3YvnwtBKTuGj0iKx9DSK3rVtsItd7QbGXbb0lh/t/1N1VFHk8dmCUq D1cT/D3XDxTbsPLLpErj7QduhR6P7KwpPwu1JKXM89ENYo8h5Hpv1MzY7GGpvEYLK6r4qTs+x0DL UVZvv4XMzZ3fJ4Sr6Ta23XWsk6shlHPi+wAbDGY0zoJgMWtcSwE4vz8tU09PCSRvTEyeTvG99jJ0 gvb34oWAL7rRRbi6b8Rjs+17dPs6mp5Xpkiv/0Kx2swT9fkxYk4al7TQnSX+QMujHfg5a/0ltBMG xLImd1yItKyNc3+BwzVLjgI9SDR/QDRlq2Tm9l4mnbuhgJDcIrLHsbD9nVD51202B/Feg9/DRxth 1tZXkQ+E/xHJnj4+mC7bDxJIBxWkMvGwswKtsGEJaCKmTKEunh06vAGhyqFlzjmbwIHpo8VkUetQ RCWnfJ14WaPSbFO5lEJMvTu0vcppBUQfFKECl+bnvxAN6vsOxe2GE4ZI3Q1QnJoOMSA89lQDA5Tv 0oV0R9xG/EpjIjYuJkCA2beoWYBbImOMtb/TbCES48O9ux7pH7Lv3nl19JB3L35+3FFeUv249BFL zWU2ZWUF8AJiHebXX8gtOPcfkv4g++2OZZnL03GJpHlXheNdIHZ8Sm0Bq3mGM0Gy3EGb0w8lN/zP c+BTjHqKJFoOiTAlyp/97JlbEWJZ5UUMQQBzoUOovwVjaMSbsBdN9y/6x7E8x3LIqUsHyoYFmOpm Fql0g3LwWCKYxHTpIbJmerciQtSpmrSLC0i6MhQECG5H4bM7EVLXzfbOG7wJJ2QpOfUkeEU+wpPm y2WQ75bpz4b219IcpbhUrRIQdnP+v2TEtM/k7N1rPwh8Y2Q4l8VkzUsd9Daf0609oF7PFmqcouuT LuVP+hKckVzwXTIv7l5eNcsdPGnEMdBM6v5kUnTBHculRVJoDqWB9h8rJ3NW9U2heCrl6P4gA0Vw Feb2oEQAcFP47iwG2nZZWXFWNxqRGlsIv44Gv1eWNUgvEijMKiHZM2ldpyZV0lF8iV3zLJ16sWZ+ 3xXbfrv9//ryKGZSbHtazS1PVzUocPwJYD+J+z8O4yk/nvGPeD/KugaUgKHALrvCJPjIxZH3248m 27j6UE5HUdOLyApnON8akNs/2CYSwvtLwyZmimGViINn6YU0R26EyCw0n88NpJQmnIxBNxu4v8iu gH4QyIcCvySGdCKMOmfGo9DFoK8WlFUSpmNnzyQZyDpWrO+a5GptNLxNzLzojIn/xLFafeYv8dA6 vHpRTXwbRZtRqzoQ/IGbge8wLya50Lp6mr/FRomfqGK4NRR6Ab5TdUpFznUL9+IBaw+C/4HJ1aEX 1BNeEqIycGnqrmZ64fD0/NoYu+PWSVefRlCIfaGNNlcKLBMoJRwksvCEIQxFrCrxJN9mc5L2TKpt yrvK3ZxGYRQu4CJWi/K8+xmNknfQOflBHFfU7YRMOqsjzeJCitGi/pE/P/g2DQga+rcQhnKA7wLz e3NMy66SAfAHpXgXqNolctXtRUrD8I4QWx/ofmMl8spwEyQDVczdhKGlsqMIawm+s8wIOtM4cReI ucvlceiB593Dk1roq+CJhjib/P3ykQDn+Ov3XAoWE82pD3vNhXxrtm4kGnOyYtAnOX2aaew368xb 1SuUmU5TpK6n+MFuKaFFEOwSfGCQdpvD6T2s0q9AUtfnXwuiyn1V1+04LxQWS5M9hRvNXx03FGuf VA18pkFygE63I/UNWTRjKeLY9+YSwBDD0jaIfTpAGJDkB42c14Jy5ZIsluYdfldf7gq70PTK2KMW X4+kLDzPelrA49oFgSB9EbP2pkf38VwTfU2tGTIp40sjWGh//OFzp/uJiQYPi4a1JYTpWLh+2sDL fit9bZOdNS1xuqTFARAGOp3pzjrpu2LLQ7DydANCyGJ0y8uf13o7mDpGePU1Igp48twcZDMXo3US 1t2Ut80MYHUhz5xS/OKBesc971GvOjlku7V70QRlpxVdOBEFzaQZxAIYYzPOooBdEIXa84czAGYG zMmaHhYsVpy3Q8yIemnYYmZS/MtT/rUBY8HLOfD8hAM/LAVApxBIwDAHLpEABo0okauBKbc6Fsxt 36AWJPNtBhrxDUWDznBcNg6vhGEZZ2QJdesFR30D8gVXLKkMiG/mtVpWZRHAGIZDQSg5tcavwb7v oiBWPhNSF996Z1Y5NFKCIHO1SomXtLx8ALMrs0a1sUgc3tMgHOUORZMfx/vOkeyhdE6/ayc4DdRJ 7azUc0M3K1noNko0/IXgPdqsLRGSdCGMBlpEK+SNjTG45ISwfdX2OyyedhnV4J7PQQg1qUA4DLFI qUEv30qesm8usKbLHUfhZG2GiOYeNCareuET3v5ii6d0Lo8/SqEvi6VIZ3qnm3LJXb7mU0wUXssC WkE6z+OF79sR71qZF3kFZmEnRLlGLBY3Nab8sx3SMpKr5kq5WPCfLuf0G2di4gOekUGLc7thF0WI jPzNWbTu3xb0zwQJWxlBGnCWE6twNVcuXP7xV7gVM7hzZXL3mnuGIfF8uNynj8OoDa0SO6DoBnpB cY8pePctriIj+kF7LPNCjhaAVCVVw7BY4vj5oSUiJqZtZpooUA9vdX2z2yN+dkSEMdhL95jEzLI5 gzn0nFSSOM7UWnFmRfOzDsWtwck8YHvjKiCiDj7KrBtv+e+rcygxIoNIqkul2kMdYjvakyRycB9d cJelAnSLOG2X6jMKsRU+6ov7uHqkDvrCaHm+6ylk7/RqZiUIfUmp/ictop2BGejA5GOpIokmp9dY TzAMV8KwhzaK0kDk7/Gtdnbni3LbOh5Rleji0lS091awVYG+5m7CEHvESUpxe0ojQsRfHOcHnisM 9ArrBW8a9U8IWuxFY7qyREEKE8Kj0WkMxrXcRsPGNGhj0y8+FrTSA1S6I70zZ5q36Bhv96Zb3sff GW3Or1M6qDFlRLZfvYVw67bmcN/iml49rpIrSaw6MubBemSaIH0kpRt3i9h+oGeAtyOAtM9zOrS7 AqodDOPGKkRRx9sXlZpWHaPwD0vBGqsiFGztF4LMoyU8ERRi7SwWkt24fX/Hq2f0W4DSaCN7vmIb P2CEn3YtkOJ4b+vi/avrCU5wOIjNfkQ0RfGSdZ2a7W5jAuT0YV78cmr/GAma1qsDd+tgmfihKmcM bC2HKYeMdhPqVqHDMmcxuMs9Jr+KaTqDlwZLQnnb7nYmYKtZYtDPukftNJ0Hg5ElgFUMAlqHCxmw HdYjdm3Eay5jrczSj3pbfuEybtwUsGWV8MIHVtkw8hYw1n8BCSo2unVj5eWcceaZ3OvswGV2Ig7p HddXBd3RfDfILHK1j5K2uxrLmhmdmhtDY3nsjWo2kBzy9KNT2DEB9nhX4tbcapIz/BjXbxxpCxOp Ru8rYG5EeCELXPlTILXoWuEpo0hqEetcITH2uLNhNDsuunjsoxcdMslHJ6d+uuoPPyVY1iNPzGGE aKOUv29Nzqo2ZUxphRmsZsvBkYus6c0uHftIPuNXCxFNxk0kjrRw7ErrF2OkTF60mdejDPrS2Vv2 XQHOJuP32uA9hu3m9OyK1jVkU3Pd4GOB/Ye1EpNIXMhKlXVBE4mhUpjjYqYGcdCbnOsyrM2BI7d9 nBu/Z7MkWJcKYLTNHY2U7RwHtWmtqnOwZYcJWAl+wEwa4lQMqWPkqPR1mqtXP4EXNMd0B0TVyEY5 Tf1QbZPDPa1NIuqwbEIS6dwuKUxi1QK1tgVk0aFVT3EwLFHbX2N9ec6FfI9n05BC3JE0mzzuw7UQ 3QpNIy4tgua2n4TTTnY6fvWpzznJmoObo9ITGYvQeaRyo3zvv5n/F+esgFPrEu8gqsYxW6AvR3/V D9lyAy9oxkH3sMAOVtk0cBeefOR8yMRQSwYilMGD5hSM4ZqRWJHdliOcb3PmVXmCC7a30NXYIsWv kXhzzab8UjuBLlueAiRpSpQ3czLczhNsmKduCXnzrpPUwkSkEAChYxM+RVGElDZgmb70kLXCGYVc usvBwqPzMYWM6eTibpUCx3r+mLq/y+r7lHOyaVT6r3jKu7EyUWyryoyugvZOCNAMG9GUGDy6uygr nA8Zxn2Nkf9bdjwWxzZ/MhfI01Zd+yArGKKESCGZXGZKkKhkv0Ny8I0x7LhzHU1wZRtJo5uCe2Zm YGbQSYRz40vDk2C9acNMUFi4wM7PVeBB/u9a0AbmwAVOWtTwHkyX99Xl/Esvbfi/SqLO9xye5GD/ QbBe9PwMYDaHw+5zmSTBHOtlv5ik6DcwremVHcnJ412q+Yz2JGPoaNLn3CDU6mEn7tAmTUlxJzKL 5/SYS1v8o90seKWtr7tvFOx4I5znEsFOhbeQKR2lQ7gsg+mDsJLMnLz0TjuJhHMnAZZ5HSvdsShg aPTdpT7vRDjWwwL7n0If2gDNxc9r6EejxnAMiCYp3M4wIHu5615ObRwS/0RZInypgLUe28E8+ndH z+Etckx8uSZhq4c4LRmm9c3546gf5UxdaPMg3dnaKgAPe+qi8Z9KoY7Ba2FH1BO6l++q5yRt9xF+ rUj1MwNejjvRIXqmPZQffrWyYphx2KOwNIS0Wamjzyu+fxzCnvh9tVHq2uurZHkuxnbmlWxD2ZGV iEysfz4Oa+F6sgEl3NavDAofpqg4w/R7pNw4h2Z+BXa8N7ACg/QWMgEwt5UAuA6xt0pyvZhWYrTo OxuO4nHEiZlFYM3Rq5B3XoeahD4YOfEP8GKo81L0Q9ChB5hGPXGoFRaIlMJB+N6Az4NF7wtDHC8U vxIw22cFbtzeDIZF/fIzIdl8nJKKBR8NOLtwCYtVrizwOLCEyk0rIRBkCBOGERYnomGU83N+Wai6 jgjF4o9/m6/NjAJv86QFY/+a27n5GnYkTPZSlLAQ3ddL4KuD1rVr30SmySjduI7PkRHejv+oW91H gUoJt8ocnegPEUQdz9PIMSGZlaCWbqq7tGBYWsXkBNQEUriVJXoDGirKxDCNZd0HowTgwGlkM+QE Bk30inyCa/gnrqvoJbbYLCe0ckkpLM/ORz0suoPn9O7ruPpW//CjG6SZGZkEw/SvP8+tVNw/HV2A KaZiunfU754+0oKdPmsTFpPQQdROVgP3iVO8Q6J2BfaZutVgUblBZTbJ3inNvoIoxNEQALtLCP+B dT/5yUt8omD7+OA1bYwheNSjRn7/2p+ijD1rhB0TMumeeIdRX0kQLt0GUbT4z55RS+e2DWD9ocLY eXD3CbITKUB98dZENfLhCoaUgX5DdaAis9kqkp5SNuELyHSCXZpduPJs8zdj925ibFJh8j5XEEX4 QFPkn3JpYTY0rd+Gc3cpgRe5JEXoagBlGNBrMSi1AQ9jJ8RPeI6iu9h9DNwRo9tJrvrdb70h5rpa BxYpmG9Zh9UnWWruS94eLLzH0Ce6EdO7rNtZvnhWZvavKf22Y6g5WlLOsU/mJktJBJec+BCydWPe cRZvu/YRrp6Q4gAgsO1N5c9Zell2ySuUnEftIGRg7uwVLI+EG1Q/yHr4jew7dvDgEU7XV+71z6rk L7C4vclAsiYCrdjic9WAY4rUPyjVZySfS2wsg0RB9HEYfcINeBHhvJtMTNGDQaoRFj0bYK9aEY8b zrzsLYXbl/5ByDbi5tJG98hr9mXrKbQp1viJekrw24Hrt0SEycQcjcMwtgoElvhGEzlnFCNmdCjU +qtX75MeXN4EDNn5boQtj6rPRmzhGutrz0pd19tS6esqYyNP03v6BKVz/fVEwdGd68U5kz/wmi6a Hyus83vm5iObXlNbHULpJuv53Oitj1REad+uGkSo9hzsWb79nX6r0GfmiqyxVtHAQr2FWbKne/qu d0Ltxcx0PCUu1Qpci3Mt/8sm+IFqrhvfKuHvxxGb/rMD+ixA4IiSmrVkx/YMqSMB0bKtMeOJa6cn GWZOJUtiTxzjuWXcBImzsdkUAlQv+pLLg9lo7y7ImUXw39XNKZ27wf3G3+JLCgKQ+kdXpXrj/kID kyXDAMvvCqtLJ8z/VebiLdTv6WgrJOvdRMzxW7pZ0zXXPY7NOsZHOy1pXU3EFVg8+wJbNLmFhryY QqQ8t8myL9jiBjtCZWzRmRBgsaIDVeGNGmTwa0uhfyrtceXIDQX+0woKZEV/oRVypGsEPg9DBXqn QVOznNNWZj2C95/fOitGD/wiBrQ3YgIT5WOL2XQ9M9Ld9evbqImZ2P1j2I5jjyZPFn5oBIeeYSwr 8TOQT9khXgAgBnqmNh2fo++pIjVpV52j+TWvhpa0kNpq08J2jKlC4ol9Nxirw1a0IAXjo2Ff0u0z M5md0e5Gbx/bl5bQmBnhID1cCeuQ5p7i9/bbHbsXTbULzsMUyhuybJ/htHy7CMLBNq22njv+uWqn qHYfhG/UoHwwvJwVwnNcARD/Tf6z7W9+Pzf8dW6nTwWejLbBasIh1mMgulb+Y+N4WEh7egNLtav1 gl7+kpBMd5uaAHEeSpblsH8k6HACutQjt11ZauDQQU3h4sma8MbygnXA8LGRtLy4zuFpKZbZWSPE 2iUCqHo56U2joAlO6BFw0pVaHJ89A1ZZdqZevzk9X2TK0n0zeHQwUkGVj6HVyvdYXxGBXJkRvhTr GNV2cf2FJ/SXKsJMuCCqvmSYfeJuVsDv5KDFxVu1i+qJS2fQOyhms7IoMVpZodZuHIiyQhv5/2IX UJ5F9K7ZYHeSKLKymbc5McMPWYQgCnT6D5huVquZI9X+r/MEH84AD3V7O/BJambRoVKcs0/gu8WS 2pqPiDY/dNOV/piuP5h8b812vb+hQ/x7TtTUeYLQ4v3EgXdyGGAAVSuRTuhdWiGPkrmZtqamM8w4 rmTPvvWfggMBj9RJUOvSoqR6j3pdAtvTNdBdqlxgz4U5qgsat7n/4g0Ci164ol17GDk59EN02x2J /fuYAm2XNjGDmGrz48/LXy1isOct9h1fx6NFIE8zhI+Op54TY5WWpXvsnITguADf2vJBS3wHg4Yt wWzKpDcOmjMCaLcWSaGT1FYao5wHxsh8+S2+WzwzuwncF4gaSKep1L37NWIUC1npglGK6IWI+Icw HFZ+xaalrvm/vpyUkP34kXS/DJzTmA73dSwnhnyXmRNec5ohBpP74ANHM5klf15oOebNGsg0sOK6 e+PHSShUihimEgtc3Ea1molovTY6k2UsWqnmgADQY0tNbE0zwVeD04Ha2mrNXV+Kq/r8I0Aih4uc R+kwygUrsPvKct/2mlHVMaT95Ktgga+7VoWXrYddXoRLQ2Ze3iSz0AAuYx34CUL6mdQi5s3xsP5l gTwiksEp/be/faYToE3OBnWZKOThsdz858qhjpPG+tRSuBmN7cO+JZBxRlLb4W8AkGhmK5ii+cgP 0YXxsHFi5rwBPw6RZg/YlwT7Dg1o5V93Jx2vrqlGlxXZaZAiXXXuhjbjJr1TfxrMbvTksatN7Y29 BXzekatczuOCFWeDfv5JA1le8I5nArKoxDFTLtW+MLSYyb0KkW0CysX7EPCmPbk4TfWWWTg6dEct xl/DDxlOJ+lhodNgckvmeefeamSllRn/8GNjcdVFAsP09sY4sh3qOaaDSdvULdZJnheXVSFdLgFo 3OdP3Y2HdVwls+U523FH1qpk/A0JPOmRN1cs0/HDGSCqQ9ks/420AQgX4SSmpphNQCmFBBiI6wFw 54Vq/85GtqxxRxH286beNqET2apBus3mjOGAIhiIgmXVA4/WbbaXkuYgBuqjGYZclJkSedio38Um VauHNObX+sTQvJrG/3Cgf38tNM6I9xLDgV2hq09TjJAaBOdrkIPciY7SEkuPOwiPL7TSzFdW/HXI WxCsHXkMi5t2UjIlhOg0dDzU6hnj/T/tu9Exit5ORFklZNucWmA4FSTLaBTSeMfQnjcz+yCPme6z /Kf8PWVYaYKCyhW9q7kEf1RD3Vc89cL9BYm75/4xYP3OTMjR585sei8l6v9PW98MavmMnIB286nd aFc0RD90yufTSGI7q/yWlEfa51EEQP9HgEtWOLywTCIjjT+sNM8VEyZRO2qbg7I0aiOwYjR0j4G/ bubtVvhUVB/PMaurjrVJjW6jkJ8d3qxGCbItt0eJ57N+ckyUTGF271r2MVkZT8YoW32y8hwAP787 pY2zf9SeVOT6zYro57Us/caqV5RNIUGM6/tfesCXr0FnhGeqCGqV9KNJodHbXgKIPxcvLyL4OHq9 O2UkcL441ef4dlwEgV4kE1m8H/9oCrH06FRaJz7g/0B1YV4OMy2R1eKTBIMV2UubFbfTLnMdEE7q tL2rfscJM0Ji8+rz3i9IRZHHiKTxYSnOEWE1Tu/YxScF0/QmsvN8Zy1LJy0GNMi6TVclBxuc2FZr goZ6InR2Hr7dV9fxox4Ep8OUsKpLnOreDOsaIRgvQZrnwpl2NUTHKtImnCjWZuOwrOTQ5MI3hEch l02OneIz9koYLVXXwLSuVaDEREiARRdfz/dU76fF5W050GZ0XppKSuvU/Rr4drUgrByp8pY6eVW8 fmF1nzOBwIbI/5gb40dmLQHOzSfPa2jkGOTBLHR5Y8tWIP+n2oyp6T4uZCa/bBRlKDmVDOfMrpHY bs1g2TV1Xo6+QM5eG3t1v9iUtpPF3Ikae8r/bM6Cx1eNSQu3H/kq+HHh8+Exm7PHmw+rOgWnfI+9 eMnhOTpz3zn2avzpgqVZz9iZKd6VbFolxVaIrLCcuUHMXrhDl7zFQ58yhFqTi2VUxmvth1Ors6VS dDkQwa5ZEWJtoLRh1ofncmmhagwG+JY7SNLWZahjyqJ7Ww+aCzKvxcU2wDO9A7+CcUp56Y1RRsZZ XrVVn+e8iUtRF4PGS+lMviz7sTAxAMXvaAXirrZcKURWyXDfUgPBFW3dp9TubWfPmOwNGz5DUPYf GSz/Xjde40Zs/MelbZaayXVdgPVXSuPfsXjHv0M8RagL2HJXMgcobnmEHCTYEWnaUYMA7qUkZPIp DxVwRWYR6Age//NXtg8tbNpvSZ9PSYAm6NoIfSIWPWaADNFkr7utDi+mHcccfVh48gvNfELN9wmj KVz4yXbBp+VEFWpcElUvN5Ik622Cku2s45A81dA9PS1/5VWnF+6RVWGxNK+1C4aKJVRq0DXqSi7B tD3sCCKoDfQqYpxeyiyCGCKNePS3c2OofSmOe8iMZDrgAg/YRevvNgb1IN+t8J8Z+f7qfydBB4dW KsUFUpzC+JDQA0GtZ2diLH7zvOosNcLcmqWl2SStzEU2/WxEnrQUMBEKx/YKvwk9d5BY7jy/IH49 luUbvwzAfJUwwhqz1g1oz4VjizuBRURWbmBY2gN74QYoExCkL6wigxztLGLNq7bvujjP/o0fHwt/ k7qxaMXm8Jc4NKOEqGowPI+JRRVmb0THZJWx0GiOdgZBehcA/vuWxN+ar+JMmJR9fI4KanC1M187 hijdh/4E+XaT4wyBhVwQqkZJqZgDjdQVJPG0XVHxEnoklm1t1/MDRQQd05vrL+seGXe6zry6OI2E naUJN8PpZVvVcfgXSKxFzl30kkxlnm7KaM9PP5xowePbJIiKuTMB1+mGcd6utYlIUr1jzP6b3Bg2 H+cab9bWQuuJGY+6DnoWd+BqzQv8f8US+55SpJVAZ8XQwH3KEqluFwx1sI3m3P/rV8Ncppm2yg8s LUO1msY3FR0o4/puZmDh5Wocrh/0Cs2iYAeRUDFppAb8PQ5niHnGqXu0Xh05HkAed96UARNqkXza TPrKODCaz2DBHmZL+3nUwEN/DcD/w85Yo0PDMjj5w1t80Fl2csbJWnzSKSo34fgNkf0GvpPtP7HJ r0TP442ww8GrCrCHpMrJOzBhMYPxtg85rDRKVtVCK+LYGcP3pB+Vw376RbKMcpmvKPW4ozzAKc8P 4yexzjUAv/QV4aOV6SqTRsjsn83Eun1rcgLbpV2lPNdQpjvoGxn7YfAmiJRK09mWEh/07LN2HMdh mAPjOcU2goabmR7Rxa9XhUGv2J8cOLsf7Hfs6eAoh5A1ZNrIU88TIiSowb9pMAxZAc3O00aqHDTV 4MMRZLXe2TghWpYbxe2zmfFg5WK+wiJuXQakkDrPOhCKFWh627FtNTBiYUQGbXwjaA9asuEvHppi 6us6aMc/OCKjrwI2yZNzBwIe/OAMbK2Y4f734B6FY4nmWWZGSxoTWzBF3BDK69SpRvx84OaCJ+nz HBFC4up2QslnaRuoZ7I3OXIyhck4wnWvwkCua1Kjsn4dK8SXqZi87PymUFHdetBIDc5M2QOMLoD2 t18bxZHMVQ62MPRLjPrho2DSWdqGxw4sA8k8e4LaIC7MeN9QsH1Jz7frdiPvK2t/fZdbQm/DBOIW QcYv8hgYxZ8LR3uRv5cfcnICvGfCGLjSrI47VcQNBYKrsrkwj6A7pkF3v+b4X69rMLFs3EqTWIS7 sSI10cJ7qD+TdMISn5r0Vh0WkElerAywT7MHLGtpJ9MaF6V5va5Q4Es8NXhIsUhj5EkPO832sAMU 0TFKdnSMYxBLmkXo54AwurLk530lY0gJ/ErAiUELBPR9zzB829X1PaE/npWaQf3K/g9yYXNaLwSD P5gJRNAYI6Ag+FdwKTptPalzJEqkUgJiPbdcWED8TtTvxhP+VWdNL+4R28o2ObDewzQW9qViBBXG QQjHxHURwQolswY+sqrg5BPw3O9carsilDthZBU/+EUG8baVn5ZXL7GtO7rmAf4NRvUSPoj1ZgR7 Q8a2G3kXdkXPQWS/DXdEztoj8EbToPanhCyJr+jp8iOZI4RDvl0PtLbuhSAbcjcYxFwLQJhIBMU8 jv6QbH/AgqroQtMLPRbKvygQEocuhNwYIXvC40kpCHmVPYnrgxzANaewsf56lYoY8u/GwxZys+kc D7yhFaoPdzQH4IgKMI/wCS3BvURDqvayUWs9Ni4/b70mmd5aj/GnkYy1hZHvPDrOu7nkMzzQXrX5 eoaMWJ3rXmPLpew5fbGesEqXI2OwTAgCC4JrXExQN8apiLg96oDc99RV2BryweUWGuITQV673cGb Ds40ddwdsmr+AfgJ1vKrfuzq8NwmomWyIjXie7LDjNlzRkMgk4h8cz1K8NubCnydXzPgkscLJufL jYvld2KceZFRzOXv95r0nUKtHg6K16rUAZTXN7lRfvhOzC+YmhgZHQqg7r4OU4XckzArvFiv/PG5 Yddg3kr94NcvCJXmQB5fRMKSth+zOfI0hbWD/Kk8fMZX5il65BCLLF3yVODeU0YwQIBbLmh6zhvX c8nINLF2V7UScTEVgbKmKYLV6kPYUIu3GeDgXAYdYTHwMeFruhx3WsjdzorTIXr9VHGSaNdB14O5 NTeEW+PVdDFoUtB9ttvo2mt9QNAgq9CG+LHxOaSYaopQugqINqM5VXA0nI6fvKJqfEmSyVVV0P5n OHKm+U1tVsDEgqqQvSdryT45p4sQ2GfBstev3kZxaSjQ1sCArN52C1Lv62lYdNu4BsmVnlqwcpMR l/FhWTZ8oUyp05gaWTvyPnF29cb9FG9OBwZAtSuIENE0nHilAp1scnPHps5f1fZSG5+HvWHf3zxo RC6mY3vmAASCiVaAXTQTUPg2YHEIQbthO/q/wJfzfBOJpWEZ+F+hILL52mfGuS2VzyqiXHb6zQHQ rsIQVEKX+uXSu4bqw2O14nx3h6Bkn9lthho/uYyJZ77hCiBmpEZJ8uwtiBcLLP64utxG1Exfzq+9 6gcmIujRl38YOez7CJbYQYdtqH2ci/FcR8gtpYbfBwhGwoc23ZoHumGA+oD+DWlvZtsYDyZ+++2B ywA89sRFfToiyptu3COYJJ2E8/JnGUICrnpVA/ZxAHOgFWrRJLA3dgG9zkk2Bdweq16LLKErdAJW TVjI+iPrmkrlHhCLAyTRrJOKutw95eGoDItHMd3PqAYVqNl7RYu2ArTLvIW4jbRzHwR6Y60RRc1A sX657Clfo8vzRi9WxeeVg6WJ76TkcM+WX9K765dij7IiAqu5pRn6PtVfRxYZEccQjuGQk3UANWl3 0OM5a4pX+UaCFIoBx+GWEtgw/XxIsmKlwZM2MeA2Gz67Q0vzDt2v8FWb6UurAdu4W87dLQpmJeyb 521fe/vtF46Gan/ZQDFemxXCPSe77ndjEpSOgRU2Jm3ZGDmMxHzRXAXod6CFck1NJfnrIJPO3thv O/YMQFofd7lZ7IbjvtjO0gkyoMBkxp/WoinE+RBKy7Kn393p0NUz+VEu0xNJRQioPIduDHageumP O5H9OqchKbh4Pny0vrRs3mrVM17ogMl6TS0ibT3sHqQWPCSyw70bGNppHA4y55vNW0cJGNFsjXn2 vP99BElx1mU/jeLTaJccGUhUxyml4p2JA7hWviiOFJf8WHn2U3pAUVo26I+myCMZycIUQSw8gkjs nqTQ85k2sdmDBmw4x7tdXtxiJuK48vi7OZm3eBcLGd08NH99zlG31yMd4tyXpXswlnzfa/VdB6yo zqvxIeYkv6ygMAQlE2SFM/vlYkk1yebFVxN5xbNAf07zzqN8pBBhEopfqEZIUS1ap8JIffZpugNY AXeP0O92DUo0KKbMgRGbquIhNBsR1JcfUWDpAQCFFzJupLRRLosdLbe/5qtZWoY83PF7gyNfC3lr vuYRQoGVYwyFE6B6utjcF7GUwRlWwHH9YOtAIqAgDYOBOfJ1OJGwn7BDt5yQL0DS1Qzfj+woD4KM 1GET4YvUYVAwF+pYrS8FBCORkgU1c58Qmh8KIZIFiAA1w/0GAjbOQqNu1tbTD9Z7ya2cYkf/4TJP 73oPONtGxinMkpW2kq7v4VLEY8xkfXshfn+oltqspFHx0GQmx1sgdXJpehEi7J8E00+Pd0bp1InA 1iyL/5SdG/MybQwF9hiEu12BnfmvcUzc7NlueNBdzcgd7OQAoJNgQrouAfpXlRv9a+4ink8aQSBL C1C+xr8+HJu+KUHVGpJKEwtcqdk9PmdaIjA7yDku2etoFqNp1eSwDMSwmn+L2z0Y9wszuN7z+iWN 6gJI/7EdTKpM9z2NP75uKsG13RppJ2T1Fmgii3RytCttO/ZMo/7fUSkZqLYyAHNwk+yx4UrJ9Lel mQ2zJjD5xSiXO2MERNsx3yRociUU+XbbjT5v1gdGoiq0+PXyrLbYN5MtmWmIcko1wtdoLtc0N9yq 3DsvnmP8mBAaQq6BAZWgm8eTdU9hhEVjQ0OgZu4iTsfQqb163yAwc6k9O2taLl0h16BbhFoTm3bw Iwr/Em129xAqQ8qqR4N4G0+Ie2KqEZ/pX4VYKPG/me4Ml+zu2sSK1Dv00mgbtl/4s7ifO2hMZyuN AXyHjIMh3goBgggRCF4Cy9gNh+LNiAgj8Jav1I0XjHQX1tXNOegp1PwjRjP2EZUSgEeIPTKBO+dL wYjXpsL1SdUPSk/c18CmXcBjSmSwb1rkt6OET4O9+AZFYvqyEHjJNBQG2+0TvWSbC52Cz4ULhZPf VvTwU+5ZVxOsSBxfYvL5vIvora/QQOQ0IVxneXsxrUmzdUvMrqeZ8yfIJyh/KE4jEeZZCKDKPP7j oOVY+VYeHOoPmTX45Q7i7263u/ksg7NUG2PXw3ONRHKLVu74v91v/fBDdP48x4l8amFuzLHuSwZU swm6lpo0d9yNAAO4Qzl756Ob6nld4gFMWKXOG2Cruz7Rs6+y66smOhAJkJw5mwOOXbAWu08Kh88A ah7OnF7YM6XYgEWh0VDkegjCsLBwivHHQyf1sezpgpzrjqRMan3wDuPIizTaakpjxV4ETYdqHZhL 7csZhKwMn9p5Q4xf0MWafJwlSJjhK5vWaCml/T4IKeF6B5+LuyVi+go0y8VAYFlrVHcNQCCQ6DVk q6DcK70u4O5+LPmndpS9fiRDk2V4+2/bw5MdTPO9NfNdDkUqc41PIcbOogJ647rH5UBzkAObgRr6 V1Z6rTvdrnhJPROawzVKAIn01AGMqNTfU+wJw9wQL2ixwNLQXZroUF0BXAgZ9QSqPKW4BxTVHHQP WXZTFkuR1H9CY4ejn6Krw/plsl5WI3uhZYfHPXAnPQfLbPiTJTLzNUp1WFHNYZl6bEKZgPk3TZTG y1vvwWNsTHt457QZwmpd3oFSOS7LL0LwV8xW/LjEBvFr7ovFaJYYlGXyIQAALUWZQkpSKVZr28xk fnR2oXkUYt/iISSt3cbJB8xHHMIGF95Mc/ZnIDHsrnecX9DUKU/GzK0k4Jifu/onnCh72fK+V+oj xlLgqr18V5gXh9YTmIGLXQlodXMcAdV62ghpvvHfq6/8jIHyd+v03+maYmcRw5RW7l1Jtiyww9e4 f4NyAkyxfwLi0kiAQ2FPQbBkIo42+SAIU2iuQaoMbSSTS+raGTrHKz6fCJfUKJ4Xkqi7ky75qMot nR5MOMGesT9m8+IMAFs35jOK37B+OFFlQ0TqA0a6hgydq3gd6wA3YdgRo/pGqCnM1T/NOZBCC2GJ J7PbxVuu0C+G6Yh3RTWiuhFkyYJB4y11NwFKubXT2V+4bmnAVPv3a93upsqV47jqs3PTFNZ15JMV SUBevToO1Y0vAON7fGzE70KQAAUivIh4YmzQBsrJdtVLrAQKNHeKSLOnFKFk9a87HeIm4GrBA6tS Pooj16cap6PBFNfA2LUC0KAZt5qBSCRA0JQ3frGDD2sZuwKpbV1ysqfhstewiiUxZvKsf9UZAPKN WGCv9RZznUDq+8g62a/Kzs8XBHGtshNuDZaO5lWSOixg5Mw41on+Ubqe8KQVFD9wH6fBcGWhfXaX 8w/bFfg3WCJ5llafFuMSO639f5zj84yWXllTfL6C8Fdpv2ZVh2NxUQ7utWh96y5QmGpOhnApvNak zcT8ndlFOsQKxaYrsrNy1udrDpjJPHiSdobTVbae6a2xhSDEgMd39AMk5xVtm8jnLyEbpmCdaWwc m2vHhLGIXx85m1JKYKNwIs75DlnlDAmpucNPLng5XvIqzD8EDvD33iiyiXs1McV/rdqEn5g3YJzv u6p5HBUVTMRmTEl/NuPFPt9FENTNMxwem0IKmV57FqqNf1p0F8diyr/zwcpI3MCgDZo3bRKnyYTd k67kLjCMjK2q8icMbF7n4GAQlXmv7hXlx5/mVdo7TWKMn3GcQY9l2mj5uFnw35u3IpbXY3e9P5TI rYNyo1eHuIMRArQwA3BwU2hGzlCwwdLZg8sR4kVRIgsypyGFXhZ4TVe9Ope+N/RXkqpklVJAATTv +Y+4srUlOj9sVS8K9FZbQqG06z8A53Fr9OfJnZr8xFuGkXQr701Sl5y0Q1zXYbuDo66qh/tJiPXC AKk23sVStTJrRdRRC0QYtiTRJZKlNBk5KkLpgE+HAt6TctC61zFXIXxQy83QvWAle0/1i0CWc//q SB7bCtd3QD4FH/AW1b/7CEe2c/ttTJ1ObGEtRLSSYWKKlyJo9szWvicfaFICG7R7cQfIp6je6xTV 6JiWc2ASrAwMW6leclHkLJXMAt/lEWM50MJSeWxXLII0+n5fvCinEpOyEyrO945rc8lATJdsXPW9 g1x3QXqDYFfxMMJUd8h7Ip4P1vHTv59LJxsyhMYdfBlBNo/+9gdog773dPGKW4o58Kc2EWoKhsmy 2PVUDyuqcA6rXBCYjPYPMUqQKd2UszVuWc+NgEVMZRG7EmrIET5FilAiTyaXkL0VNVm72l36WHNV 5Bvfd0KYdRY+lVe1GiKMelJNfmDiA6Kq+aChi/wJmFJMDwxFwPeDXy3+NohZmDAxqDCSMlZp3FaK 2+Uo+DAMihFng3mIQwT5heJNES70nS+4Ucg7JD/U5NfG7+PlDWcwEADhqsZM28moHoVFl+QMLiPe V4HxtRYC+dz1YejFVvFmwcdOxuv2Jh9EpuFYEVaKMcCB8/MzH/jErDMo73r5kIrRsYzWQTqAMIMX jm3JcWz0kZaTBdimpRtswOOx0VI6APrOUmvsZodDQI7981H0tRs11BMXAUPHZ+hHXSEJ2hmsSWLX faB0Vymtw3MjQGYbb3ii36GLsektxAzV2Tj0LFnxFdWs1XkGyu1dupCeilY1SfX4/PR1/BDNXmn6 nDZ3M5EDaZnlvTRbJgw2ybp1MvE3XuttrqUlpDn9SdJaGW2P/RcHwT/+HLAPbAeygdVNWq3V//ul u75CXaM/frOuV3GvCUmi1UuKHgJKVHuAUOXWC0msaF2txS/+WAWn/xa+KSJDfS1lAM+Ubpwt2sw1 ZkPfOOAmsDqq1oGoERtcE6wrrYWSZRuAiHouQEhTxCV5jzPcXYNGO6w38Yls1IcqHGEG9MXRoOXL gIXFdpgWArkCtSMZHfISJltROVF321zSEOkOskfpuZtHJpmaGMZF8hvqPtOltLaaIh3OgfSY1qQG d72sRmZqm+/IMo9UzUYa5Yd1Lc7ogXvIFoXehGQ5tKY9Lq/MDO7zJ0mY19KIPvJt2F1sbv6//jaT SDhlYuHkyB73hFmftz3Cvz8uf7/hTpbKL/rZAvJBgg595Vppw8BGKwNSyYXSaCHEVnrdo7GRYK2p URr/CvVljiHTRPA5N6tazQAJEj0/ij77+MBGMjwdBtU4GC6KRev1jzNH7erJFdF6ZNM5v0DpgZAJ 6rvUUeW0eRDtfmQgW2NKnyIw8aMAIkkQn7bjj5ZxgjUo3tc9hJJm1yBnI0Db165ujw9D0BAt20Yq PU4vDKFAItCmRrk4lRiSZq1YG5tz2v45Z/3wpiTNQ3tDL3C2cr5EC8FZA1ZRf1/SSEIOmjZm8FZe N4CWUe46/ctOpPoDyRRhp6kCEtjySLbrw9zT2A29w/V+Gq2WkgBX0Tz4gT6zpPDcpOyFdsyKU08/ yb+vMDTqu1YhnAsoc0Mu4RU3CBTBTXGQKJ/qgGOEIoG2IZqEXI7z5ACctnbg8CEnYg6Yr7codC3I 84ckXIhoGWi/FHuu5H139jvP4me38mE1iKTyVGT7aj5H8y3Smxm7qdE/HqVWxQZjjCZwUsD4EeHS tzI1ZNuIFuSMhF29XmX1LCkFrb2BdGxccm+4QNWfSpurJrtmGlLvMbBBnn1BO4/cgWGzFnxsCddY a+iheUwISO1RU2ExWwMCuguHtj1yJuqEBbTO2uI99ghiptNEvb8SM0daHusYJR1vNBTXb0lIdSZA 1Wmnn/nV91VV7MPU9+BVlnp7M24mx+UG6dLpAFOrV55if2zfC6jr0XOTM1GeS2oN5IL1Be37/ci+ 6YUNRUt+wwd4uboy3aDTOi1P0cHvadW/CVG5f8KMJFQ8FlCdruUkWtGLWDIo2zWyknPMCqDRsXzk PQ31HrdnsHaKyYcDnVPB2yHyllgEV8a56weYa6lrqgQTUaHDb5n3NIsGj0btzqRaMTZRb3r6g6zu TRuM3IlCT1ZH1Rsi3Ycspoqt1XfnFzcFWtyySd8trLk9khXRJtrlsHs1bFEXCJodyqic+Ficl2Ii W36rFVSUbzibP8n2qoKFfoEs+xzZv6npLfPBkL0c2DiLa7ofGO3FYsy3cxUNMHxJu1lSMJyKvrUB DQeK4JyO1RYjP2f7OddEi8qwkonKK18jGNI7UWRE83esRMp+2UORdAld4tFGN7XzRz89GhS4HKti 4NkuihswTCs+gxNG0XxJ3dFz77eCmMH/joph7WIJpi5PpJSuUOmGq47BQGJPiJVKZue7kSfPjpLc /wdgThNucHSYUQEY9QQ560tF7R/2SWU1qBxdhBcLBT+ALgWN4Rb0jGYF6HCR2S2thyLUQ21E1fhY CDIOnOzw+0062CnfjzIFvzmGOYzwkC9kGLTp83hjVBv82Zl30Nd1vh3jzxq1xZSehcFoD0yTFNzr /z/VCorEkaclCPVvb5X3qrn4Gfi0cvyD8gJfjxSsVvtYFLo8hUH9KLw5O7nUa40DP6CC5jFKhcMz aDv869TVIju3GCQZluphXBYHICAlQgabXawZgfwSg4r4pvmmjpkw3b4hFM8dTkhp8yjwV8XvFnla jhXulAKOLTEYEKtFe+YWv3f30RhBJc3sSjnSv2YnwqISc0k5nxQdqKI5M0kiEBQaEvMPKVZw244H HiHexNEB/BuVazlDhL27c94GOJQI2L5DAj2QYC3oUg5aovta4UME5LRrS50vGxDrXuVLkDkyJrrP iBT01dYQbEtV0DiMOtBfPjypjM8ReUi/JaYxn0RFBawXwOmFl3UsvGoVMazwEcTgdAdizW5OM3bt XAjTbJ/yjUkRYxLnGFj9jJH6QPWZJ3GEF1UWCUAnE0LDsdGvdYeRQUQnXnlPVSXLNQ0ghMILyGcI fi1atih98SSXj46i7LQz09qJSaB4+oi/+7a11X8lWn/326s/E/5DvXIehgHKd/ujz2fBjNFGfihE 6FKuIKTM3KJsOb7KTAsL4utAkFNMoWXHItGuKSDxtzRDFPtt31W77V6CmeLD77yaKnMMQdhqJCvA JAbGsMLFBvWGwi2M0RT4+N2urcc89E6yhhhbjpm686HnyW2WLG1b0wXMjAgmf/X8a6p5ipdQ5eU9 yVRwqskUjMy+6dpoJtcAkfVuVq1hV7Roproa+ndopMgAZ7jUhsYaEEWRhaUlFc6vXIDT9P/x0G95 CfCoOcB2LCn3JkYR2rPR4ZeQngsLj2siIkDoKU9UEowQKBHOo7qwA13sN8pFAxbgXVjBcTWPtxNZ TUFdE+ZgKqdtxRSlLg8nFCYjoisZOfcyhtm38SK5LczXwhgVeMl3eR/UR8NQ7gXmi+BlkgLij0bm /gly11BwDQj2A8NWbWN75Ng2RQXI0r2bHv08i7x0Wi6puKvrzEjFD/HWmOTUgb051gsrOMFXSm6w Kn04m9DbjHXM6m8XNWC3TbcJGOS1b2nuPiRLU4CvHcn57whytpO1H4FYUw4XqAzMbQdYMWeNrQeS lVbFm81GH3tCSyRHRcE1t5mM710EzuBxUoeDqs1r/VYy5LUNl3xJyhRuV+BCwQCJoq+OVYY5DL+8 z1CkFOLwRDAu4RnfEMWI5qVI/SrjoCpcHoA3ZXmdS8UMovpcVl5agRa1HhUUNhIySXg1HyixSU/Z Gkqc7G/dNXHU6oxNk5Vq246PNE6HM/AzNaDQiYScbBMs4wTNMfZANDLBttj4WkfgTE0tykO1UPG9 NQVNSz/jmlbpni82ypxJXUCoDa307CN6NnamDdVUMWNHPQ8P9MmUqM5HfmpYSxWm6VD3rfZD5QdL pBRhA1j5+VveyosV6UtwG0gyhq74BKnVVaeTtHEMtU3TzGc9Kcdc9og6J2TcBMrtz++t1u8An8D/ YbVDG3cUaS380sktBCrPwhq4V8QLqOa2Z1FQvzfo0w6PGojIq6TrGUhSmkGA9rr0qO17MdwdKv8L +pluFSP480ZID/OxXM6ll+YgfEZSCtB9dzasZ3mTJb9XVj1Ki9y0IdcffXImL0QCiOEgCT42wxMP 6sstuv8R52ermlUVE6GYkaZDSFoFigkg5wuKnc0xFTA2xdupEvFnT45AaJvx0Q8sPSj9o7pJn+NT jPCefwKviU37PyK6eFN9XS2PgBQfC23I1CxuMi9YGftzKSgXG6DQUP7ZPxUaW3IwSM+W4rR3vI4l VIgkAl+Y89rmcVXz2K+QscM19hbL4mNwuGaQhygtLIzdM6LR6eDiwLnO9xjWrA6MGSQZIM7jC6Q+ om1gsAHWGQ8q7i7Ye2H9e3CQk/2SQQc0KXWiYODa80N2fFt53Dm0VZ5a0EJZvoNRE9VIlXD2qcvz V6eufX4EI1JkE0lqo851w+6DqEyG4puk31Ks8xIhFNOCHiKC0u6OihBZiSKs2NZjs/jFu0Kbrrys r2tf5D9gHuyaZvd3JTbFYIcVwVhfO6MvKNaEDDHnjXz9KxAYE46GPEN38QadhvHgQ9bvUEGWVTPK ti2r6dZ569wBPPCDMs3pa6G9Wt1cR/oeqSc/fpG1HCN2E0e0OWFCdVE3D/xEL4i+86JIj+fmcYtG TRjINcqL6Hqce7/wAI4aGz7/PzV4CMzvLvIZyI6cdhicAx1qD4onYRIML5uX+FePJQY953LM5YhR U51QNCETr8XbBHPh/8LV6qOA9MEXwTq9cojNZGh4kQ8iqcj7OKs9AW5N1TTtJxnTENpun3UGyGBt ySQ9NtfnVnI8elHP6JtuIdsl6795ZsBxbGvSFWnH0ESx85YrVs/i5yu7/7Eeh/saknY3nZGZ0+qx +nZag1DboKkLJwAKBbwle6B9VPHSffjVUO84NnVh9YP1ShNpncpB8W0Tm/0AGxO1pMimQ6GmvLSP cXpB6871fZ+beHN1AOqh+KCx+RWfL7jxlpLOxOWMQzEPhLWlKfpK/uFmV6Kuv6qDhsCSX+y+DDNK uqNu0RxFSVFfsiCtvE5BbU0Th0XIhWM/2fvjxWxZi0d6DqFMbHAB77CTP4NRneceHXPROaR8UYIP BUMXV63AHpMJ//hp0iviVyl2dFLlQ+WTr0Ltx9lphDCDjxS7y/dJG9Ff43Yy/8tTVuQjv9mpAdSX EYb1GZqfzmtDdoCDe8q+IdlhNLchzpSwt3TQv9xgsIWKSL17jeSZfxO2qNJEqrT48XagHbeAR8KI iCH65dwCBi8bXxW12MsLuBOVetxR/UMZF76gs3pMPMNHDnOEb0Nx0IVthd3qWxQmJgsag6e17H+t fUUBfvwmeOfMlMSYwFMJ0FPV3SJ6yN8dMpCmJEsHnabLRaYr+kYifLqC5X2rP3WiZ7GCs79xYzsB rvtzAuKfr3+wwAf3S3ERw6+VdSpf92HYG0A+LLryK2YSUEF6Ic19ck5i8iAyX0bZYSFXtnRgVk38 GukftU32iMkXZjGMqwvXhnDMjSkBFyr/jfWPfl2gXBive1oX3siSu6hZQKt3A4VutiVsgn5XxN7W CCjEPm48mgvegrQ1IRVyadqmyUONG4PB6kxwnGgqmnu2pW1LygPl+qO1QcDb6O52XvoephjIK5/j saYVf8Bib3BzEzlxfH5EK589WE+uq5CvkJOGhIrACz/4a3vzTGP97sEYJXI8ZneQJiAY/vv+w3da YbVssn+O5wSCz15z3PfK09I6qG1H+u2i4McK8e23gqUv4mvqh6kStB+HCYu+q9p82S7msPXeeikG 25yFMeo9zKe/ip7WbcAiV5k3rGKaq/6X3oHd4UPm4Mbug8wMFPuFxnEv8Na8drfdRBGUJZ+tsgre yeNbDLO7GuxXR2HgRi4YH4rYN1+6X+vt601eUlQK0kYaygu8jh+WKTBPqvMr2tSuiXRaLND4qEdX c7P4N8ZAmkLf3nCqsIO7gdJYwYjWrO5xLIYDiHOAFQxjWbZ0J2PhZI7efCcJN3WfV1NsSgJnQtdq B8HG3hMVGPVQHbkjkItKQF/zWUvINRNeyPS3/vqs7dFINS8NytV/BLDwev7EVQ5DNEh+PaoE8a0a vL1GVUmnEcDJ6JSasnV5yzt61d7PoDODVWvcyexlR15+w9qCzD6Tyni7V7rFf2XCcHt9wJogMGSS GTep1YJJERuvQqC8SZl4UHzx1Vl5lh2sF6gI2btIjxSVNOpzRs052al5CxSsCp4rXDjZ72bjg40J REW2H61P0sN3VLt2yZFtQAoi3mHcM7yTHjvqc4LOSQlbxtqa+rIapinTDQZl3FS9zaEpMRfLkzIa y29+uYfdNEi+CHEVNPK/oC+BxOTPhf5J9Ikw09Tq6BPYkFc4jxxIDJEV3nMVIFFvf7XD3XaZOQb6 LqKLhkVQB1/oVwA6+YbeXUluKv/t/q5Y/UuC6PFyqyqKFAhWUNks0QwmX1RTNJzTqZrlZTmeskdH TZMhfKjfvFgQRzNkmuBN8lBN+im3tmF/jEuKwA00lp/SwDA9GFKSl4mfwKSRBNX1POX2CXx/cmOD 5pKZL+QKYLhOB+euftydcgaBo08Ph1N6wmdKwZw56Vk6h1N8WFZotNZFr2ARb9a8I8+x3c2mCkv/ HqpX9JG9HGO4AYjAxo+j8mgyC+O04sFFlsGwODmUNsECKE8t1YcCvX9GCbxFcTCYh0P+qrYXGgRa GJAmulAUX527XjXJTiwMoFFf2WduKob49tv776JUIud0pUGm/JLuQP+vBq8d4bhFyzV0LitXrD3i jaXTmfSe2gWyb749WVNXz7q4PtHzbbapnesPCpbXehs5oCOCTGYCUYDhs2VVwM56aB2/MXZqmJoD JJeNJOQg9ZhMXI2jFoMz2IP55ze3iroooyAZU6yQG6L8dTgyFwXQT2MzmHvPt7rOttr+Vi+AklBT 9Sh2+1KV00n0wC+Fjz1kvIxte1LL0TQS5WYgvqCCvfnN143/UJtVPH7bB9ls3Y5Q4hjsxsu++XMO S0y4UJoT7iqp0oUuc513+lpY9TRDn2XrT5Id9XYrToGwq+suh6O7rPbtE9jZxAvkGcCRhDsI4zvC Jbf4eM8vUO33dUw4w8/FjZy9hakCe35/ypWs5bwdtv+VOdHgpecshxqRhsfB9+FskEtG3cHihKLa TaZrridFgSEoUXrKDAxRkCTUOT9E9NPvnoFBqLPcsSd+W5W8qmaqGZmWt/LRJn+KuHYTxiSV9ZET Lu+0IaoooE+FPWqkAPDI5/H0H4vnWhOqoLF2r2NYqEnW0X+8nqFfr46QqpO+Lmt35tU/Wzs/+12M XoE3pcj3/Z/D9FZQZOk5PJtexKciVN2YAopRL1r5LwWElM/a+M1YA/FZuEVH4kAiNtCRmrESgnJm zA+Vqg4A0/O7sBv5V6IZZTUHa00RLE/7D58TU2jlLlClybYlzJVmGrDndONLLJ1zLrPlb4Jf5gxB bzgcLtbYC1Lv/vA+Ojt7mJUb89crC8C8rqeMwuxN1YjAsggI7/08l+8qbXjprq9iNC8Ngns0XY0/ HU0ZPQ368/D2TsKio2BPnugWUcSk4j/tFupE62YavVPQZRbRjxCdOgDz+3QBIiCG97QKd93JTNvz hzsZZNJoP0y0diaspUBOrLmr8zPzVjtZGBlIXKNLU/8mj23J98UyGoyrjvDi7ErzeZ66D7oaJsAz cJCgCn1Z/rmyJhsav5hjsrZ4VipmeRnUFOIhhMZoL0NZYCshY3YpO/icbGFiAS02LmCSF5bInaaJ pU5w+MCGGSTs/2HtopelXrqajr9ZtU7LWI0VL8v1RfBgf10xwEckLKKhjTJT+B/jHYdKT3hm2JT2 CeusGA7XHhAEH2YBWaofQKo0VmMf1NzKXevHd7gUkekjOzcKJ8H5VAlWcpRNaSk2JVk3zS2TQNKr 9oqruPbqY+ILm/tYOZpS7q0f4W0Ikesoy5lHr2yEjfG5PThawucW24v6chuCihMXguMhdWZq3C6T POmKXR/D5nNIRYr1lxq1g85PrpSTsvqVwnQiQ60YXpaywfoTz8nQVdfuYsrl7frGIf39LlvYqGXC vVbTmZ/jWvELigNt3gLao9GeQKeStMXJDRmL6Khz/H5EyLKDeVoCRdse7ANCMs7BdTnIB2FI8fu6 ayIlqf6DtiEn8v6Haeb5QugcmaUo4PSwfx7wgptjWTg0Kzae6AParcMLLk0lJ4c4av6dwzRcZP6S 0bh9zpeiRfWtUy7OrwEO24moHgmxTOxIj1JPC/pCukEPzFOdGdhoSmGA51KRHYBu47RPcMx6N/hd YdBoQgooOnqDtBRnP1AKG1D7Wsy5A2uHpChJwn0eAHGNo/CZDMdV8uwkAdwQ+afyRzJx3RZCj4M4 285sFWO2o/FNjOo4+JyBJiaib1+vfeHxG3RDzkxnRLgBgzKpiZC58Z3v75D6qiSRDAY8hKDLnWhK EDXGyq3MDQ+U2OUSMeqqB4yyKyMbRktO1vz3gOwuiXiduOR3uDN8by6cNErMwZCR/wavVIUY+7Sl vS6W4dH02RwWAzfGuc11KGsy6B3Y4Tktc2IzPC5hlSw611rO+GLZVB6Ebj9Ubzp2zBRl/m3tLEHQ U83rsCmwLvHveuzQbMvqyh0Vty1TDeI8UsTWAsm/ABfiUrmD0qBsN5ENAJO2yS7CuQFttrhQdYZI 4PrbyJzNKpT6s9oqQVESP6DXbkuBBSuqCfyxs6jDOiyaS1GpwAVM65oTHfbQtH14kAuOlyeGibtg 3tM5TvK5gzuFkFuFR3FAaOMSd7ZwZkNH1IjKJ14L5FpSU9XFofYQl6SSBt9Q31vm4fGiv97d2eIF LCa57BH71OJzP1HOcA0diTsbHM2J9Qcu2ri7hJ8AkgGdUrxYEyy8rI4+APqPM6ejYBR9omUuSMqW 7uhvXceDzBe7ozU/hwqWP+yPzetKFGuTTJeTWnII82HUu5zhrxGSPweqmA7k7ohsjaNWBqicDCi7 Nw2TOqLLQnC9yYsl4OF67tOv19rTaYgcminz9an7MEZlr3IIoIO4icR9Xm6nKMieD0V4XJn57Lhm RMTIbEMXKCA0ls/uXNqmJvyJwd41X+zSRLujNcVb+TsQcUUWTU0l3MkeWbryh7zqPfw9eHSWIvEJ ZMBQfTCegVamrNorTfu5GIFqEqkie3unIotiSc31//uy1D1ksATOA+yAaEUV10WH4MY7PWtT+udP bjIns/Wrpb3Y1pUfSBuJakG0hSZVTUI1+eglUaHrADvYr6YnTj/WEjqlaVaiIobbevRuxp/1uWHl MCbTPVFj0ThPW52J0lg+KZMsugB72o4leStQtdCnm3/O43n6KnB/vjgDdxNl20+jsgNzVaT4Coo1 m/LTCL6bMGvLNkV2TlztpsCH7z0grKjr4knwbm9i3/LBv78Q22QjOUPlgBPALzZafNXYyRyXkGY2 PSzSW+JRvIGPym8AClk/ap5Mq1M77ybO2PCWrhB0zccfjyR3Nl5sltAwOMrxv2EAm/wkRtn3hNto TaDLLzWdq24AjFr4PbSkH7MmeG6ENjIWKEmi+3xka5ePWw10ILclvmBim+2OiRNRi35BgUKyzvej rdA/dyJ2WAf3Iyv16FPtAROI1dY19bqq2rq7fPOS7b2p6vg/+nZkTh2yL/2QWeS7cwHhj7b5NUgJ 6Bu6EkbzT2JM9rJZzlXntMo9kRLs/iw/8oQVFYB0ybpUpT3SQitEXxWzYzc5TBZdwvNNe9ac7gJI u/fP0c1liTNkLgBHyhwNSbym9JAZarD+m14McDBEHcCP51DuFUxovaieVN8MoInrm53eAlNDfFdm moDMD+S1MXTX5cvZqFzAcQBGOiwk//QHJw8b9xtwKYvXbyyTADiLlWvoACKzAhnZr8auZVTmQKts 1hBHJ3el/6KgIJNl9hQShw+GYSBtj7+ki/guyfGSz8zkIGWFpNbKP7/iLXR3XofmrbZt1VidRbqU g9/h2P7o7r9B2F+FZXxyg3AFn0pOSAzEJ7/j/iI+oLiKaG8NHSW1CLUOplTI07v+iRi0fqofw6w1 W0d4eoaRm7Sl49kVwCArhzvibiGHxam/3a7cjxKjfREvULrgde/+9f0s2U+ZaFAItv290BfLi0Vi bfW4esZh6EvfDRRrGBr2ii5w5CH7ABOXjJ/e9UmpSUTvwA+CqCX289WbsoSPDs131YjkDDhdKju3 8l18Kah+D6z7qoYwjlnt8lKhpZBpM0zVwnZ4IiWv9GQA7kPTMARpADanCFlgC8KALY6ObOUGyk92 LT7gagykgVlUXv2IOXxj4PhXjUxkix9biV3GpGZHJwkf4SJHwT3Cv7wUjUD4NpLL5C7/lGyCduDd MR9HhwbYJR92ctPUoa8OU1h/fE0jgPqhtEArGqOkCQp4Gf7l6rOydQFtZsM7Rn5HUvNxzkBbPxXM xAIaTZULXit5vPMc0xg8N84KQns9ct+eymauAsZNTPF5ZdlxyvVBZL9+3UqUap3S4qjGANEwsAmK WAPFgbBDhTeooY7zn2grB5A8s8e0t1GKgSgwuC3RQRcXE6hwPny8iY5YSVZnMGRX7kJqzW7gQbig 1R/K8q3CrlUPbtE13kyFpJ9nayQUeJCoygK+vhyixWk00CVdqaPE9/lHSL1FBcnLVaK/jvJHYnz7 /bcIWjB+a4fZe49BuNDJ2v8+PwL2DaKKdiQ/h9j26NM7ozt2UlJbZiQFWbA/sXLPoZvcDRTUoMNw tbYKlkDUSJ6AFNlrfXu6Rl9LtGIxynohZprX/POHGO/4wztDRz+2cnEBQBlEFjN2SLFGByGZBD2m 7HMGBgb5vuhj4wKsYiam02zLrLSzOKiaMFfRuNIwN7+xVGxb7Wg8j+UwtcJgaTm5CQZNb/aErLQE 8XXOzxhxJaiUnB9YKEMJJGb3FyuQz9PS3VVSrKbultCCQ0y5YFl2euVzL1d60gtLgWWR/UkAQwd8 tZnSEMXDyO6VYY1/e4Nut+lXE7mw3Rn+IkVaR4RnfR3ejpHtIScY43Erwy+tVu3Yu/ui4XwY9cqK wVWdZRWTLkIicYMYeKRFbpqbJH6ojaMck+dqVo6q9vuauollp3BtAvq5/zu8nmD7hRJ99p9brQwh vlpUb4YAls19su45aVGO+7ZDWX83Osdc8QX7DABLQx4GfUTaKG8ebIc3IBVpWpS5pGQVb+PoF/kJ JsdpYFV9t803cNCsMFxPTvyuieSWy7vo6W3rhPEOlPjyHnz7ryooWDEJRXJre6mL27O9lH+sg2A6 xm1q7sk8nbEZILze0pkqnf4aR8unuqhgj6SIPeTo0dqO9kbVy9Jq4uZxRV6xqB+6tZqLZ5PTb1do y/CF8pB9KKAgIGSooqG+ldvspeGX992wBaqPX1DmNaCkZutLXoxUJ0rTwDyvrJLVSB4oWrw7nWH+ tIF+182UFnstRRE7l0B7hB0UOE8/MofX1RFDuMfAIff7pv4zTJWUcr6oUx13GsYFjHgZuXoaaOWV SlO7k/IHOSB8c+WIYrO6aZIt3CNcseiOmr0H/S5QdGlT770e0Y/xa7ZpQ7do06qk3xhGGawMSwAF sjHffgVrR4ZH6vX8cJ7e3AtBbEHIk1XGNmnUrR5RD6Ay0pUcp94kZxCBkmwJTb5FCxPJANStPBvW 6YD8xgkQrLBpyiPP3DWM8ZsWgxtObYRNpbYDEAWgEn2Dc0U6utnqWGYyaW6WU+HA0R2zPv0+0hHD UD5fnIgadteEzJ+vnuhQTj2UMrGSD3XlBnxAl+LAF6wakrw+D47sVoxcRPLnmJ1wRcDr6tBDNQb3 mytx3uBbpe2lP/67OxSBJVuwecC81biu0FzSSXN8LIpSfqlF9nVHVRK2TxhjqRX7Gukype5xM80i NVMSHxPhYMc3YY66xrRhXrDS73xSxs2yH1vK0XSDopjNVFY4iu01PT2Vi3ktHWA1rPNWi0A4vSFK 9pPiAezocslu/9zh62fJB8VE3luvw3PUg9euHifrAHI0ODSQhcUL9RVx/3Wa8HlXlmt78LaPcfE2 B4hVpP2HunCj2wXTo9FjbQLbzZ/GIjs325TKymSPDZB7x1mzoWXGpZCnZ6ir+Ct7jPkgzR5asHCp ENaSohapjyiNcKQoMO8J+bDiNUvbwKKLOLb+v3iF7qkH6sSV7GWbObmi2JzjUMVoWt21LnZ1ns+u Vm05A0Gjyw8fZ2Vv8S5133JnUXfFn3arCAh96yDBumIepD0NtLiZsXIbWZI7nCFdsZbkyrYlUna4 h1+Td89lthVCCLl10BMz/Mf4LX7KSR6a7mVwC9Va5649H6Gb/kWW6xBqKeC2+JUNWujJRLhh9S8M oQsPp2XbVaqC0r7PBbw5IaQFF4XQh2xS9FMDFOsVDrAQBrfjzDUixMAnJIjLUU9ZEvTQjCfMK15I yfgxWfNdvr9/3t5QU1TLZLperfrgv1iOdDqJZmsDtX1qEjSizKe7npfqRnoA5lkSy28KawDnnD59 siqZIvN3SNjP6vRaLrkXBsq+ZtlKudkxPisCnMugvwhhMRLz4bVsK8kt6sIVoX0GqchHkIf7qZbp WWxuHULV8rq6ec/bpGR7PTRkPkvZDqaWQFEIxtaDzRPgl8MwThMsE2sSB9E/XacymGlbqyQ56+Ns McSDQvxEYEj2Gu6B1ptcrIf74xtZUfjObXvH0CaxqMbLsEhxmRnxC8yEbzmXwVpJm60v6POc+t+k YKw1kBly1i+n/5hObXazUTGAyN6s4bdChdS4DfyhOnnIA+/tzuANVjHC3DRtApx+lvufO8L9F7qj eAYA+bnRX9Efox1l3Zu0e/5KID9mEOnaA+VH0Q0IyDVOBszR6R+TyB8XFiAc7MfEumu3BV9a6lgQ QH3rOa7FwSVtGTm4UT8d5lbBS3OMgvFKrCgXDxQfHn+3QO7OmgWAnYlrKI8l9QRW5+VW8bPJHq8X CQ+McZQSSrMgA+DXnEzHJmnpTJnFOcQ87OLRMRXHqnjGAq1H0yzfAFx+CJPdF75T1LQ+sVHRB3CV MYIRhWUzaR1WSDDYKShuYzfY5FpqqXwrLZz1eRlvyqC2H3xv8+p2opEvud8EHVf32gBk/VQv28Zw xzoSkZYbaupmO344rrNPiPEMV6iPXxmG0t7wGbpjVvHq4EEwGCSi0/ZvoNq07o5kdj7NQIA7qPAk sVl/8nAnCYy0cWUGlZeJNwlxSZ0ZlpMtRSEU08lEpcK2GO+Spl4EjQOZh1gjOVtcebJ9x0vrbdXc Jc+kP4lkNJe+WkLKQ4u8fr1mbavUE2qrGq5U/piHkQAo9s2WlRJZsC170ZMp880kONFUhw5FOMLl GrC9hxJGbcHx+xnznqFvkWJjlEISmlLuRvYr6ExLHopnRg0T9DgOV0ESBC5RNkFjwddJIXOPndRy T5cWxJ2k7hzlp+frpeQ1sn63pq5NMv1cpplMXNdlwVs0iv0XJhCe2m33yZZcnITUg3NL9bklpudJ jLz+4UUsMdYmKACbZ7Hfex10GCxj/BwRwcaa2rJmQWJyjbzCKo0OijwlS61x5ONRC1pqWA2VzbbN p+CW0LIpCseIT6TtDfzg4KuWluuGZpJGs8DuxDu/7vbcQn04dj9SpsiiQ5dzcVlCalYTKte0S4HJ EZO6crSInJgs/lv9WOa41RLR5oRLV/hghgCwICgNxblsodCnhYAbJB0J+JiMBj4MOh1Vdvd4Ym7j IiTLUdgFb+KKudXM/JX4Z3ClEUsqJKI7kD5IKeXcbjd9S+49HSkZrhC/effTkzKDYpp2GjWStJJj QRuo1w+oLje7HoOklm1PLOL2I/UkEG4qa+R0INid6nFWzCsM/qx96fSOQiuFaOwxMMQeh8AFT4Vn wWq6JVCgRSi+LbS84zGuJ4UhCd0Ze02pKTMB3hyp4ZOYI0FvV+mPhCfarW3fr6VnL6e1GaERxtUh SE5wdf0yMTTsKjoiUcjyKILXDennUAvXX5vE8tUBpclUWs1hWSPozVE31SoslE3eVZe95/aVCT+7 jV2iOk/OjHo0v0oJ3ax/qtMsleVSDn9zz80SNHkprb0ygC49Au+HQ2xeMBPncVQv3qt68G//XL+8 25/Aajgpg3w5aTar5B47Cycv/GEgsb28zTn9KKEfFj8tnbT04Ge+dFeUBakPdmHD6iKva5s0blc5 BlikOje8YVGYk0V1v5DnmOfnG2Az4kYVjq27nKdSE85B3+IVS6lgS6XYpAXLlduXhjSJXqahT2vx bp391t+d6GDdUpRjUOG3WCL7XCAb5A2gOSnHYCuR/+J8s0X9BhAp9EEB6c0d1NSEUgTd6qK6w//A 1clxw154p/+WgmIPFDBYXwFSYPEj0gL8wf5pIyIN9wORUm9rT6VZPzAKzHE/ydjF+VS/bmePn1Ml VlQV5jBWWYIvnFDFK6gPA1Y1kFvrDE0JMXpnl8z53+62b8kqdwHThVbnyYd6d1U/kRurjxCTe46F anGLMNg6ORN7gCfRuSxvgqbrkxKJTImsY5L+p7lYzpmwfNw68jyYZRy5etajaGEqaH+rjQanAK1P X/lDHmc12I7GE7bjnt38Uop2fH6qX/TcTH9WabTLZSpfnpjeRQZis2VaQrKHS1kCJ1PngKAN8lW0 3ZJ1qfNCvF5oEl8lzMB4Nq8yilnge3LfMP+qIDQmqkhOqvXm6ITSK6f3aRli75u1pa4jLCmlFKeD z/Z8kA2ZFBtPkCUuxpYkdWDn9aMMsjzDh1RbgTLaI8rRIXYahuly61CZM4KJTRLql7QHg2rA3z5T PAE3XSeMAYdQoLtL6Z34HTi2W4vBIWajOjDaqm32gBGfbUXQfaCrxSaESWhX/I+ZHZLd4Cpt6rxw VOCDU1mESgrjJ0MtQYg8HZJTSE+PvK3O/e6iHeXTzfebHexz1PSoSvthHnuRK+cCGzyHp7S68/F3 6LV0fddpYi/J8FenytwJWqJQp1NchbiPOyB3+OjcoCBNPK+zyPp9bNbzFKp5VER0zZaosOWJ/P2Z i1f+KnIatdBxwpho94dg9lw5zX5ln455LvNfIN7wRTcuc6MVaSpC7HBNArGNn5UxJpUpIGBywbOe oriruZcCBeoQrMsAvX4y7zZDN5EsK5krNI+FSWkPTnjCN7EgWm1J8FfprFP35DuSd93b2BeBXDE+ GRMVIUu1bb+iY1L+eOEJZF3oCCyJ346Qts3bkuG8zCgWWQqVXFkOQOT9KhjYnUIiIPI3H45dxJ9N TtMAx9lPy7AUOkJbmuOgEk13zo1PqBvyej8o1TV/SaZ51uZ19HIbDDBqnCabMLBlUBIG2EYnumEs WP8Pz3DUosaQvNPFpjwOoYeboAW2ZwVkRub1+nZ1S3nBMRZs8Hw0urbn3NW+UuQ/CDe2MC+KzF0Y 9WrODLvTWJyoD1K8PpsAI6DywFmMofQqOH7QwsbJAx7nsKaO/RsrMzct7nJEp7PDp1JSYHn8hPQ9 r7nwQKhUIieGYU0ydTNGCi5op28mjUKR+SR0h4uO8PJVx1YQxvWa1UIz5I8emm3GVuFqL1g5bZgy eC1b+nu7OJQ7ceqfeoUjtklHNzr6tMnkdDB2pLRJcQPgUC/KyPHzm5UU54I9wMJDPIxGSLZkZq2+ cWmCAa4tYPOCh3n4pppni7RqP7hJ3TUVQtPDVQ1w3wgz72mzKDJsZdvQKyiJYSpxaid0gd1HVYXi vjOkpmbsTY0m98RExXi4Bx3hLnMTdGVz3M+9P2Pih/sD3+qSGW+66Hq+7B5pIpzdzZzuF6uTPIER f5bwRX5Ud56WsIxUMC7PMXelM6rhfhsigDIG+3Eo69tdiI0nNwxWTA1v9SiGO/Qwu4MlOEPwNTVF qO78dtwBTmPFRi9jVyg7q6jvL6I2FEzS/JsAJtbgvkwK8hWqx4xEj2K9AN4YQdSriC1xn2Koj5iS JyOGqXjqngs1gMopnUqB98KeoqvymIE7dzj0R/IGnBR26CLjliKATj1z4YayH5Y2a9KspWPJ6xm5 Q6+nq6ajVO6wyMYOamJpYta+2MxHlFy3AtiVIuAtqlGXo4LQc3llDhBJcsRXf3NqiwAYJmOAWPB0 K1PgdYSW+xOP0eZLZp2OhzCAFhcRUQsMp+fFO7vtrWg9t4ZGq0MnxhC7nwV+iFJeuDZtyhkh6/je YuPPtm8aWY3fLteR2utEtOSI7R4z4hWte68ETUnxTpY5884zDa6ULpr3209pGDi6mi17m1+3+4OK r+87K4ZGglXaZh9TtKj85QcPM6mqJjwwtw5LqP+7p+HnlAqsQAiH8W3diNfnZhAHyvdPJYF19wTL cw3pi8Io3eUcVGW+b3jaXRL+HTtcXna4BH21e5W9TD95hU4uwV9ygV+hN8tVhsq3hXPXiaJ/mdzs fVWWH8TGokIGfL6+uaIar87oMKO4cNL5crEgERERVqDMF8BL72AINOHLbgbkqDaDnBR26xnslZOe waGvXlla7GyhcPPT6NFrZ+AfMpUqufBG5N7Zt2d4VvxObS9JzQICCIqLg7w4lzo4AYEYb426/qt/ pA1jiY0ihJ7KagJ6I0ZfgBGETLgka+JczmJ917Aie9abRh3+sx5fPlw0YYUkI0B3+WQnQYz81dLZ OA2CQv/fhIXXfp1jOyn7RHRJDb5LfmSHhUlDVN3FoBDqpN+3FmK0FUUnIA5SBE09gIA3m1sS+ZhQ J/wKt0ozKUfFrPXQh8Ac3HzdUImh54D7WulRydYZbWCo/XxNE3GGMQmaIOtkdhjLYJIqz3sG3/b7 Q6fLxhIwf7zqxJnNSjk1hLn8qy5ktL7TVrlNGKf/t81Jks8izWzNUMePOz5BBeQmRITU2cFO1wLB x/pI/gdbcAxCWzNRWpa44Lj3574GfmHlQ10VGvWV9XOiu58FBB9M96LjxWFb75Ice0hy2M5RtkLs PW+d6fOWf/N9s1NoU4BVACo7HJheC1mJhHuO4K2aiAk+Z/kjwXrm5LpzYk3CaP4soREqbcz+cNfv aNa+LSzdL+yyceidLy0e4xQUMpAVuhPxcgXmw/S4FMnZ2mJx3gUZowdWMMfa4jM0MQGFuCNheC9p mFsmmNtYHT/Q5FFivRcwy6HOgfkqfbSlSUKi2u0rkCgJA/1NQmrq7ijGc9m2YmoeVO3ZXi9U771N NKKjwr1u6c5+el94H3F9CtKAuTXqbmSfPXeDdfIkbDs3rJS0y8SgtydGkGktsUDGE74vNw28cIIa l1RkWrGz4Sws2uQMKev6KK9r/75GIS3Pww0xnFMzEQnW26IvMzwkqfFcZz3EdPO6hiipCua0w+LK p/6Fj80pqsncDQBs2+RLzV9gfeGPjgTL3syjy09On+h2L9dCp8cYSkouBd6vv61PdboRrvRJHOSi liOODBKicU+DiD5lF9FOB1xXKcBQeR3rlmcS+66B+ZWUhr8cV34SNDGju6KsVbvXrmbxD+uXrRZv MiVVep1wz4wCEILkPMWHOvs53cmJQ66oi6kBt5CSaU7P7moRPPaLBQlXFhJJWI1oxkIbziqaRKR1 unpuHPLeK8J9IzA52wm215h44CXUmSRi0hNCDruZkPos8mLP6eTw0gktrR/gB3ETIoM9l6AHfB1s 0bu8aOyod8CjjOVzQ1VTEIXLNKJmrSl12mJTMqsbTkP9qVgux3VddR4EGb6xlFQUxNETzhVhS229 fUbxka8RFgiVC96hwmaVfq3nn1/hK4hb6OQkLpjjCeEKJ/O8SoJhwRV9Ls6DSu35225aBaAc4MGo kr8LZ7DuF07zd4/6Mf1ZIN535CZrD4J6QDxZrA3D0WBv79uer97U52FN2S7lWeBy8TocNs+se7z7 QKZDseVeFSgAJItR+5r7Cdu2jc/XDeLXotJxsiitTFcFNME9ZA/tFpx4D9jFT0Y2vRLlsc+2QaYe ZPJdMBk4tjh8NLLvTBufTKPh+pXX/OzVYFi1kpnP++Cfopqob1XzPcQ6QSF9cvE/3HPZyZtU5A9+ FXgSDdAZfx6HohRcMIEJXlP5cn8mcwPs908XceItBeReMr//DJyY6uqGqW/35xipcz2X/TxjX1pY Z8Dw3P9zq7XPGojzjisr5eVNwKI13y473q7pHbKupkYbSxrABA3bOJ13+a1V79lrX5E2xPurcArS 7k2qZDhgiCahA6aH3C4ucl6hxpLRbkzvsrU+VZ1W5uLEbTy34Gm0ACCIMRuWkVKucAueprGQccci xHviydgMXIDD/EcDbi5RiQinQdx33f6NHPWPPAH1Jtk8U/unPk8m5Tht1SSIhMkao3RHfMpNLi4o jWrgv4L4m2lD7NlaW8BN/u8VOahJ8I5mnRpZ6ETMQybPx12ye5d5Ba0LV05ow6DMrvT1INO4uPVB 2ePeeCH+WI3BHvoR01EMM3TeOcE0UKPJBtcz5DBLYcn1ECOkcWTc/zCVh1nPKaHEzjR/YcqV2Hfc kNgJMF7pqEhXWQV7OnffchQ7XAzDS0+Jl10Jhx2P/XYtKs6SwMKgywvji0Oyv3qo9c2vUfivKZdn Lk+IoT0dy1zBcIsdSbzvzWiWX+LlIvph7FNnXyrkvkGCfLG8uO5eDVjJryL/5BiWZaaC3h4E/bUa R3seaMumcqnJZsvN7ATmXzbJ5Jd7hf+ANuZKZvixFlip5EUthzlLl7e6PB7bhefdGMdeG0WX30u3 ji2HkxGI05W7cTMKGkp4v6uBmBX9wAHujY0blQ2TWRtOE3f+yEkkZilzutCoe0ySf6Qw5l835XhP 46rqZt4qpqfsRJSOfMl+0F5cBW+BOuX07fmT1UDiX0yRSyg7WCeVFxApVVH/Fiic3IEyTEdlj3p9 lhzac2TqZJ2fGjNeGh/phKET81bqaBns0nDK6fpMwBybMAA0FZjQF/5KqLkGokqWIH2o9e+cy13J ilVk3t9/akHP2MhMHdXqQh9fwPPCXcurw1QAn5ZoLz/96bbJvT79sYK38Q/h7Z0K7AkAuCAiugBG IAwVXG/8lL2aARFa1oBHlRLMk+wCcCom+KvH4UeCpD05aOo4IMGsqoiZHwHupdeo2Z3gLiAlc1WL PP2Q8PSWAYSzTj9oCBPnPxfqxChofofQ1czbqIOuiOBXg8HvBEqoLc++mkIzz7KsbSBGYyLlILW7 C+O4RB9oohoio717xvjAW3/UmyG2INWGk18VIGH8eQ5OlMtI7LO0Bbh/QObwGNo+39pKzbw4g5ks YWbpevaNkaAfoVRKZ1e36zs2bGit7DTOqWDeQ2oWmP4BcVRIBzK3GrQqbmXPPncKYe9ZG6bwZIAU iQzygqn33c7ovrbYkN2GU9Q/5BxDwW3FazppbMbKMDqOdopzklvonHkA8kX+MHb92clAghT4O/uD iUZ08Dn8d/wEy3c9jeNPS6KsmudaVPAww/U435QOvMqMtHt8p2pNGMHR69dArt97onN483bl2ccZ XW9j+gcnCTwmSm5NZObfOOvgkf9wnMO2NclNl2JYnVYqIJ9CkR63HmdYPh+Cb+/fDPhasxF7y1la NubdAmBssCAc6Qu9BgDDR0Xapt+493xXhTPHY+p+RjNLn1h+Cy9ssnk4BNVDz3WwfjGd93LmQzRD 1ssddsQlRkMJcFWWcozd8mxr10cZ2l6T3aOzbZXR3ChlDjYkY8DM3vA3jeSvZmoNcC0a+mpJeG0+ K+aQPnOJ/pqyxbYl/ScfCKvBLSgTAfVP0J2svwZCd+zUI8d3uNOXVp0EiZh6LEuxn4MtkB1G3g38 9lxHR6HO6ty9qZA0caiLrI7ZCbXRP7XiE6J8xUyTtFaNWXqWVLtfxfTclANrYUnrV5tXjMsAWaYa ASjO/QRIVqk4S61JvvHV3U1Qwc7EExQHuMi6WveXvNa9q7BTl12U53VdplOQ+ti1FC2HuK5AsuE1 BfRU7egwHTfN3DPWEr/XoBJGjiNy5PWnmT0CxSgRh2EwH0Rh9ecr3scrntiZroy6nNHD/uDbLrIn JVrUV17cC3Gmh9BK5zkU+nBlPffsbHvSIlrZOUwT3ulo7N0cA7sSErCtUHdnU/CpQiwVc9OOEZjx SsTWmxHgCPEMk5m2epABWWpAZRJBPbu15kSK/HXS1toKVD9lwsL3lS11vGRg9FHD66R7LTn22X/H q/vWSQrNTTevJHsjpS/NhRFxhDUkiGFSBkK2hPc9c7StmYaauKU/DiOy7wrFs+iKZV78RcBqsBsJ qNjSJNx+t1K3qOigzx0lGktoFBrAVVOLQDwP1HIMrZCxtDXc6Pv+6GwEEhFK5/2Gze8t+ffzj8TU J9U83Al6tFBcJ1iJZlx70sQEqV4F0InMoe7+hhH1s06tQXtrkx6pundpMcxe1L14bHNepm/WFdSc 1sNvj6e+yYvV6m36r+1bbxs8SIV1awt7p8jxMwhV3UK/mkdXHZDerfnYxgS4Eq/cLjfs6yv4+LJ8 sK0KSnOXPCQGAjd6qExMWiY47bvjPwUco4Ew32dH7y40Yc+SCQ+49C/BpVaNeGl0cQ7Pt+VJUMrG Gl+iUr28vHNPklz279+zMwksF/yLEj8SrxUeg0Dl19l1c51pn320XeYXOXlZPeuz6+QkpNV+IZtI 3NztWJcW/K9FGqrjhRPOvHw7UUBVurseyyjOf6/aCF9ytcRhTEAur+YnWuIdhOnYFEeyNE7vgyQO zTXJGhXg7QRLWF47Hg4YiQmmbb2ewmIeg+e5583WbyjNvhZK2qci49CcKIq5gLmPMG6oM6KBUVsZ sGGRNCWaOAQBRbSaOVbtYGtY6gkhl9CQVI4Pvb/16nE9wE4EGKbV1440FhwfXZzD4bEEJarw7AE9 IBfsdYJxh3UDhc45Ps2gPJynNUlr0xyIc9PcDpHa1qnzVcvSmv4GdkQ+6rozjbc7w9C/MmUB4XuD 6yWB6NatHEVltm7HCKOdt8q32kRCC1+Uabx+ylNvhWtJdi8CBuL78Nl9fi6eoRpYKfmiAxNAPybD prcy4hdNK4NDg9GmUUAk+agaMicTdEhK7h+1T+m0l73Yr8t0KpTu0JZWF+fP6+kuQsNmxhIt0orb HD4UiXx+uWxFcPu0j+XTG2QHVPHvrHK4x/KxJKWHjUjF5s+2nKzAxCaVytMZbUhYY4LElNtopwTD Wa9F6mLKSK7ACRl4COxxraFw6dQyGo6dEnqzKdEGCFbh6QrhnuxCuQAB/kk0BzKbrxuATDF/v715 88DgqmccqFKPfY0+rXLykIE3Ktd0Veqwx/G+vOsjvxv9f9CjrKkxmnl/4Dz4qj+5pvNi9oQ3/Cok wsVSE8IBpowibWmrPgi7kMM8n3CBG/o1odDGs3KdTgxA774p2iPauNcBNixiKvzYusWLWaY5Two9 KmRltX/WUhK3/xy/cinnDM3hDMXCKAsMRAVmY30PIjqS5FfeYinuYxvR7w6j6bYE2lezbW0zAl1Y OicfEes351Z2T7lhRz+M6j3SuTb5zaFkK4ifFtFfR6YvgEHCUZZDVTNlCWIJtGHbszFQDVVoCha1 fuf+/dPSPEwnwBEY5MPQ7E0SuTHOqbw4eBt/kBBJXobVzADn2Pa7CpGtVHKuSgVEkT37YiRZLDbt h+r7HX8N6+5Op8oCV685kULpT8R6TtGHOuFypUz86/5JbAIBqAheje5YoRiacwOaD8XaUargJ5Km 6cxP13vKQ5lJi9rcECahG8kcwrR6exAMDCV92suCpgzGevNoTBx5zQ7GW9lIOviijdxcSwEMSZQv DWPYWnWrbK7/wqbWzj2VgKsEgSNNubqmQyHJcX3Pq8mVUKpGVqLDGz/9421aEZvhSb8Qjhd0GzzY E19uIaCGtBZ0gYnnQcpnJQmHvKiCylDZyho8MhkDCwlznAxEVSiweO4YWk1FeFof52Mc1pc0/7v1 wknO1lkQ9slb1S/QQGCCLQvIPCpWK4zz/NLDMlAMY3Mjtt25551XMmOHi5lkf85uZu2MkEzc4XNp 9TaEBW4LF3WIMOZ+66c0TrL/x19EeiMpSkOMGpmRHu45jsLdqd0RBDO9xpInhgIl9Ifj9t4Oo/ib ufmIpcCIffuIHJ26D+Hoz2Q8qTwt0Ks1tUOdND1Nvl+hxGDM4zkFRHAAu/SQ/IF8G8xP4oJDL7BL kB5U6ZMQlgrtjM9B+Ed0Oc/KrtV/AbX7o/wlAxeqN08qgGhnO3sQulRGRQ5PoTJepkUHIJFj8ZqO THEgK2ofWqCEUrTQ0Du3hi9EeeDbC4fhJQ3UX3w8aT/CMGrY8Q6R9kCY8t0CQhBwykN9Vvq6jimA ZTeBB6h7hihrDzEIkm7+a1gkzuljgB+KyWWZaS8J/j9GTO7N3eEDv+U3cDGnWPGdj1ojc3jA/S2/ TzjzJ1ORhu2q74MyzBFWnchYBas/Z+z/rU0WMXfR1CfFz+aBqkOeuhWCDlsQ481lyyIikG3oYDgI L4S6qGpv3UgzMRymxGmXx0KNDdrWZulN5cObQXflzRsDsjzlxVXPf3PMjvCnKEHx04Dl6BSYntLO LUYQ/5ZXPQv3w+9enu+vQW7fb/KZLP5hlkBGvBIP2BXJsf2KrHjjvN5baFgUcsjbi7K39pNka946 goHOjDpWz1G8jBYSvJIEsPxYfc2iUNS1T8qiFxNambvh2AyHIXefOEgMWY0kVFwxim2cxipj5oD2 DNC4AEUb/XvHPQIgY1r4iho6q9Dal2mbzYBle9Hl9y52F57lxyqSDou3Pr0lPwrJvunWhEstFT4s qpzvxHtbTprmoxAB2H9q70w4UyiU7OOtgpzCa2kfFBmwh7XDNE7jsG7Danuvg3YU8ilffOiGIu12 VgKty1zOFbAx5Z5Dv3XQTz71yD4iMQ109H04UCCf5nmc3Ha9CR0GwHJXav6k79l2NK1It/mAbTIZ H0CMkL9KMu6nNBBAZlqeftYBzjaGJGu07OX6W0EHhCDQmI2OOn/bWgfKn9u9kEPgw4RSlqw/LW/6 bZvolzG8tE+kROAX3qrj0CoeMluJJikshQUum6rf7vBzFJsSwvo6YpuzleCPSPtV2uPrkM1OsWlC u9liOuBPdDkFxXbdhyxMFECGc89ATpQgnkh3e5eIfUK9yPdX918zSnkNo57pwegIsp71lhqlgsaw kV90DmeK7bxNprcJJy/pymNpDPlXJ78dBnx/tyMe9MrdrVMOgqq2fg8JtE47pl9GjP2qNNrOCG4i DfGTieRgZhYUmjtYVquHVdQa4TnmiUJ8yC7Ca4Ut5hQemRtghymU1SGgZ94WiZ+yQlO5PbL2FJBS MZaSD9h391yUIaR7LmhmgnyLO84y3fmPWo9CorE4vYxgM83GY4+Qp5PJ4zZYelDwpkghkmZU2IOP yOW4xdlV2q7NvDxtXzSWXUqeeWj8f+xw9fSnTKld0epRXNlKPmYBcyVy0U2ZCGHGC8WVmJGp9bnJ c10HMPIlzDvUcjBDS0jqSrxHwHaOeQ9DJJtEDDr++2q7OtyTgNGCDXHiC73KTKhhgLHh/ZXfCUlB Vm1Xp6LaelBy0/MPI3S9VqBsBRaRCBydtivMOPa3qYAASMK9qatL/pgvofPjTjcKuqMmwUjYIP3D Wus9HHbQqVbWnmOwWDE0TWsrFLUF+ShLm5GjL+hJmwfKqnmWPe7Oc66rfs2v6lTDDLwvoqQXGdLH GmrZ7uix4K03f1qXbZLI7scGn7MEYkJTrjh8pRvz8FrgRsD/o4Wnfbge9PCEYbeNn+XkklnaEzp3 mHS3E2otW0sPNP9GELxFrSfJcwvjod90MkIy7HZO7+NXUzKLbINPOz53P6sa5mUDOO+kT23lByhx 9amQuVdM15+DFRMTO49p1U+sw/jSsbopavNJQpMG2CAd1BCBaeWYBCR3FRSItTtD7zr8NLwGPSnw Rpb8WK+5axxxPbGaKPSNzVvhoSQj5YCFPUO1FuEmVl2c53YsSkSMwK0CcDnx8F6TE2dg0dMtY9lW yeykv8WTipc1Y5azaRLgl1b8WeYTpQV4INazbi3G/L0SDC60UanKNdk+MMM2OyZ6GCf4otyZQZ4C YgBkO1Fvi4BK8bXHscy6dOXuE9UwKe60hXYot7W2QxUD0xQoKYKvZ8N/n6/iI3NVCpLkeEVYdG2U CgQAmHzGgbZ65WiDHJ8cR/xH42lpUHLRlCLl6yV8RFMy59tFCbvmXKEmxgHlM/4beKKEEIhMSdqW p83Zd4jUDjVh/tZwy2sdi4FZKBDBVwvr5w5MMFKlQKY7R9poBGjqvnnkJuflZxyh0tVXF5u7FgAO BbbtY+G7ijxZpnYVyo0Gt7DXz/iC0rB9CJfxqUIdEuOedw1HnKkhjGpa6XTc+rvqTCIohe7GpgMB g300bHm6Or+haNRq0rSrayQUP+NGx9aHNkmWSh7zOi+u6gdjTQmd6OuUbaVYUQeU2iYeUEeTs+zR ff7W7UTA3WKHLEl75laDaZnaDOgzPDvtu5eBkGmYdcv2KQOgRGFXKri2KqQGnG6HvF23ETvO50Ty Nxu2Q8TGEPY46WrUqiT1ZL/6nZJw07QFmncs+Io/JnUhWi58cFTkrSLbyL0T3X0hj+qA/f5lBUUg i85IEcgQFu6e9cJO6TsXHD+BnLGWHPjDGOdjUELPWil4HmfhYnVtndiYwMP/LPpFNzBi/31/IIfm iX6h7bGrPNtjrryjOTJh64MGo7PIqh2TX2CWbGFLJJvJq8MfaS4LbSLckfKey8sku8zWG9RnSWYY Tc43ScdPX2Nhlraiu/ZuC2rv4+fogOmBfi4/vUMj+xM/sF2mwffsPmIgl770+yfJ+5j7ppc9Scgy YsCcuu0uzz2Q56N+sw8oMvd+QAdt/08Ph7nrjsfxF+X29Gvy1AcO4Fj92bP4JgxxqixVXMtnlKo9 krfKooSL/9wunRd5ZergdTzpC70SAJi9PAL6Qmq59a0hU7vs82hIj2YzRMoabIY0ZzKue0PZIO/W a7Dqiq3BRXcWus7ARp5BPxDE4oJl1w+2LBBL+zoKdVPPfAihSJS4o8eiF9mM3DgQuar57a4ufZa9 mZGCyj4uidTzTSu6Rm+FXeiTTIOgqxTDrNSXsG8FfBUATVFk2YYbAc2BFh/bauXGv3pIVygqgMjn 0z4HDzqCgIAk6GLpZXVoDphLEFaM7A/JXImxJ6wD8a6Ikip7YrUB01uEpE8TVbCl6KGmj2jCIMK6 JwhpwHAdzLWl+lb15Rlq3ALCqUpNRoJYrIbO4vDjdBjHFRN0WKaDOx4B+s7vGGI60Qncu4kjhOE4 FTKiBxrXRXXP35ZG7MlTywXyy4C4WfMGiEsWbji+W2YIFcjxxaE0M2ZsxKInMzP4xPBizOQZEcHc gRMgbzje+MWBAYmt4VxyQSh7RaSf0a3/4fxk7aBQoEOzWPkvvoPyUxnXBS+hmcvBAo8EQTIL5izo CgH/EOGBASZbq5l9J3bnQBQbIz86MgkkdFlPSxaf3eUEQKm1Iyn4ZtGj1y4a4mDOzt+enRWKX4iF OYJO28oKoTVULM+eAK1mHX+Wvl7vLeQw/l7BIGRB85saieOrh6BEmvIn9tHI/zCVAhgLXWrdIZT1 x1NnVAnEpKCKW1bEDF9gu1QGvz0JQG/WJKrRFBy3Kqx3CcphnwJ8QIM7TbVCYimxG9O+/O1NHiBv c8BeD8Ob1l0lpscDStDt0ngBIagSnKafla4efNLoJF9OAzanwcX0+2RdYOuNJ78l+cQ4I7tvaBln kZvy35c+ZR8b+V1uBGQhwn+LsT6F48UIlK7Vhd1BPYtTOLPDxVVmW+RaMajYsxd2XMGgAfdKARM5 AqzljwvZ2LuZrrVOLB5Bco3h6ZRlJ8U3IGroYGVg5JiFNRAwn7zlzfXyULK3arFvdfO4VqqU759d /q6Q/CkoCrfG675DNJBO4dKQmwFTIgRKlld317vNwdlUldqaoxr/eMHLpWytkf8osBOqtU9IUvaK iH4v+irdjJWyZcLgLkdXz/qPgzgjDZqdgMQiEm+7zKW7uiyh6FpoyZ0t3xRH/2Xp+zHw8jN3gjmp ZZR0lxR+gTbwB2l2xCCIX3ss0f4HeoGnKZW6o9LhzWXyfW69bsfGEGzy8NaC/AkcD29Obi86BDL0 PSAK1hcXZrR64h+EQyEyKn9Hl8En4OONhsAUxjBxwkXjhfj4kq8q28/yYiKIqgZHyesJl/UlNIWk jK3+rUudTbgRJ1vRRUqCkNxd6YiR5ciBUr/zzXY0V1OlhVh2du1a4sCHHt1CkAHHCulYcp9wLRUl lL1cybHesyZE1ikEp4S93GNURC1nJgQoB4V1KBJUmsKAesK8HXN26d94GPQI3YanQyPqht27fla7 Je+UdQJA0MT6ESlfY6SgSiHARCMfOlOVhDIyU1a1my4OhPBNI+Zp2nfTZaJkmP/cdxg6eoQQvomS CM91u63rorEKIbcdXVEHVr5dK0ZuSN41tazf++QnH3q+Xnhhw+zd0IIwnmdz9UPJwuBQ3IAf0INT daDveVyRspkfhRGdLyD3EuBFD7O/n9au9hoqxDN9T+kLA3XmOU8qBWhPj/XTo6n4QPTWVDvcuQPe ugtXS/l2VMkpiUVCTxtKYU9+3Sz+nJVJXluy8jcn8OgSXriVoP+EV/DQ6qL/ONarvp7D5lNSbbZ1 nrmC5Wk3wYbFBQ0urROxpkw2g6lrlBBnHswDXVmij6F7UmKlPzUcJrwKnttCwW/oCHx0TnTJ/DeH vkqSO9KtZkeV6JBNptR4FBE4QmZtkBtuWKBmsTz8GsnQZRDNxbLpK1UV8eHRTRcC0YVNwBgtFHoG fBH+kfGu5dzq204vyC1mH1xu9Yo55dVN1nvVoBXIIp0tqMqAeldLRmSZlqiu9GuKSz8coaKviK3m 6GlgnzFkmz04BHrUVWgtQFdOUlND+/eQDa6+YDEcP4UmKhV7y1jR7b9WCiaiNIFDCf/06tAv6p2W GNopgIw/YwsC4ToN29IlgeDFvxeKNEocjxnfeaMMyToHa/yDIiDA/hb6s2knAfrIDjMCN9gLm1Ds AY8fpqLYNm3XXUrbzug5GSmme/r1QjgNYpi/mBF5WqLfM0Eg+FutI3yzd1vmOuZhqGgq4IHzQ7l/ lQKjsKXmPoDjpRTXmwo6OwDBO8+rJZLc2Z1g3N/EMyv+cIeh2GUjQjD09Wjd4HKVb5zyZ80bz7P4 s7JOVLwsI/tE/9DqncHJ3rb9trpYE5NviXeVrhvkt5EcDnpspUEIkARPA4U1+f1MZhm8UhJSwvjt raswsREW5gzIfI68PEHIhFC+nzFm4A6mAiPGsqvTRGApSEuMMNvSlj01+Me/1ATe+MlM6qt4X3RC iTph6hgkq3oYg+ipPXl53ydcblrAymlLPMDA/COY2/f0od7QMnZrPSlk7HwLLjvLnFKfW/iihm3p c5K94KLErePYYIgdSgpbOB3tSL2KfTz917VdzzfLtflOfQ1dGgDlMZVs3MhWIbLDdqQvqUT5Vy88 auNsNWxmvLRxtHRwFG449PKHTyperDR6F0QWVFXPpcV+Us7kdwZJ8gqbVjish2kAJz9y4DgR/DiF kNn74PGK8MLnca4gPMNlIIpgoakLIKzmoBHzAeDKIfENpss5UzXgOPa4AzcYfKjUK179BH68LThi Ir2GEKjChCIZqZMkNk7i57Og1kkN9i5kc5fgZvpfFBtzqtSaVA2eMXXK50AViX5mwh3pLb2qSRxw L51P2TfA42Dy0YtJGP2gjpzlYbvROAt66r74b416t3xkG/fS/NU8A0aSIAgys+Vp6mAFU6IsJ3Ra YBGEWuQmWnZh1X7FlLaw9OkZpHZwC83lq9vHctDniHdGP+RbD2Q0bfmRv4KcznMknHbSDETieRLp sG0A9MeYjDz5Xfc1wp3LD0eLTZr6cBT3rpyQ4NQVkyQZRUC8h8B/9KHSiF97Lsb/2GrksnSsUt4v J/KqHDvXE2jf0Ik5L1/bYQbtM4EESMAKJNbSRb4HtDL4mjduTFa1vKmGsJEn8o86RiCQpCmFScDi irqenIMOQfVvo6T05AXDmBr/6dd2fEl/EfFjdw9NhxoHfIRpjhllGiTZnsPX1UznbPW2t9btLOPZ qiqUKbmejnSQ2E6Las3UMuDcjDxBd+rBlAbPmfyLdmRvVFDG7L+yEz8cEkvWynOnBHWEv7e8MysZ mKcT9JtCTOc3Bqzk3akl/HPTVJQyKVZfzUrUV6K4hot9LZjdT2xJkCcv4ZsdKqDxHWDz7k7fLPwT 38UBfkT28JnxNHIrTGNqamubhCU7SX7Dduu2G+Y87DbxtAXR9VF0kL/wZabRYSnTx7QQurDeawEN JwUHos0naFenRJPXLUqRStfJFFvsh82XQLw20Qsom431UHmrwta4Si3bu+hI8cNrvfakVxPDMbmD /Tb0gh3zJoVL6ymXwyZsYMBDDVFqsewjbIgVAHmV7CTUt2htPDG+Qss++KQLYcHvUAL2vEGP9INQ 6uFWYlxRzn72ku3bA0Be3MKtijcH+r3SvwchqRoRYjVK/fVHqjWMjk2k4JTKv6cdqgTrRilOKlCo Th9FziIhwFMZ3hGZ90CF1OCrn67B+hbarW/MKK3TKFOcs59f3xcdD19ygfBly5eQTbjV1ApmDrvs yOH4HmwRgC4wPJs0Cc4K3ACEITSEFfWZK1aFujcp7pm8UYPYzUF9bhf/jXwtSWmsfnQnvu99FFgU mBA5B4xmcY+PKSTLTwT2zLBX2jkZEDr7LB+CTj3nXodIlBii0DAqlEbVJ0CnNV9jFIbrDO/Xwkas 6aXegcH2zfx92QDwuh4SsPi/xe+8Ly/7U4ujkJ8bo5wKxPdro2HXt3/c6UK6MdnWjP3R3YweAjJx xfxgQ8hL0Big/5JVACApw7E8MojUmRgEn0gNeEoJ8okCTYPpWmbs3l1KVGUpwf5QVH6jhZLcqzWo KL5WjrMPmsbFrCUhsOn2nw19r+NH1u6J+jz5k+SS/Kd4qihNHbKA3Imm3soDUOnbU8IPDPby/Vx9 DW4axV4ZPt1k3l7Mbq6SY36Gq9cyZTH51NxBPGHrXetR+vT8qIQXGlE52TSzz4RBjqDabXTlOvAd Ipy/B9ETK9biceMBdozXjaHhrQYWA/5tbc2XmxP/1qgX/TmwlwfVW+cydPS+qpxlZzKVMKuXx8/h iK90GJ3lCu4E5XSNJEDpszhUpOKR8O4B+n/C6yvt26IKyRxJwOS21/+0oGT80LJT7kGoXoiWd3Sc cHZKVPWaJ/Om/E6atGAmjCvLpTY+mwTCfnmwfd/XmGr61sdyYmIrfWT39GXDBrZlK+0pETeHItl1 z/Mh+GQD5Jce/VfElyK9KC3f4kqk49wndP+KTe7Xy+DlrhLYw46uBFE2UtK54pRcLQrJ16SePZjb thJBtppqmQnXLjlfRBRtI9MM7haiiQg0T7CSMEGx+dAEz1f4DVI7FSOgFAN9K2QvHw85q9gmOatp ZY2j1oVoLD4/I3bUZ3c+zDDcctZPvWpuk0WNanZQQ0Ie5daop0vLew2FckripC81kMQC0cgwf8+1 0+kMHv9sqVTtXDfp9Zgv7BH1F7lVn3PGSxji42SMe4WrAqNJb5uTWvknpk/o9Uy/o9/3edu8zQvj e7Y/7db8yKHX30sZLRB2DXkPuseu5+srn5ALLZE/Is964PMxnR977PYHm2Yapv38+Rb2yYpZDajU vBTEbou+TU0OhZEWjm/KaFJnNlZPObe7nNpdUcGZbK0ZMUrFLDVShL1csfqZ1w3zdEqvlf25lazk D88PioppL3a/yWriX92gHfKgdxaWYgU3oAOIFc+rIYEwAl8bjaTVXnJ9JMzafB4mez2RpryB+MNq Jzu3VbDzbFbhInrS7xXX5no9c/pdmvXLqOEQoT1OjpRVU8kyExDsd17UIgs51IDnq/UZiyV3lAkv FWEuzO+3EJZuTpbuIk2k2spGmMSOCOYlQsW86FDBfn0RVfH/PXaIUZBdtQ6CEFDl2kC0aGVhX3fX TveBTQvgbRZ+9szOFQEashVh7aH37BUYuTuJMLgGQGnQkPtNKyeByAVsCqW/P2P169Fcm14Kt4tu 5oBBRYtMLa32kJnNBMl3bg8FoLgK7JGeSc9hbFTtehpD39M19KngXF4goi/vQakiU9S/6XcQx5qt oKNri2vbJeGudaoELsgTthqE/KrQTkgi1hvJrYAQdaOKew6IZmzx58sPFLQ5Z0JzWwV7HQrgBq4z NLj4GEHEHcYvZLFSvCIqpFfgcZ9G9X4rLp8e0uWJ3CboNRJd7JY6y1Ps94MIEQ0rzNjTUqP52i5I CnyG3n7aw8FfwI2YI/S2UqGfjohZcgjUoDoJ3bHzESajEVo+JT/an9gTHCfuwyqvMEBN+AhOLpRY beBMsNlNb0SqD4Tg5UYj8Rqwr7a+6VWQ7B3CE99PZYcdwUKqhybvBQHZX7zhXdSwzQQFM/wReGy5 XYgu7WPOAzWf2Fd3l2xC7+DY5J+llzfgwVa8IDSmkJeSIby6ONyKzJ2OO/xNiK2fVp8QIyV8vgU0 OVkIKOqruc5XabMXBNh8KnUqF4hkfR8QZRbwt+vtOYt+w5SejA5H6azQC4OIV9F2WnVpC3qnfUX+ Z6B1jjVyfVLltYQKctyoVOvBWYxRq9BwHsxolnsy2U8+qFwst594fk0/ZGwoSbMXaYWP5+aNPH/E +MbtbrZ1siWD+/5KTt0oTeBTf8R3wzvcrBH+sOdvu9BtOMQUAQL4DUB7HoNLcJe37iGYqv/a9HFc XlPcZScow8C7kNROboCmwGA0IFJYGtlMejSnYx/gxTTI1s3JmRq74O+PUDKn0/ht3a7jnd15tlEP 6rp9uvVH0jDzgzihr7wcIWk9qT2i95vsVavsMzXFj39Uox6ZhmzUDYCtTw4IoX4X80yaPzBmaAAh ZDL2i0ht184GUxrFaJ3yjdNjlSKY/HVa4Min0tOkzEAie83fjG3fSi8s7UjY1UzlqaOKRjUT7UFl OL1XCD/5Geog/t7IW8rk/5mT5d3h2W3Cvtc1sEM8rJ9bYGQzuUmHeGG9JO85xlhK9/g4uL2OT6sr 3ykNL2L8RejW1UCSclxiMYn46hT4hsyXW2gs3ODK1lUTweQqysIddTfyuiPYWHb0VbjVKJYWsGTy 69JyJRsMQzD1g0fSKE5hS52/vRhKAJf3YAkpybSMJGB6m9tyqlzAg97Ooi81gaDoeuaxa1NVR4wY YRhyzK/cqkS0xiSaNxVgszlB51HiAxZ35D4zYrgNoYNcz0ChvCrpvI/gGj2hdsaH4AHeC24Ih+Gn GyVXW3VZPxf3K2sVcmxgnjmWhph9lSDuq9LG8An2WPhhGqh2DeCLJ39z6WhdV6ZwKViAzqCK6VMJ zGr1+VrIxCBVYn4a+0wibsG8H/bm4GdW2kkwowyYh7GhCZwzR5/KJZsNCwIU9g10BEgepZT9Kg7J pyB7Buz46xzgBmugzuVhg08BED/zaLOXl2IcLLfihp10OEEzK0ZPAJ5uG5l/UzYBk3vYbQRT/njY X4qAmDiiuVLY8m0NGCwrBgCT3oEeoPDRrolYNKYAoJLjMiPEi335dVK3plJdOWqjt0CZfUqG6/JR AHzc+gI2J20obJyP6p7jeJh9rgc4aLIaTgASpypg/Ma/tUP0Wwz/767PDloJFq2f6VLl1rnrvbZS RRzM6Clr4SyzD2fkAmNNs1gKz7Vr20+bRChsXpKvrjMEYBEOpwL8VWYL51+2DyLzFZQsyXy/okdM XiFpvMJyd//nX7LGNLELjWHNKClY8/BSfqcoKMFMC9La78bHWINkw1ZHy50y+ndegslOd5s28x/Z pCDOPwy8iYrJyI9rlVP8cuc5KWeXYVciOJyI+gEFfxlB2o3EdheEtfPeB0Kg2E9X0WAabCXWntXR 0j6QviHa80qhsGZeLL3jgm3m1aL8+sHhs0m19kF6YCAv3YXZcQNuDlJyC0rbK9NoMMNTAFvJrdEs caGM/qT0FgCWcNL+krodFuk0naXwAEEGvrlbFV2mJWb5taXAYBWPa4aydlaFwkXWz8muZl9DKTCu x72Wi9cMu3X1SSTz4HIDfhZjSQoIH12VSKm71pTpQJW5CQxdqN+V1uR7gzxd+06EK/PymAh9GO8R GqKpYSZ1NNV3NJQTE9Qx9mR5dLHHkIGaryCT23UoZWKyVZjNu/S3DYsZrPhzAoTtcJ2/2stp9azP FSUMgYlYFhfOjY6WfhNtz2O/hKZORtD4MlfB2TQJVht/6SHOabFzy6vsiFoLzcp6SSTXPdce5H/J wrjCsQRrMcEwdQGvkf9zv+VUIDdYBLow/kUG90RmUempR2SIbHkwHZEiMuUVmXVv1aoLbp0hmtp3 /99hDm5M4eaGV9u0XRt3nvlmbQhXTS+UkAAeejth/XpWWAjT7ZQBuvyDQZJPNW8SohQbSr7VYclH MTvTw3Y8UAySnSPA7ZPAv4dT/+Otp0ysJWW19WthJQOo892VN2KSdUoL1F78hauLEgjkufiUv8T7 LrFg6KRL3AiVvJ4WXz6DVjsa32ihx3v5McBomErMTNZ3X5/qqrGuE0vRHNSAWKgtx5OFImWJbuyb CSCit/BShkU/r13EQOcqZB7wL6RzNu4pK3PMGB627gSgvpxKZOZnUfY5Aj5ver3JRVrMrX4RjIgT iwZZKrWawx4AvfQpd/MrbAU8f3Sd+TFN9Ce6jfjUlxhqvA4dQ91wf91RagUIzqlHvjYqVp17UlJm 4sQLxh+KXFS7F1uzLgM2kgl08JmmG+Mi3Pg/ryPhOGD2EYm/sEp9v8gpuYSnu+/Rk2Hlu50tYK8N DIdHsCNuikdvEr4EX3nVWvSXl/h3qffIIirY8Dkf6PSp4jLV+lzGxPcbu/iagRbihp3499u7zZ7X 5eM3MFn6L9T0EiNRtFzt8zxgojG8zwz7SKioBl3CJ01hi1kYgoZrF6TxNv6XWQ462C10ke4EposA SScB8+6QZmS0EHAFdaovZj2YnoCmECBsC79WceGNtUCBbGOGqa8ZDEptqTSVTfGPoNzvQo67+9kH 7jrcKHkSLdp7DAXgpRDCiX2uizyDc7Tt1Pez1n3Mm3vcMJ6P4Fe+tyPW5sEkmzkTpBjNnJ92Gl2Z AW1RMhrDcbO6XU3xBn5mRQrqDwWR3HVnXN2T93AonQorN9QY6q6b1Id89hcqnM+o0gcFISYhrhg6 wrbvGe+BmOpdJUNu7LC3EqbCFDfCJDC7UftySNMXpGxYggHCxJKKyfLb9S1/JqOa4LzEKHwOPQG8 rvCt2hUsXfd7bxRJhRV3jncVQ6y/fYMqJavkPF8BX6fjE7nS1OALQjaOunRE+uO4n/76nWV8B0B7 3cSowsKdl6qIcaU38MAHVUbNP6uDAnR8MuJfoMrHd6q69OWD93AG65VbmR2j/UNcHNGxwp6OXUJU YSNyQXOUu6kCY9S2+cSW8n0LsRlnKGqbh2X3yXlKHwlW1I8dQ9l6B3lyTilXnFtQIlSwGPV9GUj1 gIl9TIlLsSWUXKwdwcvYfKHX2yLkIaPO5yJpM/ixhsVVDutLML53B2vw/xkJi1Wm/AsJg8nHvGAg /D9wD1JT8s7RU21PK0ZXTOF//9i/hMCCtbeZ5jNWEg5L8u/+lUNJcLYohG8KHFXP2lCwZ+1jI24e S3/wmKs5/teaUzRPEHVyF5aT08rDtQzrvZUJQg/w8Yd4FHgqbklZ9nbDvpBNPFJRPYHjwc5+jSY1 REB9pwnUTIx2pZPsuk4FKqC7Dymrccho7mVu/tuLSyJ0qBOMzxel5f0rKixb51yu6pYa421Lk71A zR4u8jNKic70Y0WNzcZzN1QR3LqKbir3JzoQAh72OZl56tOmqB2QwVwBE0Kx5MhmXmpmibbiZgyP 9vHT+5OAHyX04nnaDDHnDUZ1glqUjCgBmaiGRRq7mZa+35wMPV8vl5lsoxTAkxPJ/E5PBaqhLnJP RvXjubiDol9GX9EpfsDupumyfLU1HpCR6WfTG8c4zHItVZJh4CO9MDbxTtx6p/qhh/f/rlNDDuwp +utXfTIOUcp74E9kP873wQZDdAmtaeOtoH4GTqm+vw4CVyHrWwYQMBJ97V6B2vQPFh3DyNx9SruF ua38f7ChrINxeZ7vAnbdJrhzWqTQ41RvR555ZEzf2P5kppSF2YhGSDV2OfoVihdu/UD9r+uYjT2n D0OA9sTExelPsQfuayuhs/2tp+a+ff7FWoxC5YamVfJbKqNhoiC+PidBKZBWDJeMPYk/Vyg6Ubfe SX/Qxn8ksSm/8wmhvp8gKtzIqVLMW1UaGoZ2D4DK/81eh+y2Xi6DFteQ/+/SwKmN+z0W9fr89kP+ bqRr0yRJI2OH3nSkLVzgEzqXLeW80RBGfLcKX2pXAqeTnBD3LbZJtzRZpQYnjNhTSZuvRIukMEKk AxlkHJoHfo2ic/ImohQJj2QYSdo41RwXkIFnVT3EsOySUHx0lQVmaTe2d7KsmyiwOFaV/eGHvJq6 VZ5WgVg+yReLFx9LMvAz0C127qIhU26yQ+rs2ovkbFMtST7iRr91d9HN9Qd2iow0yJ0Bz4u9SE4d dpLZv4MHWRlREc6rqXu1iNavsuJRIIf/K2BotTcuPydyT6bQgv0fYPIseGDsSEzuB2p2+CrED9xf EhwAr/D+G9ZirEqZaoeMhiUv4qj0DZ/maUBNREMICVDcBhnIyMf18V0sVdhCmFFZ9NfI1bEyw8YE LCaSFlvbNLnxgS9il62IWAq9LvfPhiBEYnZkGtbzV8xX0AQN0vdchci3bKC1W28oHbvEN9h/RA32 15fjSGRvNijNWh/RDH9HqLTKAYrAnhWNW4DYwBhYIHrkiqr3pybmWG0sqtXeC7TjToGmeZy9qt4W 7A5mo1jD5OzedJIZt7LPgELU+weI5tfYyMxWqO0ehquSaXQf4EwIAYdmmacg7F/iRS5mRQnheVuq TdMtrQg91kiZ77IYNoDKXl57Pt/nDjEFwqOUcWWbQWyeQZl8ehgdgom56GBQFp9u7D86bcOgaGeQ /Dysg+MEnM54qWJafsyeYB3AdJHJ63DZOm2QF18YKs6uy7tjZg/h9JSt+DgOnW7cAQ0x7I2n1ala 07Hrw5SZfy6RdwJmBUFfZkJITzNsprGSmAuNZre5ySM5weR8zRSMtlP0SIKM9jSdfohipZjNgGeQ 9vu8TuHzidS9cWBCY7EomhqAFdXAskzorGmtFgZTo973cFRmXpTH0tbVb0KEalg1LRrKW5BDXmpa oG7j76+BK6U/jXyd/an9g4/SFmt2OfAtSS+oTFSjfEtlUsA9ScPCubMten3V3y4cU4rj1Jcz1Tdf sj20ae32+V/fc2xWafe5RmllhNIuEwpIB8Rje1D8I2+xFlbjgKEqQwZ2dHm/HhMnMNmv0kVXR5cr +yM93NILubYPxPvMYOidq7UqQrXYto8nNRPI5Vbb63nXTNCNEgP+ZBQbjRVyJGPLji76XmX7adBq euToZENVWRqo+OsLhyV4GUDQUJ9hEs9QVpGANgJSRSOSgRgwknc5MYHYaSISJskx077m/ftO7fcS Kwhe+RJhF3EIEzkKzU8WfC/OY77zBXAnt5Vh8H1/tQdcw2cGb5IuDCYJhP+Lewij+igm9oo2hBkN +wfKpw6tuowbnv5hy7/cZy1DSSZGxURxTUstrGNaQe+PE9Xp0VvVYlLrMHdcqpqeluK6pSe7tluW Vv2OvYP5zFg45hDLoaYEu7j5W/HMQ5ge5TqcI0ozh5bUfVQ8Y7nmdj2sHLW5/cumT7K3H16MvUtf FDz+ZWSuz3cNiTQbkqtZqcVlSr7vguPB6VjhOUebBHKMWidTE/KxBaYbN5LeW33Imt5u02g6FNk5 WRhZqBPiCspE5j008HPMcYMR7q7oLLWPnQZFIpZ2ADGBzKrY1tkMWBlk5kxsnVXO6deg11IAkrlG wOviAwApwrrT3FA2S7WsxJaHd2nWf/MTpMQbvDGJmM0i/gSO66VEkO3fAkusOgdlQHGh9kC5VmDD bF6hjh2bdi8jxTG2teiuQR/Sviu3CdwhZl+HMi/WmLj5Hv7+n2cxvxBQINIcbTawf+OL4QZ+ndOS CczdPeQOA6+1mZFkpZ6BBiMopNyu3nXqhIaoPVowO+g0rwQTzQmJVeJU7kdKF2RIATn6WYdy1rz+ kSaNrDV98W0CU0qrZ1TiTQpUcYz3wSS8f3aIpZXxE97YkkEUui56BejSgLTPdhVFM0RECftSjKjH 6SkjCa0pG2hcwbBpBUTzg8CmPQGYmSrGz7Aly7xWmF7yQrBFYb5bqa1uEiSz6pL8Kam0g5zjTKnp uK0JlYVffB2+quI3edYp6QQ7gzC7OfnJbT6W1+IxKaevVqjy1kIza4V8JdX/G6aIL5EHeItzlW/4 EIVMwMVp4jN0V5wbv0w02NPH0fcd2CwywPPANZVhvQoTmobhD8iiGcWIYOuVbvE+1ttQbu3tQi/q pJmRgWYYeWcUvQeKjoDxxaSDXIKTDmZM5Mi8UzcRGjA1I/Dbh4HjVTsXr+wT8MktnGKif4TkHkWM khP0DpAtTShYpip4dlXmKylpAIaxza2m5+wU+QGvQO66ntQzE3ndq9agJXpD4L2zC9ZzOx0MmBr3 pmxBDW6G0IXd0oV2dxqLN6xfk4IRsc1ejdEOJNvjpXWrGTI6H7t/Kr0djTzuiiYSIXt03zby8ev8 dmeocMOjyUArlTyATmzQFPO+UiXcCuhzAKE4fXD+N7KR24RhqpzrEJ1CeCXLeL0hPH+UEXWihUvS EmzjhBne+LF3UjeafYVQIondoU20Z/xnN/9JSl5irLJepokzcVAjRGBETZBHe+dcUmRGR7crH4jv iXU3H9423CuC4iyhgDDseuNF6r+9nC6H8bRuFB0P7MpYKMQA1EsCIQ93u6VmNqxCBRXCfiYVJnYx TLAym45pMFmSoun226xQMZJ+AR2QSoucjmFKXxjeT8jcPbSXgKZwXVrM/paMyXuScfWX/boLpwNb 2wP5LuhNdC08j2H2b6alNZToo7VivYorSAqUrgSUF3D1Fo/oh8zW0Y6akDGz//CxglFxh76T3RfL Dw9Z2QOSF7p4r5UNv3rXU1mMnwR2UfFp8nz/8wpWuSb4rE0XI30pkSUM4lCD1d2Wo0XpsU6OWGDe 3nSa+2J5Ecym2oR/K3moph82N7KVKv6B+ZjkaAqkJghwGZuOzAUgs5PZR7xtdDbgSxjASNhnKWpA UOmS8GvKCpid4kVCmcdavktzLifx1SVoyXCc/7YfIBOr/dwVv+Mdsdr2lHSQAcN5k5yk6xHstlam tbEx8H1qlKM/GwgSbuWeb7pZZtX4YZWjkojkPc3L/3CJoNVyPqgI1sV+gWUb1hoQvFJ+HYGsOlIi bYXBzjcHGzPHidl8gpGiC5v8R35TVdd6UbE5PiBiMtRyb5DSABphdwBvo1D7YGVIgOKJCkw/A7Nk dKy+Ge/n0ZhLKqd2DwhhZKK5hJ6q9Og8IydJImETZ8DsdqK1I2HMoh15xXSZqTn41IlwBqMDao/X YPSMU+iVlUGgnciUiWy2Vt9zQxZxjHoTU5PFlLEC9+DD1Ef6o02VTmQWf+hzH9oqNN1Ui8Dr89Nh 38y/7nmFwlV9vAuvnnFpiItlyysT3rdTZXPbbVQrCyg0SKFbY8I/k+4j2ZY0xoxBPh0QF2u/HEG3 iWIIAzO8m/wn4zG7xCe7CoIdBI6gyNQmMLhIrWoFyavEAfdTY6hvy9AUtO/CtnWK2q6a9V48+S9n Si9xVQlwCFpr2+rBocOM5eNK2n+/vUnyB4vztEqH4ED4Y662edHr2t21NRLnVxQvUzVaMxJg113O eDUMBa+7Uuv8sLMLO4hM7bcy83tcAjwdfz1c43Lqr74lrCKhTBZB2d3aF2JQYk5jTsMo/tE+XFNK V2JVaxK1Hu72bNGzeI5S1093wDwvyCDTVXchHCE3ENABjBwbUffgUSjE2Zvt8YhjHUngphgYFIDh 50ZlIPvmIz8HOVDmShqRMPTKv3bqxFs7ZD6IOYsEpoG9Wl+hevJMIu5qTR1agTttmV7whFMefb2T mjRmkqfmTaC7yF8Hhfz+3j3cjeSkh3rne80S0PeEoTjReblWcbI9weTd+0iB8yvQIM34ir+b9mgL gSDAg8MxEjnlddx5C0VxIUXoNbHhPgak0U7aXnZQihgYjc43ThP30ATJDaRS94/yaoskFmq+Whvl XdXmDxp3/1SL8CkH0V9GYxVZK2H7nZlH0ZVE3I+/m7C07KAJeslZrWzP9fooJnyLWXs98oOsFdW2 I/GaeH2cVUspGI02SVYdXsFUD0yeoQc9TJ0r1zff21wm4fz6ojEvC5BuMNvbUZ8RuCm8EvmWErih TNvsf1Ada2g4+kIDKmUEQ1vGDX1m4XfsUP9cSSpBnNWe4bM9yZacjKxHYJfIyOXO3saT58HyOgTi uHX4TwQSOKnByrI/o0eEZXEBHqXCtxa7JMIjCxpI5+UUE5g3uloF4hvEHtHYFPwg2PDMHaPpdNf7 JheDY5BTA88GGl6g1viVPni7xbefagTUemVkRXMMlAN84mVaDoEe9upCjkqn9e2lkbMSOZapnAtB TV1PigUiOJGa5+6OdJ8g+sulqc72cDq0URTFJBwGuNT6eDn8im/Y1tzco1Kc67FHUGzss+qpCCbA vyFdsgAZVi7Ywq9NrW4VFFN7yE98e18VLB+ZFuOWhOfguDV0fGUmmKKx+87ZvGGSnh3idORGAzr+ 45FPJ6VTDbKdsWgGxmqLlFHGfF+FLaPHdCKATw5w6zn6aJuIqO+y3fMhMwhEJII4b4HDSI73uIUw kC9XoNscHr4E/B/69SvD3Lv1WHppYdAD9RFWyZwArbrs6ek1TZRSQDhyxGCTZwwWbqJxSmDw+iRI SL5Raj7Q3H26MT5iylwGEWqAkuVbvlluaVuaGUgqmkJPG0kvkhUL9L03TKR2xuVvSbKLAkDB0OOB a/R5eGg1A6PnfPGV/mTjLJlkczKZQDdbeCxRUDpbCR6xVuH3S6jSlGlf1kza6GB5LDMLAagjZ6Nx bcHYw17bs2BEea9dhCVsWTZN+SyiFYWjHyHhkaqN9bwxMaJCxONf9pzJ5oNv0Nj9H0XviL1JubIV Xv2m84kJlp6j7nYDi9Dx/bJsAFgUOY0U2wsKNsIvSMK/diAaThOiX4v2PR4dwpoQ9TBCM2YJo7fh SkjUjQWREgzTxhUL8fy5s79zu+tOXsaccNJ9y9oWEqqbvt5S18rcgHU8lgHVKQl6Evj77sTBB5Xw a1dulBlOknKKLUXE356fsppm/5pqoLPCrX1QeABfC6lbc+ABVUZVX5uVv7HhHT/eImRL0ZMiRUim 4sTAf88KjGpd83Q8NT0FNLUGUr+xoTYAoLZMu/jXWrI7s/78tU9HQx1LWDcCUuQR7wjKIo3i9cTe ZJ0AQIaGWiwsQ90G5ddzP65LMlyyoJtqovlstDAwQqT0WcSjbcHvoGSlsh9UkjAGTe0BBPD0VjCF K9wDs8yN6ks7yJWvP+6e7nHEzsirgA3aeKmMNcS5emv7NE9XyurZ5WrMT8mk8rKNCwpfgk9bMZXz /DLmZYOrcXbiGspdd33w0LvnoFZmGw4o3v+QUzo3YCt9wPB88R3eBoymPfOoXXAGFidiFpH/4bXk UKoOLTkRKZ0FRn7nQi6K2W/penI3Uy0S/EdZD6o4vBx91xBWkZVTsvAaJmopHVj7Gg2kDyLUx//n xYJEC5QDHwaQR9vmBO/T9HwFvhfNKsI2N29JfQYvFaBQdyS3oAMGHQAqewoL1jogr2xx7TIoy1ck FVw4m9CIKHoVLeOpLHKsAJ8+0ceTeIlMfWNBRMs6sS0tQ0AcB/Dja1d5f0j13KRl98g2CDrkb56q qPrarj2aZt6O3qqucJkd9dpojtn2guibKCFEaVRFIqJv9R3KGs4iWZq4ElMspV/Ly8E9L8d+QHnZ 9TQBdZ+qoyiZcpsjJPoVXOU7RIFcU+aLuo5/IEjbv/BSKuzmOlL234OFBbTffrml+QongPH8PTfG nzFyxjLZErxU6/cTN1EmJwM2eYPZZ/jLn+EVPXOcxP1myJ4Tn6sSKhSYJcZMcFOsZn1DF5vce03V 8ijZTYH/Wt6It+fydjnr0nI1HFwpLAbN+NzdV5ZG3OxPSoKgFjmverPv1EZi5qc8u83LqbDhTY2B XFGNf7+33lKKK2KVOsX/JFZ2b4m9VUSgEsrQlZOvvjO1H2RHIlKowIgRVG6IUQ/U0BfdoNNKVDtY oYtxxgxdtsr/nWkNOEnGsfmJF8a5cxRtILsk2M+/Ey+oRZ2WzBZxsXEWlcyiBbuFjzdx3G9WDevg v9hwiONWquHxZbERP25C5j3FQWt4HGvLKhLsBFsKmRzwtCAo4UUz6ZaIJa4+fOmX+VGanHy6Chl7 ZttbMzQXOoyvL5iNoKksS0i+VmI4EnaofEbqqzbR+zMqJFheUH+N+dK24eoU1BZT4dAFNbtueTBc oN/Qn+fD0kedg58oLTgWDjY/IJYcYQM5fiUadtgN5UOAaAivAEdoZo9jzhYDTAW6j5d2Sh4KD5U3 pR4yWsm2A+eI2dJ15Sc8t8P4XA9cmYZkVlk4oKK3Ak/frvuoouPICjiUBKO6fkidACj8+6Kj0fEz MLK8LJxtmyjD9r4vZA92GivBZHgyxifdoRspf1JjjwlXTrXGJ/S2d7ND3QJabfMzK6uFm7VXnUWm AgZmd9wFcy4t36ynr1g/KVTSL2CDQejwWVpqGSZ2trcw9c+n36eSZZuy7MxPZZn/duJ+Cl8mpmva rqsXziMdzejc9jmmB0KPzpwQQNfj8YoDxemk3fjH7adxv/wDF2V7iVN+im8O+WEVT7NuyAftTDOq GCB1opQABRWjkU9OT2Esn0dTlE4S1Gp0KEFnKZEY0LdITGNQ9cQc9B+JeR8oGEkSqUWilo6vIQwD nOW4utieOQfSZ6evuwC0118tGNDWZ5eWjo6fVZFehhLpiIasKHe/KHtwQYVDOgZ5RDmMKB6r2jdB Zj90KukrTCGoYsspZNKNFyKqo7YhEVjI1Wqjmuv7LoI9vJAti4uhrOVASvQXia7gRCqa+/zgVyIv wjq4ymNSyFc2GKq+pjFEbX9z6SHDXjwmgtsukttYhiJkW2blJZgU7fFpf3J34Ecnwr1KwUsH1mwD AzEvx+X4Wysc9SE7W+W9hDfCexDQy4DWq5KfBVE9A4Z+HsCptVcl/qXBm4d/93R3Fo5p6Fd4juFv tZdlC6hN/UxumQT79bUBT3TeUsXtDUmr5bMF/FYimwduKpduzGugjtERCQ7zBaxAQqBFleIbXApq vVAuOTnvDmAwewj+gymBGChbtFoV0+H1pGst2qA2pzhMIgamprJNagOtklIF1sxVgd8AS6JiNBGR lkVmVODCJX+Lv0aky+PTqCYD/6u/NJv7WUOrTDHq6GeORDxjuqAiBRbmTqyqnZNCjhMy8C9I8uQc hBU1eFHiP4xwpMXfi4WAp63w8xPpmzrzSjCbQ63Yd5VFr8cwBk2iN4MoKNc37uKRkbLGEET2jQPu Lt1bXGyBzCljOFEAy6xOviokQCTBrt80zXYJEtM4Nsz/BfLoGfn5bFY0ghVsNTaBenCHrvFziyAB mUpaheG3mBCgvCbsf+JPf+Oy3KdmQ+56Q9Pm+kaMKVYlXcJ+BEjnBjGvYzs/g1ZReldCALK/8Kca iWPVJNdECXSnAahu/WZ36xgD1kN9zLnb+V39GA0p/bQv8LVIvj9+9nSbRFYiDBlOEgmB45/PrUm+ dljbF8t3XyWQXJ87f3LyrdpelUfOACMWgCtAk/jOfCN1gDso+y+UqvdKz+JHtRDE7l0c6GMH40qV 5ykKtwapVRqX1Pl3sQg5SU/5+PAfgRiTbA37cUr8s3IZJSptDUCKvfJG/qJ8bF26MZmEkh4cCvZj 44c8B67bMGKr3VpbUP63v8WudAEzsksDDpg3sHErAFemzclU6hLjiUSIXlyG+S3n8dC/nA+WKxcb MNvTr0c+apSdt2qYypt5oVvgSi6CWgG45BQkWUPvoeYR5hLI0xzAa9cPK74hYPcMM6I+AWYjMGpY CkJH7ml0NSnLN0pEUutH2CeTsbdQYwIgQBBBt8WyIeFV3KNYSMFb83ztvbYSB/XD8zy4sFW26Slo HxtuxQXeFyGgPcK1d+ZyXRbVAT0WZV4arS0GJVvHgoz3lk6+gyjybZr8b92U5iTK1hKtlyyhKr38 P+481hftWzokF6cq00PxV3IL6bgb5I3jIMvhHwF/E/jZfRYqXzlmjbCwGiJr1EOmQJaDmTl/c36/ sECf/BKSTylbhMQWAa32+dn89tjRjBdOHx2w0s/ylyJsxo57XSLo3d62AXkzt2GjYXtAOkRbQJ6x 8Pcqa3Lfqzja4OSg8oaiFwg/lAhUM4xFgpi6XvFIH1KqMDq0HubSkzBoRCubiEjd0DvXiMTdEh+t K39NuUPTCvrvN5UZS85+GWojvYLJgKIgTGpJ4u9JisUrM5wFkpCUQHacQjtG0HXy/S1j1c9SJIiN IU4ZPXPsNpFe6KOleoySR/IL5mtWknU6YIW+Ui76NMVPdyvQ9wvW+5JT5R9B72qHJW3LXsrxDagA NTkMRZw+vzyPtjaWZ7nrwwIL4FCBGCK+7onJkS/n5o2pryvT86zPHM7hneVrYh4zrs8WhoYTNG66 SprqqndzqdQy56pao92clv45onf2nCjdsCh3GiG7PtRC/n5dNaPzxGGcAO3i9ahmjrO8nMFoB+rx UPEmXVC9aUGIDGkD/5ZS1yetcnGU4vzKf49pnqMfrnSMHsIB17h6ItyWIyz2LiAtrErkhPosiGLp 53OHtDqNuCkJbg1dHaxTfBFLdSB1s2dLs2gbjM/gRw9w5hF2jYng+zT1EKbsefRTzUV6DZvXsZ4Y 8e0Q/6U9UZiFPTe31Z17HX2Ad8YyxQyvWDT79KxzjFOwNjU+t7dczk7fX5wsrn4MaFiqeoRlI9c/ 0NJF48Yn71p5uomL8MrSuCNyJlnIYrVx+1d0MZkRRn06KfPai7dtv+MZZhPQZBd/Rf31ga/g+4bJ nhAoCJxVThd9QyY+2PJjZQwxYJmF0kHkmR6R/Az3jOSJDeHNb/jLFGQDcN4ssi4QfPgagmeN2KsI tpYV1uZu0VS4iczXVO2BT0cutEfPEh1gX9gasFmcay9Nt7PBsjgPI5/e5QsKIOn5lvshnGsSSYry pF7rWAdu7rsAVCAXuRuj+RfGqElWn/2beY4T2LKNC0G3Hgyc/wRgj0YMIrRfuYOCWfUrfFpDoZIC 2+ITVvQkOq0pm3gbomJganXnylVotcEWtlR0o26GPZWNo5tC4K8twNTd9MMgjA3vis02diPYJvFb 65qOqWf17D9Tb/Q6gpgJRfqh4lzmOYxugANncX0jWE9HuPn9eBTkRAx0a40JW8yt0c5VQsrdzKTA iafQW9Eo0llqr+rgv2el0wom3DnDdom6uEtoN/fy5SlgWl2zuf3zS9lkyR260oA7i6MykOgywH9U MJlcOAdZopYkivmqkPk8iIlJsWf7M0huMT224McMiypaPEXveN0IvfmpxHlcYCAUosMrWBup1qBx wJkqYbvjZ8BXOzYLuM7k8HA1H0xmLphwv+bLf19hm5P3J/E6LywkGHaubWuqgQ/BvGLQ8US5/Msp CnP/cpgrIKpB8feXPq9QMpDmkMB0CEN0+kUkIvhXdf3bOR11MKVjOAilCFzZxGjyErKD+xP/vD2k 0jMcOIrm7Zmo/wqMxii+eHzM5z16QB9aqQcYCji3owwFKKv709wNfC8W3igIPc6B71obgw7B0Yuu MCsNYS7Eev0LKJT6H4qOx8OHfO3N3E2poESlpPai2ZzFDSl9eP1Bt3fU3ORpTQ0wmIGXB+5KJBtl wWGPTpYKmDrJ1rp8Ezq9RGDf2LhzLWYcPNCKu3Y15q2PHcY94WX2xpSbbVKzA3pLHYqNAYBuG0pe Y5CtCWTwOEbeL3sF3fklFlwXmM33XRP+A+uf2k0Mlwp97REG7c8Uw1UsRh7fetehQz0RmoNkYaZp 84h2cV+TAWgakYyx2OI7cJ0Vbp2CNnBtjGpj+RRJctXyGtHPaJCq0EOxJlP9alrWNEZmPgZiWEUZ oUl8FLCCFS3VZZuhHdlGTbvgeh3jk+zFXOCqPMPEDqovYdElmJokVZuZDvasWVWLVsqoiDp7jMZw S4sXzYJ5MIrqEMpdSmSuTyVpvSvAx3Gcv4os5V7+6KFSzoLEu23MDMTcTjm1p9sN/UFzdsIJnFJp 4MVfb145EWeemX1an3bnMao/ciBq9UJ5sme0udOqyBoN09PC2SRvXRPAzkO7Hh1Dz8oMP+b42wZG p4wo0sIBBNLGaoFwfIpxw1TA3Bwe8lGr9ocyS5cik2qIeO62fxhDUiUHhEazTTQ0nSpQuhgxa20I LGd8CITVRI0ZurpzlT68Q28AtaJoXPKj6eyLfnrzWCpXRJSRgSsLJnlbG6acHiCUQmT+XP4ZnmJQ xENu2aG0ysG6GEDRzh1p2C1kREI8sDigalQY6dP/M0vEizmYU797gqwZf8egX2wbmFBlItcFVwQq Zqod69nOXkV9ZO1YbnDIUh55YP0O48sv6pin73H6t/OZf78pxw5PSLTTi8Q85EikXCL1B1GA37D+ 0Kc9AC+veKV9orU1eW/DV5p1HXjDq4NgU0LjKFzArgaC/TZegvgaCbwKIANgCPSPnlrkV2b+1ksD KNliaeWwrqrNm10q/QryYDdbltosxZBNhnISNt3cO/M1OrkCFDi/oQ3NW5JpHBE2v0RQxdFlSYeg 0rM9FUVmzTaEvkVgelzOEzIeVs/1OBrJEQOT2AloEa4pCZxmoRJbawQ+h7ZvNO6tLJPRzeRfhdcf Wy1ilAe16fUAsFrHiGlW2KkX6ZPzg4sfMwvlRCW6F5YRrZ3v8+bR6CUnIQBtSb364GyVS8DSI6ZJ mFkKy97074C7a1nGXx/3L7nbFPcgGdR176JDkNBwh0xg6yHMvggeizSIg5jIoMKbN10w3IUdb02a XC4QXVFV2XH2DqzIpEYyFwQfbwye4R7JAahBV4AW6/FvgGJvbZU3nsIwvN3V1XDL4rEO2KIiybcD ZYP8YJoAbQ6Bp74DnRkx7nABfqfwl9ZnNWzrzUhLpzeLkptiVnYWAZcTYaeSg3Xj3DMJFwwjNReB oEeixWg0jX2HYF89ctqC8wjfk6nB+nYajpkE/nAm9BXFqz/bMjWvQ6HuvEnkvKPvGqtMFPJrlteH HHptCUGv+v3YLvlMNPNj3GQyv9h1zTj7mowwIwSp+cTRy3YocjppI2OjtPYdWvuU9t3p/Nzvuo7G Xw6HnPuKt1gCtqnhIifLQo+tIkp1XYzws9fcjXaRBa8OA5x7iWMpUMXg0MeCEm8widuzOzVQimBL iHoYBorAmcsGJoBfymvxuZJovqLh2bbmbJYUs3YINTFMVcdiVm3O6PhJCkK9svXt4TnUTRP72vJY EjD9ED7Qd5XUkgDXe7Ez1jxkCjH01B5Kb5ArwoWkNgOk7rhhKTcPVZRKXB2V08tf6arJE8HYBwbN u+Qu1MAnLs7QqKoM8a13VYixZhZOTuy2Ggk4wfRPfqw/7RU6jEnmptzRQcW1Qg4kpimezW4SFrEo k7RZyjW2mZrr4eB071NDo1TxbdBoJZQ92heWEurTnB5oCF/0yRUUzoo9oWfOvMaLwe3cIZkg3Rwg 0g0JjYM9GmcxsXg4T278NJFuSQQDNEk8Ot9xxIG7VX31CHjlDo3H4xRSALj4IvFFtbj7t5TZWDnY 3rmMAa8UMxH8HFXOBTmjDcwXzuPQiNpPdB/+gZg7seniF4QJ9gkpNOvUVNV8kK+V4WTfD/uKAO1z /yEN3JXUEMaOpqpgabyOwi0sMR7QNkjBwJesXkNtbOytSuDJCtRvTqWIn5P0Dio1U51P9NowMrd1 4WmLcBAIf6MM1jupbtNcTqVGNVtKi2L+CxI9O+ZerlyyKEx9DN5WYOFFnUgECaS5KO/0yh0tswA8 0u0BtBwdUTsubRoxlJJYvV+lFWpWBBMFB3nk6IxSkrWDPR8LMQnSQUimu1UIIIxcj1UoSFcr+YaY 36r8neDht7m4h5I4SrkXuNITO2fd0EbyDuaD/vlTRECX4hJZoMD8bmQsn64ETCFS/v0kCUWi49Tg 6CbGlSvCg9liPuQOsYQsh5CeSqm7VSVVW9GsfSsiwwRt/f/nzl0hfXWOjYdMaAAvSHla0qt94hse jOwyEbnfnhenfD6iyy/elH3u5DmoZ0Uxe44KLrqj/2GlhwkJJuQOW0DdkaKajCW7DD8rCPtbsGZe AFYHZqVssDwBfL6UhRvGt+myrSBOJHvY7Aw8iDL/m+KSmsCXL58R25ECDMC0LXvM/GJRaf4ZQx90 i+ed7EiBAfUhtay0g6/jYKoLLe4h19PlBZNSp8OtS2JhFI6WFYnC9apGU52NDkpng2aYdWgUjnvF cOf8Pbbg3uavjiWLb4CnEQEsyStKxwJWb1MAL9xMurTanyQ9CklE2g8SWrMFIU0rRdxyFwT7uLo1 kvVh7yIGl21q6GHUKw8ZExz4GMgVECxicmELH+aUZFL7EjvtVvbds6+wYqsJJ2BOePgd+R22FtE2 72mQPG/XzP0+KRYNXDABQtpkFlHIHPx57Ulfjv2DYmxWe11NgpaOPdMCxGeJ6DSOsR9E95tGwK49 HZnjOqc1+V3irEB247fqrsU73PqOQcDugy0GtIpk4vCwIEpq/kOYIIVFhO177AGxYWGnuVPidLqR VoYSC76mLrZjuDcKh0PMSm3F1TabSp+Z37CDTXIDNzQmdLL7qORJ16X+XSLpsc8lG+IG+SPXIH4C LapCtr7QqZJknh57SlOp46orIfsdFUxoYEkESOstY4+JSO8pcJuYcbN6Tk6U9ArLPE8WktOICHOx ATVgZ2lGaUQXiLr2t4MsGgi8hzbeAnLD4ipR3DMa9sdn4xK/kNPDjjCeX07gAgpVj/xuXtnpQjYw XOGZVcHbxCz9Q3+MfOCBdgs6X6bvLquzSb/2g7r1uXayDyjUDSDqMJAwB24hiZN2FyYwgKd4g0y9 ABFukH5U3oqpBeqsX922UoxjvvCYiNZxIfulxbQTl5stMw0FIxWdBK0/RC+4mEQsOq3Rv63quqk8 ZkaoHXcdSt/BN16AP0y5mXctbTm8PjjJol1js0PFJgdIFElYLlarmga62glBpGOHk8f6HCpgQ7iH 0S4nwwVxeRY2/0tYfczjDKjE+fb62krAfhrXGDcjOGVqZ2UXUuYjbRe7lai7QhgZEC3MBg4/skJg 242YZlGu/OAlxBDCvDvt90q/76rksJEUODhTEv6hOBYT5gjhaVXSJwNh1IhnbNt7ek1toQM8MuNB NTQwYnKSJJRvJR5km1T911wskzfrLCqRC8ffgvqiCeHfmCQKlASwduXjIFNRwPAYsZoMv0ItGtUX +05oVim51BD1xFljA0MBfm2UaoKq4oq8P1uKcktKQ92QpTqaKiM+pqHCuATOOZsncQocX9sIePJn gNXVTVAO/0D74qQWiYyOYx/XlKn2wjdKokxAg53pnkO/r4LO9L2LosRGt84PYoo9ockbNM1yKfy0 1lmKL6qa6MuaRTHn/hKDhO6EtqskoWXKUKJxxsBAO68Cl9a4/u8NdnQ8f9l8zsvXPretpIdt+Q+u NENU4LZ0sX6m6/mm9z39RfMG/+Yw2JBn9TmrCjyGF6jcpt6MOTeyTDJipklVWm/y6oxb+Q1pUEBY iJP2E+PiTzjSqf7J8d3/2LgK+YMoI71JPHC2ZKHZaIVLvjI7QyL+fN310N+fhhUav2m+9f9x232x 8Yg19Q8MSRw1sGuXoTWsC4OvkU0dHF7cHxhFmK6hLhQefsMXwhBxuGDblP+HPlYonx811e/Jlw1z KUlqlR14qKB/90KsHKAA02q1Th3/QOA/dayAwyC12RJAVorqBo/59WAkGrcrF/hRVQdshqrddjkv O995nzcTS5K8MPHL6z15XTXAzqCc05BhAPpIRWInquuln2eralszulpUCbGJyQAph8+73EvuvSQO xrnJQuq8KYgsxovrMGaSk5/+Vt7dor98vKDHmbqCFdLI7ahHNosuV/W4mEwAYtuiee0hyYy6371D +YWWceYi3W5YU8uOmJNftImTbiH89Zgrp6Kr5A57G3JaRgG3u+yFjFNfuGentv/+o/SzCyoCf7Dd i0FpZugKCq63sb/OMhqxz3IFjskm9MUm1X3sYGDF0j5J9eKbFqSLkqT/qFxFAUB7c3JOXtLQl/DI c+mJH4pheopfYPp5WoccLLlEPspsebsvX/RO2xk9xqJYAEDdEeP6z6nWcFxPWxMpPxs46DCXU/+y JZB+TgWH/OdJIKRHVaffBv6FHQ99YBoIE4QIST3FHHtDj8C3WR+dxWNjvWuQ133gau54rQE0LChG kBuxSKMn3WrukDdfOqdc1Fk54lxqOb+IzrYsGurJeI9jwFkr29Tu7j2McjZVanoK5P7nNPoZzvct aZDoGZiF+EwvBqv0Ql4H10GT9+8dUR7pWGRpGQf3Gsh4ebO8XUsbzr2oA7baJLCoeFxSeRsJT0ls iWu4+5VgcX4NREsW23IL2lt/dYRMud5x+7WhrEiXpPe6PYvU6Dzinw1QfRimOZEZmZ6aP7cvS+Xx a4RTJtpjUX8Nj7IPdJlOUK2uYPC8XJ60DtSjCs0pyPhV/FzpbsGpWhBVnj8qUoOtLoecO/aE+IMP b5xCSNrgLZRdipAx6XFv/ulwokwtADGQ2hq58lq+xIl8myq/gBVsnXxsAQ83EKalywoH4opFouaS LV0iF/gsVycHDDE0yLWl8X+v8L8/y8mH/FRdbEYx2tfrLDNzFB/91HExjNkkHwoyDsIPcxUx0C42 oW/gAT7vHHlbkACKw9S7iM/KQkLcds3OWKL/miQ60fg4lgBjUEWYf/LImcm8svSvg+oLJ7NiNsPa O+Qcny77rOIjvzoGE3ra6DlGJesKiC9V+4e4nReIVF+hcHeetgdClKeLFOoOi5nlfAvh4SOftBZi GFoglWf0V/fPTK81rBOCki/35HDS1UiYZnvOwXnHnmcA6HRjLcobBs0TFy2VBP4zcrosANH9xXBQ yQhjN8wN4zi0B1x7H1sWKWTGrpuNRN1JWNFezdp5QIesTZT6NdbTsZqBs/bhOqPOzebQs8A73tOA YnDOunv4HZVx+Xa/ZX4yZZyUVZnPkeizvMn3Itnk9hgY2GCuXm9aoGCGW/DdnaZ4dM+Mg2KmBibT LgMd2WmdP134uHNum/2+rv9mREJk+T6B6Vihv/W45gqGCD61P+I6iqQJxJLu1ToZWnDNYgrjq/Ds 4KVyPJS1dn5slqclK+sXkzyKKvuVXYG3PTcXRmYa95KZHbOfKZ00A3B2jKO/v4cpRc6E5jVbHvr+ rldudsmY4QxPv0WJ95pd7Axh5eZOfMfKgHCRc56BCRatG20bU2jFt1LVrAx5B9BLy/isP3dDNSGg T1C9WcBbPadxc8uD1BnADOnLDruQMQV64mGOvRxoPurBhCI35rBmnEmtrNtNbQwF8zlibh/crsR5 0+Ve3DFsWCKRYSkS6ICwmBIZWu+28xxuRErICHj1MckBpx0S3oQcIpkffaeYmwIfz9ISdILX3WiR Vz4dK5pUKZhuxz0pkrRnY2B2pS+rvDhtR0gRCg4SLio4XaWGwDGb5qqGQ5gEVakQmlkpVV+qEIAL 6DLwGSffWLjJz+v6AdpNtHCavt7BBXcvzRPkP9UzYTAWyfPPvIlLUKJyaGxRD98kNaGIzv9Q5NDK E+zk4jq3zyVPFv6EbEAnjnd9JrJQkO42ugbN6HQCGB1A5VYS40r1dUAoA9ue0dlPa/pP87KfQlhz mDndEZrEy0ESJR84XoZiTSv/69qAbpsSbIk5v/vP6N4gEJcEN+YyZCYsUqbre1p+B8o8eWI0nPDY wXBEjV9cb2KA5luRXV/YJDo+qRoNW576t+lNo+CYJjItv6VnQuKYC9HDRGBOWGjt0P+8QoLO9R90 RElmCFub7IUFOrkZ4VU5dj0fYXh1wSbeGYmFyU9Y1pIZUNgHKm6K6liTQQoUzRYoxG1J8CXElR2z K/fELlNlDAgIzJwEY2iMdToZ00WDxXzjdXMI7M8JgtAxRPAgXPPSYn0S3snhIZWUptMO0ZosilH1 vCmjITKhcZoeI2cdJl83ZyDQUcljzY0ymOJhMKtvLH82Bmui2dMstJAeZJH6qtkhYIqPw8rjzBeZ vcIXvDVMo/z8rgOKt4f2OG6/edQtT+tQIMubSd4jH6I260tOipunMnPm74xCt4+5yRCAbxCL3WEU IrPHLk1vRec3eJfthI7PhVpZgRenjS1yMO7o8TPKiajY75O9sg/iQhyzFl+J2NzEFvJITqlbcijz qgmKtyZXYueR3qxCTzmB7Vv4/MS14ppconXcgIK1TGP4r74s/3XjKNqSWdMrFbZhF1PNctJo1+xv /3rYkWEwQEkc+39hSCKPdLGnv99ZZ/itp6K/rVZdUpGleRCZUdJF5QTlLrqol+OymBqRaX8SqVAO jHOKYt5OXyOQD3uqLT07MKnB5txnHNGLfjryj5uxjLkh3JjaZWiphvtzxVwwHEsjRUeZZCPmZSsq ZoEpaPfWEv4fPHb8HJfl3Z9YM6l5xKt84KMwhnfy7qtdFM0A7/xcUfLndVSp63T4IYsA8K77yBHI ZkazcrkyL2PU9uAekymZi1PaIhGFpuq5G8A890XGLaRYpTh4aWF5D44fD5NYpik3DB9bPNOkKcrs 14mwr8hTdtSmTo+VWdPZLkNnA6h8Y2p4nDwh3H+i7OoBksbE2FNQdDmY5MoRdPXDhRz92mxL5Kqz wHs2Fk85X9jm2E26ZYLtgnQm6Om9ssv02uIU0GbGtYjYERgNMp4KdJu1tuBBeb9OVMLUR5BtiZWF MU+FOdDA5m7VIMYU3oioZxlQhPasNYKp7jh+YgHxgjxd4og0TFtby2a1CiHbs5qnpDJ0jekvDwBh tbqpfb4jLqcHgON+dtMpp/U3NWz4xnLiDXGuWNnGh2fF/BBDzfqALfpLXC8LEzEwNqpEoJyCLiQY crGJNJk9RFQx5FEN2Sp4eeLTfVhYoIk7vNACi70QKOOGp+mPJtpdd2XeZQYG3rt/p1dI3KImk3GB pEw5BAq0iYHvSUTPKAGzZMv8cHd6tIVo5Xm6EPB146VhfcJJtUgSvhx2yxsfwa408xJWz7z6CtCX jrx0HHG0AxCf9jspFZSL4ivP+Okn1aas+RErf0yXNh33CFcj6Zm+YiSIf7zZEZmD7VAISPW4r6Dj F8wK13gyHkQXimJnmllXgUMW/H93WbF3pQb1fCOLS8atBo68bt6lQvoNQ9SsJb0LtGw1D7NUNxs4 gkVO7SlanuFTSV3v/c9HObmnWeWs2WolXA+QeWm8u7z0sBg5FyMEMKJsMsVi7pHH0cZ2rN5z0wIU EBn22Gq9KiBlS2nAD2O82WBvWMKGDtzsYpCCA5LHYiz7MzaMttjeKS+/3YFSshwQSE+yJKD/cjaD S48RwmRMAVuqdooItow0h5oVdXlRu7p8HaIjHhM6Bsde0IV1xnJBFc+ZNsEZZeLle5YP1K0em1WM 9grGX7/WUmNqv72j9ylKEpdENpxkq3XVjI+JQsrcD85L+OinQBMcH0wS4zvcMpJkIIft/Y7pSgXr nUBQ5gtFbUWvzkPHWGiehrN+Dh4qTPy9ZICDE133ookGwvdAiB/QCPd8GhAiNhMFR7UP9yCVWcAB iLeE7oPxCieKekNUrtB9VKfy1eUxyIcbXbhQNxmPRaUghJ1AkiXVUiaEg7+Ss6U59e5qhFvxxb6X TCWKOsaauzNO9YZRvXXy1I0s69ZMWrxrrUn7EhcWgdAf8kvZd+h+qUwgydQ9CeLcNz3APp/w1NQ4 pR8wsyREjXi6GPRlw1uuzQ8OlFjh+hTOfJD6XB6Eg8R348AQgcA/Ij43plll29zlywRFOZjJZFrT Ok2LBBnH4nBNallGjOO13k/bLr6j8vpEpkAnYzh0Irs7gZpd+RfbI+/wMjEufYd/DNTGOqCPtTRm ahMRg3PalfY7yu+I1dGqjv7dExUiogBDNeghHzIeWw8/QSQFQ16BsNEIr+HoW45E3oS/q0YEvaW2 GmS4i+q/okiS1F1R4fxO4F/l9Qbzo5da0RqTazHOmFr+Dj+D2px7754Fwodoxi22PP+3r3Ic8VCI isYqi+PD0tAj8Ql16KuzoET4OV+XETV98Il7k9WgxEHyBKC4oZC67tJw4gBGcn0gQij269HKPEh2 ZzcUMi7DCNhYLddhNwinqQewaHBz6lZpQbQ0HQfNLB8F5WVUwelzFMlu1z/hnvpwg+XYO09GRPNg g0Yo7+NTG6AUHMvtXMg5iN+XU/7cscovaRxKoHRTmlPwspSMPll5DFKW2CcEfZOpSgntSzAEk3vE 02TwWY9n/NqRdnlRc9w57yyDtAng+uZHFzECr7do7Nc+vabPvctXcFKkeg/z6D1sBtms6JBsGyGV 3B4Qes/xIiW+09EihswZYcHfbxsnFhPbsc08XEqGMTYBAjFSApeZMKGNa3G3aJNIwDvp+VDI67Ks lga6E1MMPpasT6I+s72tkV7PfPgwXzlvm5jOPoT05BAdr7QnOAw8uTiL2U7Ustj94ZLd2ZgV7N07 lBcVTxIEJfjOpK/kNv5o8NfpxgvUVS1eDHTBcG+h/ecYDypiFrwBhYdVq+uphy0kKfGcJSdNRxZL VCiBsBKCr9KGkTw0462IZfD0y8m58vJ5d3+vzmboJ5itCJKMsZ0rW8CoksbwdQ7JsVbFQyOEdo9O kyutYBgIhPIxWL78+ccZOGRK5/a/Tvsox9rUuf+fc0NqPvVYpJSNryuBKPg9LVIdvvGVXyp7sWua eUdcbxxvYZQ+nmVhVQTtvVgq+1XuoT+SHPT4h2XUKWatAQ5J9ClpT6kPbZfMd5mjLBKusQrIReZg Xux8OA/bjFfNYhFiy7X9W5b1YwWuR8nxc4bZl0spk+44IWufCC0u8csk2ffBIez1un2alzeeJcab YOLK/l+9ExkhKOyCBQ7qVifGWTS+JYr5WtLaxpg5OXgyfi5L5TjXOkHyKpUY/zRRSeu61MuKDcx2 kFXGMpAeLn+8SoQzOkDjQ5P+g5bxDXwmRfYLTO4B5Jjsng8Tif7wVxxNj+5i97UF2ZKDtV3mB3UV AoiGVpR/EABIty5TRPiWpSsCDOE79c7ev/XEOIgZCcNGbqvljkkFH8kRjAbXU7KunXwReiXojlXG CjD0a78q8heEXb82bZRU4Pc7swsl10R7lhTEEO7VZ0hikPvqPUawHsoL/xGu5HJYrqDft6tnPBre 3Y8UHRFJfYo3WrQ8PcsHiubntVfcuph/4gJugp7dGvZHxKc77UvUcRbi9tUOVDSC3/ZVrktl18dJ PoVi00IDcf0dn/ZiXZMxV2Hb4zUjUnAkvi0ZGcJHvH8HURQp9gC3fsdegY/B+rlJkRm+reO0mg6F AErhr7JnFGIX82KNzV1iz5sloiragFSlkCx+Jjev34AWggUl7MhPwWlXhN/3xKrbheLX0bJLhbNK HoXSi50V381GCItt2VsvCUcT6DmztEVMWdP1dIlcjVFV8kBtyY1moh5XTg1+b1rRepiikfwKPXBO LEr0pjP7lPXLuW4G+CtEhA7N27gaXfRMXPruO9+Y1hlSG91r6mLAWe/FxXczP3UhOfte7Iq0pp0t 4YTdrWK6SLrqRbUsbsYGK8jhNj3+j52oeQwdIsx2tioGTotA/mOF4ovZn4AowHQzjjksVadQmmEP Eir6kD9JgkS6m7Wnlq7pQGdiKfUpyE/MP/JL34rJYesvsSdVmMKZBJdAM0qeGvHVincIdptTVD8z MaLDbEoaVYJG0Fq9cBZH8xzQB61ZgaFPBB4eDuxliPSx4F21T4AEp/Qogio1bjRfDORSWJcl8epL XGdVpDv4wnQkU0snFOXHbX4o8zUDqz3i8KYL3N/znaiUrbv8g4Ob4Z+C1I8L8DnfECqLYkrBCc+F JybxY50risfgFP058UKsFXEJIjNtfVn6e2mqEM3H0tIMMyg+WyML6HWgOhKVq6Gc9OOQ6vm0S2Tk HKuLOcDwcyqdNF3WlcY+TZz98IZpfX92REtdZAI5M0L6AcKDJWcDoAftJc+lO+NX6HgY5PheUITg hGgyjHdG2GsK86wDfh2oZnev16jDh4NMU6jXJkQ+TZ+qLPK8xyVG9K7eKWmd9dphpsTouQkix9UD hCrj0LB/0LEZjoZZrGMTM0SGyK7hHuXHNtwFsuKgBsAWLzGZVx8xJX/g8rXN9y6WSPZj4x7882TM 2DRKKoCeL8VlpfocVpYM9A6qbc7rSV8UYj3g5Zk57BSCNGaSVKGLIkmjaxfY0we1E3gMI6X+ZwGo itlxOBVlQ10luFPeMNcGRWvOx+wrgY5kix3sVX5Grcyk1kWhSUCSwHTO1ASRufHC311GYMGE3LuI xGNhW78hquf8Z0yUrlwkQuAr2/jvxnrVjMj4BOTbveTISQLG2XyrVhnsj1k0knWJacVOsJbMksB0 O1R7TO7T3YznTKlOfqnU3+wQGDBtkbc0Tsc0irjPqQTL6+nae6Z6z+Fjz1lkHoI7CmjE6yTV7s3X Sx9/gZkLKStjTT21L9n0xR4Ox8yG+z5aKMg/489bKk+n3UvjNHMmi0Y/MiuBu80nwB1D8jDrT8h2 2vQFF8MVunmXZqhpn6zhLX/bVmF7LGlWmCCwf9HzfJfTlJRIj0g6yxjf15r64oEkaAtQL1aUFWgv afO0BCWYKsKABXgBUM7QNb5FVSoxZCPmq3/FcdhJps589K41fBgqeOWR+VDfbNtsRSHttcfMrnwF FbOrl+6PA3lxgGlEPKZTe+NX6KVqmJHL7hcGcFNnWPvRJcllsLcqiPvBMMRhCCzIvRH5qXX70RvC zPNFKaX3vAGx9EkCQsFLquX7x170ushKdXyVEKmx6sYBh7l+tlj47V/dbSRg/Vo4AtVnL4Xtgv81 ja16qDByOFgll9pd+gJYeJhxS2GsGQJTUFjgj75xJy2Z7mGI5uoGzu7fXxhApeZU65Ku2L2PeJ3V 8PtEj4XeL2JEKEgyVDCQVsbS2LGtMyYhXLb18/7QVf9sVHuiWS4aI+iWJfgALdRFsMAYF2hSrK/b GO3djXc+U6esRMFlvmUSb8B0pA3YZmTa7fZjexxXKR3p78ADSV9f9u3BGjjwOahNFRLuRe+5Nby3 57nQmihTkh8Ok5v4Dgse/AmMnKp+QRjHbi5N/WEWwXEL2U4um5a4e6I4Nmf18BRpGoQwmNvT7GTt rpszl4s2ZMg879glY69lKiarB0VFEwpo4bp/eTZwe6Wxi57XMHqXLxd5eCWxK/Wkf3fapBJXdDYI l1Q7rJoNT28tp8tt1k4rZr77QW3ZsnvZEVJ8qLMsNyirvmdzmoKCxyi/+nddd/QqcfGOkux/Xb2B 7bidwscbRJa+MM5W8OPSxFV5gr+4GbhaUJi6740lMJD51DliWdRLSs2cgN3o6hG/T1AleVHuWtNn 75QXUNEAItZOVz8cXEAkj7flGawQBeJofSuuf70sk7NmPIV5KGxoWIFpSjNsK4LZgFrHZ3xHnw82 KkJXJZeDHp4dtlD9B7eLhQx8rIrZ7kw1yG11L++scm1nksRzNv7i7iisnVHtYleXymMTM2I5Z+Mn GpTf1NLO2SSFY/eWxKCOKp3w4QkwId7kyyoNfP7QrDmW00I2mvid+TOYDy+t0Maw7mF2IG5g/s9c EMXlqcy8DTNH3eX7SJ/woc2NoU9ZeHjJ042MMAo9WzeOMME1K1nohRGOD3svgOq73SlkJSMd5yqt 5MdEBS+LQ6anx1m83PLTOuDy0IYxd8wBLsS5n2ZXcFrHew6trEANx6jrCrUYh0nT96+Wt3fqbtV8 OCeHF45Iupiv3/uXqgip8zE/oJY6xSFYgQcvhUrlgLg+qFs4KDZ0vWNc3C2GiVEPKOe3a8xL4nZS xqiCetUEYvzM2WutsvAYLUeOS870AH/SyiYPPEzgymwLBlqWCCgOP+nnd3d3TZ6Qg1Jw5X7XIf1Z gz1fSFFtZN3lYGqo26L7vQ6DYJJcI2L3E05AqFtkNOSMlSAG5DV/qEzrf0uhqZumDiv+GtujDGMO w2yNqDCT/TLAwGhR18kCCQu8xIa380bkDbuU/ifbxJUP2TOiIUL+4KH8P7kpeUXDxP5KgFwHqBjs KZrCFx6O5d52GdlbW+aKxWhQQBPw2q0frhiVR2gYJKu2olw1VExFK1Wfd/gg1eYB7g5qVrNjX2Cl /20rzQMLaMiOufnbqH36+TFtP56B0eAgHDTC6gv03kUZ1huyUEchBtc9EyTjDPmOCL2zPZ53oNYP WE6Mv28E7/ipXbjdnwLWNjn8gHWwXoTFyPky973z9G1eJ39PCANcnrcA6gKqwso2wu/BmvwDI1pC jdkqNWOYMCRpAyiKBt8iCDLb6b5f9nFt9KiYbU7Ns/jHCrlSY4LLy9MlQMoj/sv5p2vdkDv56lzQ WMQYNTqhm68A9yBHV8zW29W1SXXuKwBzwN3A+Qu9A0hbVbHyPucORdROFlFW7PzWAm89vgTl2Ylz DJPcTp/JRGWIzv8/mDQ+a13LDgyyIiWWJc1V4r6i3smZrQ1/xKFUbpgONHx4/MpUupnIgBejbYZJ N2DeRVQJLhHO5majHqN4QO+GmlYv0ZnSco3R0ZeZfAfhuVbM/YuUc6bnYrG4BtJONiUO5y9YWZxl 5XsxG6vKuW2uEFrzaYi+ne4hW+DupxTP3m/OcPZa7j2aRsPY+yuxz5l7PNgJ6LK6MbfWtoRIyoy7 DV7R2h53AjJCVLd30k4NTmQMF3DiHtXm+RfWqVmGZwcgBCK8mURUpn72berP+IHSmKXZ82xNVlb+ 7pFtcBYcgFrozDDbqAMxEjVW/+PpOuZkfAg+kQII4owSuxXzhqSmXgvIjiZfBKceRA40JVKMU/Wm qZOOR3OMC8aKjAsNR95Zv9bztf+loTQXbr8ZjJlXB92ps6FbTYGnOxsps9jb3WTersNjh6yCFZEo uLHtiK70nChTtwhfWDtUjvJXMlfZ+CBPTve7FhZMH98gCqDOQgxgZak8INXwi3ctYM/ds/UcLBYZ /Ma2KiTAchdzqoffjSOin/IDwvhOJB99inrg5ITssht/OHaTW+pfmZVD0rkHZymUSB9ljLg49mqy X9rkeDQlSlhMOadrCMkeuLHb/5gxlAIz+OuOoNnzJ1HaKG1Hjhz2FJ4zY1CkVYGOJ2aV4M2E4K58 bUfbPEmiUiijn+4q4IFprjRdYqU9g/5wq4kRjxEeIpE0iMUIafAdalrOAwacYkKs+3eeev6JysJU v3CmIbYsn9vryTwhtYlDvLwkRKsmqlsMKfKg0KlWbrBfKKDoSvF3z9T2XaVIEVbx7PpeRrktf3ud rvY+ImSMpIh1aby1pZUlNVvtx+JflknvtqiZHtdY3qxl3zuUvQN8F7rkp9N5u2KoFLoXS152nH6F 5/ZwqTPNsFBBpG4Cis24OKSVhjaCH19E+olLBRR2LjZ8vNKJZ6ptLei8YMt6QS8PRhe294ZOtO5T iAdt2ERPv/iaH7Z0Z0Pv9y/PNASbIK06bdBcYT52/TGXvjzWyW3Rvx5TtrTTj0v6SYrvW0mAaacm ALvO/o5ujnwCjtQjMPQ3aI/Lx56hgcaoFsa48vEGtwThbCpV+PRe7NurubmAT/+qLyCatrwRCNSg 87ILr921rpPiVo7W96ahKTRCE7drKqQsZiQQ599uK00ZQNxM+eT1DSDM4y5onZram1rcoVnqsN5B G9yqRWug9DIwxHGa4E5tsNoH30jse9X23c5X45R5mZAdZEr100dFuK3rC7FyndfIT6y5IwuuF1hv JZgrOu0tUJGdXQphg76cUAd52k8fryPLsmxQcqm1MrNGeewFhjXwHFgi44Aq3YDZmbRxiH+s6apd Jb51fUzMP5gxcwkspBWxjJeU4xk8qDEieQ1jR6BZWZzkWtyk4art+AF5fUMl9vcvRrd+kP1n9AML SHsPS6s8d8bgI5u0bz9vuEWAhN6jssOjEs2H+y9qs/tX8fZonWw5s4mAcSYgzP8ZEMbEuHS4r+UW RxtdRW3wg9p2vQ32MHP7WVBJUI5gHc3O62Mu9CQOVINtuFcTEKXABnldwqgnKHWbzVonODEmWia9 0nz+gpKBtdpO24J3twvKCvPtXH8hJIrhmykWYOQesdanAsqYvheWjjbf6CZTGRffW3oWVkHEmPjD UrJu9cm5VksyjhvLgTmbNqXWf1HqKZBZkVLboo0GGjgutBUrbccXx+u6GRhTKZJ6nqX+dfy+x+vv nJywYCtQEn8V1jT9X0jafeox18y3jTX2ss1wzYmJ+tEF+oGxCLbjEa54hWFcf7XRrdayVFy8VFdu L3xz03qsnNVp72j/5WuJLxS0aCFIloIgLidTNNh/DIhqIJy3AthilRtNBhy4OcN0FQNTIw/EJlCD bhdw8MGs+1k4foiqDweyLj4gctnnDKDtRZlNw5Q5HWRVb9F8MKACfgTg0t7eCg1k255crUof1aT3 gMIcydkYqM3/Olu9eMlDFTod12tet0BH1PjjMenMainQqK0ALmbFsl6FaQSkBmXTI9PmOg6Cjk2Y PloNUMh3o88p73rs3cxXWOLXu10gWI6138Coy/+ZKIH9rBOelW0SfprK2ZZxe+qIycmcZn49WRDI vuv+zOHRsob5Xcsn2ok3rTtXR65raZIro/eJecYwLLq81Abg0Zrc2NXBI0qFvaSI7lMuEamC/CAS 6l3mnlBEJidB2GGbMN+uHYy796aLNNyH4t+K/jhWsggKYW0h7INjhxVyyVn8HxX9qEygYgFtR3Ew mIOq+dRnZ6anpWVhDsaZ3CXJfYyevZgeA+07Xr7gECG6xFnGA38kDmzeUF7BjLU+3juBW2WARLsA D/usobAQlz+ChWY4qe9r00LhLV6jp1Ecv2d2pKNXDXvQvqtIe9hL1JScZMytueVqxtBs/xHihHCq UefkhF5THGqnvzgiQgjx/b0It5LVJJfTSIoPMkUpeMaw61u4SevFnAhvO2g9qy+nASQZTpZSKr22 dwbcf40x1fkfJnhPBi/HCZh2MLi6ydeb/CoyQ4sLfioy8NgJNwRuJAlBtLHxd8bw24ijgQCFmvk/ KEW7F/1oQUkAt6cSoN+AXDY7lkDXAdQzSa4V0iN/doro/DjK1sZt3bu3whEZXYdTDDHCk6UkDcpa QedDuQ0WKHsf6XvO8uLHMgQxfmkQbEULA3+Y/GyLcH8q09QUODE5DMDrkKfJoZF48NsfG4ZbtN2D 1KZJh1pt6FzJuBEVrhMuNuSvO0DDvPSb4yDwoffu9qgnQDhYNz6lRcGeQ22jozAWR+pMB46Gc4fi lBBd5O1f7lDQHTonJm7bnGvNl5wl/PocFNUB3r+KDKy5py1FZxcErOHS/+66AFlYZTUqqp4bhYRK xLFqNZwulk6GZrq/QznXK63e/PyIpiWge0HXkakkKpHQRFMlh4Me9/0CYV1t5nRm+cKl7DN8j/8F ACE5q2LCratF+nDk/CGjKJ8lVcWjl6pzGLKVzUmrk3LI7CpjHbWo2HzhzFCXUfvklOKvTU0BE+JV I1cpQ7sdShhaRiBpj2idRdpn4J2V/ZsNc9rH89UfDDgBvoV3SY9xljT7bIfX+goY1Sy7z23K6Y+B cbk1kh/FDTaL583Wto8c/tCVeoNGuP/M+cyfNCUsosLvrIoMLS9okqT+L46yATjBc2+tsNosNthc KFMrqUWSaAIANakRj7gsNhFibayaAG+hbf502XLEmy6J4LeLdeQKvmfSqejUVVNnow4toZJQcfBx PSYqiYUPZsbO97AlDQCB1Fdegnn6mN3oRutwjU1Z3maL/+KYNDber9ehkbDLpSgxU3nLhJz9sCCN Oe80rvQGEVUqIgspxwahwfMJIt/V+oDS/G962TxNsZ7x5ACswMX1ptBAgbaXPA2MmDZBz1pTkGuK breHHZyte3HWXlzs1Mwkc0+y2uPeMYfxuNSqPMie2kZA2MdkSuDskC4X68Ud0HNPPcW++fQKTILB DKySVXwtEN/oDi5wgKeo8jvMDrQXwcD/1OBCSFfhcxFplMIcsjNsRlVU4d+ySokoSUStdZcs36BS 3aMC1k30lXze9fPxGQWbD6NlPfMVCoHTR+UKsmv75hv1ldICFVS/Sx8+Gfy6i8xCTBBXPczn6Mjd me2NAir/WRiEfjcfGXTyi+XYYDsM1AnH+tVuDumERlaJGMB7tu+9OWacLZZYs9g82kVNHJbVJxxU k/019nX+pECcFU5GZzUA0blz60ci6ZDYUb3WfPxYPckkpYEruPoI+J0Pqus/GwG/glj+qj0jp7F0 jiHvxdkmTLUBRQt0onFojsOFkSAsUu8a3j0UDQXmIgRnzQdB8yUVgvpKd23IOX6Mwp/D7zpjEkP2 j+ZRTvl4WrRowg/UKa6vgZ6GB+LxJV2+Dr3fk1maoMWGPoUOrAz3A4Xx/itajuMyyJlSFysFLBHS N8K8fE1rxkc1zQ73ou/s/isoSK/MAmqGZajJQ7aHjyWz8EVEbTLWjeUKlNnknOAfYmJ7bAXTbuO4 DrugiB9H3+LXZpsnkwKO0n+f+V/M723fgKIW3tWHiqrOB01/NcrWEFwPHqiaZRQZrlI4sqoHyw8w BLk5YwQmyZTMuch2neVW1Q32V+TGu4c6IU7LEoh4b+PPzxYyWCvceHfqqxB02liMMUkwhXiNMY4R 4ijJ9vfRf6+vMGcID/J01PExGZNIOoLLsCp/OBRyJUH9ToFfmyE5CKXe33mAiqLilsyR8XkjGpz/ zJsbvljUlprGnNqUh+pLsNCGcPqSWl5ldoAbamQtJvwgZVFOJSzS9i/dqbskR/qjTX5fCM7T0cV6 uNu91uIazOVewKoDF/yYfOnS2Tb/Ese+EbAgRtRUPJlSY5EWe/93uiLYB+vwYP9w777OOjI/+wT9 gySWq8RszyYQAoFlLxlOeL1sbblGOVc5sf+PiNh+M1mMuhpB0bT1/5fi/syUVG9tCXme/YtdE/34 VNL30eGcyswjulEEhZrpg5jCvLCKgtTAbYXH/yey56bUOeSvb3Ah7ITRNxWqjN5e3A1h6NH26Kpz I/fOIG7ga8R3bElhmJ/kNz65mUq9HeJy/cRGJJsbql9HEbrDV4EIDkZjrtE4p0rjwPRfrkC7sMv6 a82CvAN75JN+Cco/Ww5e28fUZ9cJMP5xlnOfsB00902aScLQ7qe1b4aRDiWNSOgINRIkZts0jjd2 JFqhYBRKlTumbW8s7hOdsZe7R4sjxB6Yykm7+s9daW4inadqRQ+GvgAIo5tGKLSGfrfKXcKo2NNV R9btDrN/s2BpcapHFKg1ce3Xkuau9WOQIAIWQ0cJObj2GFCuKQZUEOv0Jd0vhofWaPKNL/ie7TJx 2+q188jb9V+NhmUkiniDZpWwTUV5G8gjyCK3D//Av4ImOVWNFVI3fiV0RdDyyWf40ANxYxkn9Qvh XJf940ApdKJWwO8NvvT2fv6cTqMnmN69yTmUckUZkmTu7hKUemopL2KiBRUWvWg4hKXgH++8xWvw 08NrgtCWu4bsOx6jgmpxM7Nv/B1WcdGVLc1R8UY+cmDgr09hgRtGscDc1loehmg8UO4Z6trwvinn llKZr72Qh0KTlHYdlSOD2r66b+A4H8VQPzUtzBErvOePwhrfgvuwVIeluDHPsl94BPLCC81GmHIH H7VtkXuDOFx3GgqxOipKZ62FyDIrMeE2pEMb16abbbUOKmJxfCc+HDywdMvdHQ/edgv+omn6nhKT 50LXhC7mAGx8Ey0lCvRy1KwmWTyIRoaeUIJ5zmrJCwFwJe5nA2AKubXbjJdPOOgH6ytXEofL0hE4 wxyIUrJojOCSgXtcTydw/iK67hlUyVqkMAKJiT32eg2LRWgExi6uwbs3RLD7Y4jXB4ELmnFXxdhF iK3DnxmvwiIwrzSFPal5wJx1rB/m6FrcMERXn5QTjH92o2Ew00BnAMVkKVCATWwVRzKjx+dN1Uvq 2qUKg4vILUCJ6yjryisg/AR4mpTzq4xdsKhzHqUg95zZs3JPt+i3m4dr/ZLOGy2V7vFHTRcnVjQC XuVGG8e6KCTgSUB+rlLm3zdeZv8KJCfKN9Vx7KdisEkINVrDajyWFbqD9zC+muhqM2xMDW58VMfe vzISt/1Pg4KkJG1LMBWQGho6zElyEHjOZC+/wKFXfsrYrbApzDjNDun7JmMi+9veemCU5YWrNB0e wEta9eZreLUpPrKTYtxDOIjcUpEm01Rnz8HlDljldgUFx5ze7V9rX66EeO6F7y1mZkZTDdvUmOPj 3eRxm+ENTzleu+Nv+9APdu5CC0P0WL2cYEr7JWl2xeEaseDi7CKiEbx7tiCDNfFjEIatoMARR04w VrYXWrZjtYPL5VtWkgiW6NFKWBjV+8YIpgPZMnZDsusSTJZkhBIP9kq9d0CtlQasNv3LAHBiQZXd NyDsA97QaPy8Pqr7NLO75GMIUKSihASQTNanskESBmePUbp0Pr3W+thO2Q+qg7wrm8G/GGUBrC3L pF6yZb80YA9w8+mOmtb63hcycG47LW/NRp7qYzBMykpUkZtYJig5Jtc/OO4sCe9NOIFuiG4bmwSu 0Kbv2GYDiivEZuH41UvksEISSKhPFbewXz9mGgwJ51t5jVrH1T4f2cfw1/l7vfA66DG/T61HbCEF up5ryQdhBAJ6PzRv2I2Jmkhl6PCCkdlCbRku8BUC73vmFXRiBMNCn18lgIgCLOcNoqg+tJu+LAh4 dEkFmtFhymauzTROP86DjIU2SEfoOPW2dKy67/HfnqtPy5ZnHaQmXonhfAyjYRdVqDx5m0TIvajs Cc0lZ0PqN+ZEqeRzQ0a0BkMqKuqqFv9Pj0OgwEY2V9nt+xZhZhEhGfSAFbIuPbTyhiHMiucv73SE q/oXAbM7U3ez7F2DXeNplX+l6fvSOwYeReVf/bGpm9T7QfxkWImJBowDNPsKNd6XWoprfUId32zr xt/TWBkBPXUxc4W5d4hDzSP0DbCv5LWUGytVFWY8Uj8r8e8mb/PaW3nlCOAWmqs466aAfLei1K/0 cNQgn+D38bg9QpwHuIyvX/NSPi/zl1c5AJfE5epPEC2Bidg6kj8ORwNHAIvk7nUp8E7p+6XbVYoU XU+tfGltPgp5LFBnJbMcEBRbbHt6H/NgtPvGhqj37ak1ScoJcum98LwAVwo7oK2TZ7qSaZ2vbeoZ XgpKLFHEBGf0xxqpNf8q3hNI9tQYCj6EkJc/nZ5wumG8v8XDowN8vNalCwT+rNEY9mDvEqvSDixs aVmK4Mn0j7DGqljFWK3mrzDuHHGc11X6U0hDGvykfAd3BYKNTWKoDoxMK/IbCHMvVOoaWTbt0/Q3 CNbOwwcteAfho/sE5DSGs5MN3vQ1drnPwBAhZx7LGCRUfdOTskeGa0mNBzlXZaZOFc9xRuIwlVP1 gavBBZW+9D7sFJH1D9ezSvg//kvjIm/FwXL+rsdXo7+xnvh/fEpFyviqlR5n8AA1PvNsuyp3Ak2k uUctdNQgEPiqzXvAamlb9qn1dq/xI9MeKBgOeFkVT7gyTSTC2JdONCSl81rA37dcULPRJyAIbl3/ 1PlG2uT2FFMIWZAClGiG77crIT9lrHTZszfaEJX2m+vkCREGdSrhp4JX/5yE+HKpeA+YyJR/PG5e 5MD+PBGWfHrBkZoE+JTrJKicEZ1oqwhnyyw7AulhzQfaCwjgb6JWYlgkwRJim0X+ShliiHt66tYW N/f7+i/VMaXkMMNlcjoccHqIU84CafGgZcD+OL5Y5BIIyaTvcbXBE1tsSZc3fBkxS2iQ/i2fCfzW ZroJGYvzDbP6fQtSpGbeoH5uNh5TBsxgU2Ws65QvHmhW1L0+plGULIQJy8k74fn5j1nm+UrZSOwZ 78a7CNYsyx+B++JNqlrIOgLXpetdAUW4Vz2jM3VIjgwwCy/R7L/FUuKnOi0kWzhnf3uM4cyv+MU8 S7Vz1xXF2YRx60HXVVUS1KYXFV7mZ6NCDXKgFRMO6SKsmM67ToQeJXaJ+Mn8inCO5ETy0+h4lpvK 0rX9BMWq1GtPi8ez+TrcgiA5fONKvu7Ye9DJRdx0ZWEyl7ay5t4s9fsjXNbhKMXYFZm7m36qLRMk rd90sIUJKj6fiXRpKUkWJA58UO7lI88rsZF1zwTmSGtaXQv88Bu+YQgpYlcGUM4cEdI/YFZhbdUa 28/rZ/PueiCoNigdKz80bokKCVaZRmL4hxXB2Ey739tL2KVT+g0ljgI0G5b0r5jasg1eKf6ApzOo AkpOxKQ9PQzGbXkCYODV3A7i6fVxrAZZkiMVplAktLQ8bBDIDF2Gmgm8xFV3aX20H9O6bY3aXKph glrWsqSbCHspKvXUsqqmnaC+t3T64bYZ8dlx7DG+qa9YsPPwwHBpfWO4QaT3+OEHI4f2BRthUxg6 3g+y71KCCdc3skKpDc0Z9HZDRKifNNWQebC9jseltOW9rIejb/hosuTo7IUiBEDgPpxCv7+SjEUV XCjGu8b1SUYwO3dEU54SSxk40Kn6SsGh0anq2Elfu/dd09GBjUmM64U3oYwyonFZJcu2Jf8tc2Gl mpFD//NNRqBtjGSCjQVilouHK0TLMTNpJcgRy2i7FUUZXPmx3fi1YCY3c4OOyaRstn1ZU3HMIzHZ 3jg/tExINQQ5OcpvGIaEKnyNisLafIKFCUABjQ+pLav9O5VOKktkvdgMu5buOraZyfW4+BR+6e5t mwaZEtI0mKhKmtyGPCiR+TLdEmHnRLtbHQLRm8IUso+OGQ/b4cKEKjjXwwQzbcC7Sb27n9/Pp3O3 uc4stfziB7CpBt7EsEtcdFFHoXiC1peeCEUuoJvr5cT6rRk+2vizurmumTFhyKMvVdx2oIBYh7Jb paezPPktmEp52CJhMaqixWBEHU2I56SyuWfRkFEDeLVNVOfw+n1yXEsXKkS7d4saD4Q2TsVSKA81 X5YkBvkE8s1+FUwIWFdlDOFi8iYA7yNpoPGzW172i0pQZiJHQ9okGKL1qz+q5B64I+CiuTjbaVIX RsTwFUKB4ADlzkLVCCa+UCoMkMBnWbn46IJMmYcFrLoLqX/5aluwB9ni0WRdNdX0rYmQe1VLLeMi qXJNXDlNPnZiuHl6p//2SFpjzA004GPZYU+FjBsUtlc+ONSD/ASRHrbvITen3t8zjWsy7YUv9PJb ENa3Kvi8ztUiHuj1jqqhSgd6Q6Ojb9HdqeJP8l6IrmMX2WXwAN5x2zeROmlO20AL3+w5fhfJGIZp D+lE0dBbTNpLXDd7XdmdqttOIboizxdBRLBGait6V24MpiqvUvWu3F1Z8gBwKLsaVXaTjJSHb1AZ g/FNcAn6zXcGczSYnkxi++hIhrealc7qxL0h/z+LrvFtlba7qaijWa6mOZgeAh1xSe8L2VnjozGL VGYk1zG4RYuWhCAQ8UKl/k438qIt80WD9BeDxxc1fU+sCSClTE19gW9Hhb3QqT+b/IG8vBwT4Usj rappSInjHRFqGgMjcUsbdipV8+0tKvT22XfHL0AwTQVI/d7eU5PXaia/OGk7UaTm9110l8r+vk7R 9FrFyEG77xDPJOo15/MRMZVTNoV3IvgVVeSzvRbdVQxiA60MhguqWYTake3Ti1ur/5D46p6VXx74 i/ml7OK1oRLQUIQsQostI2PnBURpj5lG8JglOpoiyXrUf0ayGrRosVqMeuaAwjeEYY07mSZg/pSY wPG9WR1kXtPmvmpZ4Utr0/v2MFKKNYXjXGUf1Jnxf188AaslgiF4Fk/KSSy/EwLNF9gP+lvUiSPv pN3Iq6YBKGv+f03zo6+nnvD9YXzU190cfki3QJrprQrGvdOYXKA97pxlVho4T1W7GwTS7duwOWqf D+LJYBBNKMxOavb8qDsZ+I8bj+fEfnPDm7zGU3GG+54/+HRUNhk+8uDPC8vGsW6vORzx+uMSY/M+ wJJ+6hsL+ZM63XoS8IbTTrTyY77Uuzn8irP5eC6sFyEs2Jmg3w/sPsDtMCCewILDGMTGm4BMKDZA TsQGDUgvilBkTWwnylN/Eqi07mXIiTVfXf7RPvh1h6F5j+aNKsqETGbLq5RZiDSZdJ9pCnOcSnWm 8Xj3asO/mLXlnKIpewPDdyLS4pd9rdx64ymwnH8rpHtZGi/J07sMm7QjoJJmq22Yu6qc5fbba6yi kcMfSzoRWCPPzpZ4lTk8oc3mBHP2OsjPVr81/qEJLMpy+7fmFFqxXNCVpJVemnijpBHlzJuJpnaE sfVmHNtmqMhFnFdmNhXorHbdK7ihy9w/Kr2ZZ5FsyHNf++rkH0Izq01tT7Ov7kuOZj8+pgkqH38e /Gz6n8CPsg091em5ZX8YXQe1O09YXZafwYdftM+DZJ2tFVmQlxq0wG8JeKmH3G9fymLfxRtoxBZo wHVT3ZZW9JepM9rMIDotJo/NKI5yTpOWMJg0GnRydW9rWrMWPKCpm5DmIkebY1jriV1n+WKsE4Bp P5FGmoZ3g6E04ClCXAOn9ZDKZO2/yQCj2xoxU0ouAL/vwKH9sy3QFnRyeAJO8y/PLpeuwxtdMGmn 3hlzUUDddCQoHPColfEugJ6jgLcWowZROKc+vK8AT8AOgLpjXATWazZjAgGJi+2WQp4FdDyDtF07 4EWSXhehMCjxXEnFY6B9NYqBSrrfC56ku7Z4NhtND6VbLBmSIXf0Ru3X806NrG/uhxcQmX2WbRMC vZv9rscPkmKtZ4dt5ga/oYD+luTXS/B5BOD/2VfyqN6Dk3MkxG3mKv7mpRTqWeH7pLJZrwqeZG50 Sc2uFY6zSLOdQkfNBcI8f/wN3ovKvYCak/kkaEfVF1NO8T+es0xB+StriugoywcSgBMLhbGPh+wD 3VFYqp3mg7aCqyJ/MYu7OLU8U9HRop/h7QGT2YN6/RyI4p2jFQKWaXRz2Y6H9G7QCYdQkOq91dUg r+OXX11kEHDf/uJNQ0WiSUDQchPFOxkgnvylarlIwJhQUXLGb/lyck2+AYCzClS/vrJfrHeEJhmG 132QS6KGHQ54n3gIEPmMP6kMTzYktfCLZwZ4DyAW0TfGvOefRjAb/kf28z8BqGqlckCZE8Nbu0kf e5w39jUPpvhM6qmnIQ+nsDJzv8yomj5gu42eivFZEw9yZIxB1lXBvCgQ5TrcZM1pNsQUoim/rGWf L4xevW7Qn+goh+QoTJ1pQvsD0C3sxil2hyCOuT94Drf9qoZxeV2Mhp2NIqZjGcS49w4+L0IkOznB 3yAkaSORlzp77DIxsiwU0ruTpLC9Io4Ctca/+k2uMmV9sS9Lz4CNDtK9Sw7y+fXl8lxj86ASsagL z78TBV3kbMh/wwjA0CjUBNHME0tHWWDXCLUC+7meIp6G5bmFRoaOyXiWY2RcqkvxkKvsGPosuGDv zHu3CxVD/wPnGztaJDks0Ezoimbm5gc0jr1vdMjvToamuLz+PA8IkFOP43S5brRWLeo0O3Xp7RZ3 WsHCP1wqQPVvpnzemibN+BqdMAijCBs8E7G1Pc6jyzRthky4pKSgCuJ5rFUY+MPs/SHPfwKBf2o4 X746ubkkJHskYGr3IXeBkwhNTq4JZoGvmRUUllDKnTKuHVbAVqITvlt8AigvAdfgnXvcSjnA4Lr0 28/0L1Pa+6RHRQxNEA5XGoNhMAcJeoF2PmFsdSK+uh1MX7pags1w1XDMHhrJDXrm8+FDSYWS/f10 NpDX6rqqdGalmLJ6SVeuVomkrAxPZiJ4Q3KD7loLHjiSBezhuPxmBBr/A8BxDxGZTBQfs6kRQN2i ehTrl8yG6kW0umldNU4KSNmfXXcAQnw++/VAiKtcBAJFXAuWhbVMVzTVmoCMn75ytVzsJTUOIpAW Q/x7+5ZTwWU58JXR8c1TPpNFk18GGywR/k9rRDSOHVuJuf19K1yOR+Nx9W1FwvVMhZW+HH3WZi6j 3rlJqFxLrAmv4MjqPHB5W9CSTGa6OfA5YRCkwJ4gJECFGVpO1mDhGS1mI9mxlKlVwkASErf4dNCn xeZF/D5Bb1KOvgDIBBMT4kJHdeEYygQgaf9ZHnvqd3pGrUvlnpQmS0G7lmQZhM9QhIpbao9eBNuR V/IU/FL8+1VhLF+1+GV81sHgEm+2900nLGl58s0W7mRJLnTeK8yxeAndzD8Ztr5a3nWCx1jRzuto Jv7tBPVVr3mnQyqmCBzUESUx6+YRN9n4kVRG2NUhwzolUlrGj7B9cZjNajE+10PlT8QMtannpAks oYrShHmHIw1jJbtTQuJtKssHL6zhV+qROJjB7I9ZPZlKw36qBIXGRFSoKGYNXKKdh3oVr9aJzJMR UoD6/wag/gqsGxLIaJ1OydYRqFa0xLfglvvhBOFJmkAE+i61CoyOJ2eYfiQU2Ohd+rQyZIx+RopW bOAqxR4LSFSbN/nZOCOBv4gajjoEURJQJ654j2Vl11dHoqSGukdAouPF+CyQOogKNDdjaWm3u46s g5AhW+iqi4qKTwXbTk3NaOruMJ3H7+jx4YdCyeEzZM2yOHMV0twcC0gh4WhmljvJMH42qZn+uCM4 D5n8+b73EsPnQ2/PzXQcimZIISGnxL1rPMmLwb2EA9q0MzulN60tpmXXTXB+nwk6AR4A4kckTmPE GbTTb6Z1c+VqmKusj3eSo9eJep5w02pFgtDUbiewDDiI61u0rqCIXuJTFwKAtcDQ9hEneqva/7Eh OdUReuJoZxTfV/9uAYpSFN0nUfX8Wr5eVzvKbLRaHj3tUgVPBHDVgdnrn08vnUg+1DYYKy3SUilP njmvC4YhEyAxyD4EOdv45A1KgnPKC1R45why7DZtIZmI3LPbCtgNI9QRfVEWPMtE+VK2iwoadp9+ yjiHonrKNxVDLupyTiTGIL/NMGK+iE1iPzcjtdJAx3Q3EQpuIPjpKU+5VbflNxxWtyy4xdjSQKkf VSk44/zbLKOxegx8OqjvIXPOA3NMa/UFkKM21voUWmKMMduryIBit64oZDCYHIDcgNTNNhjL//9m JhdKgIULtidTsGJluCCB7GsF1ZfIjvyWCB7rrjKhkyfxKzpHcSsQ9QDMfjm08DB2Wb/IsgDI+AsN ypOypzeP0fvc2VLQFlll5dtk8ZarRpgX1I5VXeJKYcS69C9gOakhD0+1eTdWwvPtbQdqOqpgNNK+ IgRw4aIXNDDfzQdMCNBe3FV91tHlFC0zpNZuqiohVZH3pM1z0f+1PeWhC6g+ibK7APMi1vHUH8E1 vww05/vQCZayDMFOyebS29IgwMlGm6T9Uw85AzABb51dqAhixahuinjYN9gDgS6eLX0+xKwDrm7/ 0BcxejKSgXbZD7Szi45lKuoIBSzmO5KO0WFlYE5/TAajSKt/16hSNBDdIGq8Jm5RPrbrgv9DA9Cw 7vPhc7ZUSM9ZGUOM1bxS32m8IW6V8cYoLv6VPJtp0lySBgOOvw3eB+KsruDKYk/Kq069eFcFQec7 typyzd2ZXpZ7GbAFsMMUYJXDw4QJKouU60eO0Xd/XV/np7OrUC3+K31fmXKyCOkDacaPrpJ3mAkR k6FzeK2PpOsrRf4yfODcqbgmGVxYv9kNwcp+PdHist0KWr5qr0zV1Uf05xNoYwyDY/mBPlB7th3B SyXMrRAeyQ9CVfY7jXK+7/zVtX4ssjsKKbNw3qMcs6iY2dCjdbCG3v91rjdD7CsWNqUuCM1mgdgd Q97elf7qlUjcF8HkD1oBj2HnnK2SeTiG4mczBIuzb55LyIsGYeB2lA1tNFzOOGfzCKRGEbvrstp2 ThKY847GvdDe61rcxhKFoLAJSdlQ7f+o09pTtVPjZxrW5notS5v6M7H7Zzp+AF3mskBL9R02IyNQ 8GRRmasxKRq5Mat0CPns3PvqtAGuW4Zwv8zu9GxBZF2t2ykMKLrCGOO/9iLG8OIcTSBpuGpJVGdR LmL8x6IiHYX7cIj9dkHmof9j5NrIbgb/8CHAZPo8R869VY3tE30Q8sJ0tUCmCtrgfXu97YgNVW+2 Sw7w435pUyzoVHKFQzXrA/2qO1UJ09xGBP+TckRlkpRTuZi4xjh3zKUz4hBSkzg/AJd62qwm4gYu W3c2wjjjKNWKxFpBpjLCTeHUtnwmCAsRtJywJosMs8qUTS21WLQbA4saKCdQrKrbDksFYVHLQoEF bt1VFuAZTSlmsPFAaUYcxgy+Zlwj+c7jq9jro8T3eQmN15ugdo7X5Ms5C707TrTHtzPOkK8iRALR VLVzAzlZPJjixU5JOd3VJFZumx9vuO+br2mqRgxI2mV23CiSRMBRHR1JSVYHhILVCdVFKpP28A7m HqWoXcH/INOM81KTZO51O1MqdC+6mW1e10V+FsPJBBY0Fy3A8sO2yEOe+RmjBcumEiVoC5xKM/2f klFpZpFYnv0ls4tFFvRc14aSro8sTCzUBx4P/5SwYLCBJnyLVVzwG4q8sPdaTu3pOyJ0AHiGkz4S OxhlKSWxLMLypSK0BdBtU6Dm86S0PjVQB3b7Du5g43/+S+MfOA5TnZs5MncNMRcWuk/Z+dnTfRiP XGY/RlqHrnt8iVtxEX9jpF75eRWR200ZBvpbcB9gyLBfwShIzfQ3NGBcWLcilA9fSwb8eYhLXmzi heSoCv4zyLUSoQe6eklMxfnLFY/QOQdCi5mKx0cWF1d8CwpGlZWTZx+MWkKDn95mGhM7M4raih/g j1MqROiAzzo6ekbJbkVFkUvcNGXoB8INQyDyREt/pMNMUCV20ayqFi5lCHqVLB9pr8/igK7RfX15 cTkoXU1Zo88/SDKIQ59zSVNCmnncHAyKJrpSlrGxB1D4aZxhLoW61+IcVnuMv4d8j6uGPjojSl7V TBHfn7OaKT5CQUbksC6Y8YOsycwH97lZYtKld+H9zc3pLJTQYg7lmrkw30qaGzAF4fQ8+WkKKbZ6 ke2iF0m05b64r2ZTkh72axTonPa/hoLOj0mwOoR89R4aAeNmNyZpW2N4HZ38w1LJ/exXk3otYUgo 8u5Fo4Woa8IRf8RC+L2Sm3uUOuh8w0GkQI1YCPn4lSmQeZQRCbuydPLoPFLhyVfhiwH/I78GQqXr vLMTr3JAercBto9eHHTcX+AIn1o68GDwyZclD0WJnD5b34rdscq9OXV5QmgsbbvOUq3S0BiuAtpb J023AHD1UD9wlkSiPsUyQt2VXdpr9Rz2r9kRigzkHmA821kpEzvSTD8IM/Ac+TkdDB4eIOZ34vZr qfUhsDOA1fQJRdBc2SvAi0nxe9rUqVKmXwNzNG1M1zbStKblmO4Qf9WuyH8k5aUb581QYWL1W2zj c78gYIujoNKvdXMtnFEoiJ7F0wEoP6hihd42LMFp3D3VSow8XdgUPTzdeiNXVLp8dgM5ICHG0Vx/ 1l2OkH/O+S/vkRWUrQzEbiG4ljHvumUWPDQx7UpYoqkXqsf3Nxs8vXnjP3On0BKxFCoWnkvVrvlz LPb8i2+FeWp0S9UBE+UAVS9Jz6qDGD4wqbCbTR7tpoB7XUJK4K4SWAi1XCqwu/2e7Qw2WDnrgmPS +e3eto7du0jQl0T7KorDS0OIG8oK14GTxc4lheMa9CmM6ojXoIU+jiRgPt/gopVZJtS5R+B1vd0D zr9fGLZzxSv45oaksYMzN6leYKnrbfljBRv9rErC8VxhD6hO1pUcsK75BGYtX2+QyKrsJwt4SE2J Yb/MDH5ZcvM39LLxK5GuVUD7vO1L6Bq1DXcIg2VtYIf48uk31PHNE7/W1F4yKfTVBAgHGOxTLm/U Zmw3XWC0hk6ZdZOsMYdC8/+fJMvTHh7ocQasozuAPbc5RiE/zqVf3F0FDVc6M3SY3rQz5vV6dQ2H FxdDx2twY/U8t2WEt6/2TchuudJsZtEidtPiE/3MqlRwgiMXzci22XXHC4GNQ7NwXgK74wgvNjJB 0ZK3nXRFihKmRz97iAi7MfUfcAjxgQjKy9f/NNG0apqD1Uzhtfz9LT0WbBzu6SFu6IxM+MGPC906 AXW4VVuBQcVW7wXkOM1FqL6a1wpKDmmqDGj8Q7B807oK/sMxZsbD0yqlos+nST0mnu+LcJdtHR8e Vf67EU+jcf+P8vDPDAV3iTu7tlJlgouhNtMlCHM+j5aDcnW2n+bnf7XDR7p1mLPWDyNA4OphhIWZ JCMh03evlE1UzjkW/OjTEjoJQ06u2wVL4mz9O45H3kUkvoOQrzNi19mfz6cis3xfF6CXyH1Z2rW5 HgDd0SSfOmZ8zaWRvd9u05KpKcg3/rybi80TbPmUvscXs/1ByiVIrGGL52/XI7fYzSXRAosHy5z+ Wz8Y1i/9tSvYyAp3Ohyvfdewiy9QYPdL58df4u2fXNPnD+htbuk528ESaXsgLXVEwl4EPRy19He5 BZVher8pHc3Zc4kHnDSAE4eWM9/eUQU/MwT97LIKiOye257DbjNCmHSz2f7VQSpBGiQVGdIA1z5P CWaD2xQyin4p3R50a9SBvPD9+Hs8TiTMfcF4ozg+T21gBQDQkoDOJO1HxtuwmXPMXYNqCPebcMNy qEhuNafI+BcoDd30t6m1/UCFjsQyKp2ZMJs7qI0z3jAtmoLIpW7jsmsi+jNHYeBaoahNFvuMQmOZ WWlyxl5L+XKL6niNxjHrSX0G0QGYaD+D3VikfuLm3aa0JgzYkxmfo+NRG4LB75PeYELWpq6wRV0r sp7Q1z8uQZccwowQXTI2V956svTfwhtl1gRO5XkW4t8v2/wwyk/Vxyg6b01HLw16ANiRCgd03omF Ttfo8wpt4z2Fgvj2qlF/mdQogV+OC5coH3Dw1RKgli6o5cg10ckLhHTCA9SLBXOw3rkwAFjHVABK 0p9uWwkwPmKl1l4h7uP4GzzBx98GUhRz6N0KpV0S2MRGOFuK1z3xf+92UCxGxcxr6qp8QQJ0jToW q9VMLSpLmm1DyORAFkvA0GQIZKS5AOviuZdSd0OlgOP/OD6k9/R9ja2rj1eFQaqMhPmim+yxm4rG as7+EUpqJC/sd3UWopj5IEsmpfjKB2bybVkuBh5grnKg7WtKaIIs+a6tHu5WiOEiePM1evxeUwDr mLbyiHqJ/wzj6NJJRJXPvHbEEQO470IoiiOd+99F/pbY+OVXc8vWSt6SuXKsVk8qaPvsMoJofTYB rX5/aWPNd+6AroyKyhu4Pn7WX+ieN1/MAwid/mOYwsbqIQtJcJrWYFDMuD1NU50i6GqEnYdRPYCy A+PAM3jTbv5Igv1icxwMDJvJ/gz99hzXZpPUIf5hQdI+HoAasdpOfDYm8+CnztGFeYh1ELT3vVj4 K/2Tp98ZRWpv1GbSWLmopnBehC/nfbT4dI/q1WGNjBRZEndMvoyjgjgxP4nuI8fpgcpRGzSZsNUp zvENpaZCSM/zktRIjNjtB142iE/qxvz9E7VCqENdd1Pt2fLMnd80B5uicPpFIy4udVLw9IMtCQAi PwgQsic5kNfGfo8ANtTIVLBkIH21bAo9YhyfeMmd6hOnSsPSN/Cw23i5hbtKI4plLtV389QPNqDG MKjQI/AUrailTHKKeBQuVnmG+MuAMK0hhMDZ4mn1/zOKKJ2yPcdxGgucNY35dMeGv70Mdba9qQ8w mPXykGXgv/4fbDOa77oSpwxnv8yXrVSxeelHrG9authDaXuF4KMOFuZjpWBsBa8Cu3oIuSlq5my9 79UN8sct51TrrcOe12RxOaXfTqR1WatlOddQksA3aGIMqSyQ7zuw09eZXn/gkz1ue+HA3L61vtfu M/O0N1Sni1ygteq5n6DoIG9gGrsrDSkNlZ/z+x6cCfbSgwsb30hedlMr+ity8thJIsGVRHIkijaM Vc+cQcuNooSP6Mv/Jj7For3v2ZXRY2HMwz1rF3HwUV2/vpjqB+TJBsrjddypnIA8PGSjlzdi++BG r0R2H1cFp0MF3xXNB2fbaemvPKOKEPNecI+dXsd/2mTARsTaME5qZR1ANLy0ZxX3erSysOiavG7b 3OCL6p79cybq6PIH8EEh513wsL4VjCT+bImpigWAC1cUv2cMtP978gkgQiQ0cAav9Rqq0ntEObvk ZFjuRcLGDtZoijFNnSFCqSpd8YLHY814x3zNwisRyDehS9/nucwZDWE3qUA4dzZAsXNlFnJwUZV/ jzXtpoQllZUOWjwNrRFnlXiDj67WHQcN1b1kGMComPuiEtodC6DT1kyx2xaLaxzfjZuzclJzrq6Z jK9+kdki8xvrKZ2k6FEP+3ceNtn1RRcxiRkm9fyTkLfWIIsec03X5B4fUcsIbYmqdAuArSRrFxZX ucnWSKBX+8/FwFBPdf3W8HhS1JkQ8RpFIjUvsHOfym6f7C5t94x4lx/OIvrgpU3PJY7s2bIApego mfbQdc2A24vnwmCyPcj1t14gtgjbFv1a1KHvZdertKKaohuy0vb7y4E/ISRQGiSDQTvSbFqPsu6L /kD/yB0lvqWiQ6nZi3Ill63dkyFaVQw3rcn6AGXUumslHa78yaNtPoUzYHTuX4jaKvS9aadeCDCc XA599+40/2gHNqFoKVbpQM2iRqJ8y+pc2usmXXOihN26xlh5SV43kulygo/Nw+yxGb4676eW84HP inNFMdQ1rHQI6Py4dKXMLPhepgDh8xyDCinolWg5xRFWRuAIHMkdWDOrfTOHTSaqDElOpsA+sBp6 FSrll0H7YOKz4pKDRuoAkChTBfcgCpZ4dFXVDTqh55lo7MdIluyEjP/TbQrtUTRpgq0uvTX1d0Qc 90eyhnbzMJJCnukC9Z4twl4AmmWjgKiPMfLTklqIJNrdQ2JbXBltBePr75lXYOC81eQx0z7ubWdt lKmyUe6JSaTv4GRYuZJOHGFjTJ0Th0odZxFAef7hmfe3g8Y/au+RQApladbVN21/DG9XsVLaDbBl nOjnMb14q68oJJPue1Bn4/zIUXO1z+ctHpVeXlrYJm5SMu4B9Yw6j4nfyC8oA/ZrPPwTpl6jgUxI jeJwq4xYaX7U9MbzzXygXQU3DekOT+Ruew6B4zH6f3nhf1mlKIXGTrscZ+mdu3RzgUyFaWPYKCbH QbUBy89rMNU+8fodxsd06Lo8R1AXH5al7kS7nOSb+98bz948Gm5uhL5q7XK+ELPPrG57ZnNMd0GD /tEI0nhKH5NoJluuWGC3gIekGbAVuOalaikYgmq11xl4wB3msjWGvhGgJdZqZeyHahSx6FyZfmRb 62g7GXHd8fVzAZ9CCi4rqVBF7u2gxCYSThgMXyjUCE7QOxOUaeE875H19ckiRbFtsbmxHqPlX6HF isVJoKryByx4Ufn6zKr1m2juMrPkUGpu9uXyQdRDU1cq3FytnspTRDxxz7oWB4JtqsTznMIe9wKC rVF2vxVDNFYC4WWCpsTlXIymGnSq6KSnsp31UtYTzZcd113PMfGEZFloKESz0n2uXfcSa3ScGBgg XVCnB+UBtxIi2r+LIifpdM3mGGluIuWu13ibi0Gn3PLidKuYLCaquom+qGANVHNIFg54ou0M55Zd 12NZoRb/UZWXcxRvCh23WsaDAFqBd63j/FUETU7+VYI1iXrdlmp1MQQY7LEDRKqBT1CPtvrcduBy Z36gn4kUkgVby5A/3cJbwfju93Xxk9sjdtx3doRVapvDdc3v6MPclpKvmC77ml7xBXEQgQdTZsaq 0NKQe43MKnA1fbXdRQk608m2sdcfwQEDbj8PEk8o8Q8FHDxHAF55BePCyzQj+G+u0NeFGnPnPDgA AT1Y8xvkWwyibxJbfYsGwPebeh9XQYPCPubspvWjxFA8pdjOxW1DIbUFkXnB8OWz8ojiaLy9Pr7Q pfzZp716Pj+u1HLGO2kZnZpzxAB6fV5yk8h/FSdixDko/bqvj8006taz+yjdr6NtdqNTqB0QEgvC XZ2OyWJIWImNe/iebx8oWE63lhHDZAQJLKz27lOnqocCf6B8WSCSxWmI03x0Gfl2WXLagcJUNnSu woEkXd4ilwUtccXPI9+Vs2i7usFwRxKeNz4Y7K1s86nhlLJ0QCpQeN5RyE24YTC9uEgzFYZH/+Xr 73nE13HMd+Wm10NId1wUG9scNoGw7HVbLdJ6IRKSfMJmXQQlSEMcyuVLME26rvXBT5EWDklkaGAd Sz94xkCU2o5g0Dd5ahFF7Uh1Xkk5Ra7jpImXpHD40hHBFQwTK60+l4qU/CwNh903+8yf4UdMktTV iZtnQP0owMwKc5tUoa2Ik1edBPGyTlRJyDXkppAuR8MybmRr3EaH0iK9Zjp4qiwnrrsfoqgd25Ba ZGKVIx6883sYOZperpI5aFMS6cbOMlxSCoRTmOAITe6Bf2sCuN2N9edXrRKZZaGdnZPXDUukphlV 84Stn3lB64v9USWlWjfDiciKaYXJd/lCGJ0geN/HSfqk4paNpJkzyU05ub2hniUdjyZlmKY0xyrw agGJN9yIiX6JuQrJ/tIgE74YTHEcZKxXDKSbZ04C+d0htV/iKPHr9o34vAV0ygfoQ/A3GUdffULU xpRvOh6e57goAKKhsE1DO9efYS1gprr25Y0uqvbAhTe5XguWzckEZMoaL9su/HYOnK0OI10fscuJ mvmkXvRoPpFS9cXaWq/TjkrS4g0MQRp5l4ANbHdyGDNL+f5vKIwyQhbmXKMLFejkmQaER4rBswm1 nwmZP/nruqUyD9YBG+yC6dnFwpxNtI15/S4lqwlyXHS4oVXh9MrDOpmgIHTqoHjx+ZUkP0mUml9I L8nGYxyUUO20vv97LQQciKohSnmC7VfOrh3r584sOUyYHx4/UWlRipk3YaEfMf/gxdoGFeyFdANj LPgamC0RhZ3/G0YGifjnivuOOG58ZbyyOpg1VEDwt08/KaeJrY6PUk6BzvfVy50llvB7usgLVlHE oQo22QCJy1Gw7vtHU3W4xrC0V7fdFf0PtE54oQAAHlpEgRzn7KtagXZ21JLb4ZLjHl2A5slc6Wzc UHRIB/0c0RXxi5YqEY2VJAId43U+mCeUOaw0Jd2J3YIsIhVkXK3Xaw/leWhxTomjmRRmdjO63p5A xuSBcF+UWe/7OUuCMZE4vOfU8f6Irh6cb4jMrLC5cTt2zUAfgrmQK5kcxBpmkaOVWirX7AtXHY0M oFeRpZCsIbTZsnqVgKMSZQuJ1946MWfI7tNVu/SJHKbKbWsmlmZatgW1UoZjZ03/CsIZRywubRoa 9c/xDvqtmpvKCA9myFIFoI9kwg2u2EOCfQj0sqscRmLBw0m1xAwIgUWazOMUqKRrsIRdeF02Gej7 n/QKF5Nn4XOqpWzfupAPcisZK1COAajnQ2GbJPa2FfZEp/Jh/7g/ngxpOAdxGH/+pGdoZNm/s0eI tc6jOHDdmPxvNRYSJsbvVsTScFPCREC0MpbxbfGgzBP1l0j8AmQu/ku61FNReJpSivmkNubZUUTB KrRqgCSkql7Nt0OYILpx55f1E/2/4s97YplQHTxq/AylrJBCFffhuCJGBhIhrErLl1S2crABZSEQ NZwV1QypQ/bQWcYgB9QuzsmzC0SP3ZIzPlzQIeXKqUjMn3I4AWOZ6icrAuV3LinwAX1NA3dP1SlZ mLCs5H5fmfWHBtx7fvS0y1zlAPE0oYUsxp1MB1FML1XSuCt2AgB/H6FppY7cEf27BZ1fAQQZ1yHV +NpW7xcjNnggTp5gR6b46nzNvf7QQ/7KBJuKKjZ1FbaJOXutMOGkrfqr8q1h1DddWdEh9CV2/OTn tcxZwgvAjCOFPJ9wjfLULJoHUlzr+k+9R/o32keVARCGkqUPXPrXaGcrykKwKoa8SYL+ky9H9WbO vXxH0bfaj+IXGRVsQ+4LjqF+yRuCNqRai4+UUs2vg48PqqPSLbK1P1e0VgpdjxCL+Jrzb+7aTZa9 csNScBGQWImwcBG/1mB7vnP0PHcrvH2c3DufaQikJ5sQp3XbHTf0Khl4YgwnM3S9g91kmaAETL9r J0LKHXmTqiEy+77o5USh5188Qcgxo5R7MzP2W8vPty6G+3vEXb/g7KD1HtTGTqP6oc4UozpWFMyQ bYeXhyr7Fc6ynYyIYyPoOutKK4geC4tvEV3sVVjFB5vsaIS8/GKuZ6d8LVBMWiALhFoxCmXSK7Ux Z+qpzouoIzpWZZ/N8KyOvV0va1cEy5wuqHHDv4MUAwq7Nwaqi1EHxfi3h8JocBw3rXRG1HffpRqL Tux4KLpScso60uXrKyAR2ZVJjz/tdc0CxylQisuYnWnFsnDevrC5Ieom7vOACu9fxlUEr8nbkoQ/ 5ne/twyFFVKlTUma28fgFsU+leQQsN2woHjvIQyKmVzvEG2JdM8lKskV67Dc8j6uPKmgztzBURDd hllSDu3gTThr2Z0zofP7oRrWvPH4VtxFgH43A/GzDfdGBAGWoGyKMLlm3v2OoqmZVEbLmrpyjjRp TlLFL74otVa1fsxBCG942tgsP58+5Ev1F1uFYajA6IQJrRbtMZa8j3zvyeXW/+oqKmspc2OMLpgG FL+ykzhOV56V7eM/NM4E1foLnKpvhyPmF7OKE9N1HEw/Yc7jvt5P4yGkNaZ2VIXacgegi1G3tcjm 9upztlH4mH+wrajYv8IAVbuCmSiU9Iimye9/SPkxFjqJzjt5Sa1Rs0RXYbTHBM5RNao7B86ARhtM B+Ue9McnrwzrcVq5pWc0uZ9TtriphgCQbmywO8j+MwERUO+/7oYi+YrUtpyzJmQ9+L3GWozOsQEc miM8wbsMQqwADODWK4PBD0XhgxJBJpFJX1re4Cr8FOsrLiCeBqWs0MkO1W0bZ8R/L6+bPG9rG2zk EQHFF7R27qmpp42ZU1Bbbjj3YnL1MDIGR5pWRUOl245EokaPPAoKe63VZMfZovHXc6Or2RT84TJ3 NJO+taQwJJYSRhGfelL4elLtE2ZSuVf48DfF/35MPTQN/xKOQPQhJeu4UMoA+KbQARdXuBnDFxok gsuPf+dYzpbqUxnVP/SCNnVrMTn9r0qRbZ96ihng1MZM1uMUK1xUeXvXc+QGHlqnZy7yWV0VQSbH o6Ud0OGnlQM2op7Fv4C8ZS0q36rzxHAqxHvRWWsHwLPhoipKjsxXRMASZ81FltYcYR7FtGqBpjIx MdVOkeBhgGHVspa0S4/9tFMuVbboqIEzNCLxrRG7aJ4KR+cTorl4kIcXaOp7mBtiB5qEQr5kvlXF 4d1PvlBCHpohMJOAuKaxZP/sxeSCX3HJ+/ZGmGduYMstUk6hvY+NctjsGF43NK8HE+G9hXocbaNZ xwfY1bs5Kg/gXoCQHOqzYcFPDOcsce46ZskLjermoEzSGh9D5Gxpug7aU1Atue5M0Xz+4255MLa9 Ef5EZeRv7ztLzHfSWAV8WBqc7SYA/GRbA8iHT+AvSfz/xhsZn0ZaSqHIWXkm5UCTPi5MAnqAhzTg KcrHJgP8Ko8laN1dYMjb2ByFzQH0/fGXgceQxtE8lvyEI/xNR4bk0HyyVyqLfsGi74JuTR5LNZQ4 tbeiH1egvxUFV6uwgeEMz0bBq9EnoKVoTWmRA346TrYqG8YnV9+15gQ7pOpprNVBUbYq21Kpfnyb ec/r9aSZpxr+CpE4qF01lHRY3Unpyv0DpKNXf1l1ee2JXMFI+keDsTMevC3j+nSBK4zX2xVlGntT XTk4GOo7v/HWiNz6m6iemXvBb0uDFRxaSBnmJxOqUS8YRwJIhaPq2wqTpw8fp3JjGGxTvzajfwES 2KBqV713bPgo/T8xr7evbZCWRh1dPFtNb6zjQk+7nv5HlvhG+wJ2ZVCXewUU7JMev2oewY5vmbMO mcnSfZIfIY5H/KdXNC4JJrR3z+YsLF/Fqig+/Zzr3Fk4zKvQ6FNVZ71XXngOZZgoHaEt84cSeZ7r HJ++vdYaD+XQr5nLxqfFprdN43iaTamEiyX96qZwL8/JEitPs1Zs0Pq+3oIXThn4nJNKBNCV3hgH ADb+0xF/Ov080UJu+3DW/c8/4eRD/X82tBPXYxQPw60lVOF3uegqB4Mc1WcEaEZcTrDUymtGS/HN tkB1LYj/gk0uBotpBR+uRv0aaWDGGPcAq5CzfFUzqVkpGOCCMrg98cX/rZqZpeWXrDZF/2rkp+nr uQsmEnfI51XQiqv2+Lo6Mr5uI4C/kaLnOnc+M095K5FTEynBKj3rP9Z3kyLKBcoHx3bRC1LHW6HJ G0KQGS8MBxV+s7bNebpj3kPrbFab67i6t6wYbOIL9VENfdRMQsPagpW0RXEHa76Y9qbP+3gWPdDl 4Ae/yfNmzMC3eLKlUB6ERoMCWljcxsNXNCF3HwvVWc7a78LFtQG/13pMPdNZlbzJueeojc8ufJsY eSipdIZR4fgJPq+gSMAyswy/Vf8scLGrQ597V2pcd1MuxT0zLamtBdc8cIJ2sXU8zENKLfm/Rc1e krXbrCvrxbY/w4Zflq/kH5ME6cvdaW0HqnV1TC18ADYoShlACUFWUmuBnu6oMG+0sWUFhq3SpDWz bQ1zjuI2yvMcp2r4ipwgt6W8wb8i9LutQSd/Mv/eeGQ2cglxwnk/3o07e0t5blIPYEOn+SQh7xf2 0aZ8xmPj9KSQF2e9J7XRardA7NC2hnNd42CuLKFLuk6zg68ISmsSnziOOROTyzUYSTSyC/2h/43h 7jSGlr+G4Adpg7/Kyk66IDSJQGFgQclT8mTxP5/11yBtT5boIhqFdRYS8yd69I3GpxsPnHzP8/K/ CBbbvFtHrU6y7Qij/7InYzaMzNx5KgOJFhpCODIq6jtb56tUceRdqZlg5T8e66u/k7fpc+fXBSk9 BE5w0oDyXXivTxeSrFQjqVzHoOG1cvRLFTmqq+t+bdq4IFG8RELYvJ4g8eOaVsahxNoJMFbSt2C7 fwbL3xYhaAz1mVCksTZ2X2b3QH8r0iPvowkKtNKG2lGBwON2a1WHWNN4zwKRoqN3zw+rZOCf9m5p qXWNAIYQwWvXqcaoMDn343qJHzwyvC5BwGS/AnkFLE0ZkusFk9mpAPbbHKfwl4w+TptBp/loTG/X el/1dTeexpNbE1mSpfo3tYp+M9HhyOcYR3ewmtKLrreKooLI2IX9XNcGFg+aQQD/4F5TsZZVX3wr u3UUpmCbtIMBPh85L1cHbTjEah6O1MPimocRbpZlvPQgd7x/n16c1dbpddSa7gXdx1d6PcRdu6xa ZigSsqVHTBLfvhcgmeX6AutVLwZosgwjwMtISfbuGy/g4H291rlM1lO1DQcr9N7dxDdtNokMI2xQ Say2ZF54bwaQJ8EchLVVrxoIf+N7XFWUASSYvQrucbKrzb8ee815kXRUl2e/wuquEHiBpAWDJnwq QYwKrK66C3fUu1l6KkPX3xEXr6IZpXi74Cx0nHKDcCZJAihCNkcdyzhM3SPmKiDeJMebPrdNkzeN wAxuAUTi4Jp2paadWmmBBpJ5CgU6PZ5JthfFA0fqaSlhNcJiuni8pAIDGzctHZGGIutouXOnIwM7 6G4E2K/p69UmRrevWCDS4r6J0AUVlIFGwUrel1J2FodV1oj/IoQnxSHZOuoeH4JpBNEbKODZWdDT jMw6jmZ8iXZnC+1Xk8ss99OY+tDl7wUWqdkkzD5GmwoDM2j2FZsYEcsADQJWFjw3NwRwLPzBooE2 DUrcf2+1PY4vM/8WGBBcX23RHMP+XarQRVcN3h6uePy7ooaDa5pumQzsZ8SSxaIRqpgG8V7FotnA 6DNArdP+p8MdRKz/ZrlBMOXS3FyWgEKyvmipc8REW6qA3Kbx1Cojago+DzYt9BQF9mqxJbYAGzYn 9ANHQTE+oCwbNcgxgSnFczwytyRSe5vNiHyTn70nXaQVxXVJsBNNyEAQjqvT1AMFPTGF/UnSvhM+ u1IU+qUK06cBw9nmZm+gLGsam3caYpQEUgVLKQeflKAcuLBm6oBshO9inLJrzETbUP5MWhDdChpD H6BNOA+dKZ2rlnLqZ2ZnzJXHhw0bjBqUDVwGqI345Ke+Oe8SA7y4sU078KdO3sxg1hluOJd/VGPO j2RXPYkIZ5ENa9yM47jzs0DGm+hNLvaxYHqJtQ6HLFwR50Fo260BLo6noiwbhbUna0huNX4D6N9f XZjGcaHAHz6xiG97uX6JvsOaROHDoVkaJ30SdS58KYl8KKJUru6df/PRHkdzvWK4ugKJF0P9Q645 i8x12RICGMJJtLJI6QBzsjE3ZbPJipIOhNsfr1/Ci2ivyWVaRU5s4pMZJBqbt9mUhotjDAn+T68w PLTWad/9ofqFyUW4vAo/e3RRFjRDVvjYFnanvjhpqPHFquV0zvLRra4n3ZvZuvawRGClUQw/UvG7 QwR7U53+pIDWU2l1dlESh0GbKKuqx5rI2WTkwsnGt9CJxkvAcKuU0caz/CZnm+MpweMXdPNUuqL7 7e9QT44AiI/Vh+LfGedJNUuAzhf6LBlJ7qmq8Ha7BkMsNBqqImzDVbX26cKavhk7Zqr1dL+4sN66 zzWI0gKfw3YV0Z7zFx6353t1Ow5VM0gm3zzhD+P5TwtTnUvCV35xByGirUXzzrHP5btJ/91tfPQR qyqHdHIyNOi93hHHTO3C/GOqW0KcjazFxBokqwVm9mNBhwXkBO2SyrbLq5pV5A6d1g3KK8Ioo9j2 GryD1pEN0r8fnSDgBsMX2jH5KsReiS5m4wK55Vj7zAin03lJFTjcUgclD7RlOv8klnhf0muqe1B2 GEmgAtFt0XUg8F6QRgM5f6cNqmoszQP/1II9jx2i4LYhxMbVJVLcoAsd1nBsMFwgxkUqsKmV/ldu myd2GrIbAQNU8WWkC+QnmnGtVHE+5qdhk5byibNDYB7YL8ZC9R7AdwCxmehG+265ectdA2zwcBGN yBpZPxgnUL4h3VHD1T25P6x/5D8HZQGweUhoku0UwI+OPUIclcaldDHTtbmVgzLOhUc1rRlL22D2 +wo8GPCYjQ4usUlZOvnrVkiN0xUV89yDCfCvAbshy3djuVRQ4MFny/AQnl/UgO88bXU3fiaku8uW +/lLLRsZQkBIet7T1cWKH8HmzGbGq2KlQh5QPZrpp8cy/1TZjUpBsC7ixRGdzAGyU1n6vYUpKL0j xotJWTIEDWvpjm61dQu0txmfAKQwrH3X2AAfselsVIocPpNLPus8TcRNboSYwmEQt+rzh/F/bSsf jsNG7LDn4HO971yz3hXNLlTacFAEk8T+4UvctxS5uo9zkP3BxUyLMm7ZGFvJNYMNUc1GXliIEqrr t+4bEctD+IRL2s/bWXTbvG9VIR7teoD2hxx+sySnVSjch46FnXcBIX9fRpd/DvqRTQ+qytayO4yn h6aDMMSEooprq8JWiP03R0wNdenSn6z5ay3RWJEQyfUsst7nKPMbrquoGTjcmmK0quOVgzCSDDc8 A4rj+HhVF23hsnRWaGavbXtDWiFSS4LYt3DYqDwcUlMmufeUIDced57BHWqwQyjTABYoD/5tnQOD E+HVLrrujoFshUoi/2JFxXY0Z1D2rU6wSgwU4UpoJcwkiMZjx1lgnvQzdGjmWkZDO50SZwZsSlt3 WC5bdye1stcu1eJtmSSsx6eR9H7nfACPxnc31KLDteIfTIMC3OdAeQ0gHX3upvULcDWCLVNwP5bJ 281k/ysD9UsQvMZKEFWPPfpUa+aF7SH584ojeLjmtuK1A0hv3hKQC1pl8zuVAB8UldFfqYyfoWoz CeLctKogyV2EASwdhA2xIg1JYKvyq+tczMUjsbFpdQF3dXKgF8gsLlUxxQSZg5lW7Bjwcr/flzmH wFZM0F0AomMuqu5Gy7YOdd7RyWKxaOatHToopwmwlUpAwDl1emrLTcrdDcWauLms7MQFK/NjLacu Zaa0oJI0Qt+6A+jt+m2coPn7P9ZT1jwpz7LOgs0CAjhyhAdreWQSx90l/5Q3D3Ks0EA0Ae+3sSBp zHNAtjkz5MaiIsewsB4nfUswWb6i0z5Q3TVhsq4yuDkc/22YiWo9TYu+QMhTW1W8/kawucNcPlUY 5JFuysohj7AV4D7vZ8FN1NuwffyNqZ1FGOR9uY+vF80tf9wN+CUH9/1iSTcEoF2lGP3v6JJU9Dk8 Dvei/0bLRjSPVPjKvPhIuQRj1ezrhap52JpMsJvu05dst+hkta0eAlp9A3GynN9R8wamA7db2gYN QsS6lf+gLnryhgW2ENa2nisstyDEEo9SZRDIGOqs+O4WR0mIFZyS6WrGFXC2uDMxKKK6dNGi9o1o yLOeuWL2RTEzlclSoDqhbaSIZ1HV23cvJThoTlHaUEH5+pZI+mV9GKXXOJnrJIFjVA0IXJOys379 RIrMIXMM5laxLbUnwO0JkCaO5ZaxmLpvh3oZsLK2Dfa02tn41wA7Lof+gHITv54w28xbjqjHiMHD aCIT0fVMa1ZhnEu+EyESjbExI0TMSOL6U+O0o14Q4oLrhyvhVH1Bmhc4Dxue12WzAxKyyHXYJLtU r9z5TmH0aH/UEyEeto7mrD1EMSuvElFWgQWbsZuHOg5HnNBk78xzHw2YmdsdIGww0qPJ8P9g7T1M vItt+dJFXHYJhwLPnz6Tk+j8FtWzbU8fvfJApKdHGT8DbbEigqAT6ECdQMgHeKKqoxL1nzNcSJs6 MDo3pvea/l4F7oVdPrfA2NFd0NbshAr91uqiMLyqG+1M//5/eywvJENgB+nibJKT/K75Agc2qFc6 H/4xnCWdYKTUlYpXmu2AvifZ0JEB4JtMGl69qiGliDRnk1AKqNtjx/gUNc9oUG5A0Q8bszqHL0qC szGQjFyyqi3Wfy5mHjhz4Rqax4O8Td5F0HnLtGe7K5QUDE+FKgujVf7d+Be7Tlgqt9Jlco2usjSm VbvsvzQwUvt1Jqw5kgn70eSrYaL6QtdD1qVpdi6Z1/1NiPgS8MXiaYFVQg6hCRW7SBdA/KFbEuzt PAE3fitjAjARjE49BtKdupxNdJpe3Xq/6whKW4fTWGWyBjDp9aDqiS4l2k2iCvzcNxqsC4VKk0HQ HZKBOkVRbYQ8rrDCEcG5NLaTXj+dyFBWv1qcFq+weC6c8LHkSeuIWMxouXQItAQlB09ESabYwBQ6 DcapCSy8++S7u8zf6sYoFITU+je+pGhJsvktEIQ1O0Vu2uHa5CzIvZcedoQY0/Hy1jmM4WS8oYeD vUsZZ9S1lU70ZcYapRgEpplKbWCsjdyWbg9PsRQwWyaJVptd6Hefr9CZoxEAtQw4gsAWB8BdB+W6 C3zXld1+0xj5kBmd2E2Ybtot7xxdaJe3bhWFyiRraJlPEOp0EMFAKd1poQLBAKXGwKDNiyJaRi61 EcwhxNx/MuQcpFXo48hJ23mc7tVjfC131mZdjydqWAEUtE/c39UZ9N/mxr3m8RPQRyg4BP+wQcfe feKmLABAa+W8bGBn5MsGlVe+Fn0t4Ocb6I7miCS6QUNik/FsyOU7VZ+kTQgwQ4d6Q4AdMpffRYgi vXDzTw/mRA65QUSvJDbF6e4rfd6tPBO+rMNJ6DMwdyPcByFDK2kZCAAH4Up/ftRWe1myIPXINr47 KTYhF7cKCCjF8MPqlX7/HaWiCJJp/roRWmc0/aZ2R1519nQRTrb6T7gNW40gKJxVbXEwyD/RR+Sc 0T9x8okoJ6FumNdGSdOBoiSe/zvE3bgH7G8fzeKbOkUrXYmQ5OgP/+5typ8ncvy8uXQXgXvH0S95 4ktPS0nKx69G4Hw4WB/42yR3ReCe8MRtxlzTESMd3g3FxL20wtgYpgVUIVCuP4jnMrPdbo6EauGP zDm4xEg3zYjzrqAxdjYw+CxY/RowSTuy0zLwC6jnESIHpI5Si6kYIyTjc+UO03wLD3YI9D9hW/ea iTlxY1uDy5OnzY40Gcvt+oc8a+dQQgLHD6r96z7n+XBX7+CrsMW/21hpJXpzFDxotVo9QRHmQGL4 itQacdJYMJJcbvR7/jRf7mpKnAVvh30UMtfYBL8NmxVGcqCF7/JCn4jGq1QmwD/v+Syt94hsWiBb 5v0PoSDGOF9TOGnAKsWu+6rVdbDdS0jcAQ3ci/17BNUqafjZWILov/qn1Q6qDOwy2XOmK0qGgN+/ r/ZotocxD4EgxOrhOai57xyPNfMvfrC/dixLQ0hYU69ipqxgZMEooIaG5alz0A25JPaId7UM4tmM ju7AYMPm3GfD72in5ErqXvC2eOiytICAnwdtJPLT7BlUxNabbJU23zsCHKPK0xFPHeeGu6+GyRor lA1yM7BiGGw66wVCJIRKJRNyIadi3et1U95icfK5KeLfZ+JDUJz0qk32bN3hWkIqTpxjnbPieS+9 FQu0sJciaOPTRXJBVhmQAbLRLKV4ZU/k26FR3Pr5xNBEeTx9D0TVhwKMtb180GbeNua72QLMpi47 wAxE6kU01d3MJv+TaR+Yho7aWQA76VWsJdQqAIsMMNRQYxeDmxg07ufoCl2Z7fIZzPhdxFAX1CwM q9+O4Kb5yIm0nfzIiJp5wBf/dBdQz1pmmOA/04kHupQxNTQ//GlEChi3wa10ydtEXRqwZy02yghB zaMsB7YkwmsLNGIPMHEtCj/xH4xJK2WLpEnGToRjt2NYpjsfMuDgsB+VJexUWFOpAsp4b/kOg8B5 A/pHn9OdOlRHhf7EYMJX1ui869QPs4mpZbfeE/9j0l3IZlefsYLqH+rj7mo15rRNR4pnKALkjc3f hcPAb9/CjtGCi49xMb/FUBdOj1KogwuLprxHwjMArwtqv9GLaE1lhqHQbgPOUJyH0mkj6lRTWarG gWIVB7i/PRKHQQx0TY36O5z85TIQqRWw5jnaErkl11dk8dIIpD2fyYEY0uWMFbfe5c7RI/MeCnrz 6kFPMW67u9S4iS9r+HJEp2yMAo9UuyimyNER3QBbHvqpSI6gGRzE2xuh4Kf1PKAuOG57HyMwjJ5j JDLywus8umNV5DSawpY+uIm82IriMcqSi51pS3Jh1Lxpf95sAaw/t8ohnZXs8b8wVNNKnNZtpY4a c5tVvgCGag4hGAxloeljPCrzRihYhM0pKBvNniDv5JyEW1CHENe7Oma0XSY5YnVTAOTbRwjfpUJd 15mMdiWnUJ2OLYgMzm5zU8Fu+lTUM1KY0tAPGU+lXOKnadEpLSI+wdf3OENe/2on15mp9zWTlb5a 5/a87ImQ4p/07i2dkE9vSJ8NPSZqJ2WMfVCYUJXqmWNQP1GzYgs1JpjFRhajo5d2jqIgFJjXUWon UPHAB2D8gto8YrZZemcUotpolr5WtXHTCFQlxQCZ76ASkX5zAqfiWzJiqeHg+bVOMJKWXvIj73n6 Bdk2NB8fnA3iWee4lIFkg1+JTouJQiht3m5b3vGWxVMplweuDFpWU2vHJ1k3K8trNDLy37W4m7/a l3syl+dtUgiNC2fOVDdaO3hlrWwBqcHXrq3Tk737NELX6ngS/yU8VesL4nlIFycfwa1FExwEouhl 9tu39htXrw+ROfUzcxwIhb7NAUBy+MZZq+ZKxmuDLLfxVrh/Zj7veQNU27PmIbvwLl/crOk9vFaT v+hBHWQWqElIHnKe7HTKDCdxIqpyYEi3BcEHRo4ZKIno2oLKueaOiCO63/bFe1Klv9ifiQZ2wweG EJc+v4qN9v8o4EBnF3PePP1UeHdanpQb6+lFUtpdTQlE+PVhxNVuGeyt7Fb3+7BnxTf83KF+WiEk c1lrqMRcOuFkhWjsnQq0x4BPdX7WJCzuUUlLnvup6KhF5j4JHAiIboI4qsfKFeGkLlcFdvdB1mT2 fpVrkJta2HNXMETu/kjn+/pmI5G1uRBeC/6hA0emkf1ZaZwrUhDnKvMkc2Y1VDp92O4X5HuFPRqo S4AH+OlS0ScEV0UjdOQyyVI9lSqOM+uAFZ2oWony7/u8IKcrrh/EdWolmKpMIagzmjzYxIfQohv4 +8R/iRFtUq7ncT5XmrYvXLDorWH3h1QkgItAb4HnV7QumVtJluzl2Ocw5MvRqwG4Y2iOiiOBlfNm 4OnM/BFb0KemLVOZbQ9isXg35NROSLZeVMTACBa/rNHKxPfOvG+0YozRPLtQ4fFxkiPHbQ5uKHoS koKRCjiGtcTx+Ab/jKE/MhtcBdVUUmWXDdxa7kojcTNUsal6SZLY42szEH2/yr2GHSZfZRFWn95K E0/tZ45/7glnPCrKTHt5CFHlUuXAI/em9H7zBg5O8C3BldvzofIXPtyBLbDqZR3juipV2PnijzUD aErW0qF2ZW35FmM6fCay9T/PtLZiqXbL1neW/C+Uk4Cl9I7AzG5miMm8iqteLx/n0tImxvRczrBl l+gQHWtTVYDlSgWD+SCfmY5iwBcOXXBkHLSq2nDuJtvx9gl6fNSkZg76pSHKCA+wh5UrMY4mqFjF TYVJcx3gsmhxxwYBLOtx0v49OpK92PGNOK46hza2SGIvkTdZJ8LQNUvks2SYyB7qQbgIt+vFOfYf D3X/yZrx2rXRW9sVUL6111xmPj6+742wq8htF1tHl0hWewoE7gWxXkQuKkIUOO16Mj6oN0bz5s6w 0jA/YaZ1nc7cWvShlRF69UacWL9j6xIKxlR+5e//Zfq/n5kgI7JEnNV/B9WAQm/Zhh+TAvZkYhgv FPuHza1qLt0Dt+5t/AIn8PV58fEkMNIPIpysXpsUBJj2rSX4O+iLGXf1tELdnxja1+wfHSh9L87Z dGa3jD7ONzoE0o8f5awfHCPzfg+vU0MujnE8ZJQLECX44rRygmY1Fx6euNi7rexTq7YRs8i7Sq4Z 0+hcfMgpGeArP2JKE9UMnzsjQ/uCpCw1LSfTiCVaOVr6ZT/vECGBGXKkHGoWLFQ6NmU68N6ZOwgu /g4gRPehRKKrQO/vK2JR9HjuI+iVuT/p2AtCn80teNy9lQq8PTksrTSK/Kbi8BENXumuAZq2TBsY S8y3IajY2lijmKMLf8RiJAEF9T01tcDZvXFmo8te3ALkusrWd/1okEjD0hhYzi9gi66RQqPsbfj1 2CBw9D2Oethj8WVKRx6fj0CA0CJHf0Y73qk6W/HJCOjcDbXpi+IE+qCqrpbPmdRqlKPuplzNTMjX /TUcfuongkkS1F1joVeU54HcmTds7orlydPPyCVF5S0uvXrNDa9fntjYehE91rxrvX+E6Qfjgavn DEs7RNlMFX5AvzIQKUTfWMyq0zTmySsYIVtvUZaJ1RToYRGk9L4ageDG3bRZu5HUhM7tL1hOM9+W UFTejNwmC9uDZ8Z+Xhjrjei9U37vZWS1IGMdoUhKCd3p490/e0p3JUnfb5ZFbw2euHukDHotYe9q /BcpA+qUlb3HM+GXhRh8WmZEGEbSB0II3862ikdbLe7SdoqZnYqsbEb65a4XGr/zIPRdtZRBMzyR 16ZzoC923zCS7GciAzwqrDrReyx1bhj3Jlbk1TLcVSp7bDnWsylrbGVDdV1A+7RIl2/Z30r1kPpu arumKAbZ5+EVWxFo5IzQ/u6ImMzoeqjnHmG4pRRZE4TsTCujIAjTAZcHI1x3EtvKHuimeBZa2BOl vOOWFFllvla6xqXeZ/sARKfmo0FlzsOsRF1UPC/eHWUIudKeCJNJ22Z2jXzZMD9ksy2S9QBhdOy4 g8Lmddt0KZLdPb8bKGtJ02glhpy+HzyKNSovAgVV+etG7phDhcFOTfLzyOIQyoqHyvLrMO0mcw6P u+4ttKh+YmQcSIVkQfYV4R3RQuzlTN7jHgurpqD+ubk3dq9eQBq4wCdj1PH/xWuM2riDF8j97WQ5 2PR2R+T0kWiTZRvL2T+Rqb2J2Qbc7dkRg1q8TBLKDtTs2qaODQo3U5vIvbLf9FdZp8bv0JIDD+2w 7PwX9iHKYAQ6dDkznkkeGXEl86FkT446IoM7fJGjKn/0KVaHRl437UcN/U51f/KMcjD+RipOu78G J3VUE+YFL14fR9ZMzElifHmylxY+xIxrn7AzL/SV7/DycTO+e/dyH5vn9o+vfghiT0zx2QpG3uJN 5LY2exsiIrXHaIJu9DIIvhP7mQQLRFqtIRDqtXBqIZyuS2YVpDmKTIuwcE2ehlLvNoE5TtHufS/X OPHcXcx753tkY4gFAGWjzYeHlyXbCDB1LfKPPN5GiBST2uln7DwxFxtCaKz45H7MDuHeINDPvBdS zZFIyBe1xEnEr82fRk+hLQJ1KhkdtOChTKUsTDdMbqTrypcXipDxYvrk9JpNNPLAU6PpeumPqPEe rUXr5JndNDFKg5NJsaOmpBQqJdmhZ8UJ3knGuHs3qnxoHsuG7P0gdx9fAnd7IDFodcF/4y81HJBg oeptFoOWhiMFlyFltTPErceE35q3BoWCbE3ZnwUdBWQyLu2TsM+SCRq57UgCq6YTqpj7JF7XOXA0 +UWiBNPXa85+1SQtpxh7fs6o2l9ax8M8pHmrEN0hb4mfQAXgcOHrUlBobgRICaBQ5TeadnOZ5g/a no8hILRL44g4+cJAhY7rpHtIw3BG5VSfKtr23oEIJ8bme4f8KMlN/+Uquwz6sHO4RuHebWWsRf1F 6ESnx6h0gIgZKulL5RW22tDsNdaSR3L38pl9DsHWZQaBSF7c0GLttXncYmN7VmPH34y/ES8svYid bp3r8GM8PFXDKvEFfsaVXCinkPknE0T6exbzWa7a90UehhizV3wZjds+Eb19/LfECzMOX1gEPyTE 4Hn4PogyjucQCc96udhA8kN0UWDGfrJ/D2gyajS7uaSCPaGOYFh3LzqiqqDfrgJXYjsEsEW6nWk2 9GWgCy1pecWORWvNcbX9PBB85opL3Isp/zahxj9sAazGUuzPsJeb0yqAEfTiMuXg50bhl0ynRsHU YcEJVq8NXp9uJ3LVJEA04GoOK/ROQeWhYkr3IPmhL96zuGP1Lc535l1Qf9wZCzxxP4x9i2EBOmd8 F+iuMOqd/wnGLUY/xQJuxAyro69kqPY8R05wM/xZQctBv/dgBIkM/JSSv8M8R+HJBfCwV0YrZrCW 3diVMUj6UeqBgA2f9RAKC0/U+YuSmsgO4I7Yu2EK6V8+mrN/uywfd4QD/ST1mLQrRMjXnTbO2HzP 4s8l42wZqja+N/42Rhsd7wPPGmK44s1zZiAmiwWsytWkVeR0AnqaF49wtIw/aewUPBg/PlpzhmnH TzAkzpFjlel1394h1Va5JQjG9WBPOUm5xBIt+DbYwjgQVdTyCqEA+Z8f+SQm79k6Qa+6fsjV12AO ySOKwn9am0xzp3jljK/zaHu8jGjq7kjaSDD8ZuLEdr3zFlxLm62Dhy6BPF9n6gwsQBuiq91sWmaZ G8SS7zhh3p1dRU1pKnc/7PSkE5m/ue+oCx8aIpQ8pkZoFQEf3FgH+X6MC4iFfUkU3Q9OozZqkRj+ l+J7x5szQh24vRrAEvXM77QZzcInFr914sPSwaif05ZyDBsN6oJRlWDawRl2AgrQXHM9a7b2/6qu NwkcZdxOqZSil4JKZy+hhoKGgNEhR9jEke7fCVKCFlHGJjjHMyR9nW54cHlZWnfSOQpE6l6S/gBj Lz0b3cvHVv6msgZjkODPO2YXVqsOQ38bCWa68VJqSKWgK8qLNVfFnPm95FunVB0F33WEwUg1Gg5s kS014WFbLlDZsYE2s04/VXAaCEruTfFDnSsQjPXzhPcjSHg8RGJhofRxYZagqBjhRYyxLhmD3/Ov v4P0oEGSgsqXqhgUYwQ5TgGNueEVUlbJ459fqkOpz4kPe8ldRNBsHaLji8sZss7TXrF89kAm2uW2 jhHTt1VYL/rMgOKENZkK739jnB5k6l1wSh1RklONg1GlxupRo6IkKDc/88P1oxMc4iiwsxfl5D9O lV5YmUlK22UPr6ZYd8P9ukz2c3SGsh7rOSZOONsNoyzuInN8pDzvZuiEZ99RQVaeIYiQUVvEwGVX tFEVprCktcYqIMisvFiRslmyazS39e04AuRm8KecxTNWSjycuuvXVyb9pFkoUEyG+rhoc/uRWR3J WJadosoYADZEcErtcsc+SblOFCObZ9eVMybtcjKyINJb3hO1YUhtnwMLbMEZtOQf3nXVBKRozlLy Egj8hpkIa6Ifwkw/A7BN50YjhUeJ7IpDIpP0/UnzR9VpNuJQBnd+2jZ6mdmrXpj8Iomj4hBx2dHs 4gfOMKzEp7UzT9Jnywo0W+XvbGR7OnOFhIrmF/dyCHEbYrW23d1tox90sssNRv3VGTHKRjq5nQJc tzET4LM9/FQ0aIDfwGB9pv24f6itfBF2iYzdN3j7yat7CY+cv2b2GT99xsNZ/vs97q0m1d6DlwE4 ak1lRGlJR9Y0d2Db+aEFHzLU5rd3cxfG4OPeIhyJEgHe+oG5dBDN7tjfY+6QE99xkVLS6H2jvR8M +7Z7+tZmtdxQTfJ8k8T3SK6amNoSH5r/pFyPAc12064GVWFxoaq9Q+udaKhAzrjCx1KiAeH7T6EU EE5bkAejet2kUP2cw4lmpAvYi4tCrEiMETTuuV1TUrKZRxFPGMHOVtD7A7KAhFg/xsmD4HMt12AQ RAR0LnCyUF2CAR5+ASHsfrdORTnY1Ie5GftozD+HRmdv6MQTbuzq2V8o/I//D+sI4nYFvQjVt7KS TzpA0mPCdiQ4od/uKZM8XAHdoAcCbq2q3nj5mKMPpToU/1uLCfOUderggKSyNf082XV2VkcOm8hi 4pYq6o+YhP4L3+URAuNfrJf4qwa8DuCu7BXT3NE6n97wm8RFE0hJlE3X/9EMAwC0lY5l9xTGhxVu POpnshpmO9f5SHRdof0DYh7tmKAxOvMADClleYk+rHkAFoV2embDRuDq6nZNbYo+R+KoMJQ+6CkE C4dLvr2J/mla5cD2+oNGcCMX1UkY9HdEOQTrJAgHX96hn23DMrD6wBwH/YBTSCTEqMl6QKUGlofP LRjqx6r3vhP3J71JeHS1bowg47KI/ZHYNZOBbzqOwHod1q2gR8oH9LJw7FdvtF8N4e82QZ4xdDdJ JKMUxTpXUn6ddZGx/wvjY1MeHbt3CokQmTHTdCsv6r6i5nKheLp6n0lfcZWpOPeiF+PaPwZA9Do3 EY6HbmVRrvmIBuQ1fR9dljCkIvkVkloLPimQSnidAakfwoPiDXRkRzCUOJ49fv1Z3dQ2SulbEcG+ Oq8JVxF5f8NOiyCHgg3kPA+QAbYdhVT6JPG/qybE8Jj5BiLPdZxs34yCk/cMiFwDJAy3Rk6MWoA7 a3DQheBV4s80Lmjpl0294COuqbiwSO5EzKCjuepN3pHQTTS563CvKm7k5rwqO/EQN8DkQ6rpV7FZ xXp3H7TrMLhXrVKFlMOqzGWDoefVbYTlpCyec4N7G86/k4i6YpVnclRwc7UM/pY/gFylS2633VIY MEcUUIr4HrN0ZWWN0kHl6ITIZR7/2AqoR+W79IQDfhOPFSFJC3mPuzFujSEdmjOvRJ5Ip4JEiWv7 zRXf80Cmqq56u5UcPozrSYRywAlO7Yc7Iw91BSpEmEzYFvjrykQz31Gzsh+JG59oPISgUapMtPyB 0p4GNFrAgGht3SELUQ6AkNT6PEqcyVbc5HWD/UCcfMtKxDKGXU5smMEvpWP+afbMnferzsTGcZpI 3RMP1wJjrPFm0cagykd38eBfXecIGd3eHV9cb2ReeHKyAqIyan6jg8KtMbPNLtKXbTCBy2JE2wau yjbA3k66iL5151irPtAGmgKfl4wxgwEaeLXO5PBli6pshiZ1beEtwKxC5RzuDdBYSUL65XlbtBud Th7bW4twRdunWIsDvEUnQX4oHFa7jGOTzXrdZ6o+ZZXwASizi/3Y6dNwkOUKQHe01RjYlp7iH7T8 nvz+ruwqeJg82G6C2LXCdzqs9pu1lEbkHSG00naWNZfh6wXDXBltmO7CJ7tu4sodPEBZv+9ryCle PuZYE2RPQsOVbzFa4LXBrEJEQn7UEu7khKZiUbWEPhXdO5Ns1/N3YOlJ8l/hkUZ/3JxROaOvF6ow vPYMbYEI5rkkhPNQtHv4iwD6FxEKBpTsbnB9QwaPFiZXTwjzCe23sGsXsr1Z9N2Bij9DfEpTFTAe /lNEYiomUZ7ytggnUPI173YSJP+sGexXm5YnHMsJQg0SfbRHaLaukXeez2Ty9cUdFp5la8yBTSpw JzPNZChtxd0ItHRvF/EbTx0hP1MK16lsR0ju6uXKtQqt/HezXIWodd33OAHzqWEOCw1ftQQ4CWot xnFo8T1f4jyypjtVdZGO6mVKVWWUr2gzFhCEiEb6ZDcuot2G2LkiUYcYbNoxXNOjCij3nuyPAWId K8vnrcbuLp64GVUBT786bI5hrZR+AnqdjsHIyO7+Ztq1aCcWnkmDnPzw8ncRP7JY/Ie8zq/GIexW 6k1Rja8DP5wPVWIyi5ikMy+QbPnUjzZi/yNcDZDMy8WTLSCYS42Nrs5QDdy+YjS2FoTyGo+HDyR1 J2o2kRkvf+HpLxkWSS/+q1DJvu0RMJxiVl4T8fYG2bga8/24pR+NTF1xmFeidCNibzGdtOeV5lZH KvcUxOxbeW/KcJI4cgmA/ZP7AfU9TJpjrKJehDiuMdJXOJfEGMisBQNwnpINRa70I6MYt+dAZfF8 EjS8AZLZdTKLZD1iL9g7ju7pxZ8SqkH3wTjjfdQ0sqsPSYN+Q2TEZ65EB9k2aTJp7fTp+Wplh/2p KD9OD4MkpQ20jv+Uk6KOf18N+JDqJ3hk8auL8lcAl3QQ1JIDHQFuUNeSsqo29P2IKjdFKA+Dw4CT Aqu+1BB50xNtuJOWfHVh94Cq8UMvoKEHJbm6ASLvgi9iAdcBzB/yCCRKSWbRaiiMJJDAChXu7QGy rt7h/ugVX2Z984gPgRm2AMpYGIhirhiwX5lhhbh9Nnc6QbAvCRNNTjLyBVjqMuUCV0oQacfm2QIX FdXD++NI3eAAzadWxqS9LtJUhb0Cg4QOWC2C4KaDZxVXnXthd0NQEdS3pL0oZCfmnMBqNdtOSTTb VScLh/br2hqgn2SpARvhWDtyrNfwkOyVZgQVYjUi4eTeuMmQBIVdWL2geYthp0rLkVFlSnW6cYYA vTX9VkDYZSXEctc5TXX7H+UKA4YDq4dElCHrrdhGK+0oJZlPok5mLPTUu8ezgOD8RtKDhZXPkCp0 9hFkmZjLfMo5B9BMHOiKek580xwqmkG+tO+qtHA+Q8ihyFpovXYsrlREvDyEEeBrajenWultlA4b Hwn12YdM1YaFYMPW52SbyazfwuBdM63shILXyPRt15Rnr+B2KqxLpEqpU0ecvcNdBZ1uey9NX3g4 t5zSpzTbOOz2pSuQ23naX1ZVla75MuWVRFOOFAwwIH9M/JDZGTB6X7RnsdIO/1VqbyKEKY7dr8Gv hcs/GGQlMf4R1F6UuZPD+bd1XgIpl/t0l3voiFxdnbjd5A7Q3loXweklopo+EnMjJOg+fhywg+ta XrV1XFHy5UKDmtYFVk2pOmCM2+UJ5Qt+xgGEdH2pVsp3jgljQxRzvUU5CE5MItQrmkfOE7FBRz+R eg/QOsMAGDviwQ6yUMzoRqXIvgPtzxA08OJUjfnrc9zDGDk2OZ6ugYne83SF72xDXQhz+bFr8Lap jHxBLNzCmzYqaX9AvVD83+de+HLgKmKxR4sxrRyl1ctOrTK1ErNZs/iTXQIOePWO3R+3UhZWMgfB L7cUuYAaQ/T+1q67Jf5JWNDGfhQlZ53kljIV/Gti8Gnzi7PQD8Ba8Y/9ZZN2eVkwdluFNMjMV46F cwxr081l2pJ7/se2eSmNeYOdrFeUovt+5CJtr7DGoia6e9ERnlykYRfv900z3He1QAbECoDTA3mn EsQPy3VQLtKHuf+rW03hdmfvIk+o5Om6lEOauq087xNxjzaafbtzjqvYNZGguDkpFjGs0vOZyXOi 9Ocq9TIoNR+5j16yi2keY0sUo3nn/zChkWhn65HTXQzkSd3Yqyyp95Sqet+YD2Yy9xiQAPnbU6eK gr0K2ltjxdeKPzicfpCbr14xnwgmihTgNUvzqIjHPswrEWMJnq1/xXLXf6bXBFLPfs0dHKDcqlvV qqDe41EKfFKc4gyv4SuUootCF07/sc//o5IyFasLzsjCMoCdHqCoVwi+tljH+Q8BgecPPvF9VJpm MhYflQdgrjuLve/ooOwl6z4TR6FFHbXSgx/+E1IaXjrAtxz4TmJjDeECaMJAATYGov8z6QVcL4CW /dHmrR8lRb/KFKUpn6ATRI/2rl/NlocX3JKiae7nNPfIRZ+jLkudX6WJwJiEw+pZMl7sJJYj5iZg 3Qn38ROj/MNHT2NglRsO76vKzSBdkMSbpGo53ocuksdGOC5jUiMOJwI6CRKn879v5dDYfagDjRsL sppZer2WE1P/3YOZsPLUrapBUW8UFcpdDfo648pQPsJXj9BDBFlDuArrzAnQSRcJmAwWez/vnMAD uTIazYYNS3si2oErxOkZvw0n3ZKtSSdPSwWhrhV6czORDSFvEHpWUKyJgF5CkyktLX/p67AMwOag ATZaNji1p+hwbst4p1SXl++RgIbx0lyv/yl1zC+RabpQmhhCPqezEFz0qevz2tdUe+9DhIvSk69r c0eXTQCMXIzqF8hU9LaZF6cZrfkUrZIlFGSaIQCZowjkBp0GJ7IHNKLogZEoUBV1drTPZ48o6UBh KZ/ZIi19ztxdh+fTf2W+UdOlZz774K1r0bqsHxZRgdE85/YfF6MGCMhNAEQ7uUIFBTOOsL5x0nKA 3AWtZ41Wda5LpH/3kP54Z42Lzd6/TWP81uQaUuU+Ss+SmnRKo4SJ226D7BA+DiwjTieNjoZaTaq7 mhTPfbcKsc8mBJiHuCDP7lM+Lnmt3ZhOZisRnBh/4G53pHSTQRu1Lc6A0JAx2XlOTIb6XaK4jJ0j wkXduDMNME5Z78VU/7DfkmtjaZ3GO2FrrXFRvkTUhDa40HJcWODRBYdmGAgs9u14c9+jCDdUw5pM AifHmuHsZfQzJ2Bu8bLSGJk75hsifGn+/d/Auu9bDiw8RsIpDEWru1FhC2gXVne+LXzNBT+U8u44 PiEeJyCir9V7NMW7ev4yHyx88QOz/cyWPNO3s/pu+80tBxk6suc37WfIFzRRR/C/OMDN4+uK8qx5 U1YLq16m/RHrq0pf/bCYEyqpSLP7q9bDcfYL6PyO63xHDKTQrIGBqYqKMYe2aR0vHoQqKn86W4f4 lTAtcGZE6U8FFwUjBa+RQm2swF+BowDwmOBqHdm6sowNzrnWQG1zJMtzk8feEFft1Ky0E0RIWspU fFVyYrZXOXD4WhE7qupomJla1rejRD+E7jaa8cAXUTfeYGje5c8jQYcfP7K2S8vALwcqELm6bxMd yYSaHf6YyThMxFcAqwpvXbqpPrDUZ7sGCWmEAVEAcimovXWIAM6poTnCHluWe71HwdmJLMvG0Pa3 Y4QVnqCJlIFDxnHQZs+XY2HIBil1knhMBVkH6MVaMoSKeulT3fpQnUT5prji4s8MXRSr9GVB5uT8 uZAh408MzJe4QuiLFliDYB7YmEgpROqLLdQFqHzEHmJ9cpcKgVC1SpSNwFgXYUX0kbCesWzcStXs uAfBvml+Qk1vmSYkvyCMX3tbS0WiG8DABHJMTk7cwkB7ejEl9LlKOle4MFEwgT/6oLNFP5J3SRwf CkWbhKdFzQDu0BYf9XojGEvHsTRU8lfu8+k7m446+dAsAh4vKJ+bseF5fkDgf9yq+d+uw+FaUeLh BMQ0QTSsTjiArQ/Cq0b7nefkFVSPN3t9OKUI+iPpLw3wPl50Iba1y8itp0cgsWOxh0YniXjXzFfg 7x8n/Lg9nrXEGNdTNcSFX8MhEVDJm/HDoozHD1BCjy9uWBqC0UPUA3aKnvLY9NvAQBxuAz0sRCZj zeI0NQ6uQOk7MhXyzMspYSV7rkTMkCfxaxE219rTPuMl2KrwpH3vk2BmwqUi89A4NzJe5zPp9hHH tiF1ZfWZtXIWbESX0J1R413r77QtwlZlOc7la3IJ5clNwUbNkavOL0dCtJEUdGsUbUjg48J7HyxP JJdTjafsfUYE2MJQCvSclq1WvgiFztdUnwYta4x36kuaLm7lFDeM2UF9epNyvlm9wKByW5b5clCS mjgaDEHzFE+wl2kvFFya9/PKSUvgkbjvRdu1hd5DY13JeMYBkpDyezALMJMvSMfx/xczIQeAh0le CNHHofq0RbvmFIPcxG/Y5f+AMFgpZmxRQVGj+UJI5oHzKhGw/qkQVVEIeJFRMENTPvbeSc6M3j0i S1Va/8JFTpfvRzRHvfLNP/zqlv18UNL7JR6xWwI4LDLt9Cwkk/lKTTndCfV4x7A3GzhA6DlKexXL yQrGDn1CSwk4FTaj/+eJiU4dYmRY7DcBbVtQYFjhysSqA+8OVtl64OTF6do2k6nwu+s6XdkEivvP Hz36mbTJRjG2BTBT8BGrVKPn1/JvlLnllIGWTJzgHX8yhSq4UOJ19kTBg7MMrw02AQ0IGepmUEXr 5RjLBrBs7DXqs1agw32JaJiTGIt2vK/0kFNWU8fAbpzZ1DJbM6wlyx/CmjMQLqkA7oBzXb+6GNom 9XDGcYvhFX/yggkdsaCthe9rbeOEC4IGZJTAdwSyVu5YofGeGWWr9+OezxlIoqZzy8Bfpj5s+aso eWV+xH9dz2bIaMJVuS6sttO4ZztZzD7i5RZA5Lqqx06WQ5tLYOPwhMn9N11799P509ieFF7meI+y 6D5JGdu1S9PdnB+rTyqpn3JnMgtgi3ZGuvFEs0KKo2GRt2U/vvAmR3lSarAmm3VCMpitAiBDoMiH YMOTxeXA50fjZ2UQybfM/Fhgokfj5eNnE13s71qc+xvI8MrbOuYnsIAoN0uRl2RywtPll5VBfP4S j5PRC0Q2tNy9F3DCjiC+ZMe36auf9oXa/SOdzlywhqfyEdMEgWP/hhDU4N6kz1IHx/+boekBVRjG mws+rEnjEagSbPOI7TtyvC1kmJrzaMtZ2gSQC3IUrI5PQBPLk6LaTlopGln3oyoIBOgRbTPgA6xV 9ynJXLnrjAbO6VFnJfMBdyZywQnPB15Qs7JmiO8rurKeOh5nbRABXf6Nc4NMtFMXWfQaN07EqGSb LDZi+sGwggSs0Z/GKtjWXVTsomEm7ef2D4pQxh2QzGSdC2e19RbS7EgushnOAkM96KTXLfn61p/p J4u3qYgL820qNWp5Xy1DKyfMfzgrug5wb+MUMhTe0f1DZ/kGEwjVrZRsB5giL7wWmx6LjZRW1fRW zcTABgCOYjt030dEgCReRWp+3IuutXs38A6xmhYPdB6YhLJFx9D6jnfm/uN8vVkadP9RFkuU0Otv 6VhDxOdfz0ETDKGuFUCZtENS9q7Hw1+dPxaKpVfsCZ+PczdW0qybw673sqKVaSGH60sf5ZwK9QqP 4F7CCFc63PI989I6AWch8+gMyrxgG55ZVUjmWEMUuMfjuwkG9nEdpKyNRtPVo+jR4HiBi7kqooco JntgEcepEzxK4z3FpdfCGWWJIwKaA8Ud6fIN+aXQ3dMMWARSVDNjxMmzThTibZQZBJDZShvRBJLf nUQn4ffb9WwvQ5Zsm834k8L/YBOcarMu0eKwTY5etxUxoTlr7pzPtAiWM75EhFR4JCsbkyi3L2Yn aPUzCIUvHbndF2txVoYxeTjntBFeCScN7blwZIxytIApSpm68EjG6OaQzm4jgk7J1e68LS50sAfk xET2t3fL7+e7RHb8zWNR1nEtc9+yGJJcUfxkKI1ZqOXl4P8BsZZbHWJcNKDhUXgrJZNrQb0FycsL T69ptm+YNNnZHcGLZzGUqhQcblY8rHz0crmOfMY2CfoUvXT1VTNq92y6+pqH1mxRk6+GnRvhyEEz 4DM4k2evFrGGM1RY7lhenSYJ8m9Sb3tghGuJN5waU7bgf+BNp061Eh/rpUAtfeIlTOsroXK7LWdz UN+P4DsMGZrcs16rfFwjca5VZ3qR5xxe44MnOE40npFmEQlslYStPtfB8Y1nQCOyJ+lt3jYGG/fp KYnjkgVXHw7evTSTnClHQwfPMGbxliU0RI7Tom8vezxmvy1v1OeWgB28j3kZkH2OHg7fVZXX0ZHF cWJoWihgAi+XPmjM5hleoSQXNu7CDllfJzuHiiSFCyYAljo1Q6YWy6N0dTYFrJhE3BL/C76pZEWj +bbYC4OlXhE1OVq31wtEE6LdUXFtO0DPQxwcanoHtutgBPpA6JITdonGdVHJgicpAVm9oTdabLhE fZKvKbiV8TgFgJoTrImGpiYXXx82ZmQm+H2G6v72HBGyO2lE+WdBTSE54BKb8AQmB4Cnfnzg9ADT LHafa5unSQ9Rc+LIS2QqgUV6p1SHkvpDn7x9Chnuye3oa7LJ7YkEA2nFdRHydshUCB2uzIckhQt+ veWdZxy1pA1X0+qmGV2vYNO2D+twfNp7ALpPAChXJbl0cob7TGI33pMt5ENUklR0cZEv6wbn62TP 324jnPW4RIi3irokMESpXdkcb8Ft1AOMLywV88lYU4+6LlJYLRcQLbq3Iw/Qi/zFlXPLP/q99Mik LGu/rrZ4B3pPZuB7RyIajyfXpGWYapaggxi/yZgtQl+0qqZ67XbNkJP6iAklLxtAqv0QIQQQBHhx NdKgK49aeM+NUIW78YpgFZl6R+/2H8TG0ZcduNN0NxR4iJ3UOMsx5mVy/ol6n2KPdLbIt5bcRPno KhwvLYwx6OkYvwDT0bOkW9/tQWW3qe+MrxM8+O+mLtGkuUNMoS/9aBTH51vHzCAYwBMJKsIBh+qN Jbhc6HSqOct3CY9D9YBvUy4U98XDL3Q0Y0tdyFw5IzifwibTSFdFVJriBk0hfS4kLqwEbZiimoOY cGbZPP0knGsfzbyg/X35HxxQ1HB2jlCDWmmAaiIyyK7H0zOwPsaOfbeLCthJKiK1ecQHVHQOBf3g YbJ/4kkp4XnAUBymQXZrX7fnhX7gWcVPnorN3aQjsBISZ4mGdh4iAoH88ji7Ok2Yj7BvojZd2PJB QE99n2a6cD2PaOQ/UpdVTh9kUTlGj8LgKd2gpLrNlLYPmznbbRHKS3if+t3pD5ymqci0oGwaG+WY cyQnyuIJiF4mLXa2OapyAtTD6l1IfyZtF1BeS4L5g2WNM25SMY3ZOOERsBTnc6zBwl1EsNfHh2cc sPgL8CcvNn/96YoRnLb8EDITn1JJNFw2pyazNiUpO38ab9AM/nt+2bPUVICTkYb26h/1WiDwxBiR 6Yij4asPjXSaPN428n3LsOMBx0DNPN1nuHB+d51gAFLaU4f0QWbOBNXIC61zKBYuBUTNfgFlWp6e newwbybY53RTgpKi0PeMXRh36y5ShRFT+c+oavMjVN9UIWW4GoTPKUmvSfzwaEAm6BWeRYQ0Q6K8 X3c/EQ7n1HTIf53D43XUVHl1aX3je5nnJgjP+UDN3eo8fj4pt0jSHeOsW5rAJkR5Q3p8Jnwmk/MX 8GAjm1HXEDcYbPC53ZJUAEAWd/2SH/EbDeSG4jBQmv1KmoypSAE91d2p/cfo/NY/qwG5ptiA5BxN NLjfZAi/AOr9uh62naoOKw64RD8zUWUjh/UHZzDWEsrC7M6DuJyXGYzDnYaW+5SiFBnEpUggOFC3 bJyc6kAGhQR11/5p17z6hxTkNEQQt6qTU5KNVsj9pK028kVDBy3aQYSHiqO/DGe1IzenQ1ekJ/I/ CTuwxXfogEb5tESdAe4y97n3r8MtMZtUoy9F2PeIkPyqBFpa6WtXUpmmDHdbTJP3nEiKIlUGX02P PMH23XETjX2jgB9UZFGRYFo/XWLiytQJ9M+2LG6VxpfrnD5S2hXg0LyTuAWdF6brGtFaDQdZdawB Zeyur4O+4rwiTGmKIDP93l2i/lbTDuIig6qw/xv2BYBzFo9vvAFsLlTa6BAxUnjnBRRDJ5CXbLPZ q0q6eWNABZVHzZxJdvu5u3xTWNkvkzVWMxERLE6XHTgQf8j7ZntZZHTOcAF4jEchfep1k7EwkxIw iuHp1y0orMOeSg+kVl3Noc/cqC2M3gV+/NX/au1ih/7nO7q+PUKuH8h93ujYklfTryf5AQDH02uo GrVzJIXPozhtx6dwvbREfTF568hhy2mAuz0KPx8FuK4MKvndGD4oAy7WRkuNuy7xV+rpqVhFi8G3 u1vgIP1ym550HDPjJLXTF0DCC86k8hHaqENAZNxKtYcNBvX3BGplC35vHT0OScl8onM7HAXevhIQ dUgzzz+64n0RC5AjifnRG91CWwssYWXVCmyFHk4XLld4YS76bwLIdhhJTcbczWUwXPoesCuk9eX0 Syz0RTEJTHc27mfG5GhZPLbpR6ndUJ/dzGmuTZkjWPu9oZ/a3E8fz4tb/RXE+tg/vh+4C3xyNrT/ DGRH34NtvNVNf9RHw/T87z74FiFqsG5iYaPSun2KJr9HVWNd4HX1h4YeH/YuEzv4DY72Wt+uPCAg ohSnk0Fuo3CO1xWZXP9y8pd2UPnAxYrKsVlAEkYwofJiW4ZBGJQ0TY6DYrt86dBpXG57wqK3D/ZO 20xI/fFdU1cMWB83JacJKvR5xecqu49mnNvRjC/L5LjlYa9FQQqP+pJTF98rXqfkwyl3iwXpFwZn JNTvsiMxf5PaGeTWxUYSu8lJv0FE0mtBj12F0Bnc/HLY/DQbE//G3305aKTkhfwNV7cx713RTrw6 vd3E2OmP7zsnvegpqVtlKJpIISNSSiluuIKDzg4wKWcOt7s0RIW2rs2bTxFLa0umA3KZCAVi97TQ RJ7Q+o16gSRmAnSTHty0mlMcs2E5kMxl09+x7CGFsI0OMcX4dxL0bl6zbsslqzZoPZTRUFQ+Cdc0 iAuUCHjRQwPI9VNgZHTOdug1QB04+81JYG+QnzKA3TvWJIq8DEW6Kj+uJwcoLHt83vuYEzt5g793 enMFko7M2j8KQH77pwF6jh1t9J+ySUK4N7EpXJFcDjAdm6wOwOTJU59wmRQ8h5SkzH7qZrbxkjwh m+zYTeYP6w8h7iBZ50rdoNv5keWyb9AIk30SWXxNtGsPjV27Vn3AEqmcoAA3PogT7ZmXiv6bMMxY 6t/hAFhuC1ff3RyNVF/YqVYLsLQKrST5fXahwosGa0T0cH8ivbronNY2GW4plcYzimGz5UQA2jJj 0gkGlooSJg2A9CA4Sl7W0IDTaeY4h0P7tNFHMAQSmaYcR+C0GpTQp1FzGjs4niUZgqhwS+t4yfcq GpCDU3zLgWIiNOzoQ0FfQ5eGxuuNsuBbdhkYXHzxhfSxQkD7QHgflvkaSmlTqXtFnlAT2mM/LgTs crPmsNTVosGS2QISN3idCge3IQlwv9mvcc75ETs3e/utoJ3DZfTCXaId09rDj5M+ouXQ7SqQ9WXE 3B0mCRVgD2vaSOVqC0VvDXsFGgSKWrtlNpwF4my9Snqneyz3mNPV5R3CK8KJKyT/X5q/xAwGxZnB DvZ0MfKg1E1iBOVkE92OJwaw2yAYm2Q+pq0qFndiCGj7b3PDWxBcCnLPn7YYTcssTbTK3r1tVq0U zHeyqqdd3eXK/dDePD3B8qt4Dg2+aEEZfDyaq0uprlc8u7y8lGvEDg11fLXzk0HuSEGcMeel3Pek 7+vF3bv+Kdo7EOEATZ1Anm+mEIqa9aUo7w8TeyfuwRqoqwE8QnpJQbbMWdet6qY5iLI708d/iywq r0Yv0JlGH20zA3NsCPORyPVnRbIzcfX4CNBjlfbNWl57bcyhi2yx6meHOihgkDoLI4lwzGWWj/Lp AxDfnL6SsdODdg9H1z75kShLaIvVvpz+Ohkd5D2NM3Zifqzgi+iJDn+HzulK8iP1s5J9rSvnPkeV qYGPXOb5B/GalWkBLklGcZjmXirsZamHnCj7b9geZV7DzuKEOgriSkdiLDnY9FxeNcX1HYEFc75G N1P544DyePGbq+DK5aX4/HXihAYxtKWFh4oO4H5dGVH9rvnXKA5Vx+BuTQmrQy8UxyQTa9+YI6Md Po5BdVtH7EmDr26KpMKJc5a4IuUpJauYW6Ek4o896+bMWnJEWWlUKcPyfpUiPymDfpSiS/Vprx4o pbPCXQuDAq+EE6U93i3IBOiMAQi6PfQyu23fx5qHSNY3eBoJ0QoYXTO24XVYC6VcwqAfirZEnxN0 5TT6G2WdHnNVI7HyK02QHVeYxu+WarzW+2oa+15gNWz7jzmJm1MExKJAg9lxe6t4R/q+hVChdZEV vQQQo3F8eF0s/5j7tl1gIgJUEStl+8OmiHIb/3AXvQ/2+KFyBzNzZT4vRc01Cs/Gc4h1oL9tK95Q medQ/GX810f/C/7hR7U1NSySpEbMii50ygS5+HwzelSz0OURaUmYNExNGYsTsLJeni7Tv91q5/Qb TwAXqSiGro0UzyQs8hAbiDUt8f6g4Phr1updNPmWlyzOzuhYuf4dmG5Na6jPqz6IIzJqYQRpYOeD Vq+Wn050653MQr6H6AqWhHqBu1hFhTLvB+lfZRA+NiGWGQGx4TCKwzYRKsJF9Vh7qb3p1QTJapRi yupJeLv5y67G/ZgOFGwYMDCk9IRJ7gMTnnEYsfHbGO/48QyUC6oLFnXOtxZlCsvtP68yIMS5F5oa l66VyuxGZUuhM2u4ouD50oAUI68FLztcrsGERViqFFhPG7pvMLOfbu3g409Suw1RIqQbQQYw1Bus eV2KWz4RUa+QayLF/4bGSaN/lHFHg09LE94tZkjJ9QDbN+GzeunUBrNdfngh3Wk58rOFOgyIeSfS 4ToF7A70b2/KrF/mLrypf+V1rBGbV3A120sqmsr16UinrXvemf2MW66Kzx013Vtvv02SjiGnOC5G uAghrQLwMZTvuw+nDLVOA/iH1GsCSFk5OMhCSbGgBU6u9/PP436q0UpDLAEamzOd38SaOxXWHcWI awHmPPFl6+u9cEhBpso35Mm/9y38tAoNeZbUeFlbEduj/jZUUmrZfltxGOk94FzWk22kOMLlhGCS pLqRXv08+k1MAg060twi0uZNUjDb199DrGdSHRcAV4I0rvZRRWfkHjx+Q6gE7XBS5vG9KH2BkFV4 DEhlhAI32R6XUVt02ZRRKald8a5uhjQtVqZi+wB0cfkWB2oekJe+Fx1KMx7C7Gq63SAZes/3qgVo 3HDQBPL236teIxFmtTcZYjs9fwL820gjuAf8Vh875cmAXxpR/FfdJ/Ifr/Y+NLoc0xZhXuMx/hDr 1ivfLwPT0k1x+i6NYtyLdWXkdrpAOmWuuqiEqfde0zj+H3+33Q+7HfE333LRUSy6nMV3ytWdhhDP C0M4F7o75RZKEmFTV5KgNLF02E5XkZqYuTUapc3uactlUWZXoE/zHLeZtJxEee+o0/el04Jh2Jfr RcU9EvKIHp2gIjscq9QEwI2/TY35t8tf5GbZb24OKDP9lenfKyYnJ3sP/aa3F1GeQmJYACt6uYg0 Wfv3jwPYExgxMVV38UaxnNlE/GN4VGn00MFWOvYmsjOb0L8CUICZvP4W+l9TCYPGVslmxEyX0vvr 3BFL1ArWGUSe4jirqf1UD2yhM77ekKF51KsLSRxjz8U+auuDcJl2qhMzEI5uNgnn/aQovabKjVnh lxlSJhcKeAHEqn3eaEsK1/no6+Tox2omrjDar0BAOeaw7QXgBM/hMM9BhrQVq4pItGSV9ssnocgm +JanFtn7JsDgm0K9c/pGJY6XiH8NrOe1ak3QQ2WCd6aiiQAb6MrhIR0pl6mlZkuycxPRuY/VbkLc IAV3cvyCbhyc261FttRGYglUdf7UScAbngZg98kGUOl2zI/JkTfrN4Ylz23E2YPZmf47QX51iWc8 PxyYPe78DYWgIhPOOxdxitibJSYzW+GDHFebledpVZ1mrT2urz2beEImOifv8dP+ANIoIXpCOFCn Ovpkk2PNqPVl3YToWuyEWX63CEfgtrhTOfIk0TiLyNXE1ksndteKvNtH3B6I4cr+y04XJUWnfdRh zjHunstj+TD0WcQ5sgVXcxhat1UC9sHnVF72OYtvc8MU9a9622e6MAt/ZYHcIA7Zat+sRd6vGS0Y W/i6r/qUjc+aW5nrMVQ272hOPvtASXXUovurREycpK8M079gVcjNXYNN15+r5LKVCtUuZ74ZZnCB SiJXpbE3UZGn6KNKXovOljfPGgm8mn5XytB7NSaaF/68vzYVuAB/vTV7/ZyaR+6v2+I/yRavob5p pKOqGhpK6fU5PBRHF34ZvdETLVqGRCmpNToOpvYNF4QwDoQEyRBskw3mrx84DmmC9j7X6LOPa1Jm hGzDzd38M//pmJ1BM8JOm/z0aBGL/Zn3kjif9o3Rd+jH47VmborrxSQRPT6ZA2MMihXDcBTx96vt S1nWcsRrgGvkiIbL7kQK6J+yDXmo4LpvFm6+BmiISOCxtIW5Y8Oc3kioLPJtvkKVc8f7v/8U/r5t jFVnxoKcmizuJJ4f7hq4YjLhOMXtGyVURIAR5KiCucELaGh5VbwMnFYJiygopLgCF8UBBMyQKWm3 U8PyJJ7qtKJrBx9yS2/1oiSCUrfOA73MNheg1BOJy+dlSTZwo5BOVAwJ68yvXZ2DWnWzDO0DbAyT i3njsS9s+aQ86wULGflA+2Y+exHzcM01a/Q1CfrOOdu4dL4wtIDRIA0uUoOsoLRwqYLw+vnFwGz+ hvImfYkDNSfc44ftQfyncld9A2mlDLeWfQnbwtZJhjvK0c/6NK2yHCR0alUk348WZQeitXm8TYUT T2oJeU9MzArGkAj48cpKfiVGKa7KH2snLOVgj4V86w8rOmntbPqHIci7WXRrryFaNS+02NdhHvzU SuzL5RlykIe6/chrz4q3BizE6mQblo7EKYcTtTiCrl2badh8/WAup2sCj4II2y1++2tH8RX0AB7L 1r8IHC5kEwmLPui44r4nnfhJ8C10L8DrUGewqQ9A4xu/e99dVaEL09cdOCBIRL3QhrOKIiwIDgo4 6LkOJxWst5Oudb8kmv0DYoel97JDvB1Djr3IXY3QlNPClM2rmPfqE1B/twbW2kr6qez3tS3JLppG pUkWJloHm9gnuHDyXxy24ZfWwW6rwwJr+ZZoHv1GSsrFA05SQZWMTMslyHRjMMwG6CEIAtnrkKe6 JtbaHjAuvPkVko7YA/nY33vi188YuDQSynQyNiuF0VbF9owhAtA/o8Ldq1h/68pB6rHVJI9czT8I UQRfJ2VWMhG5/Lb4U05IPuMUWKlnuHca1m3E1lM1sEZQgjz/47FLN/vxFYaEnfO9g5BNI6nlh563 9AR6HxIzdBU0P39K4tlEWjE1uEoQNKWc9TK2zKdlrqGQiOPVp/j9fu85+eiPl8Ps3bBNMPCiedf9 ixvlYv8/WbD09s9tESknZ9FNgoI0qYkCmkfWrrVzwl8gAtBNP1A1Yg7Kc5sjFR+JOCq5pJ3Yn9o7 udKVC/lF+Nt19HQ1YHVlEZ4/gm7pqPDUdBzNK8yfXYAlu8+kxVpoxETAaKogKS3a6U9fCY+kN54C LNpSn2jsibwvSvCuO7ZYXw0hONxtS2+AVaK3kOcQ3MEuLDW6PqTtX6qQU37bPaIX2Py84cf6/Le6 HMM+txIHDbMP9gUT5k0jDPaj61sRJSNs9DmFNdyNiSm0PsyIf2GjtkuEbeRaNOIaN8mB6y9bYGwF Abv8CvKSGZYa3mKiYpWgzbJMyft4xmbn7V4N5XFtn9kpXg4liG4y8Yo6WVy67qQ5LHSp5fqEwjJS dTxe2RVWJkbRezlBM+ZNO2PI6BFTzHH1pLnQQaRBkbirWP+IB4YONmzKV9KEsXL6mDsEam7kmsgn PIIfMtmVqjwyS+sC3PFVbZa6OOjZjMP1LgFjwueHWtObY5MZENWzh8NKbxRCwEn+IlMJPSXzujNH cn4rj+a1HMnHydgYxFkMKow0DEV1V2LSwDr8Gtr1+F02mNqCssXD794saWm9xz6uEwn4T5LhQ8IN ZFsWiVvEmPoND3DQsGUY2Nt2Og0HOixzuHRlp2WIvUFizw12+okZQZdpsDeUofXQjUhGKyp55RQ+ cSP5JgO9TrQcctzxPU6CY52QafnLCU3ayJyMLxlLdcnY1h2dbTTKop28cTZU+dNPmfGYkex3dFU1 hgCtm2mnw3UZOQCql3WMydX1WPfZAtIrrontW7H273VDmUX8ixWYCE6XtZot3M1IDE+mFE2ApP5U 3CpRqXyYhJCN92jeDbJwRM9OOvgKi5HMRFb7uDs/irzHfVZcSxOvssVBhj0FUIkdHO71R+H+JQJq zIuAghYugfqN974WuK16Q6O8bP0azzxxpQ1aTR8E85fsAFWfEYBga+R0oS2CHSuxHic+T8nk6npy SPQ2OJyI96Ut+H/lp1mq/Sy01+xI39Hp+Mjhk6CL3Ke4RghtKoGRMFU9oqnCvgkdlCUcK2N/aTRP L2knrQ3+z7YNEu+QR/6ebP4DjMTO4oUWdbHRFq5zJt2DcYLPyMdpgCs7ZtfclvDTPCC027tQRFEC /zxPO6syDPdX62Q7F7hruKmlXDwriFznZrbgGex6jhHRlz9RSdxcQFVQrfl1dtTrDyNhvlOTpxKL Sj6HwExXMDR2jb0CP0fSJEKhoDDNqu9EGW5u0RRdIQ7b/qgZqxKdNryIH8PDXCUBJQXPmwrM7A2S exqkY8xrJfJ9y9ooNOuGtY73bZtN4+RylHLp/szM0CBw11q5RLUdguI79A4W+k+/z5P4YDNvREf6 60Uvi0MrQx9C7mAc7a61I+QuSBn/SAgbHqj4meNZ887FTU6RhC8mQYNPEI6w6Wl/afIuCkHT5p8Y 4xazjR6XFbQxmuW3/Ckt98HQX5nxMImIlI/dXuBx+BjZSiPuHfludw+4Kt1wcMdsFshcpjmo6aGX GoWqKM+koHe8twuZsGuQB2moEMlSOEP3EgBO7G1LyfovPaVgBHFfA0ANRJ2VMYZ5DnjPIE+fEU5m KtQq88yYsTXg1HTfc7PYxbtJ7YgtjlEPYNEiq9FYiftCr2tfx+51+nRDBI4DV+WLlzgMo+Sr7r+C HSsXqIblgBbnP3tY6Xs2m35iSXdwM3/bJ6CCw9jscM9t/h9/GevUY0FDCBiz3CTW2g50gSoku0+k SbPyLECrH7B7Sn+KyYhiiEE/AiLvWaf4hsLm2kxMyG/SkwmV0h6vZkYdbFXWaWTlxhQKYAzzXIgE d33r0N98kAhEN1HkL7C5m8NE6Oh9AWW9l1pzGXzq9iGUDoXxHZ5BK9YGGZ5Gg7IKfuyg7GhEJPxH qY0551Ml5b7DIqFtXHBQpgRKnreG3C/U7QHqsP4UozIw6X/EceOhcFZ2Oa57tCTqSDzZMAuAEV8b mRzP6bMC3DiCMI7CVP3wxSVUaoxJQs0ABXpk05uPm5lTOaF+44cY8FOu8VW+92lGBoXHtiSeNBLh u2BZjUNmBgfww2Ex4VYsgeSifbCq1mimVI/LUHEgBUdlyxngScQy1cjGDnIh/m5gUFAKvZdtZKjP 0XHFpPe7JsZ93t4hy2ZpxSrwgnRrO808DHkPEEOZBLx7I6r1EmMocXG2cTNNABp4TT6hkmZPb0+T yP1+xh5F55liphGtUlrIcURcNt3GSSIQAbgnzHjWyLUygdlYgwrBvt1YY7pnDHIBFY3GhpvTNYJz UXr3iC4/0smBzl9k4IhvgHDvK2znCfvR1HmtfHfigCAvyRQipJmMfmZ1J27Ht2tG/BLzAF8bEON3 hgFEy+gGwpU/jhcixXPL5Str+2S0u3FuzX39ZpMWk1fldMpLRdYX+QXSsgM9CGhg+TxWfht2TG1S x2nZZsvmzh+tn+x7ifryeE6UUY4id4Y33v34rdVgOLZK4t0l2ck4rl88ICRSMu0CPIHpxhWyH6q5 eYJUrfy25kzse2jP3HLAlQCTt4fUzowb3XicNrJLAL281Uqa3/FJSGXWDI0sQwPUZ+tNtnXopyP7 pR2151rgc3V+pF1+ozbCmW+QwqeeSjFPUI9E+o3hJ6yBsNGN3d/TWEGFyUOYxNqKQUzL4V7VwRfq taCRlpH+ayA1gNnDALuLZYUIkBu79GZex8SnmzAdHq8RM8FHo4kF2BIpreO0+113S3e203Av8MvL SYvAH+GQYbLFhJNj/WCks+FsKET7YzrmjRTmW97XJFsQ98Hv9HK8mAIviJ6pXkBqg7JpUL+BsHxv Jj0kp1hJGOG5iKjJXCLlfS6O38RYjTFrZnE2px3IJHK4d4jel+bKt6sdCMUXxGKK0TLUvc7ePnaO kRvCdxZfNhGlNby27jn0uz/qO6gsenPUOrWWqYvnmU+Y/UYyWzfn3ymQDWe+l6/3JoYUoCYtJmFB uizAStCcqoULIyvIdDMcpf3mwVp1Gz8DN0r+HrsbIotvee5fpoexlqD1xVj5Gg3h4d7DIF5AZgGe ijZtt/tuoqEPTRbk/AID7YZb2QC/3e5zV9k5NOXJFsfgTAcBCrHXCCG3Ar9++Tbuu9txFgKnvc9E 7CuYrvJYadCniMzC8qhqqNPlVBi+3KwdMKA6ol7K8hrpIm6jfd02CTtQINZkRBYsITLCWuANIChh SMLuMWdNqSCLoibRrTOKHYiWLxOgpi9zCeU/Q/l/+v062k11sKdut4jtjDdKOxjdEbEgS6JCPcFX EH0nw5KqAv23dGwKHpMAT9rt0iMC5nX315g5lOXX/AeRCU4pOpu631X7rHdwBCgOANpGePBWvsur L9arpjwG4XTf6Bac27xD9oeUTAmiABUm0VzBcTfTxymfpDchOQ68vRKVwwAV3Mez8ScyA3tu0vwY 9wZD9tP5bqKQL4HHvYNxstPQUMhsDk0aklFF0E/lvLBJ7A3OzM0eZr+RJ5siJDPevvmpCRDR7vFh 6xLd507TcNQ/vd5K5UIErv/o2ZS6a7FhgLPxZDShNDZNjefFvozS7fLSHmoOqjZO520+4gDthPli rYToJhwbygaItzuYgBZ1200WUVdbV9WaNOGqvvS/L0Hxw4Xafl4LSpDTzeUICtxpYozcz447e1kQ S5QnhYTSBI6c27wR9iMudsNU2lTnvnfeU1ooJrLD5qQhFvsmYOHlJzVUIgJdm2XMo3uUyBjdUkgl RhTPCsSUa8EHseklbIDKNduAjAtusjOOqeQjTGgvRehnC1JvmzR58OWrCmDx4X335tXsKxY8dbP5 BSLf+zikw1K3XkmtK0ZM76/2wwS7/5xbUQGzNZvIYR9tivll6TFfr11wkMDOhe/zaX0lVSF3WP/c 9iiUVqTjy2cfOhs86hhQ1n8JLpC4J5OV/XsoOvTabBMB5uS7/brSJ7t5tA70TFVqzAYWunij9XR+ xaLrgWSPINDgaKyhJOPUEfZMnxpNrfM+2Rw4noTd6dLmv+4ml8HCBcVhV9f7rUL+hdu6pdrLsfgJ iMTQod3BA2IBgysEmeDN5+dpSbEZPq2xajzbjg0m4O8ivQo2Xhv4ixjspCxdkaT64mb9U+VJ9hYm uHmI6kg30yMYeoHUCzyK0Tj7xBKk5ZNjT6H7HQ2AKHNgBQGrHhR6dzrU/lsBjfQWQHatKARujOwY RCIhEmKAO/6/SoiCoBJqkv5xk5l85I3thzQfNbZ9JMI34G3G+eRpOCwAoJNln3RMtQcD08cASEMX DXWuCNjoIFbkumU01dbU2xILKKJxG4T10kyOrqFpgqv+iHb8gPnidFpKNiQPlEy9FPY3v9Mymr42 vsc9oAYbEefraWhQHvmH1VXpa+D/hApZ5zUoY6GfQm0vWvuZJKbrcXvQnjX/9kG3mxzG1TJov5CW hsx4XiAKKEfaEtY70rVkeoksfQvG5A4Ni4XBpBcjeiwoCG2GHsbq0GuUpp3+Bvtcv69DmNI/JoHW a/93DCouF4di4YxgcDFl6PlvPd17fuPC5z1XcY+KrWdzVySXf+kQLUkQJfLJtu1qtA93AN3H50Am DWaIqUtVFBdMgxa9kFb6hLK4cII4HZkB5vJ/D8bDbZx+rHG2fgDeaeKu/H73NdSJ4OqoZP/ajOIQ PnmGPBogiuCVOWGeNJzB1rrv0yQ0txJHZG/StucnG8EHO9BaLo/NwFrnROwo8wBtYJn5h/crRj2M E/fbU43BXITfmDDc45LcsX9Luva5UcaQOUluqorzosXDFBuTU1adCUwQDJUkqoSfg5Ne08SQ190+ NDRSPE9Rafu3+CSu02BJ1PzLQuwK8IkMAMEvXlJ6coqtzh6TcOeLeKwzHYTJSWuwolv2TKinZNfz cQJXjJFlYNn5J9eytQELrA/Gmw1jdLqPz0pFmoyi0z5PpNlfCfXAZJDP1aXCeEan6CHILAy7Qrf3 ptTuMb7TitXPXNGWibBWt6Pm19X5B4Lip1eEAr5L9kDm8nRJ8hF71eTyIZG4zYaCm8yfwf0R7TlE Gc9vVBqB9y4C6XhrLtpzIHD3JllwywdKS/Gsu66IMc08oVk80n4a4zRqQQrVzza5UiDkVpO3IIS+ 17xvhMGlShPrGv9r6JZuj7geEPATJnoVMF1yPDrEkYkCv9v9i7/IMEaQAQjrD3sDYWE65hidGVRk f/Qfqx9yh4vwt06rHr13R8fWRmrL3goErv6SHrBnZtIUQRzin2uCLEdRpzjbfGKwor0/QKVsq2YU 9yWCAeDQ76s/wGSJ21Zf/v/Z3FgvQRMieSoXWS8/Kmxznw29Tp15Z6eHjZt2d5QaJpA6IEAAbiwA GfN4XXX1poMzqiPJNV6tNABff43sKtui2ooe/Pc1QGWzCE9PPW3aObH/z3ITCNcZnkU4HzbN44VE c6y+OkAnNT4YG1/NP3klEeNHf9zj9NWV88uP7TUUsDGIjjcgPwJNpPQ13xFaH176mule+nJmc1qa dcBqhn0CD81Fo4/4z548EFHNfSi5ip/Bkk0U6FyRo0DNfM2Xn51tQAlRIYggSpQGFJT91h7lrcVW ig2DXdVmcVe2514AiLPw3o/mcMmTbzPyq4XI66e9ejWFP5U16kpAKSRWMeeNGIY28GXvoLrgovJS ZFvd0wuUXx/Xh4Q+siwg4G/HOWwYhGCtoigQHAtHB4m3Esc3pk0KwSe6gXtVHsl6BtMINjY7AcEG xyJWJZPZMO/+zY/6N3kl/yaC93lQqX2wFPHKcuz+snXx1j4MbFOh3VM+MSo6OKUbfTUiOa3uYNoO Uc1ZLClvMUUW1Tp+2BoygZPmLp5FXqX7Ofb77ZkrXwPE1DiEHjjLa2u8xj32WgBNTQ2EgDe1Ynvo Tq/ygKm28BnQIhryZq2nkcThbw80npOCLhJkQR81xajbcqYfeAPgdfTv6YjSot6bQeIEc9iNcd9J WEJdDE/+9oDqQhKbcJ3AI8v6b+EMdDiNnlwUhNVoQuyMIWKcG8HFsUHWksMdL1p2+6J68qUc8uUs MeQYKZLm974k5ygXyq7uoH5ZtUrLXEBGWKBJKYyjJKIjCyvEqXbTO8ZSJJot8Mr6/MZRiF3Nj83U A2F9G3vpw0SfTPyYUQVfeiK4+6TGn1oTcwru7042BxHFQfwUjusLRa/u6YBUXl/d901HJpZ3gNuj TeCwJofS0MgO/KV/7DCs7jL4yUMCa/joswSezBKuWTxqkL8nhuEoVtleltpYxcz52Cnq3BxHSci+ alLO+YzNAfptlTj4jNsejD4hO9cYs/lrBRRgbloCz8C/NUBUY+gQHykZQkhXLWdcVxU2aTJuE8fH Hqz7HX+iQKvPvZOtjnwvBCgw4x1r6I5lBNoY9hrsrscccAzcvdkHvC/Zxl4HEYi/hTDkSGmg7kMj UaTbkgZah0aQqfdj1Vr3pk5JcCjdvr7N3Qom+9qrW93M3ztETrNJtsebJeniU3TcyB0jlv9oxn/P kbVfEMYD8jE11tHwkDXX8p5s/0UgkdUjK3gbHZGKBHilS9+KGZMghj9k7IwTgqrSwXeJ8R+LY4Ss AZ9SNrJqaf4TWHYeqwwX4I9ali9LYkFn/heVYEVASDvMwNzpKadLMoKXTUWkJOWsoqjo3/GTI2Wy w4Hdf2lpUwH1PJY8352TIP4n8drd3oi6/Lq44sUmCs0Q9YEqHB6Bd3G0N6/1SjSD8W3sObuuxOOf Z+05mp578lllUZF8GBjYDVlTprOrVgZT5aKI821PRvdgzRzzQWxNWOpn1AEX5QCsTsOSZ88X/uXs FvsLbCTqd8nN9KGRElxoR/0S4z9iMFD+plKm9XAyIho5W90SvwvsqZqavkJNm/6fqZs919ujeBTI CtDx39KeVzN/PZoCjJrfiqJ5trcJwVZrzK/AOkZXuIAVGXUrJnelKHW8uVm+kQVH7QlF7FqhsseF jVqWgAluM+5a3J+yZBSvbMu8mc9cWMDKiNviEmt3DMkcsDUzdv+behk2jWlqXVKxyzORBn9/vFEf xRtDQhhLezH5sesizVYb77gzPiFTweaG/RJOUGlmkHJA1mbVEMT8ngJ7OolF5SkctXhNp2GFe2cu 0m684r6PEY/5M3VPEocwOuuuNd7hccgVNUtXXUxEl+V4xCLf5dtFhSxL8xN8UvI5C+7jbfyTKrWG +soHR+ZIJadGXzghA37jrGVX86shh5r9H7xkXMIPj5n2y7vdsVwEQa+8hzkWigrb7pOLWxrH4W8G 5h3C/UYlJTAxSk0H31aXgNFm59zY+F/jYP5azc1TJ+omEaGtKfOR/jm/73StugxQlcm5apc5w2CB VpzUzTa5Bt7qf5EhFtu+8/HeG+5Y6/RkBgbXIaRVvhqRRdGvuy4r9+X/7A/8+vpWDExtLboa1LFn jLCAnPYW0G6rKK1zd2971aBgirJKHD5ZrCkdq8yv83jiKwScGsDaeSxbtWooOlDToZbvh4Z+7GIJ PehqvKOCfJHKPgN7/8lrCslcqxw224w6JIeqBNnPx3tAO2oauPU6lGd9FQaSc4waleyPlLrK8VFM X8cVQTD+rphuIB+TL+CMNGDiMRHl7aMKrt41BPNWGrX95Jg8meJuTofL0EFskm6qZyghJDQYg3AJ rpAQu23c4OFKib2sdrrSGkUuyhGKrPT6WT4wzWzOKxIkTxd8YjM4869GUQM4SeUWliwXHZjTeoIV hxQfSCdMj+Hsi9HCD/Mio22XUID4k+o9YqMY+VwjNBVO8NyJ9wrOScUv7/wr66WBVZ2JmGURGLSh 39CreHeObJxirJ3+6nrPrlpglSx17hwvgeASVl6DlH59FBkRUO6CQ+JxLLb30LeriCaHBywAfcN2 YrXG9zeewklJ8qfUQ1U/w9/jMylQPHbs7GFdD6aUtBiq/W8WAun+ALWfYjAN/REHCbPiknRmkXI9 HmN5ULlHJRz6IAgb0Fvfwn3LUXQf1Z8T0RImlkcgTPFaaZ5IndyOuveSSXWje1X2zPyqdNz+wrq8 Xan88MTZ3nX3MDV4LudNEudt9n+CLErNZAsCB0XnsbdKYvvldUP1/Xx8gUG0TgkBDVRWfEcBXLSF afd5y5ASO0StitOtLivc6AL7l8Jn3HF5tuTKHAYRv0HBhHyRHgesFRv+PuVVbMEbqgfPkTAVDuFe Ki0WZ84rVT5uSkYFZWVR2ZUUbCUval74RWf0ueOF8AW8HCkLzQb9JBlacHE3UuXHhiFEPzUixis7 GP1Kk3QRKFzTVsqwxMgVih1IuI/WRwyFl8g1zuTPjjLCJbPyyD5hPWyhIHpEIOpIxAbWIeqV3twh 9xdCd+0BodNAY9JDV3SsEQMbMO5gbLap8A7E8wmsLpF5goctw0vmvi5+FceS6wBGjre4yFINoNVB wdwvtXINb6b37Febww/IpT6QVm4+nu3H6eLmAhfVAcy0dxcxeCMDW15NWW299lPRuIZXXjoFkgm8 ZoKXBMMZF2tVibVDSzYcxkDWorAzvSfj957xCrt8PAMd/YSE1rjMTzzwBWRugjjZfon/kyX7JftH duA2V0yvLctHIHyPwuSr+C1LEhyEaZDKprzdSBvMDvASt3Fj9qlEsBol0HDUOQYeDP5oK1E6SiDO F/zBnR/SFpbkBuh3ncpnPxqL7lZD/XnDq3AHzHpZ0FOLxDyuipB6SEV0lo3wcRg6lvqljtDCsk/y lA2oP0esxEt8xdOOsp0OJlcDx5VLHrj4UBMxhxiLsR/SafB0bRgxPcPL3b3ZhVjjC59bC1uWezS/ klr5n2rLMz5/F2QbnH4+pSUV6dB6aY3ugTxQmT/3ksBe/D0Fb30ek+VJOuA+p8aRvlNPrUURIHdJ 2UAK52vZ4Xew0XDpGkZxYJ3iBxPFIqthdhAdK1fhpNTJRCpzA10rgRy5juiiWWZdKCxLgko6lh0X UUvIIE8xjxVighaVjSBbPBzq6QBIxkL5PupVjtn8sPGW12R7sPjgviHsdVDQD5pGorQ0/p/J4+AP h2sD0pShMx9YqI15tIYAtE1111fxVfiDty8SaLl1gASi+QBadDkeFhVqHSbtl8BSQYKda8BAd8qL zVp8nTaLIOR96njHnpG1i9260c9ylzY5vDKOBWImwcljnn8PxI6YYM6CxY1EaP3/L5eCnqXMaEIG SWMDchOYT466FaKzsgMIHcru7iIbB3mUEsE5E1f7fJ9ugyP57vfbqGMvYp1iyWF/Q1CNIz6oWvNv h61XTjUWNJcc7hPY/urPDrPxSd4Oa5eoSOypfsKe47eyT/9zkEySJZp8CqlkSua1F1yUAdh37uIB gNWhwybcok2hBRHPiKdg7pbT2T6wdqmZapw10QobI6XzbFgQGw7bcn17jEDfyI7gkxg+4EhHYkKQ q9V5ZWya6xIsiE7tUqBYE6UqzqGx6OmsG8fN6RKhiPOjHI3ab2BDKXGiEZRjlRU1MzKFC9SHSXvH f9v+etMLdAb6vIuN7uwejF1lD5lIeTGnvF42Jj+khmDUZNVzRH3haOR8yFfrOYGxfP6/dm7vGYVb iX74VUb1d9lX6avmXT3fHlz/Q0eD+Ik9/2kFJJ6YbKDBPWnv09KBI2kmMKOD9s9nb+AdpJKZD6rs 0hKC4dpz+8nSEXIGRGm9aZ7257nwFug15gvBeD8WqBmiTRGxBYzMsl2WvR0IEyzl6fHXOCs+lq1V xov8uEJJA0/r7Qs7V+A4XZCagPpXLNKdot9fFKNXZ2+o9HFGZnwvU0HsGGudprzWMO+fj6u+ZmfH crKIvP0vGDRUVW6LBjvMolxjizYM7vouUXzt58elkxO3bop3xAkYP7hzOyAk7xFIHIALNmJ9RiW1 Tzb34v8gLrSMXMBK7iZyuf73o37xXZNYzsgIJlD3anbFfBpQHZ+Krhg/cCEzBRkKnFw8xKkp3h/K yBeGTrUVwweGJ8ScME2xaDko+8Ae8oip7rB7tyRrLbvJExKB0UJrZyjGcLXWOTQ1af8gaGqXdSbu d6PZUQdm7dgTR/0wPKWiYAUl/97K7yb8v9WKyQmL2tpxLOaSPIKqc9/iA6GaSsjKUhE7rd2mLX/S HQRktPwOWXdNbc+L+pQ8Utzf5Rox7nL7q4BYfB9GaY3N3mKiuZjrerR5FMLrS+ZLSbxxIpIHWBWr oJmttzYld3mgcPcPCmZw139WkZk9v5799/malJMRkf+3HmiG+w9ysDJhbmNVCUlEY+t7mS/QwsSc ITA7Gi6YKiSO9Ns33A5BlY4ot7xoSaNGETWIxoS0QnTAMEBYSDNX0WmxalkQHQgJzoGct5gxfD4q Z0SgMYIjD3VDxTKn/EsTMpm+7di8X3Zw+g+S5RaLjQGCrXASRRyspghQleT7p3tmUIMvCXDc/qkd Hyfg6VxjKaa+2OxCYI9E6woD7zKvyL091ehdSsikYLi2nqCa7+jhUVHf92DbmOMdVr1WrI4koGZB JI5l6p01fEp9IlRUc8I9DUR3JGri6OfBqZTAQrKVFRYUpxvx1gvPO+pC5cABkrVeZaV2uY2kiXZZ biAIA1oj3HRQKGpBkXHfM/5A6vuXEXJT4XpFlHYffDI6K2C7du152ZtSCflyWCYUrXPdGfUBzzqp HvmVkn8HePYb42yFQHmO2lH33r2W83rL25o2osOXViFYt/CkEDr10PU6LY80k1zJ5X6dDuZZ3tH6 RYCDMamnR/s9rTefFLRTdm5NZ0oAktVHotGUpxr49+wFSnTuOME9MqCxKXHcydTzIno7GlvU4N68 R29/wbnAdK3NEY9DYqt+2a2RJrYf+gtUkktuYzAqXRy8Iym7lCPJqftykNhcJiKMLtgbHxJnVFxk XRHl779xz/EJWoq0xnvtt6WXKzOUoSHnk9UIpmEgMvIsFD42nAKIzHs8R4hc9o4PYLTHkDDUEwKC 4op/DHBbMFWnmxmY/x/vNOO0rWEqoKPe/nnWURWale5riQv0ohSY11cl8Yssh2nsUvC0gqzw09ZV 7S/IrCKJAcqc+oJV5OvTsQBSINrQDwyfTulNmOYZygoha3B03hkDZMsyE3E9TMNY6v/IoCzKQWMk Fv+wXGMNObiZXxCuGkZE9FBOZWIzP7ew7DR375ap0xTBnpCfu59nile9y0c37hnXAbi4ON4OoYEV 2MlU3croHXW+Rk1OntMHTt66kpaTTOIrRmtCaV+O+qjnC31Pbvs6sYIcLM/4t4y2m2FAYAzboVHR 0P7ALkGpuBEjpKgEhPpjVCD0jo9LmNjhqykVLnKX4/2EIoveXI98g8ly+2vTJs6JOqsid/dUr+iB sQI0aajLYut8SQCC4kLyIjxg/7toIZhkRMvX/4+dAwaEuqwg55sxkkAhrS/09mHqYiefhBMokM/D kopOoipk1hfNR2BEKl8DNWSNzp1LW8dmCsdVKKvXHGw3OMrvbEM4vG3OTzKXW7JVBq+JkCKv50A2 MAI342F9HL9G7BCo/eUXNVio5TvmI+SUKFhP5sE0QF2LJGwJRHb71NrlEvqenVKjTESa2PKnTdKI reBi3BUl9vMJ3a5bykTvgprDzT3bAoqBxGvX8YyDD9DUkkgws+47PYy4g+4LXFqx2HizNA2tEAIC izIAE7Wlu9TWZz6x1kS4DxCwHjVmajKs4xhfnhsEFyC/SuXtX5F0kcuWnbJTrOEVMKXoQkLsDe6G cooExUyMD5rJDoP3s8ETR888dP268EqeQv9PoAFXXOt8OoeTq+eFyMYxSAp3TDzT/2g3HqUGXiLE eVnjFBhquZZZc2fZu/KzFwoAAColgOQS8AS0tPWbGSIJ1iHwsjZrYvPXHLMWbldP1wL4Loly8W/B LCtw28ylFdPmWQSjOqRlV6NuDdCu7dOGV7SpJxCknWJ+wMIiGQFydB8Gc/Sos1E+y07ticYu9LnV PDqKL5EKSTkGNLhsMGDeWOQ0XIFQGxo2KRvDIvoWXgMFG5Sftl7b7ua6MyR+DDEyy/tvXnnFpiJ+ cp8CC4/6fXRzvoFsbOrt0XngmgysM+vhkLeyyfKxSwdMVsz6UxsSfmbegQy4IrQUU8fIB/36Xe5X ailMtO5KFFifaK6GmGAwn1MbVrmYV3IpodS/Mtp/2nVSy+HQWHTRjsgdQh5FIiRPaWqPyCBkmqQ0 HF19I1LNv+BILGFWlCJlKAjcsWTlExzROTwKVyPR8ewZrC//1D8KDmlP0CJ6M/WMitQGd2/XjRgP G7k+0HyiOwCqgXxfrQlUl9f7JklEEZHbBMdt9A+WhOjbzLBNJ0n+DZId2mZiXVU/i8tbZ98hig32 rliXjYSItmMk4aS1w175MRI1tEUIVQzq+2H+Rpv95U0FMt0IrXJPnlztDc5uREKcr8rZA1lid2bK y4ZTYKuzKczp2IhapGGJcwh4IVtfI0VjTeaYoxpyYQToXGJnCTd+6ie20+1cq2Pa64NiAgmBNRJk CcRQH7HG8h+EhP5Gf2CInwlkzTX1vEfKvhAUmy9pPwZy7hGlQQHUcHmvTsAYGjZ3q+IdsthD1miu dXM//8uo/aBtOzrPe67izTvrmhCqCaXWXGMcydsSvWQO7y8KHwXwpMzbhJrZxaL//1aZOv7DffJz K6j6mUoC2hijcpg+cjhXE9XQJS9koajCul6k6VFngNQSueSXc01wH5C+vkiTjzOrIaLpqQs/mYwr jrxaFG6bZhT6XEKCv5MjmD8YOcVikUeWWv7iA3orxcNL/ubz7HEYf6XDYGDuCgD+EExsIIM6jhJV FppC1V2++omZDxOI9R99XkhDazDqNqIuzjqIKBEByGcn0ugdcW4ugbxYTHREB/EqGiYeQPmx0pmz jv5f9DvMt9PsTnOLsnuQuy5KpKChECPmP3kMd98d2v8iMRyI9KdHbOojlNqgvbKKpm8IxftyY8V8 M1P3kr8pQ0x+zmBTytw4DGbLBEhEWJIbda+iRVf9WKHy24lYEcS1OIACLIxHO1L1yTfDMfD0rTIS hsr5bEHoenJAXXC3/hqAPVIqqCKM1auHYSyJ8fkGXiU+dvWHu9P8Z9YTXAcvUPhAFJKxBWxtPXYT cHOvlIo8TenjE2CQkqdS2Gc+a7C3qTFKgj9kQRJaJOeWpvXLOBHU1ptlDkNAhwH4cieKgQa7Cs73 HHYVTREQEGU2tYRqaoCCXdtqVJatpMhluK9aSzQ9/Y8hz/3bhcxLCeQFZFzIOT5X3KTKe48Esus4 S9QBEyGa98hr27famQrj/Mvz3rmpGIaNsrQqzz4h76yS8/GUytQCHqp3WInGpCBMnNUe6zGM2ZDo 0ith2XPIuoHr+3boM6Bg/1A8SS2YWX0ChoQvrTmzST+rCZIWT7wpVbDSabXq2awPsxmb3727D435 VgtFtL7hPC9cyVe4e5Nz8dRkJFuxZ5cQc6aUsyQ9jwrGI3o6ETzY8VKUIv7XyH5tdJfMLT6yDbVp dErTjFEREFUN03+iJ83qTNwP2tQ8dvaeTJ1LAjfGsDnGTQRmNW30/7QZDw0G7xtf4G5fAf/HIjo4 8kn47Svch3AWBucfnVwPnkgv0f89d5aCHwbXEdv2KFCsksVi3Dcv0vc0bfX8wNHrwP9Pgry0B2SA 9NnbSK0f8QbFodR2jOANrzb3XO5WSKcObwXmg1bL5jdJ+nVuXnDa4gmLFe5yZCtWicid7NK9xt9q Fha4qg2LyOESuzuIw8ACEuRNFV6Z1g/IeIsriPZ/Ies6Q6UNe7l0o2CDm845WpG8mOesIzPqBQw+ AmtgL2dn+6GIP/hWFnCQ7YCe8WMblqVD61k7BRQnXcJ9ZlDBnLAKyUKF/erWCYHRcsDJyWEGdEa8 rW4nR+Kls7Fg5Lylw7KxV7WnLoZpWsp9rWjQKRgHaqYrtQBSTO66wi7MxlWS9I66Mv3toDw3T0S1 Oa+zqOOdms0hFurysjZ3nz3R1IKciwvLHWwW6RhDASfzXivVPTvh9rSaCLF1C4dVwopKLqxvzRu9 csrpsX8mt7rig87wmPQwioQ1+99IQgozV7kMvGuCe3TBnYsQluZnQPiRufZRGnaHuIo5ObVSZu93 t6ppg+hIEREtEgyL8m3Cvw/J3Pp9qktIltFahUqhpdOtITTfKUvUUKAeyjQwcRl/3DKaY3+H0aaT fO7mR0YjuUlulvjKFiQZ1Urqa4hQxu8Kxtv58b5rnxd4QmFiDgxlai2RtXDRhK70XyLGxhXuHWJ7 8nrH8J9tLF8Kd0OqCztLTHTR6ROHtp/GwbeJuFKzMiX754BXv0xY13LmJQGoGH7wAaqeHdgllL+p bioIzKdLigbPZLxMyzCzkFwWoK74PBrwV1EbmMl7XyAdxCZ2ndxSm690fHaX16DI1oR84GuIb8sp fr9GYzwrDFMR8dV3ftq+bxb007QGDohoyRPGQDmJbIZzOIN6tEjLvj1e10h1acF1bCa4IE1TYyaL sZLSe+1BV4ZmPZ002+La6JSrmXRpK5nvneYNvhGNs9lL/ZNj8EbtpQ2/vshS/YZ8KfgS38mj3rr6 bHGw4OWyenPn79oszkxJkQ7lZIk7k8jA/2gxz+WNEO/foovB5uEA2a2IDe97bEXxhKp0ohaus8jY FNmlxjwqpz0WOgdtAVk0j3iDvbSgSlTLrMKiyc75PoNfw3ViZ2ttsa8LFLSGvv8hJuhelvVUd3RW 9z2TvXxW5LuZ5LaEW3Yc3DhiHC/q9xPsKdRMkYkR3iaVT8AmneIbqKbwDF+39bVlkJWd5LEttDB8 I2WQAKKSV2p9PvlpMoMdb45p06ZXfZbg4Lx00LHioLz5OUoqgfdufODS9mx1ydl4RwUq6pIS5TLU i9f8FDb1pOyJ6y8j8dEpqXcEzxNNjfhhehrgfKJKVr0z3yYRP0ayxCBncN0pdQVuOA43LIjjStiD NM6RYuChWs45uQtT9Ds7aMmSxvjfYc4Ja/TyaZcjPsDDc56R77gEeW1yHzGsIIaRjSIqHDBN5qcw ckOQp4Q4koBkPof1jMa7NJcPTKB1amO1nGzqUO4bTap/ztr9YjUZeuU+Q26EBuOEMTSZiEmOEB8V XyxJd5LggefbGUTbAC6b2tlkU3EBxE3kCeAQhI68pyzYnmdSatlVIpUmRvLpxGkI6eEWAgOaNnsy eQVkaTigz597go/kUVzgtQJ+vwlWrsOqkFY1BoIfokXo053ZFGlhoGzxp5fSVvSiNGvi45oRPVQB rv4l4ly+N1VOn740tLIszvr29l7f1P5W2jRxT8nc2VtaR3YVDvXcWSuDyBUevWjWlP6XXsvnJXuu 3IqFU3HrIMPVZoeaNXyYl0I6DLJb+owj+g+jYsqydTz6We1RzrK4VfrccV02cErWXvPQ6XTrmUMN +ATzIon4ZVw8D0wcjAu5DZdUTLmy1Yl09SnnIHIDcrqcqUXLTM4S8gm1CfSLXcOjFlfe4AYljpEz /fS3y7cL0yfjiSMHzJnzmoGqEaCRgQknkijvg4AbGvFu4VjVx0KQvLwHku4x/2NV8BRHk7W6jrjm xHKLPT3GPGd4npV/QnpC1yndBsy3RA2D5Y79IJJQ9aK54HuW98tQuAUr5pV/1Mzw6/foNeuYy57X X12Ze4lEA9817Z4oxypZGbBBg06K36vENk4GWuxnLD53Pj9HvqA/sMoopv8iWHWgYUTQQgJY62ny r//4LaUeXG0hGtoiqgR7KVQLCNrZK1+lQDZSQMAgUm+BZGBgAgEYU0mVQ7IanM0XykLDfXHFjNi3 7ApWs97LBOSVc+KAFUGlpn3Q5/K54/Lbi/Q7KSHaESl6/oiDuAi2twPYw4Qogy/BO3o/U+WOjrCv 8JepN4Kd0VJItkBI6AQ8c820FChMwwQbZ8uS0AYQ3xpkpX2m5zKmZbgN1I+DvQSnB0J5xQ7Ulk3h XSMuU8DjERpw+Le0e0vJ1ZzLRyvxTym2YszQPBQkzcRXvUNggPPFnR2Ujva2TqCrP7JqBxbNQ9r5 icDZ/dI6ulhrXmdztLz7i/o6m2u1OPGRotfYdY+cqwXZ71lyX+OEfHGu0ZN6QUpm94Y2vm2/F6cr PujmwWDQ/xbA0GzqsTw33oTwv6+LlA4r2n1SpqyXcadbypc8puD80hNlCOB6rgFeTdtvNENl5m5V lvErDNZImvocitapTA3mqI0J7FcpHIaJs3d+yb0fw75aQf90llmczGlq/GQV5kj1SrFMAfhtjmFW SOQ6+UqSfImjeQgDrJY8BcFRo+ErRusMDCH9oDbGDBSsGvo/J4E5kLu/JFvZEjB9FA/1v5FyLshZ JlhBXD+Z1GLKTHak1d73NlGxHi9/bF2DVksdJU4TyBaMf1loWzZ4Byda0OoT5BK+TyPUX2fCZdjd J/YaP8xOLqIymSe3R9Se+DzgkAsQXK010ztxwftURc7MNQ+NzBxpizGq3v7CePM/oWpo/ZKUei8/ dAD2iYtX4JD6j8zlh5AoW1ij6SkRxSzgWGAxVp2YOYTi70ZQ3zsrI2L+6/n6OBa8ofJ7vh216Pu/ tOgjR21CO+VzsKA0HG/Ei0pFJZysRR5voQTKeb4V4Wc7ol23dF9jrAAvZdo5rAmbyr+GbZcKVdFb ajjwXrmRF6iVvMKxXXSLxa0hGrBTUSFaE+0CP36tFTywIk0m3Z9bKuUpKxaRsr3X8i6GgSpptmBu 3MQ1ArJmwR7uE2N6czJJnC0H+rcbkxCIhIHiQFsuGY8/+pTxJM8u5OFzUXFc4DDHCkONpVMsNjhi 3CVCdPeWKy3xm20FMHboYphi977eUscOLoG8BMoj8mZqh8bANCx6ag++y06N3I28QWE2DQl4H+GP Ci+d+Q3bgsoLg6dGZSQr9u9GO3ebwhwBaKM/C4vcEQC39BhBLirQTMnB1rhBpSNBZHKvh8db6ipQ hXz0Zc9GgIUF6c8l9SdPmFPHEmjomokejpqJQOVNRLxp/HKPlxzkNaOIjqn0JSYuO7WWVPZu7Vkx SVlFK3+5Qs/edi+nitTQdn5YtFti3Ka4kVTk/pfI0FBSltJNNPUsNSwpDSYnEE3XSlDIa6ql21Cy mRgPhj+E9yVKd/397Ncr7BWZ8/WGxCwgL/IdX8pcurssdE59f2wuKMj6uGbD5NgM3+qqyigP1Xi8 DtYORGuQfmOZ669KOrXGwp91AfpoqSRTuJ89IoFEfYoWotBqtlcUoE0D+417fQqJKtn/onsfc3yO 6us9u8meNCcwCn0RB7NQXrfnrIOFkeTvg9xi2ZxtKLjgFvpoW2DN+wo3V+pMo24Zkq4B4D7YxskN DVLd0crwnFr88nSWdmv+UEhMLHNIpGil3z0UZhuFzAi27AAhMIs4DXkFtcMve3bIZBzhGWB8WOY7 FXs1wkJTGSLf2SRvWG4iDYViCZFEUCv2LiXI6RXlDdPufz+a55EPyqWm/5/VbpgUzYBvqeW4lxpM HH5fKdNT2N4HvDUriRwQjLTK1pb0Ty+nuklzMRN51eLbqYaCnNFfGVN90dyuG02ZMV2LOAovex+P BGmfQ37eaOAKZdr0MVonEMkhY+NSBP5n/cjWtO1Ciuq6wzOGdhdnayaU04ggz9bpkCJRwMtAoD69 YBuMTjFFOP5LLlFcyPyf4INZzWvaEm9NyEx7z4xqv2IwTdrJVx3F4yBk/62KBwoTxQzRqKoY2utO 8p+MTp/YZN1v/sGVIshtwrX6mJbzkZ7iqtccSRivFf8bmyuvhGF7/+Vt99COEA6wpoMss++YQzrB wYjLp1l5Q13020T9nXjQxkthfXKnAY/dOSfBsLiQ+6AF5xJF3DFJ58N0bgGbP/07lEFu4/TvKjvE OIlT1cGg9HUsj8Gwc9pPprz73MMHGLYjGbg1aFfi6amh/BWS5vCSruFewpd4O+eDT6+CzvWtLND+ p/JHOwLebRrKVziuClq2AIduXWWXdQz/QPWgdhGgClmQkDB1YzrAvNAxLGvwLHBpCnwZAgqPD/72 a8uNQRshDYtNoDW7Ix3vdk3iUY9NUpFSxnM3hz+rm/LcgOmv1y4ju3ua/cwLoDmNsuX6Sx5Nekfc wX6pPdNpyOvuNvuuYmlomWUWnDkL+Jn2O3eT3KhiydavsEcAQu0s9oHbKP9f/QfPIR61mYRcV6vn V519lInkhk/TXHd+BAzjycgAYe9BUCs3xET6PFdkWOX5pcVkTFhYCNjB4LeIDT8Nq+SZCSeKkjt2 B97Vf7sTX4UzoPDg6fjpDF9DATc/VKqToYrUy6JTTY5jojTdSx1HHD41d0hWytgu0Vmebe1b5ekE vz9GvUn62BtCWfD12fAAAkfx+jCuyJOdhAXQr/ucKZEMLo40dvB8Lj9yR6IutiQ9SLSP5XSVjy8z /5fCawmKhVxLqTXq+XgYojDHmDgQIyEZcCWiWKQRAskD5iOUkY9Xe0P1Wj59EfCK37zm7uEwYOgh Y6GwK4Akfn9twFmGF2Tpl3O3xEzxEYekcNUHa+lz/RforXcGBscESptihoXXt3jCphIUUFw+hY0d 5iH4En/XEU4TXfGMVyNRs200ARySrf4VsBMTLZA4K0t8mlE2omw+683C+9oai7PnbEqM5BwiI3kB NXIq8QhPHxpOmYceDNwuqDQr4Z3a3HZVsv7zOXjFuutSTe5GnRRDmNs0AI+NPNFyIXgGL9OkoAtm TFtN69mMZ3udclZGlOd0cdzuNHnb4RFu7r1ZE8TIrm0/c93Ipp4hb/wWvGW3iwLYYH5nv9mgFUdk 2FCoDfsABcat2Gr7ApJPz4947zs6qwE9mgi15WZcgT6U8NJvvUUFcqxE2P73ShLjyY3isSeZlM4H NvSy4hKAZQu+ncYXQki6FyakcYi6PWw9AZS05jrtMRd2MxZzWCKxZKMuVG3b+LXpxlsGVDXuRLyX q2jcdO8neTj9/+pD2Dun8Bs0mexida77AGS7YiyElLOggovxcv1wOQUBx8ssXJAmXxjTQsdZc002 fl05MF40qRMYu3/PM8oVLuu+Q87loNPaXC5cMOtf12sg4oD2Z9FH1xQeGS8GIbs3rbjiJQjhZ7Um Neo4Og0juNkdcuWmj/xYSFVX7qc+Ptgvonm4Bg2gU/P1fX13HOHKKzQsViFXRsHcORp5xwwfWCVr muMfS4dCgqzAXrc3JSgVgO9OkenajlcKbbfOi5czWNa98/TlHAbmVAulve7NUIjG/EfpDyEQh4Ln qF8AwXqafVJoJipdMPgPLFeVDA1aby7SCHw43JX8SGeTZ8fvsQXueTHr0UZxBFo991AKDM1CtfAY Txq+TI6vU81k94iXa4phUNOnJr64K0ru5cdbufN2e+m1XwsCsEaFmf4t7olOlOsOzUSsbBdgI8UF LHChd/rxVDs6HMuWsvE4+4GklD7i0m6poFBFhNYLHg1ZmJV2vdzjoAwRf85Wzo89D2BwOk6RpbXH MsNxNQ6/of1hXibzfCD2Q7MFq+09VmGgRDMYXvkokKJ5OLOnY8j5Icfl3zoD7ySHX6137tiBDUoD Agl0CR58RpMA7fOPZi06ezIPQSm9Fp6YqR8q2UG7b+dhA43nzpRHL2V+DbqCkpXLroOiGfx8Zczy Sp7F2hFF4nbO9CYbo/NKR6dNtUo0kscZLA9eTkPnwsvV1HaFjrkIiwJV1w/58v1MENkzY9qOCtmI bWNM+QQwynjVGx+JPS5HdRIW5o5lKzpuocoJUj6PnMF4GmgncDoDFyCeSS1bEd6rvOxV54qsyNcU zgjNnSFHy0/eNERVKT9HfjfzV7COVdHsFGmoLoKpBjywLcdFUnAM9NPwz4Nc0n2EY+weK/DJAVcz e/VD8Dg0JP3OrcXaUlmMk2oj0jlsVVgEpjh3DEh/1SQjmJP3eWCKy/OdwmopPyeVR84XOAbd3a1F mKbqwcVxr9mHXRBB64/1Lh3+IBqqtWG3JyspCVFU8mxZehN9/B0tD5AHhsQKSVKNwrKag3TbuDIf kHCl1kv8JEPsy/UHOdgBlEZXkOE6FcpluRj4xousXiyNiVZQaERDJSWdRUs71JTcvk0MO36DOIyt P1DMMwxUADENhgObf3SP3yq4a0mTppiMVZqyEYP20t8PnnLoeIb7wte9Jj1GLHY5y6j/uM/6pow9 VW83fk1zAziYSaJu/iK9nU3CsQ0OPWv0TK4WkZNALLjdVOKskcmKe5BqQMmStlIJfPlPfZT/ISju /tDRV3eQeHqj02U++1TKuojWSmn3opHvivMVDruiRpWYzSVFW/nC/IJOzisnf9h3dg0NI3/hWrcS lVSpBhST0V8gbPmcuXQyI+Tlxzz7zZu9dTd+uJkPnyMYu4+ixcEOdF9ZGz965BIkCkZFOxErHYUZ Z41tuzdAhzLYnLRiLUdmopB/t4Kk+jsdEx8PX4+ImVNp8ge5s9HevhNo8RI+VE3FsMlrNc0EUxYG hLxLPoklqhv4HNT93qoGmdGciz2e2VMC4ynKfPFbMxBrpYunYiL/GUk9LpKseFYnCzg//lbOU16f hkeqm51qLu/+tyqetwtAFEOKA+r9yFx6vbMVDIvhNOFlTIDCA3VC9hxCgbfsm+I75tTVV4x2hy9F XBJlqu+8/TQTzz6ihwlQdh6TxNvFzWsW/IK7hUvyYcA4Z9VHIVyNX6HQJ2h6liAaf3jSXvR3PoGX +FpTvOXHU1pJ5UueBZ7O/nypKQnzrr+ixknyntMJI+xUFqNlOwjTV/MnNlpcRtA0QRjGuhqm58cJ kQjMvGn751idBX2b6yPgASG0y09iFRWPekl4ZPCSL+vvyRjnrixHQvgpaLOXIK8mqQaT7l2V7S6n B+DXmqb+/4PLxtrU14tQ9BejzehuZVFIhhJbRovLYfEI5ZjAWFdV0lYoNVuR2Qm6NxtjE+ituvEl eAw+cW0A0RGN+bfv32IVQz5ZgfOdV4mfU9r+jcQRxnS10wjSo4dl+D8V0zq2ZPPm0PRny+aaIQyw 71FXU9Ge7e01D8+4701/bawPOxAQNe3hVgX9a0UhO3nL5Yut5jv9a4UpNU23AyWcVAYuhqWvbRqW gZMaaes4hu0WgohOmbn86kXO4WctLZYb6K/aeOoarbp2PX/GU0w7dsRCJHgfLXl4mb3uXtq9C0FG vsoRW31D8rM1qHPtI0LuPDd4fHS0h7neTxG8G1IUxX6Qx0WOL96chZYpCtmSOC7FCYMyDsr+7AOX IMJi30EZMeX/OvoEKTJ69onqm0P2b4DMSeomK9W/fZq/UhnpC1K+G610VR0VLu7pqcn/BVHlgfKr PecKMqjCiUHTbszffoTSfkl6k4zTtDwhuq4odcBCNPepQqa3B5LZz93b5giNNezEX+Vo/yzjtDjg VKto6wOYTJr70rqA0pV+MbKl+5ATqrVy+7+nnGXR8kr+EP6J55g7E1YKYyQSrpDJAqOEmgrhtU9l 8WN1o/TiHwa0dm3R0+em7QVg09i3S9OqV4T/9pvKnvy2yFiOh71ObTQYmXNhLg1TqHm6RuSgpr8R 9NLMix1SnPSQjMs5/lhZjtWv512wqVU9iXNh5K/ZCsYYUbpf5Qtl76utb//OfhuNZgRMf+AjEVKv A9BLqA3K8s7h2+3phIANkZ+hah6klLZvzJc7OxkdQcXlXrBpI6KtxG1cH8Fz8X4h4tTYqHkFGFGL mlDumm9eS/Id1SpK4ckAYPJphWmBNqZS/efKzk9eNp4SftF7OBDbd6zGzwtnUO7Xuj2xYvkpeyK2 Mz9By4TJ/30rrg9JncOZzvZrSzlLUSAiihCXDA47S9okpVesq6TbIHcXqDDReKg2pwnYXEAt0NV6 sWyfCAZ451XxSqWg00Tr6tNq84BeQuIlDYqyWCP7Rr2xpy+6ustYZY0/AuHib1rhVQD5+zQ0T7F2 lJUQTefS2vN8SVWyBaMeCWcgdvLzL8AwVXbCQqg5KCttwm/8JvVhn0NVSyYdsau9IQjwdceeidhX ZBtG7LNcGKXJUFjdUK5jNwRLlSUuF2cK2UxNn/AJjC+NYykKpoUXDlEy5+humbuLWffwD6dgcKSm ov+mwvavO/mP0bRqjLgpJlRG0us2qwXQxgRuJbHesCdFVNFu6lTwx+joidRU69jtqwxCrY1iOpBU 9n+XGBVnpUGTrUjsydyxL/aj+oxsYOt5yxJahaC0wOdVJpBYN6f5mhoNTclqQbPwzOMhUnwPRqWa rJjhl6AzY8clZgJv94/5QJxfRYK+8lGM0cA8h9ipkR6fGf3xf+xixM0azv8exDpYAveDIFsG3pdX +MEYUdRzz0IlpwRfnQuU7IETKh2N2ttOtqVxUaUx5Mq+JBfD5W7/VaKOHMEjcl+F30nxjm3Lg4Mk g3oyjO0V2AjA/HGFLcEB+uFBDBhr0UynhCB3DNs7pTaq+HuUNH8C6iEcUdHBERPQGfSDCP7wSoAJ 5uC7NcxnDkChKgiXtKhqkIh+IDQ6BsFPlJtnEjTiIYtjQWd4sWKO/WK/wmGj+838R7EY6ZwOd+oR QDWtVm5Ff/7wk+g/9bCFzbczPynrZ6lrbJNh8uxNhwacjOCI1vltd6k5bUKjb4COsfdRFHYhhrSf TW540yqcyF42KyrlVcF6yM0Z/NT3OOh73VosyrmucXNqZkyuefI5Rv5HD64OhazaafbvORFlg2oZ OI5BjdVorKOa/a1yETAEF5D7j+gbjkbO55KH5ps1vTbkIKm4lIbHI+YN9Gs5bSZPz9rP8OjZj2Ku r4d8lY8H6bdEhiN8aLj8WY0bemBrb6szOScay6bCezHM1UZMS4u2GaUgg5ajJlHE1LhmE4KkLXvN vuKwsDe0/CxeaIwZs9Ckpi3Hxldq+anIhOzsy7d5NkUYAMWe+TR9qlzVvT3k5nw3R0viMdFmSAKs kANU9lnDwNQ6bmHwfnJzEk3ogJBmWqV+NWGkulsW3CMmrNJFAVZsgS9rV9z9bOsbjj0Z+qBOZ/Bj IeF4is9AixYS8haCW9T0NyYzFmpfLSpJQQlpRJvR3qe6M4jOlG2XRqUpItBwfocCc30G5qpV/oay sVH4R5AWS2Rku14JiMls4mIpm7IlHYymCg3NjDv/ZO49q/oboGeDm5uIBF7wBh3mXX6wjULMxWlS U3/SHG0ZDXwZdeBR9C6IcgDaFySJmSmofuEum0SfPr4TeKEE+XHeHEjhpnxCO05cwR8SKU3hBrAq s1C4qD+VZPtRJcRDbJC+CzoIH0CymkkuDQnyNVOayucPtEMB5Y1JK7yXtkvdihvba8avLVEjki4S H9r9wiAtJRNs4mW8at/SWhIldG2zHXQip45OjMEcP61yKR4nmFtYfD9qifjruByK08fhKvSSITsN JXYJZsb6qeTZDm5r7iZ7AqWkxsfUB5crPtikvBRyIxKROky60j/PvkzYhlOP0jXVudxbJrCrGKxJ oeQab2Ae6tx/GMDIh5A5aWWXEMXOQC2rAdqgbMsaudEATCACd/Gq+/g/tThwSlq+c0dwEUYT8e8r lym7qBJ+qldQXaSYxCgU0ZLbCM7plbSNLZT2lOSdq/wsAeSnOglMQ2lITNqkHn/WTQw8fnQWK6gB 6Eka2W+TabQtC1trwvdqTi1+ddeSVMthouLggsjW/Y7UqWvT01CQ2wi1b9AmiYZGIUHEcMsjNBri SnEktnZmZEkZ9BkwuUEOdE9k1rmBf5wSggo/4FWIDJXV3wJvlObIbyglWKNbpAy2cci9c6KoKe2h QOXAzc5J1JtgZl3SLlnjHxMFZ6Gl/Jp5fm+Ecn1tSnYmmE8fSQBDxf++YxBGA8wv9fmdxnPBfBHP CqeU2vcKo8YQ/UZPB7wqOdcKyAkKwZBm6/K1nD9ytCcNoyPQRxBizlX/1+10svDeePAyRbF8mByW yfdLX93YqqbMZ4vFJSI6I0lVuGmWGSxFSKsVe3/1SnmHBysijHqD2VYy4Eex49uuc7OEHHO58qF8 L/ssEKRmF5oGkNXpfP8SoOKxwCfjacWvF1xy4g2W2wiSiIfcVLpc7YKrLDNVmj0wFScqpbM9toU1 QopHpAEiheSdRBCuIKb/jeDG0nBe9T6PnJPkPp5EQgeSavq0DOv1K7fOwFg33rBgja8IOV380rt0 NQkjx0bBFoyMrhAG3ChE8fuazJS6tkivePUfubB1RVBKe8gmlti/0+j3jO86kUQgVdiBo6CIWw2f 955ZBA0SucHJElsTFWPsOQFQ1VG9EBWe70XREn8Gh47are/hFJPu0NVeQ1QnL6UX4Gm6L6EBe02D Oy1073T0ERdNIb4jOWyJ2KQPjk/O5c3FuXp71+b3rB97OqY0M0WkaxN1HM7ZM7RuloQyGu60vzuX jB0fu3FGZXcsWQ0jdYi6+ySt1SKrUYaSG+82o4Ies5RXJZba3i9wtJc2HfQxy2ohOhkatRSxbCHy VeBiwBAgHNklysdGAn5zJ0SMFwANj/BPmA8D0nZ3zV9OEqKFx7L0whKqAyeYk4eP7bMp4v2BoP+6 eWbe1LklM6XBT27+Jx0/8ZNM83c9mAUaexwJJKIT8Uz/LOk0UFzno40V+06D8fqdY/So1DsqYeAq CUD8wxb+629XQyVpdQK39rDdeiN0DEYOpqEWAIIWMe2LSf78Siia86aYbHGJTtEWyPOKMZhmqvqZ 98ZV+a5VnC/4uKlYtLYb3ic5gTAyRKjbb8gbz8UhnQuUkVqq1JuPDcpP1xwf4trDHyMemEZVELaG 9t4AflTz09FaesHuKOTD/n/TNvQdTLU3tqd0lYk7VUW1E0SOp6Kkv3aOz/8P4F7+bNccmHWeh2XS 1GAoI698D73D4PBM4moqvXL7EDlotJWaQoT71PDxFIh5fM3T63riRAAMRlk830o6bt826aSIBNPQ nz5XXUgtkic/1IMMUKCa+vq84bbyiTckaNZx8kVpxLNmbu/nLVhY+GAPKP6V5k01101zYqELcBSC TYK5Tkk+zejXfL0z+Myffeb92e1hVN6oRY14GOOI9nhI0o/xotZMuPDgxb6FUUUwaz2qbMNYnTGs Zx38Xw+FHVAHPnv4CXntSJ/bkUJk7QO1rdBxipHM+CMJlTvGyEvgV7hYNgxAYWo8Lhn3eHttoU5u spmhLnF2xPugVPWNuJmarQVnLLaTseIBgUjArD3tmJpfi3Wpj8LYLTZyxtwA/CrH1saS9HzBjgFC gFGRCLvKXA130rdQvySMRFFPFB5Ogjh/zuZVj8LkCOoq9yN6X3faPgCk8W6qDirAQfFEND52cHIJ kkQurd/Ax7WnTayVwmReNhguJ0iAuw1eanTSkRJS6glJrKJY9SjTcO21m+bIaLaOoCHm2Q8jtd/U ir9HAoXefGBfpDQpdAnWxwA5HCuv+R4343FyQYZ00SxrmxJ+f8Pr3ULgC3LHYT10c4YqYa42lnKc R/PPqmcO+aszG0M/Ta0nMJYkrsyf8NeapdkS68+JDUPIvOAUMgjRf5OLQDWMnD1FVXHCinZjbePn F2Rz8yx0XIJZZ9lUi8jk5GU74vGvb/m3Gz1QlsrMe2OTIsWypS+vfMxEQvJhxsWQQmfwlRUMOCpY ihWRm9sR+c/xEosIzhNsR/CijETU0XguApQhq4DCqZ5kDNXew4nXk/VXMSfL4HbIXSKlg52ZbSL4 tPn688x4nCHsOpUSCcJ3i6gZk+mPZ1aTqqK2NKIZ1yJ5MMhIhlUdVMng5BsnBxKqaKwrcKane+gi V9CIE+S8BTVqf/9mFaM1N/HCKVy+Pl9+g7wOqagRPjY0+111nQxbomhnBSNVE/HNMoKyVGbI262F aDsaMW8asebedu1bZTMcNi2f0JYaUMNHjU7vQ3ci7W+UD/+sqZFhLBVKxxUtoZugvAmQ7DSyQvgZ St31ChUNB+Vu2jQKqHtnPhNJRt7IqBesHdcVxr6RV9ndSYUCuc5PcOdQKPweeD1qfcMogxd9Ippr IRht73Ynk4BzFY3ulDRMWBbp5a539whl/qsRu9NkDD/Z37r+vwwstj1amO0jidzri/IL+VgoHJ+S XY+tJtv4h5nfb48kcJjMmh7k9GwpgoXRnNKg2uvpxj92s7NxLB8bIW1LvmsijVfA1qf+b43v1Wem SVWzuBKw7RV9/NcEOUX9MrUkcOpfsgEgMc2lrLAO6b2ptCTdcYtPrLUm3BiGTe4dk5gL2qyQC7q5 0js/vOcoKYEimIVYZo513SvTPPsV08G166mPcyO8ITbB1H8LrXibPqKlC5mh+zF7M+QGY6NMOkM4 s6TOT8cjeD44/Yk01vKm6pAnT5ADKGQKtmYe7l1b32fqfCXaW42AioFutES9pxJtiIiteJhhejL5 Jk3AaGFdq9IYx7ylCym7vF1vWwX8A3QfYJ/H3BouPxI5patgHeKIEeQkyhA9RciLAANDbWeYMQGR re1zvFHTeFheGZKpomL7KK2VCGXDwoSbNFFrNXhXs9IL43o/M1KclwYOLxNR8VN/H3WZcTDwBUaq 8YnwmxhZ9/9eTy5O5d+Sn6Vy5FvHoN48rki4NH/dcJqxO4y6aU3OACq/j8ZUXj/AY2V4nqUqDk0T duPv2CWTUgOTzW2k8fOxeHEHfxUtAbdgzTfbWSshkVxpTZrfQ6kSkejpFpTkmTLIyqLbbDOIbrAW meE3a1/1009lLMxyD14KAALnBm8BWPuIu2j6kQahI2R2BfCgjaL1/RiUFj4sNx29sM26JpmTXW+5 ARiDwiZQ/Yhk7E9vIxq89chy3SQ4/1IHAV618ZuzXVcgO9Y0I9rZ4hPKd3WrKt40xkzsDd/vNAII W+IXOPGctFZcJt23Kbk0FfWEISgATwq9fB8FMK+trtqQl3LCkU6mbuN+3yt1FFCXqRjiP4spNfeJ igy+ITYXhRpVzqe98GblXuR1BUTD+xVco6m66Jk1HoAGWJ68X/0c7n3q8dQOS5gjsHMfes+Yk2Qi Tv0HTY3b/6+8dywmmHWVX8YCm9preQaFTj1tB3vT0gASTr/6Kz3CBj1h2a5k6nHNGym68hTi4HlS R8sAl7F4h8kJq9tRoaz6DaG5uJb3efLBy1Ar3xqyC+KezA//ErMkVricN+mwvbFXV2GUwqJ1kyJ7 bbQ6pCRDx2IbxiO+tzgLN7fZRMsk8RbcLhtrftk5M8tzx89wQLBf2XkOmqVvwqFBRN2YwQr16K42 X/4VQV5g8Wc1S9pkWM5YIW8V3LO+18wloVsFVv3hpEfu/llTizRnrHfmYV/4hQrHwHC7vzUQMkXN rxIg+tvXv44FBdbLFFm/xrC0WqnPOFcbaNwO6TyPoMLGW8b2WqbJ0GOZQllWR8I9KE86yL8kjSNK WLxC8P5KwxLScQyRIIuQwYVkgp3oUv3YFnTbpPml5epiM+hRNlhfBgG+Pbg9IVVlxWzLfIhWjY2h 8ECBb+Zu9CvK84Z12WybXMhbr6pzwFXe81l62QQRS9eEaKa1v1SzN31J9eoS3UV2xH+SajEp62Wr jfXk7FYlDfYyww08vsAHstrc12Hf9DflEEFBWiTsDGgJ4z8BL6q07/X9k2NrTXayiX6oLXyS+mnh 7H3MMjz5LFIwqP5O5KVGqjwIyD7frJzTAo42+1sG5ZHfeDkL8y3Hk7o+koDdj3oEYhTxl7r4yrJl r456E6jnhGFXzHwWhayQKiJb08xHLe8fk9YTNZdiWdhv1oD4EmZDFGcdau4PqdgazjMX9lqcZ7SF ieF/EvAcb2gIBlLTruK/7BKxClQfbfAcAWR1PTUZFlf7rI+am6NC7Y0H8s3mv5FdzPRwI0VT1ptt 9GMGc7SrBBN7uoh/meg7puzpylxYHgN6Od7NzUQvr2ljQGmysCIxuTCfmEPh2e8RZ6g6ASXjhsmE gv86inaGPZSj5pHUadjTio3sWRUTv3WYBIQJzBum7G1HnWX40+6/LPORlafmj6CteLbKiPb3JkgR vrA81BU+rj9TxcFJgeF3UwarAvmIqtDTuLW5YcnkXRuYfd9U9FvQgn446J6bBoNZCz4R+OvOr5ca MFOGcYaFm+VxGSc3ag9ZQ3nj0wta5Im+hyJmN32dBY6A7TkNJXsBeKM5d1a+hTpAxVmkLdAgKeW/ aRAeu2AP5uS/rvD/nW3YWaAaHnZvWSYZT3/bARJTIzDEwlA/uweHbjqPg8R4JF/Gq7nq1ZU65AWw qSOWSHn+v+4ADoGCoqrK4O9h13VC2ryZycnDMqgTyLb+vQomYHJ/QUo+AUBh0xlOtgMzYjJW7k5J vCzo1K7ysS+Qi2iC3nVmHMTpMld0I5BjFGbwhtX4idAeYt9sOmR87QJzBNwfKTOd2dxc9s+LLtaZ FApamNQ3oDCo8eFx/Zr7Yx00M9xSDqYgtkZBsDVHP/rXScFJvgjxn3+psuY9gycJjQ9QT8CDj4BZ /sLtt2Jv2uNVgM/3ydZgqe7ASMz0HcHHjnBkFRsg0JkyMSpWxx6TgUhPnjPyOsWuA5HcT94Ow5uA KcurqtWWWlDhMyL5Lcpih9ftH/hm1PJqX9w+Uo36hWNtFrVEQdsxGiXhFDk9J763gyu9J8H7ex9x 9j1o2YDgYHkUFKPl3UV6LcmzMf08eUhrc3mf8zUTw7B1+BxCykBWykNLRSXsrzSqDFfAK4kntSZW UiWPVSiMF1Ln91JudwKcPQalKPR9FVi+b+VzmUdEqZIFQ3Nq2la2U8c9thWxciRZvveDSuvf4Vfc g4WwlbxZVv0HGEB4alHqgAJaiCRS/LBtEgbWm8M0a8kSeuK45b4CIDBumHiDES62InjWwL1z6eI1 mr8uxR7YmvL71dtUNBr3qjPR/xm3YVmE9Oz74RbSR1YAHm/k2zZm1ypqtkvSLu1BuY84l9wor2pk 0YGU8PNPqd9K6LFQ4uNny1T4W0y8BKLjNeMT7g1ON8chgbGAgbJyuNf4OgcLggbeePQwB+2Fa/1H POr6cR8cJboAdRPj+TXxJVFbT/oW0CBx/UCdTyZB5DYfs5DkMnI4R/zBjFrjQJ8E3iTENrk9Q+f0 KlfpHrh1iATQdWYqXfjlWUmb+jWSx8tLlOS5GRX06aeCKTqUvkTLH6zhlqQys9lmgX8oZQgA1Ftm +eBRKIPaDM2L5/81RMnNtYaYlRoLlLpBGg4ljXhSKXGD/QrP84yjvlH6Yj2W/vcSv0Po3Ht6tqhu nKUS+Ru/FPBymZ5IZxfW10K74AKHjTe/R41gne6R6bUTvhkRghywRxWILTlQtlSMBsXDgDJ3uD5a t/0qdVaecDUalgyo0sGk3+Wiy5k+IfwXT68LHWmfPW5XUpF5SWgvRhZYCh9Gb+kB/YEw53V0NsTf hPs2SKPr4fE87jhPrRZYcOrduX+aKVyKlpdkN1xgcnC41zRDswmvPqIDuoJU6clM4HWGk6jQDY8R 1MnzGM+uJxtSuMQDJIqMDTFysq3TJ/JWHUX+HQtKVG1fFrpZBWz/kk+uSxIG7tPsfiykhlo+77Eb f7oKQ4RmdD8hOLhs/b0gjTUz4JETZy5c+ugAj2HLmHDUh4dymXPxGinALfjDE++JZ8jTgEKgZTlj a7e5kjpMkIe59I2CLdgEpMPT80rxgwlneUy0PaCpJeWxHLQf698KRFrHKypeXQ66ti3pU1Gth393 bylPgAyZAMtYmWDgiesppvhBzqLM+1PpKLPGYQjPinndHLw7yb9AlxUCpy/1QnqMn4VMwAt+6Ks5 5M7ao8by4W3NDY4w3E7uNyJ2/xjzo+KgkaxnY0xEgHty0/Zy+mlcUzU5ifrzPK3gRenOdTPwfhkK bkyYwjcNxBYg/4YEszU2AXAycsKpXqPvginoLRHrIl1RVBDal7p17A6FkEPTBO5zbYLdUI/Dbqpb 5S0xh5Wiwcn8lHauo1DIvq5GLII923ozkEzia4pGv9ZokS2rerFo4wemgBtp3xyjt71OMmvZwhL/ 5eQAKggNwjEfDnLNBMSBvtBTSG6N2Efu8wkM+zPiw0B+AWa6D/QJ+D/9uarMASTn/a6GjQx3p/Nf IAvvIUqD7YZmWZXC5ztO8X3RKlIXiGKWpa2K7SHHteQNAnDQukTKQnTGHFp2IDb1F5pG6CI7HLlI ns9FGtnYWA+v2F0a63ORI6RsWYLw3rNJQ+/zXuyTZOwhNgcXqadcVnvIQALlmJIpBRQ2IILbNnom iwECt69JLS6IqlwZEDgCQhvBscOUHSY1wKzTPW4Z+4ZGUqiGqyqBSx3qXBTLhf2ief//xLFyji+t tRlspocIoWHtg0Km00ruFmI+b9QO6JV71pg1+hr1TPKhyJF94e9YutSAu6hmbUjWpZug7rDb50D1 iufSENkcRMnQHirElP+s68P8eHsDFh/UMCB8jz9cm1TJ16lCU5HSXC2sd6IRLjP3lgHP5dK3zND6 bEROePlD28mYLvLFNC3cvwc5kSLl5nBWx4SrgvAhnzMM5WSmSqwFEezRTvdoQHSU1DZckhDyROOh MHqvLoIbT0OiYNWauJ/k5KeJrEDSdTuz2NYKFn2P/WjY8wjTNQhVFjEgcuo6dj+2ywJoUeUfItQ+ +p3C+l5IuF+NV2ibzjxIqV3uKwSKwYjuEIC5CrzVLZGGUfwwS41OB7Mu93/YFPqpZTCxpFXrSsoi yH8aVxFoYAGNaCnneTC9/f8E1QRrcwrUW7GiZVAIwUuaPFaXsySVsXfmXjLO/Put8vTY1m2ds588 J4tRwjPgmS0zmDkeMWJV7U82F86gbacnE8SHCS5McuzHQjr6QjmrGe3Z0ffnADprvyir75IneCz7 2zLolII4tKJALfvA5A2uG8Ho9taVSUvTicAEWcvAousxiqf2gb1kuChvPQRvnfTjljhTFPblFUs8 n57yazlwoGSJxxqwQPiYR3Gt1kTmUXyDaJFqx59ia2EtRKG5deOLhMh+lSjBFz4jjcX3Fu1Jj3a4 HWUupCjfKqfU1nB+1/2eVnKEZm4DFu4WHUxu4K0nsP+M6vrcxlJ2EMgeopSaSO247jsHM/tD/xRH DHYy5+tpKWNz0J9dEqiRgoGI3ljds5PoJ3jSuHT9D+OhCWevpSnDDm2hT3Q580KEWWMsZ9TFmRiJ 1g0M3u04oyXeYeMJ8c5L9P1lY2Ry2v8qaQXX8ySZn9t+vm281lMfC1W/agsE3VFyd/gG3EOt/nDS QiA3iqQ31wX9SIii/2ALCcLD3BYkcmD2kHxdKc+UyuYnUYqHK+GVmCskL9wEUBS1Q8K2RcmtdQON 7geazT8iFzn+FJi/b7gRSOrobH9f+ZPrgure3iNONVTNsjKhxLnhQng8oi/z3C7QbmkRcgZ0p4iK a5vz8sredTdv0j2ynXtRAEmoQgIGy97l5C6O0x9Yxmdj4z7rJblaIct1sjSRkFJCm7As9eLmFV7L pC4lloydmjpKb5xFji1YRts67cbNtmWluYvLdrsac0ELFT6TkbGIG0+Y5xldnn7kRvf9p6xKFZoK 1RipNVkU2rMuCm+mFj2v8hJPB+Jeq216EA1Eefw6ljPr/roF35zHCCR0dPwj28zZz8JMjirVUsAg cI+w6tCMdZGPSJbU4837McWG82WsmJYs4YBEJi8g690Ou0T+cU3LMXlX5IL8c2+ypZ+Vsm9IRhKG cU5CJ+xi6zw9CoqQSrA2/LV8lef/5kSYWzy2x6zsgYLFIsKqrHjfrF5iH0YGbw6wvyRTnWBR34k8 17E/Ah98Ra6PTTu6Mr1gu3VZEjhce6Jj8XIitYKfmnW4J5erkatugZ8LxkBwcqrDP9UQHfkkfyQv sHWXtS438/pqxyplP+VnxcGHz1uSue1OQ1cNAc4kPqueP0AIiNPakJ0Uho+bq0RuQLfR93ZgUmjp NC505Kot9JRyrDDh75PlZV5G+SFpwrIv5OoLw53LGEoFMJdsBzq42wQA2wiJoM07nF48QI9fFip/ kgQflwNAHEfPRWA/Eep868bobVFNEbQunmXtDAY4dFoPf329j4zCrby8oNvQFg1f+4Lbo8yLmv9q lS38m+DtGav7kX5NS2UMDL4e0JOxLwWHfHFsuV17EVHNmme6jYiqd3fnBaredgyiHEZqicr+Hw2R ttXJ8+7PM6e1rJIJrvSSHkp9+y6i90GawaMHn+rLA4WpieA8giKtbInLl3fg91mwpKdqJ3K/2/Cs fMTr3X+b/G/7nezVSh+asftslkMSciZKiUx9gjol0h7xeWKj03o/xY05d9c4hTGc9YxEXt0Dv7S4 srkA62na81VmHx2vKg3Ca34cHFfqNWxly1uR/tk7bpzunFdOvLEnwM/nPIcEGBZmZr/qQ6AGU7az FUp+WkAg6OFdUh2mL2VHVkcnQs1f8dZiv6qFvVbn1BR1oK07jUwepqfWiHfiACX8gBQ3kYJErht/ OxNmY5wSfZEtm5ooRdvW6D9PE5WOM0ibSiWB8nlrHDSRrlRcgu+Wt1LJ4fhauyPAJ7uhJrA3QtsF GRInmHyvI0VdLwBou7RdVdvhHND4F7ehwcOz5lnFxaKEqMyopF6RSPEBiXd3i5MHT5bqH7mvYNwX DdFf7zRGcojtYIvlH5hGIh0nHqZfyMZaFMV23I8/oFGDApjrlSLc512fvj2ijriLkakygyMnSss+ jeemv+YQ4B2u4hgpRP0XsrPgeZpawkPRHV+QOP+/qHyk+0iJzpoPGnni47abCnvp0bY8GbYmFHEa pLSEtRW4NVORxbZ7zbsv3p65Dz73cty6WafzQXxz3roL2KJ19MvfMZIt/r9y/8WcRJSp+PBeOPxH aOmwTiCPE17WvIhzNu7tqouKi0Uzs/1jfIIlznUSuSqHM6R3pmrWZjg1A1RF8dDMuvusJtLcZSVY +af6wW0mgX2u6WRTijw/XuK1SKOUsOZuj4ZeivK/jins7jS6r4RHbPBJgjfq0nvs4TWB4vm5OMWB u59W3yNRtEHHMUtx5g818dwtNC7tRBBnPcuz1GB6R+QZcGE3sEnHzrB9VBUKo9zYHspJvPePQNrZ B4Lj3KjEE3vZDLWMS5sQir+7EadLl16JdtQ8162IqWQVL2QAp/vg+OFMfcT4T/QQXGm7VzTgYg+I FnSVn+3aLFKcD2XcR6/h/Itai8pQr66jBGQ8ysuBVw84cVo3U79swQ+0NGTYqu3ylcvJlFliGNlC BFNFOu/7N3wjSr8+E8wFulTmt5pTSuyCdKT5510vgsdGkofqBPl4wjOMQ+OVkJhfb4FYVaFjVYgp CCi+AyZtis0+PuOPFV0cENaxo05hVfrvRP0FigGx7hLrBsSBaM/DesHebwfYZ9z7wvwpqh6K26Pk tgxvksRdbOKu5Ed1W2OvNgJHAVb5o+7DbPhyIGgM4tsnT6dgnGwtO6BN75rmao+lmyVJ/j9gXdZ+ 59GjMABoA4d5gRO6u0dEO8xwwwpeBd6CCFT8gTZypNwRmnxYRiTLuSUXxwuDxSArCiOAmB+BiKYM QX5wiRy/hRUkXblhQC7k3OaF0ZohgVuJf9TzixqUCminnuF1GXTV2m+7owDxoDPqx9gBbT4fX/8Z +pwFayHzhHfdARgLDvUOD08BR++sYrXs81m0+nirYzRJZenV9epIN8Ei0KiXyU3CTSzz5lbybqvW vR3cwa/u/Wdd8xtlTXla2hcGeUbEackNnY5npfvTHoiKnhgajo9SKfR8L/6fy/vro2/0MVS0zoxp JVLIztgglVaZuWvnf2POJktCDA2eEyUNWE1VD8M7HKVD89MZbI3ZdFbMIQTE8u7noONull08VW4F DAMNODvBycGlDS5rmYKKn0G/ikbX3DOfETnhre9GIaICNcHkH7+gkF3LYu+Kj35oBo6nQxpqeu4f 0KaaDYPUN8GYLcZ4TR2lyYfY8Og8c0lH2JM27HNq3y70xmo3Us0Og51ZTaxBl5cS0naAIfbO/m0c crGenYqlqlMy0wvvW4h3K6G3nxBh7ZZVEGcNecuml82r4YmzSiAZDr+25PZK9mbX347Sgx4Dbb/h g7CtatBlqg8TIzg9isRggQoVgQ09zpRCzfVNDlmcWMzEcpR62FVThkeAIi60LyhZlYCBOdIerloY LaPFwnixe8nEU3DbUUtQBQntUytaIOtH4Xc+7H6o8bUjATs10Q9HsUp9/FqaCV/PLlDsyhAPhI3K 0Vd5fR3VSHuAhEsIr/B3hGPqW70idseGuC/WEzD6yZGEe/b8WvC3rOjAlVqOaZgOjnHQesvW5rBS X1Z3CbfISfjNut1cGgDNvqOyjVvp7DXQ4jdwvsfJ+WFahB8G4v+m5JPI3Yz9IG9vDCSY+osWg/uG srYikNajYHjIVd1fkHM2lwfKb/ncm+4Oh3OaM9gph3De6FI2cW0O9vYzxkAiG3vJAwYy2PtGWXP0 Rp3IUIjKcJPpE/GWJq02suw53scHXSD+Di7DFh6+PcgFFJwWvfC6er9MgNvqjxhUOmwaIT6vYHVA 5mGTkTGFScjs2Vf4HTEY2SXdMZVKvRrj4aACwFV91PD3pjo1LtjKmDidNs61e+90wECdn6g+xIGQ uzj9fH7mc7U1lB17BD8hIYbTZg3IC4FC40ZTdloOuFD5oTovYq8TFH2WuBjlB71YNr/L0LOBWFdR GBaxqmFaJov1jxP6SaJQTxnqsjpOyXeO8Bj6WaX7HaLw+/mvp16hzzEbjdHyfd5s4zrLNFh9JFNX Fmk+gbGZBk1O/qVGYmu0nzFyfibriRtD68JDlvBk6H6LO0KD5MaDjT6vT0anYB/UZcwgvy+8S0sw +pQ65IXr9LJeyQSRmqgas1CTBMHPkR+3oN2ustqURoqnrvLF57drgRKBYxBHO4VY8Q0SZ77vf8lB 7C1dtANnwJNfTvHPdfEltL1CXZu+L0Bniu9Yb0kO5mSx84c/GLWPxGH42e7m5WA7jBjX6FOTX6CB l5IP8k4hqlkXWKSrk0G4UKrH7YcLLgKobEgEmBZeUAlTsoE2K8/q2CLwfWmA79+4XLJqcLGPdXMS IT++RxKKUZo6n8gPjtIFb6u7B9jR++Vzxx2AbhD6SR/fKGMQ3BeYRuXRl1nurUF1osFVR0CFJrhq vBQ9wy+qx3hqxQEw6ye+SZd8oB9Yya0kLspYRslVcBc+OHlYmlDu9tAkiBEoKFnZRWZX5bAt+nVo ilD3r9wwaROpNdHmx8to7hH7/BQ7M7c98CadLYb0GcetCx/tNPaS54ZM8oQuJWPBG6NmGSd/XRSd iDSY5vmmyt0+ox2ZCX+nU1PI5MMYJspOsd71R2rV5H1vt32l+VEcth4pME+j+dVs8ZPjK4HV5f5M abhMpswWsbX7+jrkdnNza7XBSruPD9uRGdmRR1brC1VlfuASXxSt9m8DHKrSaHn5ByC8fNNJb+lc RK1mqwbiNY8eKkifdHeL5ls1k42oOBNdA+4GFQQcV3t1fsqxWlW3aLJk8eBOS9gy5SG1aHo1HeWn KPOsHq2TIpM69MUnZZmC9QrY+d6yoCBvF8cqiuizl0kiXg3g0gFdUYzVjaGrviF1N/8Kj+o7BaF6 NY90eh9v0wnjlObXYu4bDgc+1y0DIrgXP5/u5XqoAq7aRN+HKUMlwUE+CI7X+GE9pjHj4qpru5Cz w+zN2LyM0v6A5LtVzJ7VjKF+PnKSomlf5FSxbHZI5O2o+CKSgZTGk1OXiSV0VWzUvEBlHQ3kPpha VrjneFaGhcRYcuWv7ItclxMAOSBW1lCYOcP8FQimtJHaUchjgLzq7Um8MI0keRQcrBn+7aHxKMUT R/75n5LuaNN4Ki1FLhpCt1h33dcNUf8n2YQaI8Cl5/mUV4uiXzOMVixrAGP8K9eMDtglBNwubtT5 /oGwFrPtZ2s/qiBvtp0/nFwctNjnCzy83obcwHSaSkqHJdKS4ZIGUp8+mbd+BzoBeG5NcR5LCuWu 5BJikd1X5ZG8k+2sHW7KiW9INBzKJ4Vd4WvLnAek7LawWyGit7eE7w9KXYylgl/7Zl++ShPbL4fA sl5r2je72HaTHRIYvi1RQFM0n1yvCk2c+m1U22oVvsqIH2t+1GEtMNBgLIUMe+zQrIoeiGVNtZOb QSlN6gpGSAD4D69+C1QdXxxyQq1ns/SzG4iWoBMUvjPz522egZUzVRZpn5CnTaEJfA6h31Cx9BhX f3w1BRRWOlJWKSAFwzFdt/Zzz406gsZhAvZUiW6UtKBfR7NQIKob0ItG71p/zpZnNNcBSytTeVv8 9MlqmPSSfVXwCkB/kvhgOsXJD3dlnwiYTc6eAuq51tf6IkYtVmdwfReZrvqGV0TZDzc8861dBrp6 vSTTI0pL7HhnTh3AQiCliVJ0zjaJBhw6ieDgoX8LCesdEI/SOEv1yVCJpzSTSkTMeV6lKaWVNtAy FDSDb1/1Hj14+f8tgxMxvag693iDoKn+gQJ9qeman1Xg7Cazf0W+h9GbmlRtqP6elurceJfQGyEO JIpQLEu3D3YmkWUo03s8SJxJddxpYBvaPOXW3kclY242Bl/Rk/gsmWgoaxnGrcURGphvwO6mvG0I TuxPswrhD6fFkXCQ3CQ20BNRn2xV8PCtrrw594VWvEhMl3Wkj0tONUDdAmAiZ3+oytgLeV7aOp4k MR3dT0OQ+nPlr4gtdydlPlb+hyaAoz+V4wx3EJ+F+mXNhps0CnXOVaFnuRWG1dtcSRlyDY1FiHzA efO65IHRNOFXvfbf5PguwGxplidH4kHCL1dNIaDv/3pE2xlqV2ms+fgR9s9jSxEtucRNcLjZdviX qo4SASsb0tBK/DSG39bIi0LD/p3KYMn4K6zfsM6f2MWCkbu4mM7PLtQwuhwZpe9UG5dW2vqgEzwH 52zGTcxTS2FAXjI6SQYRkDY5lSlWDtS6jeTDzw/gide57lU/k2lXB/MzYmKJqWbGfgKKoVSeLVad CTuwWxwlAR2yeoxARWMRTeHbPYXcHSupAqsl8PPQgKpoS6ywVWfFQVPdjhloVeQv7zC1RJCPTkTy sn1OhAnlQ+7kGo/BVVvSQEt/BQ+N4jiOvHKytQ7OAquvNJrgdLfe6VrIqOlGoIp6qnKe6J4socUH tbfYAkcHnT8yWWonfI3mSI22j4HLTM4CvcNuyPxskFSaiE6IpOIsAEykgPs/88X/3K3WXzQtDiJd CdZIXitPibVtqnPJ8m6vpJLXJ38vOm7o/k0C4h79t/N+UywTlABdl2n7CTrNpKRac4SZkpwk3xtj 0kXQgha2OVZpYTymrXdul3FDPI/UeUjZH7DZYDmIUqOsr8wlWlHm9o/8DEP+gnuPbh/xNN6feZHf S9CmlnKC9f3vFOj1aeLPcSH8MWm9jG+qb6ct+zzF9f/n1UJHF9RhpCTNV1vGktrv4ZZclif55UWY 5nGZwxzBrQoQ8CaFDFUa12tlkYbxwz0TttMI82ChtYJhI84Us9TbXPSKXh3psC4jofTGqatU/Vr9 Uhidiqzdhi2M9t864HiustmbDkiu2fol6iLeP5uSGEDRONocdM4aAU8QdTxkAHdubF2PgDUbv3mR u1H16eTu5EIM6fnjfDBL15BL7Q2Cdpa8O6aEEA+nFgJaniTqJrtxTpwEzkNaMwnrsroaMCncm1k9 c0VPxArlQXojT7n29yCBI+7LSsZm+jVOduwsSThA7hRxEsdZZwHqO7lHx+/qVDKnUMflM0pQgJQS n7gY/14F52RicRDFzkZFX3EmItg1Y881W1avquGBdojh21WxJB/OEDdTnW5eWySfzRj7GJM2YzlL vFz3/rRCSqtWNUfGd6ifxoPlnh1zyYqw5rxS4XMmbbpsw0Xq5P777BNu8g708SfpitpFUzweRPQY oXVsObsaVDmaOjaamOZoi9tIk/2Y09uoWEx9Z7BAHXQm6tKhmVDbSmPapSuEn923bZcEKulK2zkI 0DiuIUoLVQUWe1UgwIRbc/KDKuuInG1hHTfmjN290K0RcY0w/UpWjb8uhJoYrGBAsFiBy8AyC2tY Ysyb3H81zJWg4oz3QAw73YFBVs7GsQcvoAymne7s0yTQDiSowRxDHI6aC0akbeZPSFCfkAPPQj57 fNZFhG7a6bMbUZAmD1Q7TMWie5th05kDrfv149HXFlr0xx5b+4B49ZCGsebwIUxy8jKrvwJugEj6 1YntaLiZ5Y4d235a1AVYsPSd9WkbnfzVtuQWyI0v9v2FHkoi8WacX8YOkPbFuDt9AJI4olU7bg5S VfjYM5ceBPVLoir3lw/b6Tdq08lLdTVgv4gXtL1EkGevWJI9MvynYfIdZnXKW9uecH1g4CkVSiZ4 K8bQCNS6e8zTyDYJ0EwmXwUzRxVUwzrsycz17eScS7359PcJMT0DSnj70y32wHE+lrHP/uJ42T0g isijo1WQtSmq9rHdKWMZcx2qinJlKOtlMFfYI2gweC3sYmpaH0CdUrE4uqk9s+jmyQOm2/0l83b9 4hupmBLo6cyayFur+X+mNChbJq3JjoXMIWjOo9i9GO8AQPXxxgx+53ZYCknI/xfwp/Tttehh6Px3 3fO3qnCwWaJ6UmCqv4lLCAIfP1olqq0Lv2G8OW3oLV33VCGd5UUG38GgJC1r2CA/YYImks8Nn7tA opmvUDhNa5+rq9eD2W/gJJy8Gv2L/T9FocpXfNCbz6uFa3UX7NJnwEsXbsIeoQw+Lf5RmVNx1Q5A qQfIwVAE6iGfoZiJkyLWDKs1Qat6EShog7GJimGHYAzkVZ9+FNcf25G8VlHbeLsQTvo2ZgWo2QtD t9BPQgtA7k8icgeBUdA3Sd4H28pHwlrIxweINv98IH2FHGm4A2tbQOuaLtAUGL7GcMiMWG5UxfRP iQHwUffMWKzE5JlCbMX0SF1b7PpIxbR8BRPiHfOhe/N9/9BoYETAqS7nBbweAIAR7HgCSNyelGlT 8b6+ccdPnAWsr2o8aOJhjXitPLiZx65TlvrM0JYuqzBJv76O8pNDeCG0Xok98xBRfQbDpktMURvT ysJG7ujvHYmFQvM1lw4TMeW9q+WcpLU1VqtLncl0Ky8vBkhZqUExTAZYxN/MDB8iHmpbkPXO3KDh 5g+RUCBOnw9X18So4RBa2cFECyoknkN696pC4kQCxBrzNpNH7pMPD7SRDUyEF77bOVRns3qGmoJJ K8HCSXrAVV4f0ZKuGXg0S70/HX6nhVSCTHyZm0yiFMxpG1vECDWm3wGglamruSUNjqoyjIV6NCyP pe5HCI+kl8b8J1Ey5RCBw+k6XVwCtyJrmcceOnbL23GuNJdTN6toPvabgKHAgVzjoTl9V6XWQFIg C/U139YYN6qWS45fpxQC9T1bp9bcmA0MK3yDkYgTb33GOlcIwqLyf0EAFllo7F+5DnD+TKEH8Ae6 KIgJrIaLHRNoP66pq6tQBbRMKIa8NydVlW6ZEKy7DoC5+ZNzBUhjrLQKzic4t/xyDFBMp6vA9VUP 6CToAh8bpMweUScu2srwh8b6FvAtT87oLEhhcOh/x6AFouOmG6XpbxzLtHj0t99LwI+xPGc7Vbk8 FSmTQI3TyGDwRbA7FMZCRIGnenWI0Wh1anxOPTZg5zURcRPrxprptlcKJPUq9t7RWEGBwohOphb4 rJ/LPjtDWdMJuVMi1hY+SgtQnUdM65RxJr28lv7seCpXCwmBc31wiscO7LVxY2ZCoVQtpk5L1xdH fk9WgoEpt1ouwJ3G+AITHDlYnzzfwacyG7haDDcASL0nP02XivdPwQL30uJvolTnKRJSouEXu36a VooDpnmwVt59LNyuKegp3QYF/8BnUpOpnIiG4WPhhUY1DumTn14gri0tpjZ8cmqTBBbTxR65eEy+ c+/Xzq7mnbGNSqL7JwXzmkd5JaiBx3k3KfgmVfQSBNTJFCNqq95po+EJfQYI+rjGcC/08dRwJN/H gecr994BkAsOEzu1b8Razi851rGgw7au2KvqjbWOzqlRyrfoa0i60yhhRBkm8GbuVzLsGy2x1jXW AfrKy6zhGwJdQJ71dXmRMPgpKji1N0RzvEFOf14rUdvNVQZv9YFXKE00hqriGzsac50zfWkO7F6b zLu0Jjs+kxqZ/7yn8mx+0Ej8icNLsfd0dawZf1Eu8yVFLohPNOuxj6CWDgEqd35+cgEKEYJMp90z IIbKhYlL3GodYwAkAQomACWLCC0BOIHf+vtJadcG8ovmKLCz7C42KcYuwoCX8/4RCFn+55z7b5o+ ArOPXu3sEDMbkZQG4vreKsZB+qRPmqIkFT59EndJdH+7v11+ZNJirGQNB2+JQp4xpZyceInfimF+ UacDqsQk+UiYkHlf3UwPMa5A3c0bGb5NWslF70GG9zSbfR687yK5+B2q/FoDivelWk7Hg9MbHK62 AhTZuSvpFuoDjGppqpVZDOEEXKtfTBcNYiM9EvPP47HjPU0zn1eVL16OPii9+eFPF8zyGSqxL96W sbMMP3+nRymyYigpOupw4xaky0YQeTtnLn8PRHRoNZNPodQz4t3l+cmvT5K4EI6xkXyYDj78F9B+ +Qd2ZO/ImT2lcCFQCxKO9BZTLE8OpvCk1lOzV1cwMFgYNAY4DE2ByWlplneYOKKrasqh6iNN/Hvq PJghIW7xCYNW05Vw/i+YwMSg5zi1qOxTG/5hxySJNat9c9pxrhRHU1sYfzUBILhRInZFUQNM7jzP AAGz1Iaie+4/cjO6C17yB328taUBSQRt5AHQgdpKLtNNd6t4hIODSvasz/3m2lzSgNt3abDQbr0Q shn2YzorXurxHL2EtiBSHFxyR0lU9jN3szULO4XOlE3+BrdMBWHmIvF/eWdl81L5jQltaxqENEhy Z7w14fiuFXWzxDLlgckCGMbzWyz66jI4gpxd1mYGQBDnztkVrW5PSo14qsEox5w27gKfELonke8A 9h69i7CG10DVBN1bHsukJLENZzlvBDOkiCQZCo74JkD3ZIvGeRLvpxpIxCwqAdtsvLynh217a5OO 6Zl+XmRJTCQv57pcFnmWNWtThNJypnRJJG5iOTj6U9gClB3m8y+jwfBNzJo6taZEhnurDfJYgbwP UhZcIWX/bSe/+fanUhVWRG3cVnt21+3Z25SkL6L1E+Pg00K4pCe5i1lZJRQg3uLgAFzxYQGrQWZc LqWsZQGvzp+gLlS0SN55xrVMIe9xZUEuyXOoapAXMC/N8wsGd/96eLVooMOBgGKCap1WmaH1N/Sz 93HU5NEPhraHI3mVypS9qc0C01PcNsEnAH+k580CLNmd+noB/4b4KVz+gkz5WaFEcnbBikRdUTzr mssnUOl3bc1r/q0otF+v9vUpzhhG0CjxfwRn2/h8po9oZQqcWiPWWdIQq8hAnSq/R7zEQbC6fluU BYdaEqRMbYttya0iZJ/Erv1NO3Ot6Du8EQYRrrDTQhSWp3V/cU87U9fn2MzxLWmoyUwsWEqVLl/I LWl5IfARrpoGF9IE205o2LNEkfQcZ2JHe75rBce8t8fcU6kYv4dX3AlVoiqgcssx/fj0dIQss4r3 +oEcMKVzxWBGQCNUKoE3Efd7c8V4u5dY6YcHCgFnJlbVKUVvuyh9IZaWCkbZBdAL1I215bNghhkv zIdVWaduozYCkvClsGccW39t2nXwFFth9Twm45z9TChYrqzK4N/xQloniLif9vcw48eJkYY69BAp Tr6pYX7EuB4K5AnrWBBf83wXCkdb2Pac1lSZRp7/xcfnFCMHlLUUmgIp0pO39Vaz5KPreJ3clsHS CqNvsuU0LaVHDYNowkYQDjQU2ESR0ECYCLaRRuaCdmFmwJGxPmce++yLmkssHIVQJzMEjoTcfYBG YdH405XyeKpDJC573jbTjCADmlPAsrTVDXS2eCdyV8j6vEMbmytJZcW7TMIP8hW+Ht+TjBz6fUIh uF+1jep1PVCUpp7LtQ38ENlmaU/Bl1+ZjQF0K1W0dQZWAK1iitCagEQdLcCvjvKiK1k5gQPWHuIx EitVZEZ6cuIo36XVROJz3TsviCRT+HeJst9X0W3ikuMFjoWyWKvAMROIIam/9PpOOkHPLmkD54I2 YKxfkWY5tDUSGCA7BV0LCwP+h7g3/A0Q0+Js09n+cdSz/WkX3B7Yalj6oF9dEoRgo5IudKewEQ3K uTXffjILp4louFiO9IL733G5N+HLM4HxUiFmCEYJgd7SkUOck2Opy9jMzce+adleNPlY3bBEEtqg h5ZTfe35MwsB11wyirnyIjlue0+gokZP73G+IyW3KZV37Qgq7twISa8uNPoEZPK+3pE16cNkGppe eYCCGP3Lr2IVUu8hU5lkPqe7w3pRJVS/+UE/odVreTUoRqKHlMxeYfpgtj6NdlsjcftlRT+Fm48c NV9CJriMnFXwNxB6xnbHQWrUfw0k5YMoq+awp5hvbGbKzswf5hc5SjpT/GIbiF6f8aAOQMaNIJFl M/AxgoSYOCNfjEzmyeX1+F6CHJsvuIEofq6M1QcqGhBL275lQsESJ2AX6zlBAROnrNWWF3Y8BERO IywBGbpzrsXxwTD2ZQ6lm9gnygI2cyMQXQY6/YVVvx33MQpXTi8OC7B4FnXPmxxHrvCKBFPC38WX jkusA1XzteZ0zMbDtLgCRwaJA262icoooeq5/tnGfxKomlAfa1EA4k1yHb2B7H/LNs3PISFf07YM in1LwkrcU/8RdX8LxWn5oddybjUdATMCdzXauWUswYy8AB4u7TRBdgeoiA1a/2a2LGSwfIwM2ymT sdlCpOdhK+34f8Qjs2ojCXTUDJbnGauEb3Je6KvFQPQ2N/9veeyRzjMqZkS4gP65XpXjMkkMSw++ Ip+xKuh/7WZ297csusvT3jcfIpgsVdq5KhQFPC1k1K+soO/uYjyJF1yk88TYlqX2WT8ztNKscYDd cnhNLoTbIJ00YZ6j80zPvVRjvcpO/Vf5xBYwlraM20nrE2Vahr5CkNrl1Z65n1OfWhCqlQp0YQkH ORNO8weSlhc3I755FP91Jj1X56Qx/fT1YWw2FS4v7rlj4oIpbpS25IyHMIDpFWZY67uDlxvxVuw8 G2BOzynOY0Kzuatsf3ziw2YsLv7BtQHDOG6l6Ks+1jtHz5hvRWYkOW0rRllINBbMj/jmuA0LrjQ6 cXC07Zr443j+sR2gQ/2ljSKhSGnzWlK5aalpXPYRpzCCy4H3Nr4DKWzrHBq7L/BJeeeHHeeNisPm xtck/9g87THgoONVdLOUdfNiAaOb7GNFVnky4d/B5iZqYCgGVGKUAFnYqDu5U5zDEYV7P+BBuw8Q D0b5rTX1GlZ1n02k63uUtgif4B+9WTw0rxwn1s4TP63brTSK3ywEl0fYicX/gaCdOpgIoD3oxxlX UDwMOc/oL41tYZ1YufOiCVb1phQr/l/2Dk07WJHHEzWHlXKEvJP9OVlrju45qLQksAPSCXmwAEiR /eCN3qBXIwz7yQaUqkjhCg1zZkrwNFmuQXVG46dA7pipMNJvN3385Xq536Q0KQlAL36ozW1u0Uty ibtN+2DHTqOufToPvG1JGAui4LblpTjac6DA9dAQ9Y7buU1eX7gyAMJIC18nConu/MmUi0dSONJd 5O7aSa2f571c3SOWmYMyRk4AK9XsO4HCZUvrGPPwvXqNDoTSzki7w8cZqeayM4J/6tuEoLVX5Q1u KQS6e7dCBZWywe956joZTgsoMFQ3L9bLJUrXiY5nSurja2H6eUUxAq1rM1/gmCTU0hvIC7qa7h90 aiAnlMGMluIapkRGXrHu5Hfrx4VYe42Ve1KynK3mQ08KiiPjLnwi9jP1ygTnYFpWHFd43l8ZJlLK y7r72z4QqOxFGSyamLY/H798u7v4SLgpkE8IyKuYEOLHNqSWyMnR/iRhLDlmnIgV4aHmsaBUcTyL S63w9Gvj+Lr5uGEbxjBQFKL6weNBgrjew3pd0DGisNwhOpxg+OqLxMbvBCZFrXysdT92ql7pL4YJ 2bpDDb6PrdBU3nrHC8Igbr9UV8pi4zPN+Fvcg01N6UNNGzlytnr8d7GB4znU1oqHqVJohHE8XjGb SAASCixT1PTw4mmaU8xa+DfMsuUsdaPHmY1ouahCkXNBHt1eTnrzKlYjAwGm/Ay7ngczzulWIqiW IF8asWs1ursp22Y2bNSZ/Fu/9UCjHIRxjIBAE5tEY4a+zVVN4mvMsaBRmnrGlnDmsjU5sO5yvMQv MT82/PVtRJ1lPxHgw/x/zbA3oOzv/OrYFVAgVtxAPT4YbLAIb18Muj8MbsDuk5L2386DyZtkzYZL vt8Q6f0D3hE1sWFxnGx5L25MAb/JZ6p4foVMcSlRxeFSlLBvgRcTSqL/dBOEf3kzZVUCK4dBjjMy Byl+Jybp9lp3aHB9/zNvZ70NgLM19thb6V1/loCMEDREAXSOLwnRjYHeIAeVXkNe6E1gMh7pXgAZ nAZEsoAe6jJDwt98TISowpty49jJbrJMfnF+faF6O6TG++ASFtlx1+9vsQMh9ZaUaIYFcHaf2EYS r06yP5KbHkGbsi2nY68JehH3nfDYtuegCY4Z7wgEfufJ2kUApghsK+OpEPoKVsddEaOTMdhsd4rl h8lnZYH2W5YbC/XD8jg3uZmfgcwO1SKqqtjs2tmOD2J9ODKCA83F/nXeB4lzE83bEIox7zsl4Sv5 7wdevNkfz3YMS5EWM8hgXj0BKODGNiiu1CBbYep9en4SGox/x6VQv5yU2MTAdIdFzWf02njbkqAv MRGJMzRsZ2iTGjzYoFGlljfEsWXLgYD/SiTN+kXnJTwxGR6fXMWbVgx/1vwOEn0Olb9xMooZjvHw 9F3cfA5+0xAyE9rLO0QTp8hJf5rhzBnwERf4+ii+dhknBD601z5rkuLD32wau1FkEEIAvwNLRY9v qs6mC017v+RiwL56iNIOhZwEYl3dhCi5fiFbXWYAy3FD3rpP/g+e+vMhHA5sOEwDOT0QU7DvyMLr Rr1KHZy0LDCneSe7s2ABEcFxsxPmtcNu8AO9Pzm/VXAzsaghnfXYKrh4v+RIyoB3tjMtFGw5MyCP ICGxxw4ZT5obPXtUbsE4IqM/57gLeXRHuMpvjlxsjDwawfrbaG+hgkLAyYv0ysG0Ya5iykfr0D9D xgi82s6gQ3OAS/8Uf8Z9vLu2tT4l0h8FTH1APyDjkQ55vCENKW2n7AOAtSRpamRV09XbPZvN1DCd 7g23l28O09dyfmb5iS94HFdjtRGeapJ3gfbPtPKRxa5/Ayo5L/kEXmVEfEa2/S4rnZBpd2iFsoSb 2FgpiVUwZODskGxdDr1xN22yotFxTLwnK3SrwlhLN+E3L1hYTcEpdvv3mheoAQi3qY99nYpIGjgf RoQhxlRIPBUERP5U3vfFrr27cssOoDTjMGhSPwck8EdKbJS1zKP0hyswZJXUeRF/ywPkJPzjEeGb U/9Fdzc0fYSt7eVsGjhTT3OqArbxDNVQfsc1I1+UeWBo3lAk2iNP0C11mi+tD/ojjcNo3q3fFjwT 20gd0415yxCEDhS4HZdknW//hs74ISLLqfqv44Co67uwPCbpYyFLdefR21yAmqgTJ1oa5O4MvAwq NPPFuXvRCa5+/rTzDeQQINJNGlGWCFRQZq++PX2cLUuaLnJ/n5rl7+LvUMvapXFd5DFqruajtZvK k/M59DwBgcDNUhjoHtEZElcD4uxTupH0FMXb5DNmr8aNYGLvqQBdOVWuRtggUTRJBY2Bnd90mN4E WOGuR4MpFiBhTiStJmu+rSdke/bg8vYPAUac0YwyJjrVhOyTq9NwM44MV/6V011rciMsVBgopyED w0MSBIFrfeOLrM2mRGU7cIGkOyIi5kbY8ueuSdnjZ0VFZiqGUFhlf7TsepOMSR3lPdmGGnA5c08U Mz7bvxlkTnFU8yyN4bmNaePyUPSWOZU32oBuzkwlBHN19zoZ+AwfegPs+YftgPvhQaDJcgduY0p/ aRiE4ja7wt8gtnNo9nhfPoq80pFTQeluCVbY+Rb92ItBYgolVBl+Z4Z5/mQ4qL+6deQo0BppYaDo g3jJK6Rk8aSQvslh6vxgdRs+3Qudst7gtkNRe79UGBAIKeZ0KFBjt3TQxRyd/bH3O34DYlNgpNY7 XeqbAhuQBOjSxrgwqntnE8AcPcrcR2YVVjkEKyep0udMw/uKSnT2ANOR2DdJnw8p+g6w2ds67LzR LCROcjd4pRan+XFDi51W8Dr39w3wOvhTxD1BpGMAxQjgJ9Qqe19bZ4OwRR7h3QRhRPFZzzPERDDc dfEwe/kOfeqtHucK96uWnOJcOaZryfTSDbQXXINidUjieyuifmuz216pb6OF8PBG2v+/o0sYDClG tQLUaGhEEL8KJuxv4ucDqVmZLgSsVZhQoEfEfW4TcfoV7sDt1KySDf+wrDk8f7OwG/4sADV4QFen wY9iwwkB09vGypA0+lGY1SlALZv2LhL7O/xoVIXNaNQcMcgVvS+k6T6PY9U1cTZBy36iL2ryXM9U C5ndr3LpjqyZWSCpEN6XcFrTZ7ZCqWBf2a2Iw78385YbvCIDjyCdzCwwAtW/VIXU5KawQ9RH22go gSl0/kDhXoBMbV70f6JDwZKsDvRb3WvaXNMDe2kgZtxPoMJZ4p/XJ4OSbEVtBVfz9ylAV497Va0n Ahytr7cksvHJOrUY8K1j/IQQ2OzD+oeDSTGY4U9+V8GYzBft/VqmDQggXSC/eL64rLSiybittl5I hwZKEUGOiyky4deEx7BDXyt6FR9BMFrZKlU1zg7533e0NGpjdn+GvNv9UitSnvRmMryel6jAlAgL PvjBPUQ3G6ocJzFUsCTC+aQ5B3IhhswnminuhiWD8uEkLuGE2zuliHI9BKs/18FOY8z0ogBYWElP pA1GOKg67op36aYMbS4C1nFlwZqx6wRPVBVKe/lxeCaPqOLLTKR72fCogvz03WPn4CE2Rg25m2he W9Zp0NIT7ATvwufmTZtLn74a9vJ5n0Yw65l5mtgvJOeRH0rF3bdTHyvWrUUaOxhdsicaGaJ75OqP Zb6S1WAqOcogxoLjmWuKRDI/qy6+vhJxQUX5bL+x2zOAGZFJJzrZdD7gZuSm0G8aluSujrgEcJAk SOpShXOyEiIxZIdmmXk17vPksrRH3IENLtNp7G7XWEE7Q4a93NJo9DoFs4Ac4g8TubTDHMr3cYZS mAxeoM7/FHo6Lv/J+Z2r5x4qBR7xU0YNL3GA+uyxPyFlJpyLdEcpSeXf9RqqlHfvCQ8DLMkaoXt1 G732s15xc8ecvInw9oed5CmV3wJoyZBblbvMMtZCzTGSzns7TzHiyVQd9pEIqCT3npX0AQJlLHXA 78+RTTtZPjsx4tUj2Bnf4KW4cAy2CA7scSgOXPNanQeaobDjxTYfdV4euWficDRCl6N+TKSkYJO3 iC2SdMM9rHyeMIo+7OdWj7JCcHdPLJY+DfLoGwseES9IGE4H3z5QW5ynz3S+twXbot4swK0+perO 9L0l3K2M8m1luPvk0xM0XPRJx3npehPF9a2na4d8qLogVWr1ZPq1vjkW6UQMc27HG3CEPLHe+imz hX8Type8utjw/h7QwhMR0I6YAQP8Jpel67MzlSQGremxDEr9ntWD80tQxA/4p4e1HH6nSW/TVsCR zhWehr8fGMTedubBHeU2XSYT6kZHM2crfWvb1Ek19XLNuOoEmW/DEHtfP4B2c0giLEoqkZDsOPKa WFOU2bmv7YNXMu2QIHQHytt+Epz3whcCUtAC2y5OrWlIiwQD3dKzA4p9QkqwxeVEhUi1OsXIcQsq uIEHeUCrGS8HYkUqgIZQfTV8uDVREMRYyCS2JklWnZe3DUAKUtx4kuTeSxsimPoSLPN/1r78CT2F 5RIwkLSVHc93STCzYLGGLlO3x1o0zk1UV4V8Z7RJBxXmtHmbgXq0v86gnSIPp2M7AmIqgwjR08bw zrUZ+8op3sCCGOhMfJLaG7krd/igaUKsHpBXpsBpKprC/QyIgqV++ejQmBTduRKbxwoAqEQ0micT SJt4Nn78s7pHCbJ72sf+5/WoX5qRc7YXWVjKaaL/5pL9JBM/DWUIaoBDOo0qtOsj4lM6tzmnO6OL LIIm1xl8IGfUssKnuSOOgYx8NRUEuh+M8CR24mF/TLk47BWAn+eshHkOjjreih9+Blvv6Muv0PRw 7UCHAmdpcF2sS3+u3lRr8l/+v9roJuZ++8de5Fod19WHa8Bk+Btw3QAmBPFvOqdLwBtziOwSIz1l 7nYU2rURGt8KcPXEhnTEaPYNLDLBTl1IkTa9D2txQFTbGT/Y+tBpa454dr8OE/4GzY64Ny+vXhXT v4Pe1dk0/W7OLfq+U96VuyNvTx+LPuq83NTtOlK98ffgRZvP0MwNDcfyen94A3Qjqft/RbZpP8vY BhnJr3BhgJKCXSazSNkgZ1rX5YGvMN9LQfllw2jU7GYZ8U0EHDcExTEZDXGjDYgQaUfQs4Fln+Yw kBWj2Imco8LMNCQoaI9uNybh9VRrUuhJ0snJwlnEfI4cxOaUojNzpyQZS0IX21m2JOPT6rzP6B0h 4hkGI6XJl4eIAyvFuXV1KTSXX2eklCQlYS2BCLafns8TzSlpslfBSE+6RmVEv2z3L+RMWn06zCUa cCL+NOw1FjMJmNURiCaOlrYcx5V/sRMXsk9SZNgKv4jnZu2MwirnPNNirvwZ0aU2YgmsUR7J72F9 NH3zGSG114JlH3NPbrxK2mo+yojn2rHOC4nEfUKuizbQgMKFVScVSr4xX9Xuh9MbuQQ/MXQW/+q3 JcXl1JRSY7J1J0F8rkb5871ImZy8Zd0uM9evB1WC0BVRfLxPga1e87cwL/UIKdUUMc3AYSF+hT2x RuIUVpPOXCoLmo5BpnQgS2h+5xrRHnte3ftQFFKf2QsYPwD0gUcPUmAjus7KyYIMjrxRjt/R3pUt W4MPcLATE7ZEXjhvunKpv0zv7iapsQfG1MB1OFetWI2d/W4OFFAfnEq8iSHBUrxx6f9VsF1LhSdn Ud4OZ8+6NNIsjiXxs7JjN4aO7oS+63ugzkXW3ixA8DZCHxYqo6E3Ko+S3y9Bbq2sz4I8GnlZuLwi YuwTOP+r0k4eyxfXoV5galnFJwcdnEzwnqSiG1bORtliAd01yY+gZObKXK3L1Fy4jr8XpNfakyVr Nma9qKmZ/zPtg/WKnzvJqXWHqdVtIpgeKLFX9bD9q+9f8MzXnMRtm22lSfVA3z5+BnEk/W4kLo+Z FNoOy/9jGjQsHSXi65yOCmZzpubCEKfiRISzzR1ugyS119Yi9YO03btDqfwbvbDz7w8pNAdWDW+d lvjc2tJmP+VC2DJ6Dq/ERjZCWScpxkLtnDa4pDyj3oBvsKqUzAqrTWlhN4/lrTMhU6bJb1wgjHsW IcGVx75Cf5578rgpCml8o6jJ0WAKkrkeqNpUs86YQphtWaW11hO5iPEVDoragqNzJqKhRnZ7D1EV d8TfhgnkoktRI+uiz0iooAq3lsR+wZpjBlmCxoALlBg0f/3aKCuTsxhS6cvNfEPnVUj93iBzDtgd DFK+WkPBdYHBdb+nffzC+KcIAQjpIc/1YVQUZ08wtwgRJa9w66vXimBaRY3cOuEmperI7Q1UNocm owYfxXXib+C60SlEQOa3HobU+79+Tecgft2TqVe2NgIQPxXheBFJFJ2HItcKmY+LW7uIA7JcSizn AMfK9u2KmKoe+QH80shaX0lPqF3XZUwU4Jsgd7ZMQt2CjasAMJ96uHBD8jgd5mzOMSLPdRLMo7he nreOQTah4nFHIX4/Zk6GjH1NTuPBRpRiGI5Ps2LM6VwAA6LO1tboUVIHLyrOaWWtdlw9CQxDrAu4 oWzJ4BwChUI8xu8sjbRwZtYTziCwP4Ox+r6uTQFAs4+LyYoONmO4m/A0Q+7aTXstvd9AgSJXCXhJ +BiEWpBEe2hlNg86wRbDnrk//xkmsMbpd1yp8z3zZK9L5G6X6rQrSUDtvU6mZUjHyLJuGwYrbh9f ZhntNz/jA03pjWdO5ph1SVCXAa9VvSgB/uIDnul8+BP5zzhTwRndAPu4IXBBBC4oVFSGtWcPiHr8 KuV7Y0Dd6+kzt1GHvCKRwqBq9vc6vjcfx46zK6YR58RzMr0b+6ZvcfIjdsx6EwtO65kSuZEdNqCd cBYXsLA3AvFGGEKr0LbXhp9xKWPnTVdvKyM7sGC5zR3yyuTZC4jmiGKpl/U2620dWOvbGNWas5/m JBgAJhefq/MWwZt5TTMOvCnXvY75ObGNI2YcJkThp6caHNa52dnZdcZN9yR80F46IdeKtvAFCczc 1Sq3vv9lTqQIWZr1ZRwzV6fvGpKXTASYD/etn4yNztk5arW/UCkX5UrJbhd3V5+0PkYf0oYsywv+ JxniUPtCNId2b/G59GO+gJMvr7f61WpYvfwAuT19MU3nFukOff4VSIL1zIWvKB7/4iwtAs139/qO BjR2IVTnj38Rytlqc9PsufeysPs9lscvBdYDNcVO2zuT8250rusiFM3VUdrsh2Zt6yEXdYBS5Njq FsguTqCcetsdgkH8F6uMm7q1sY04JEoIYU0BRRI/paGFMrIMFYIP4i71O67BZfPCL/cyEF7s9J8N DlrD1kH1varP4ACc//xzsuk0j7RNHMaQx2BxkhtVOvkXaXWS451Dioxj5Z+89aMU8TpK8sJvliW6 4JsXUjXztENUKLpm3KDmQ5cUCaitDVLNXaiPiloIfGooRAtxL+VLPMHlXTPCp8aEaQxowiwL2Dls yHhtqOtfojUScqOp4/B4rpwOTmb0KsrDGAD3vrWqDxVUTLoY9WAocL6X3gdTujo+dNClTclDGl9R +uHb4IoxAeVhpITiA4dJdcfxScS9iJ5vAFbjiKDF2fsM9r7BRKINwXnuCQ79BW/epB50p4KGH7VQ JGTwkGNzGaLgNESis/+Hqqd7WnFRSk5/eKr6np75eYx6DXAwQWB2JQhWXuldU2GSOolHy15Mpqg9 UyEtbNRYR+0eJMT71RpvCK5cOERbu5BAWBuOFLNORkxIFsYzPGyDcZui3K0cPo2JfB9unX4WeqsY lULNY6wlwiIvgeV1GsqA1s8LtDXs0AZZeDBhcymE+mBJOxzBkgy/hBmE7kzSHaLhg/dSdfQeWtIz 7JP9RZy0iwj2o7H06tZKyARZLiRVkTyjN0kWAuzjwf5JzZIMXvPncfkbV6bgzOaJhAmWGl0ZVsxf U185baoxbdPJT+ZGfHtSBhHgYso9rAm8FGvGMmzI0LGPjs7HYeeXiazLs8BxLuSvgVLWr4rF8oXE f44ttuzyRW/yfb9dZ3EuyT8HhmJ7L80XfkJ/lR48vRxT5duZCpvhFdV4Y/a4ubGq4zhyVlKbEguI 0jubggGbhqfwFST62l//lX29hwCtMI3sbh7YkZ1bZhQZq/n/kpsC2b5UygorA7sEgJGYQ+RhI+Gq HANzJkNBu9iH9cUs9/J0QbSDoeghWLWiuVS3neiAxUIXF00eNw/mgy/uhmVwu0NMrH4+zggIM6q4 UTJRKi28AJPy2Dy9+B2TxHlSTIJm6LQhiTvp5G2Sc90O2hkt92LSeBpPCWfC5uho3GYY5/pmLtHw g0/uWxG61GUWWfx7XiXUz4pROFEvEZ6VgW4SMULoN4ucBFU60EI3FJz/ICr4w8MK8k7ikPHk8TJT GmCzkSh+nRBdhmrq0T1gfVHC4pIn+rH4+rIANFtrDR+01TwJ7DTlDuPozbTgSoq2TnLphlzYabXG H4N5DdXkDkxtGrTGJaDbxBkG3WV2kcIACEMS4EU3PhEh9s+sho0a2Y2TeGC23rnrbov8+0Hjy9zc haHu3dKdzTBq+S62BkxB8FDHF4DV2eAG+YgUTFZnGslz7BSYzx+pizDNKP2T2ilhSlEmh1Auyq9y SQpOwpMbMr+c/NFQSpK1CEL9F6+vE4kZQPJQvOkRGvJSeUe5AWQNCkA+IqxRMtLe7UhrVp4srPjE WP3+QEGlPtiPwA3Y4i2ckEqDHGKJFsUeQNFWAYh0m+hKi0RItBH7HCOZSOiJDCnk0jY23jwvUXCY PkxYoAs9ENVrt2CExCZyCWCAu2cbrkVCUx+bn8xis2OJFWE/IKDCqqy9ShnO3VD8408iscyIwM8i JQGysznSFQPITHcBRbFiZ4qbPMGK/41RxSBLI0cG7vYjyIYpv6AInRjGjjWQPq9gzlKRzuo4t7yT OiaT2cwZT9PdqnU73kd4EzMlErKzbP82VY5TD7WhmWGC/mIiMKtbekhxEZb/kAlZQk7oK5HVPm3p KZKVBQ0DLMyOHnHWrCTRikJIW9ZxKHcd2m0pYBqNZtDAyXT4gNaiUT1bZNPDJg9WvpGsMmQlIs59 GCQJ9L3OIU5IGpzid3l102P0r9s72uGo9dgeLf2hFaAt4Qcultyn5qr0CM7FjyrHW+Mx6fsh308M 2ay0HAqy6bFidLqp4Xm+qYgPsqS4irfTClepZgD1UMWbRA2y6chXGJeGFcvfWKizKDMDokP+it84 Rmol/pZxjlNNt/DrdWhejb9o1WxFMzmEMRuHHF+h5/bBEh1HEgvFyrB0mkUnqqaMeLRsCCC3VR3E 7f0PuPluy4u+Thj4VGWwa6hYZV8+FmMX1NhUbEE8O1OOyAr+BvsuMP6kTKhWfLYjamNqUg9N9tSf vAgUXcDl+wrs92h0qOSe8it2FZLHmmrgHwa/w4Fnuau5KPiEU02mQA2hsmhDI6a2mz+utxC0iHaF PfoPpJ+b/D7Z4vllzBn7tRZD8n8DjILbLos+EPOc2ONyzvplwdSZE+m3ZDjweMMJIdiCknl+HkZD KJ9NieGgkvpl4KchAn5wh4b4oG43l4UcixFPwEqcn3DHKaw/k3in+CMoYoo7FAc6mr4vcBoNjfDI c/79I6PAZxxeIJej7iBhq0A2lpusNBPb4dzvGKuUy25KEzlomBmrr0Fa07TqHSIPU4/XNJqun3HF lhNI+KbgXWZcPN0dY/EAcIqr1ekiUTSSXLGkQsKcUJvq4BIlPnCP6XiL8ORMlv7tpf34J+UfZE9a ATZefbQogt2u6l/udseuaMih2E9T2p89Bl9tJs5hzhjsTu6Nwj88wzQJrWIfzLVIjX0SXpu/RNbe FJ93RpNAjlOrjbp+5khWsaFzgAXqQR2A5tP8hIiTi65mPUs8i45BVGi+vfuGvti1/3/yQAI7/oeb 892dz4qtFBv+l6KfOpcpTS5fYIiT3OhlLySR1wrv5lN0up3IzMQVQ8xKqQRpukhSOdOzzE/TRPuz EHqQyp1wZhODi63qjZGav7aRfXdfZ74A+pIptoxFd/TaqWeIO2aIfvrlRLmcNeW8eyD7AeOqRKVV IgmUxlIvNpQM6m50KwkRYXQF9onCPx6pRIwPTYKRz13j8yICPL10GLL+ubNEBsSLDNERpoDblfsN 1JnDt4UXstMuawflj+Bq4sqO9CeADH8TVdQt+CP7/suTfbVF0jSWPue3P3guggfpzyn7al7dHRYh pAxxR4BN0Ub3S3jEm9yhJW0E0y7vfY9S/wdzhZOfuOVcjl6HhgdoHPIf+VzRdJR01vE63TB1JhkU YRGjiovOmF0V4NyDe4RG/41HAIyctERX2ePMOYaKtv8AfuKkU7I/0AsHPZg7jEeiixib5LUNDy8O Tv5a/Q9MULohYzq1p7nelGbFEyJ8Uv+ZJjjBLDwo7spNHL43fQb6YK56yxp5xBbOmm3jot7AI7wr I0Ox5ONq5kbERTE5VLPrG+arNAyGrZezAjl2+smFBzl9U1jOoyo1GUCdBPQEHZqhCaW/NmWqi4bp dKHqklnyChny9x60fAgBveTl+aN6k+i4s4mOH3BNKwFGFJHSu/9R2QuaIYMMDRZ+ihpQ3G8vMHDJ mBi/9zkChxCaYLwdlvzUSKeWTadsPYtC+iDVzoQ9N86/8n9FQlpESpxXDJfeqcw1KXV8V3pnt5rw T+4Bt6xWdOF9Skk7dqrjUtb6fb4hk9vRsR684rfAfpBwvOR+bXW0ntI1HktY1VCBT1V4JMj6VGGk Tr/CKpjj/YUPhTrx80hQVVs43rqZDaMxZBI6wd+RXfHkwdgyJaBUobqSCj6/frISqLfIPM54DyoN v2JqvP8d6qZUn15nIGtP9BYwlMB4z52Ff6hOALc7xiwijwp4H8WAhMZFFodWdmQZNDvWtgLYgMuQ NRcUXMyjH+lYGze1DrlLbNIiTYDop/I9mHlXXiDtgAysJBrj8/2drdhUziGJT4xu26F+qThWnGBV xhzwSkdQu0rs5XWl6bKlbHW3QbVs5jVlQE0KM0Ov8KSDIzU5REwPfluUdOlIdGtsyyANimRzqyik i1qDx/fZ+RYpe6usGB4GLio2isL1qK0qK6KlUwNsByMD3S/86fGXj85z6qYmT5y+bwl3Fm5rcUy6 FnZqUP3WaApS4nPK7fbovojQl4Og/021OB5xRcqOSNDm1wFRG2z3b1iiQgMNqyfNO7vEYFD6cFtY cp30dJBkvOwZjox+z2oS1oY3YayiVpn0QGg0uAS88pyBPIweyh89MJ5fqpLcM0s4xuL0LbdxWf5H 1y1DQ8tGXXqtOpc+CiStlTRC3stwoAsxdz/+5EjB6WAV7kpraRNiVwOeTz60wuiTy0og5jMiV6Re yWEncQCkIhvAEOSrM51w8uiK+adVx8blMzVDuQ3lxTJECnUSBsb6r34lZfgI5Zp13nZisy/cgBT6 ZeaUeiTY1uebqgSUEqu4mxK6tqsRElUC9kQRhpTaSo2a/9CHk+LV9bZzcBEWJtua6thOVCryBpag HuSBShwQu6CKf/GAMXKAWKNr2WEgf4dY3MI5c8OuHCVlUh5+kcxsGp95oWWaydxel83aaouAK2bf TzVgPXFm7EVxQN6bxtL0pBhs7gp6RXM5w1HKR+gbX59zGiN0x4IIm5mNenpirXyxAKBZRoEIrMTK bqeEafhngKEiCebkOEJp5QqXa7n0IpHuSM3KIquq+8MqAHe9Lj8MTt1EnP1fzG707ErrdUrAtzy0 UdDaEYrO4nw3UoY1plCJAQyUfYVKZs3F4oUjQ9Y2hF9NQq40JHmxzV4BuZhjJPRmgEsVMUdWbnhD n9CA2jkrE09D74Bqnz1/3aik0uQ/8TGIsMhtdaaX9qeBrT5cjEjg64wXvjc5w1t6ZG1aRCy6v4eq o7hX5t19dy+PWteyr0cVOy4pQec127J1JTGM8eDeQF5DzCDxZMqeNFhIZNa0YMDS6z5t9V+mMQCA lR6xdjNqJqjnXszY5vpXpEC7fG6AWnXqp3dVltNgIEUQettQZ4wogduGonprWdf/8P9N5VyoGAGm AI1WpFD5vRh47VDw1rs7t9ha+mEaUsMcIGlF+xSZwng+TPIq4xQVkbM8NvrM8BUtrWoH9W9bCKDY +k3SzRYpYCbZQGHvnx1wjWYz4zaqGufbYABxcJj40EXbyYlHu6vI5K3EIoeRdMMJPDcCm9mC/mH7 ms02WmmmORD+uYI8UPz+mv7GDkLq+9iItmyUjkQqmQO6qqhR28vtFpth76whuqhoRX1LUQkuYnjT wsXHP+VzjS1jBZ/ejvvUqh37J1+ST8U7F0AAF7iBM+rIs7qpySKKUTboQgIg8nWAkrdjsB8k1jxR MGpal5jC0bGKSgULNzgbwPTeVDMf+jq3zjsnHZI3WgMzesM38WLPTlgbLPaSIja62OTiE5aiR0b2 W0eE6Li7s3t4M/OjyRh35FC2qNDyOSn6/bHBc1/JlCFyZqgdLYjIav/Pc+0vlcsn6Z/4wM3qSF+R 6uQBD3chEl3gO/5t9K80X5Xk8XPUT80BUkur6mwCPxUSGq1TJx1G8o4FKBw5wSOGtDaVeU67uY+P ZU7ISEsLOC7AcJlBMJWLnrL03z2MtZd6jred+VaGdnAaQB8aSjVO7fqm8vz+MFYtzYUU7S4w4iNE YtA2TexhMUEVaMNmmdlyyjqFD2Rnq2TCUwND1K2JOKELdvk9owQd3FHQbF3FzIM5Pc79OkCkPuet x7cxh5LcXeHnPiScbU9l3xGOMNQET763hLDBQSVydkWVlmIIPcx1karhsHc/lzwct+UCatNS62Yg TwBXKnEWf8+vhHlgjAB7zt0ZonyQRajHDMhmauTUPg+gSePxGmfQq7Im/FArB5EZicNdBDiffXLE +NJTlaBx3ZbSv25hfa9i8A40uhJyrumJSJ3efN7TMMN8DC3MAzNUWbVC9MY33qQDqT0Kpu+n7hMB cItw6+APjwCgYlojvWC9p/S+5NvfECVK62dP1j6PjaBQ4Z4c8IaVbqTlsZpJGo+Nj+EhadyebbOa uAqI+RDsMV7nNVBu98he5ApcYfv0yLH5QYiB3MRrK5mY3wXtg5NRzp7sBZhp2SUvnxzXPLV8Wfyg +qXdvzpg14gE5ugKbJHvQZ+ftmAyr3Mqz5ydulZQtp5RzL3ug3GOIlJFjknPmUPoUgIPbT17EUov 74+BSVf5fNkR7kUxEk/Uuhdtdwfjs6AEFzej8VUZPoWG/QzYMbvOzEUdfHRlx06wUiQs3yN0IGGv /Ps99D+VDqml3PU5MVqCVSIXI6sWXCLMieL+P2Ck9Bd54avq6/1hTy8r68y8D+AhQ1HEulqc4+KG sGmnwaYI7wplzU8Wt31ck42iKhnN5viMTEJBFe/DRy1RaXEh250bn4aYaTTeyNdqjKcDV5hk7Thf Um+bicvhzJMtJMjU9jn2TaeTyxS6WsVg6oqkEWHx1icYWurrRtMy6uqiDTZ6X5vvvEWnfUAYeASg 6kgGpKmSh71LCIIesM1vdpxwNnNSs9VJFCbX/lZ3KoKiLY4+eW0ld9XBu21xYBQpBOpO88W/Wyy2 nDkSA1o46Xo8ccfzPHCtgCKuD7u2j5cWdmJQeH8bJkL19EYQJxHtsMdNES+kiUZ18qjOsWS7Ex0c OHnsGFXKScIu9wIgi/g5fHSXWjL6qujDTILmioikFjfrRweGlW52Sn6/SKYbNpgnAgmmAZC1zUZv Fl4Y2AXch4HT9bIMG+Y3I/z8B59vTHBCsPLfcCtpftOqSoo/dWpBIh92Eze9gJ3DlEMHwpkdhnZW +oe/Y5A4S1zXiy5zB8g9mTDpa7Q/03Z4IRbLO2M50vHy5+p2Q1UtJr6jBTtQem51nI0qQ4Nm2Vio e2dDXtbbNxRicpEkVTzjFHjEm2P9pqw7T8aI6phJYWeUjFk0HwZE7kD//JTVCcwoIunpvYuvK41Y VO/NvbRViz4u3P6LV9nC7sl7Kco7fdIU5qZuig1Do6i/oi1V37kFkZxLAj4d1+1BO3cYwqkfqUq8 Lmkg8wBIeIjqnv6DbGfSSQ3eHVpmLVL9YdvsG4vI64i7cz0XEU1MmsXU4rlHAipSYyjsqOqdcpTM SrUZtyX3GNW7q2cRr6e4hgkpImG4sWqHYEGZBxXzoazYpBJ3zjvCU1hQ8TOVPA2a4LgFQiaId/S7 uy0gT5S6PrcDrxNU5pgsaCMmYMnMPod/qmn/Wdfy4fG4YjeneQRA9drinHcdiFH+rZGDfyDU6gEW 1ZjoiSH4cm/lMUPBaTdbVRf4dD4yZF3f1GMbHhuQUxqdu3Sp9xTKIj9RMicKB1zuptfnK2JU/Lt5 /lJzR22DLRLSvOZJHBwPE3i7G9JOfVSFj2LpjH/D2mhpe0hkTajldb0d1Yu0rme+9cutw6aWEieN meAHatIybTXmPxu5nHvMxPlLbLZ6Jcjk0XAeeLUuTqWlrtsd7glvEkrfN7Ui8oLkpi7wlh8epTX4 ryO75pv+b8tNrILcg+AFc2QRE0CqALd38ESEv+l7Co2EmTmEIVmpjTBzMMIkzEXiUaVEyIY/5cr1 IsHZxKgVsKpH6s/lqs2llg8dGN7/Wy6VzR0QHykIMpfivXT1G4D0jjLi+gYeWdS0yblvDD17zJIo JyZf9W5sjyy3SnsWCK0MVNR06Hd8gsC7VQWF8k+p0Zvt/Tr9Xrlqrmf1R52rkW9Rum469op0kK3g +ITobgDTcml2MacrJ85wpw2y3JkYXzXoAnQroKsls3/IBqSw+Gbb6ejAXRzX6yL33ik/TN97LGta JeiI8ij2QJHYS16IKLNHokIsCaMTwKprh0w1anuQyC0xZu9RPEEMiNACDftpNAfWg79EEnIXAGG/ SChLixXZoKqPSFm5sXpvqTTFtLBb+lyBhpP63zeEiizZS9WZ9qmTFPt9119oy6lSm63Xs3iwsL+Z u5cUPnWe1aHEGHi68+a6RlfhORD1/CFO7nuv3amXR7HNTv5zckTB3gohOk6Fa/4hXzk0E0ufsOaM zcBjkJq7Tu86WwSG/WpyH1luUqhV5OGX0ZTcpc4K6Cp29Du3I10xYhRadNifoXJYkOnTHa9xSiRU KDp73WGQLCl7G7bOCn80uJVveSVbnTdjmcyk6f/OA7s9cSSNMGmGdMnrC/pbnbc39K5nZx3tPbU/ VzJm595VUnZOtows9kg9GKx+9pSaKrg8u4zDE92pN5y5LajHS4ePq/19NOX1eARy0dD+MGbte4yf NpY85g7QuLO9ks18DvXg2MNCm/s0jSvl0HQowLo2wkKoUr9yHzaUOoWuPkc0bFA0H5Jo0JD+BBep XN3BCAOqf6yyTAuwPG30H8Cue7S0qYagBJqfsSCArz9UNcXeS9lJ3isyRyxKSxB+XW6+9j5ipTCr S9yQLO/q7irrgmyLBEFTj4uOqJ2YGIzb/2iUJc5tZBI1PslRvf1J9GQRWuBjFXo4gHlbKP39xWp1 jcpoHC87NEHqJ3DuV8HC3JIn8VMhYXMJq62vWnAJvTrEJjbLJGCRplePJJjujvQUUjGXra2bZrbF yZ7WxsA8lkQGQmLr+0EEtcOYI9BxQkunJXEg1AEhJ/2FXO5oDd3MUYpsqlMg3DAEwyeH5lZP00Th o8iZUek7s5fjoEJhFGTQtSDTI+aWgIZ1JGgtNSL2B7SNeZs2N4uW092f+qjz2FscTAyhPGJG7CVv IQLOMZ/t+NBkHG+wqPMknAvUAEPTimF8LF1YFOf+R2bKa3VmNtIerQxZU79bN9NX1RQbOLCenbsB fEpXf4Ryu61bPA5nR/wqxBScekarHQRRzTUkMTQ5pzRfiHGbJjYGpDnkVfxZ7/W7DfmiFhf5IRrb Pg+aDct/pIivf+FeH0P4k447qeJJxUgsdcrpOPihS8Bt9dcHVFDnjNg5xM/+WAAhr4AudNJ0UaZj ZlF65k4IKKTzLDSl7waXqI5Wz2kpPR/K+1A9jlFMktjw+KSbH3b/xN1hlIrOiBgBtf0J8lvdSKGN lafv9qN9zsHJTFn/tPf1X0wg2uwGSRzGkfnLIhghJwvzWizEo7TVYjAn9T3/grq0qEEF0sHYejub wYaris/msT8uJutIXzqXDeORaRj4p/KMcigjH5rlQ94ePA3fo6WCcuSqd9WQsfmhh6Lb+jjz6OxR WGcia6VKOw+VlI5fhDmWF+NCiA63+Tsleqptyyhcg8N4ylpk2rzo3kEdZG6+z7Mg4eMBZzv+KyqP u5EX+UDwID2ML2zDsnyvhTUUMa8zoBjldUgoX6QeJnDjDeMSbAsiEibdA6waMVsPJ5185H5BK9rz LbE/rYhdjPL+8VpRbE7dY5TiHscG2zV2QeUcdU4Wa18Cg/M9gR3LQ6OQ1NN1YTpaGZ/i/QLfNy49 oCWy+/bVpsPkG5GydK0nPBMS8rgOFuUA6KnpVnm5inhdrBjeSHzKuTJVkbfCKnP4JrD2LeyCOeg6 ut/uhaO/oMF/DtfKPdp1fZaC+SOka/S2FrdFfQ6AoGU013/9lDRGD8OGAo+/eXx1gb7seGlabRDm RM7yAt+KwVf3aMnU7o0TEqaGofQJN3NVviDkaYLhXymRdeEdyX16a6365/Zw7SsbOyPwgnXmYVwd pFUU9R7rBF4FxF2XlYQDir/VPgdu+/2LSq07TfoRTMd9jZ7yePtbJFW9WcMcVq/SGTgIO/bnU0x4 qFi4LOXGKHD7HGRJpj/pDTX7BuPNu3TrS2PlLTZsB2B4NkpNL1bv6Cy2jgqhmbmKaHgIm8FR6+jV QXyJw6LfNx+GgEvpcYFUszDDuk8L8i6hDBN8e9dLfMUCt0X4vVjpjV8nHfohXFpsgJ6XUf+GAKz/ uRhszaitCcwiNNpk9UPVrKTspU7KxSzsJo9VRRsRNvEn1qKfRVTSIQxxu67U+BACxq+TTzLmeq+b 9uY0lpEgFg6MyVO09QaVv4X8bqn11qZs1V7U0WjZUc8rTNQp8FSvlDmOKd9m+4o10MDzrSPJTo5x BZhMaUneFHVr8AMcHlmJdvw04axyabCkr9eUGrVrBxJrJBf26BisYGB/+UmIg4yoTwdz5NeGnEzT IgEmvgZABjjVqNawjCOTye7cJWAXvx5ebm2XwUCUgqfjPCydpBfZRarv1AB4qqWk780jZ15R8Sc3 bIXZghNzIgRxq89FNjG5S+7qb9/vE5A0knpr386o5qf+21PQf5FfP8tQuUS8IrO5RJutvH9u9dnv N8ZpUbKHKGLQzlhQ8ECy8DpE3BqJC+75Tw8QN1O1lLxYM1AaTeW2TAFO30nV8/p6OV+1wN87p7m9 ptGESXdP7sMMDZiE7YmpxQ70QZ16Xq5aqUgxxJ73vSSEm/+u9SWnG1b+WapiX85PMG3UgU23W9xA V4CAhgAGNnOR0rp7o1y2NvxB4Hi2GFFum7ZFBOGFkoOu01lFlv8eNDZReeG8eHZNCSLDh/JRwtgR er7qy2b3ZDlR7xz0IJYiEB7RKN8QWybTvCkhFdvZMtXH+g3q2sXWjQJ1Q5FpGA+9an/RUNHekTVi b1/f12VvBDyHuMicP8iNew6ggJV88c8H/CMMxQNrHJhJziGUkOXYxZCFOtPDryqEbkaYo9G5fGLx GR3UAtqTgOwlC1hoAy9ZaKnJG66UiM7dUzEpiqNK/nUxVVeH3yTxGhvsSsrwfKJmzOCgvzNCiq1Z vIWvCh0t0H6Tw9oY2HT7YXQdfzg6387UbOke2Aqc+NVv7c8AoaysT81scl/eyF3kwWfL+8w/OD3Z 2623AV24AcIf2fCU2odFn2MNDmuMQaXEzKuR4DnjVvbHqdEERLeNsJhHmMIKPbfEVQEfPuOEOSMk Tcxttoczslbu5kZ03ZqzslR5ThFqzelY0TsuQH5VMhRR0OfbPJbAzMa7J4nYKxP5+qTq62jYEKTM cmknmMuJKFr0BGKTxfSCi/orbfNbIF+mw/hGWBlhfKM7AMgYqsTzp1gO0sUPNRT7rpVeQVMHAd3b M9G2xpuArj5IsfiiPit3wOCqX3m3sFydZkAuK3FFQ7+rbqpsGT+fK+ZCychVUVJS6diFGEHExB87 Hi9ZmXc2hePqYs2rXbIG/sQb2Pyj4aO/gFY9IDueMuvRki9kq0VbdQv3c1x8PWKRnrGhtb60aJxa Ne3vVKBce5Ug7EyV8Eoe/dXrPz1iykK59XSeGEgND3mMKRC07LVpv37RCOBTqZMIZL/FPt8iTsOp kirdIiYO2jjg0dUfj8t+OVh74niwXfmEHZ+qBzp1oQR8OpvT4P6sSqaQue0lKsQR0gn9HkYhy9BQ g9JIAhIzQhDv2Te4QsKx00dGKKgAo3VeLISxuOIYgko69x+BoqHIkzAkHI/jO2nmt23ZsaCp29OG Hrk6Lbla/2Ppl1kPVyeSjjw14nXTpIgYqlNPVPB8tFuy/pG/AZ4K3fYUEQWpsXcTC1O2YZhJ6ZJc w33lXdU2WjTqVl74DHt8tjENjBlLQuBlyKwB658aE8Ef46hU5aj80oE8HQ9jeBKIaLj9k8kXF452 SMDqooZjpvlitaIoHWSqe9uZJy5xd3YtJ49dwuOyH8mZ+eoj8D2j+Z3sHFLgK6qI7ADqQxv5F6fd FUttPtGjeY05eeCCE7ilYl/nntJFFvkiMLYmvrMHBgTo47K4kG9zkinwp3MtvFFl4a9lQE07fwkJ kBz/4Ez2XQfulQiIRXjBm7USmSSkK86QwsuS4QfTy5bZ6rWFP0yIxtkM10cQ/tEIHjvc+EHa62tw D5BMZQwP19iiaOKw8b2L2/XyCwwsI3fdpyii1LO4osO6Y280JuAsP04uCZDyvMpe3FtBgWixNKBm dX14KZCGtqSkZbTcyE6fOrZ6/m0nKdPU8T02gscvHRb+1QGtsMfAdRIrYBL5WM5ODdJN9v82+Iea q9O3UY1Wo87dd0GmZvcy8gMGPTvRtMbL0rKBnUnHAu/I/n5Oox6Taa5xFQaSMAuWBISPL4kb8ru8 edpcdcad01biEUdZMxDgU8QWMLLH+ncJXh7Qs9yHIzWbLrxtsR3I6qP4oxgcpesFR7kV5R7uiXqT pkAxkYVsPKduSpCmSBWpcieAidTy7TOhtmFTHR6pw6wi0SuMwsgkxIWfcTRebeAbZtluEc6xF/OJ 35oY/ClmGM1CEKZd7YGsuL9+FGaFSdISOv2ixEvV2db/O2/OnYSTg8J8DFdggdBXC5GhTPDKCOdj BUnBgbf4CzjAAVK4+aYuiwLBhif3POGvGNWEoYqD63qwjegCHO0vmNVmawzayarxDwcFDwohkCQA /vetJV9rvsenf/IvAn3byQmNDxsqR+DXuJzmttTpevM+6e9vBninesIRwynje3OE+MXO/CGEFbgF MZN3DpkA2rqKmcAmvUuu4CbmdKcHs5g6j8aUyanm9sWgs9H3T5iQPykwsgyTFcdwccu9tJ25bT3e IeyWWDY/VXY5pgRtyVqUdGo5yxkl6RZbmsl9EOE7wsFHDW1QNeOcOTOZJC7PlY/zrsD/iqf6Jea7 1thTg2SSJn/fsf/R3qgxMzpxu+kyqyLCJ/vYQrMA0c7idzdpGQqSfeJFip7ktoZ8HJDbfABpkUir xwvu6OOdunEkOvw/lSzhXHw9rFhgmsaenl1r97BIYTGANVN3hu0Dq0wIT66DrH3E2DUVHSMA62RU Jx3/NwfXFrwjcVuITEi6snyhhghBXI0OfLkgUY/oiP48iET7H7b31j85MsuO3NzlY7n+FPG+lAK+ D18gZPrvTBCths/FFIPPkDakw6ITVTDHeMolgQ37VAKyEhn/e3auef/P/fejfeT9udk69GaSyl/a kVpt9hMmHEa+uT5kErdZqltdOr+Ql7dEfspyu3HANxsUXqJQzBS9KqGTY/fBt7WmSpYEeB87rrBX Ggz7fsRXcx2I+yZM2SlujCU1OB/ilR2xW4XojvW0U67N/B84fT4fonsUM7yjMyOj5ziux72NpJs3 JjCs9GvxeJJ/FtpxhL66h/lm8yUgYuuu3OpBYMGYqRoRfFiAwuojuASHtIc3fsMEti4CIwj8p9ZF BuBZ5Fj4RJcfOuSSFVSASiZONeOcwNfFRaZc1hf1HnAVIBgl0NMB7lml0cJNezM3u6TUDrZDLm8h ag3zCcnQkj/Gb7NbA/Hs/lOY5ZzA2bv1CwyeNwzDkq1WRJ+ocpqUL0QKTs8vAmcdDjdvUa0GESJh WPnElQQmRyqCeVy6YwsAf3WvnIUqyNNCWkMQ6wUG4A7zVkE5xDhstZAfUdj+1djSffc4RFTCSf94 1LEWwFm38pEciUgfSLlvhmA+E1/yrSom5xW/YOhAqAv/u3a6kBFEhk7T3v4geJ3vhZxWUPcep/pO iVaMWw8gz6IOVTHZLkchfIv9TdVx0hU59P7aWF5g668M4Z5AcsR8C5kCEvXRUS2IkUrMaorE0ecb YEF154hhk6650ZvD+KW4L1IYSQZWVNFNANbG5JhhoSj61yJKX/c1sWK01x+06vBJzVInj3MuOlMo U052+DXijMJgDJHRKQNzbnCNx0gFoK51cXDeO5KSXBW0Vq+stYjL0nJa9pY5LL1nRpCqNc1p1Kfo cH4c9R6fmko4SE80yDloC5tpbvbl1Ev+pCR0itVEULcJgBlhRGfZhOsWEpysBtgvya8STMT35Sux meMruCU/xr/ceiYwJGEDvWjcOlYWhmHaw/PphPyqPCr1OZmrBj0j9XvHmLLwEByOuoAqtevZAOSn cJy198u+1uOkWaOB+mB9hy4CkThxgif+ZDMr7SHS/gZ15Gh+URGmx3AcXJFXyhsnlzVxFO6R/lr/ 8A36ULszHgH5MT7ImnKp8rBenW43qZdCH6IIIwoMFMAlhqjZWZwxTm0gX1HFSPfr0INxTZNX3Wgh qOI0+8svGxh40cDA6leTY7HP/2a+Q5mAZWmUPsmXyuIOxy6QlBZ1ydHzdDiY0+smqWsgWhD3GVw/ 3rAWE46cYntHWmmQl/6xUQLqcX6j++1mmPb0wRA1bH8ONj6KSaD6fsDyMRl+nx8r2YhtXnXABzDq Gnf4fPcJoF5swzdwTkvj6r294FWJogfkNEQqP3z3ZToaUon4LhMMQI6+SFCnkUAuOu23jqardPvc OjTU331YN4xqLjwhIy4B6mIOIBshM7tWdO/hTghtZlxog8oRbHoIiQvVwpVLBkMQfT0zxygE1bjm 8wrTNPkbzCqH6N/2S0eLoi4xdt1mboZPZkG6ER0RM+TM6yxslOsL19U5aItgEE0iibK9IvZXsp1E IwvE0iio4lNRACOJb7Hr+uF49NRqICEs14gvBPIq+7lFm/2l42uMpORmVSbiGex5S2sQvAZtLuy/ 1l016sALVKTOI5JU6MDo53bQvr+mpuaXByrHnhp2day6f32G/+MO/dloCcWmBHU2v/DW8fdtcLGV YrWiyiN6bsYtKOH0iZU01HERd2eOqjzgMMF38JpGvtqhL7qWO/GO5v293HGjd3eb79uzWj9dQ5Wi NC1SocNZMcKD52h4f1JzgmPphearD5Hf+MBhvAZvR3+KVc8/z1LI2fmV6Y2qUE2VRgYFrlLIRCWl bstYil1ff3XUwdCJqa71iSG5smJ06Sb4gTJ+e78dMMASAE0UMmyNmST2lOw4ALIkTA51GD6J15r1 VBdDHAkUjQnltZRIP1U9/Gk8Nx3ZN1CD4t22TvVn7mR23PumpROZn8rhoGKaAK8K5D3di1ryqxY4 SnDId9y2IX4mkt1FExDB4HJfrDH/kKdIruWVVX73PFOcioDK006bv2tfyjcioOke2yFXnVt79a3v rL47FnyJEzV47bmnBAsTr0KmXiexP6lh8i4YdLNi/KySqxx+upjvU3ltEhZgONh4A7DBcVJjs7UF sZG7zvWwUjXr0o1fSsK7U6cFVNuXgjafLsm0qCJMt9Qt0lozFP0sTN5yrhntObl+LTOGiBJpZt8t KdRg8c7Q+9+u7Wbsf/Ii2wQ699oEvyRUuOJvrt1EAmPHWlYOnYPlGFbCgehf1zXq1toQwf6qzkOM QrH8rnlPM6mAoHC7gZdiATQN7RHl45wjEVUYKzR9JNolphTQebuvx0i+VjxTW3Md1O7u4wTDQEJp h3sauDYmHK7BnkGbwv/uGycGrloFkD2pjqeJil6QJl+ujCxl06MVjPkJzAfGHyc6zfK+tCDEy9de fDxjAUqGLgqcVRExV8OA+kzcG8SaTLEpgzgNluPZjpzBFbLGPtZ4kxrpO/UZ+EXwdlQa6bF8+p0v L5CFmkxHBWbeAqRZDwhEwP2yS6belsMYdj6vfzgEaThTsZsKlPOMQ8MD5LZnQyYXdF1QjO+fs9Ri K0kHqL+F1P5B7GkSL7mqUADMtyZ7d+mtj/BqwTSfd7xtH6hWyZVxENhPEH/BDLr5Al9dC1cGWxGB zVuptfObE5nUwFb4Fwb0aTlNb3mxto/Br23Y1I4mjHjWphMgdYU7mTbo44q7f7QhGN2g7yz7rK7H XHCFfAUlXHY26tpktvUfx6r90i8T1P6yg2IlehnzxmOEBiai8d9CFpOokua9aXqU0xzM8IdAPeMW 6VRdyc9FyQLaJ5ERZBCTPLa0uaGBpA4BaC4Oq9SjmJcp3m2Qg5dq5i9AO3mHwVkZvsNSX1SkqSUJ KBADaxWjde9S3/o7Ns+Ajhn6Xy9jEI9QParLDDChVJcRm6eQfs8TuDT/6sWxKYrCLt3g/0PjZ96c 3MHIGBO/7vLJDP4mXVKD7kYmE/FRd9SPoZ/BJxwoP/Kkys5cvJus80gHmS8v+QqwH8PLwVKYzlvF GROz6+loN1Q+qCgX9soh66WZ4Z4/2YHBCL3xeQWgYs2e1kpqvoa5tshWcgkjwf4j5FWXyPmCGhHb S/JGWCSA10MAZS/gdpUqUz38+WH8cKJDMYhItDbj5SjBHbXJAaZlRp/9kWILSCTA1jNjs6P0oO+q 81eQGSPZZ/77JIOrCkaWqUFF4gK/nOF8ASb5yzaO4dqvlZqRjoGzXbJ61aPo/5BJsG27dLw2W4Gg HdnZeIlOsgN7rm/Bb250jEQX77KyO0VelZQ5Kt2YDJRCKEGdipIU/XYFAURrCckGDdGN3Qnf/w/O JpeYWkixdQLlRJsqnwR1/2X+uug33ggZ3hk+CpVhaDWBougcgzeHMp4acenz1nSUFaI4XkslXFXi LAi0OSgzAUxRJpFJ1hWVShOiGir6hD04ltVf+iCUDgRBl4DJwzN98U7a+NNjDH39cG9fbmXPsa11 aNr6wSsDPIMAVRTzxyjGY4t/WnN1JgbwfD+HqaAyFUzIa1pfgT720NdgRkB0ASZMdefr1pPG4jHI B3/q5snB2Je0kPkVmo4Q7mO8uxp3xuLkHaf2I0KzeRPnfWk4O5ba8QayVoXJAPwIRW7vKX+qqLOg zKfUp81TuAQcWPaDKW++vpzaqwX151bBFRnPm4NjfGckuJrejrsNwMBBHYe2b6pY20iEAOsPKHdJ 17KQ2e8Q4oMw89FUMrUzDfLh3BSZX4irUgoFVGRmvkGinGZBn3J/kludhswJviJo8vWe4R4/TMzy AZUxBVsfbFgRtrud4hFxWdt6IsR/dqdiHFmeiR7d5R67KV7BkNnYWRm7TTTMsDGfcg7CByHs4hRn XHLiNyolc82NqArCi9QtYEcQJTP0vz+d7ywZwqI908VBCEZ8NFOzNjR9ye2HybvX2DuNsto91Fs6 YTkaBYfIDx8W3smEFbkabNZOiI6c++tW2fn17FKCdMM2DVdwt+Uttd1uc+C4qPNsQ5PyVynnEWAx sr3TnAwwn/vU/1vFNsIsLYc+c1daDAjidEIgDPpszsGYwef1fCsod+L1hotlfAX8ok/fWZa7uzAY BrQsbG4+3yg8cV7cnnTRBxFz2ELQOhVFyOWVvkhNQ29ZggzHA8+m9871rUKEMEIKTOmp9ysMNX6G eT21iQ6loQZfcU36m0mIl/2jP0pq5uGA2hl+zmmz6YARIg4iBO3tcWA71LPPDzHQtgPMbl6KNoQx GtisGnNOUvgsL24Aml4uZsbTr/tDS5qjIl49SBZR8f63xoD9xLVhZEWNwnyCEq4VjCPWdmBSM7l4 VoZy+c7cqhT9RwxhLHhERDlvqnoibkfrfPU7qhYSnkyO4mYxGrybFUepWHCF2HooopejOwymjKAI 0T4VSlh5uzCGPoC3IS+1Hs98roiO6SchZ60/2elDI+sy1T+pyVSqYuBH8hMJVYX/wa0wY24/Gx/H xI4MlUpwhFkSeUWwKOznVnSzwnDQUiAt8Dth2UD+3mVTUoFDEsueeGauoC8hpOXTFJDPludXlh/h 8eGKIFn9eWHQ3zYcYIvi6VuRVtVEOiExIt2lUu6hp81neszh7agcP4KKvrpG9gQM7KY0+L7i60eb 3Y78eqWrkJk+GJKd1kjtTB5qbj7RLJVRhnmO7UB5IBa1iGGCKeh01Nnshw8849Enw/ia+K6KJkCw wMYQg8a9qYhcpsyqhLfR598H47YGm+juUIYWKxI2tm6GyUKlnuhAplypPKfQWMYssp+fqsjpX8Mc is+Mes+4ubgeb9nAh/Vy4SaGlGbiQZn4dTQLlCFrIB+6Vp9iZ1JmYMYb+8IbrcW4Qff0i9GTu6b2 tX61avVy7/gHcFytIqxqG8i3EeaqND7Vqka0BTJP0WVGOxbx4gpALmIHFKnl+MC1DdqsP0PB8hc0 J7WW5QsdcF+Yr0Rlrd8ENAU8V2FpabDQgR1DmrhhWOYbO0gxdxJiLaWVMd46e7mlvBtHf3Fq3EEz J7fHkNuELbX5L71Ya2OtsUvBTg7bHLzWTDg+hQxpnS8HwazOqcdXWQAuG+R8WpGw9e3r/qP9cTNs AscSm+aceFnomqavkKV1e9eQhCn/72wSDiIAnZutbXhrylPBTfi8Zy66EzED5KVJlBD1Z1arVWSn cLG7qDqmjpNHsBDiiLfCOrfOg8Mu3E8LgkX+KrGV8WlVIIH5ad6pc+a/WfgPwi6w/KiY79WsJnUJ 97afV+Te129d8sCwN9qtEfa0tLtkz/pnHY2DWus2x8mjmOsWZ+lzsYjuonmeyPPfRE+nPI3vOVz6 pI5tuwqxUou/kjnzIRuwJg7YFNznaSidEZnw01YUHHCtCkJFfGZ311zGqj4Ksxd6kbg0xl9NMEs/ qlghh1aFtTKC5s79irQJisMQjRAyd+BIW/s1JtD+ZVIdUYlh4LanmC/jsHoFZPfQS04rjDx6kR7u Jni/V+4FfJGSv6oc/C8t4qR44Tx6uGAQT4lJJnj8PLd/zCEZbQdcZY38cj5NMNc8vG1dgkKLn0FS 6cC0K75c08qig6Vac/VrG9y4+KZ6HHgfs4gh5tb2a3l/+FXOcs5R9s5llxN2rPQecxXSAR5PeCtf FbpZpi3Vcsz3tfndLQShtqBWrXixU8cO2zNkleebpUitCBQCthzAnH9WJgxrjT/mKZJBd4UMLT0h oOpSOqUv11nTOgy0RdFJn88JNOoKAb2X92xnnyoPmJM3u6tfDzarJvSHeZkiZBIzqh/Sz3NDehRN KmUi2z4bF8AIPq/96gvOorsEqcI7893D5vY9dVC7iAsPDbPo8vu/HzL+m4EgjF77ETQfM4fYjTyX zD9T9aURze3w8943SqYTnIiH6dfLTN2hcOZAVgv3KL+E3DJTj9I5BwXYm2ArMsaVgXrQr8xkXyCp oGFq9O+4Wc/OzomX0LfQ0cOhmCDVTBILXpO2b3/zr3ixcx8eYLw/KmWceDyWp6zZT7ILkc5x6Srb +ngD2fT7kT9p/r/8rFXSuosJSWxFDNZl3WtrdwqryG4G/Sud3LFaSfQcK5WvBLb6aWSe1CpgtAxh KMQs2+PlmkL6pJMxW9bQ8CSv8Eu4JE0NWWFufV8xytg3ToL4sWgfaqV62IiuikCmgc7QvkhUAnxR asC8Oel4jpCzbWZf7qOCMUo6VSbE/b++8EEC/XcrcuIp85TQvpOy/KIDEW2ZjZvxsysV764m12DI m5ZTLUoK8v2rExvZhvjLLDXonhCByNhUF4XgACtxGTS8Fjy179l7o6KukUxAnghXeYoEEn1FmETw vLYjH1XlGA8vMtGrrmcX7myuXAJJ/vthzx5OsVtNUMXYaFzHdmwxFrYuc1I4CrHHouls8hg+vwIi 6x768wfiZ7wcS1S0gFAjhbAAEMe7sBnQ+GioK2cJf/2ZFbTi2s+ytBex74A4qRj2SgbwTUHhCr0A CXzaLEWwaud+O/nVM5gx2XG0OznjEjrwiGPR7XNmsetPjB3SdfaTXQdGs1a7ST9QXev/BWU7RU36 OFZgZmATd98408C1Bs0uJXi/mXG2BjA2xbSaXp4zihrVaYffglJKNQvebaXlqQo2QdTJFPenigM0 Jg8dpTbe6bk0dGzZpATXHrX1ja1b10vDi15UNjgTbDEl7XpJNXZyFfKrIM+D87gn9wptGwn/1L2d O6eqLqDnSyppw4srxsSHQIJxogbIsD9wIJslEtdo7RD7+GJmraW6ZhqQAkMXCV/oKz5F9HoHt7sO v/v7vXWkGRUcMjC/BgfZNmB5mmNW2h4o+PLMTWKMTuzjySrPZIg6p4a18kpz8WjxQeT30+Ixq0u7 92p2LB8g4Pn/REpBXDcNWNwd4bFepOM/KZRsIGFi/OMk8iDF52QbWjSFt1jSRBbrjBLE0+I8ql32 5ptNqQgkLTPnxxRsSMrl/VLMtfpPH8ZG7gM7TpAEFa5yu1kMywoGek5hve2KO5kXmW9ENwqOBX8w HWG95Ugvyzrq7HBsnOvAj62/+yVEjwOStkW7KfYNU/OXIBD2JbhZayb4UnGo617kblL3tuWu7WlE ZP7NV5smbDxSCKprwRi4g+yoGNNHkPw383R9d80zUwm4l3XIFh5ZyZavfShR43C4I8XOdz5GhR2i H7K29hiwLcnkGUPosTWqu56cD0fx7T1lO2F3JJnB5WODHvrpDfapZQNaGgrxzLNs5GBQDoKIQ2wZ EAHJCfjQUyVYHSN3Wn56Hnk2ET0P6GPZ1T/GaG70lX9Cz6YOnS0d7Aq4I5s2pXJWR6DcCgmy8Tmh xFg4U7lYQAC2fTXP0G5jFrfUesijwQUMfi4+jRG4QTUB4YSv/5dKHjHmBYbsiz03K0WUBQX83p/I cYIy2Mn2fSTKlnefK2oOZoQlu62DUN6lnRlG75YMP6VN+MCaUdC/qR3rt5woH5FvIlCl2O/lVhsq V/0ZVY4e1GWIZQyuTh0l+HXzQS1EpkybL+ktcK/ueYys0o0eddudM9mqf1mzsvTB2lxhQhNX7SgL VvrByqqqKMqFMTQeZXpBmQ9UltTczyMvkEU5NkvJc5n/O6APdAeY5vg4HyEwVQxjvmG31I1S/wHb nepiXv+dG9BYm7+IoOcciGjoDevFPA41QfnfpwTeKEryTZhBigFvs3pSZUizxRrohNJKqENmX700 4Pf6UnikifBH09seI63gZhMUt4Yja1ZhEJzcv2kwK48ugRsmA5pDe82I6WqObTIVROIbtwVLspV8 jL1hxkb9rdvqNGh5WwIXueWgl1nvdKJZyodtU4tohpmq5tuOyckihdkZmVspLDrXVG3lLoyU4+TP ys1b8ZCP1TAdpr3QIGjZiQ0kPsw0j6LqON5cDzNs+QhQaIzbQETOjfKdp4KdrESspaCqlml7FVx1 2n6ZPiLYvIGo0bmNaNoMLXonXVL4wFuNuYShsw1eyfdtumUgv4ri9QT9yewIFKeV9N9FLJh3Zylc /zLyteVw1R6ieayhw8ahOnKDNzcxNV31aRsG8rKKmIy2Rh/u6sfowRB+/sX6G1Y5HhoPqUk8v72Q dL7iajtsx9NaeCsXRfz5uoRwpPP0GJBqVMR1g26vKa1NLxOzy5cWuehuBvyFn397cumUmzrUQOu0 XcyvFx/vd6J0B7tLSJcmQq7TujpGGy4O4PZCDGIt82mmD8We0SyeJF+Uvm+Ctz7b66zx8Q+VXiWG oJ/ATJqbrgBDJRnSYBQKsLkujqf7Bkc1A7mFL6PLZmx0pV71ILaPOGlg8hDzfUxX3H4Ts2PTixls YzIZ0MTuJHAAfIppzgM0NBgCrVYro73hwARP97eE3hpTAoyW5Rx5R/pExf9Wy0w5He9QvCdKS12D fCYs35WCkw36+I6OKSIDIvaDe3GMUBATleCLBcll04AWydYh0fBFgBhZqSrTEytTYDzIGn62U35M IMT5IkTSp1/ytcI0b/T6x/Hjd587JjOY2eScRgWbqzgDj8Eq6QqynQ629gYnN3k4B7yIpSGwcWsX M9c8ORDZeotDgRN3CxdutDHN37KK9yF1MXmLQhjzu2OhCG/5m3Ki2y5YQdqD9mkE5hg2rRC/5RiV lCGC2lH0rJ2NIG3EKkMoFZ27jh1HQAzUg5UaVtpB+BDzjvhhOwx/G2DZIKwHhTmKeVIZh/PcjQt6 2MhLbhOLmHpfnq6oFPj5nUGQ09DKpv+sK5gqSDW56rYuEEtUHnNo3qlPIb+VYJKRRu6S7GCauvqb LPox96gHY364CpSRLJZMs/KVc5R4EUIJoEugnUS8EgMsK+cqGVi/lp7hc1hLGWYI8/FIFhJTRLwU SKI5q8JE94AdbGB2UmbvI8l+4RLpdUrNB73CU5LX5VHkGfuXhz9zbxRTC5cZC2+DzVspubNrf1Pw H73GLF/bfHJWyLU1GGVi4Ht89M81yFC7OIqP5Cg+yz4A/RBWXS9YZ8DDXlmv3emueS3JfZ7Iwqvp Dt0qjvF4t1O17S0RD4P6PQK8LtQBp8idURGtH7vN9o7Gsxgi4Br24hAJs3b436OzZz2kX+s0XWKX N63Iy5nLcY/kN78VvJlVqQ8P6iOyIR6ZrEYJY72WKgx/CsKDY0z/9dbh4vz+UpsMS10hhMpMCmzF 06pgTp9K3BOz2aS0aq1qiorIjs6HHWin7mFKKwuq0rm1sds7GAq2lBxJ/AikvoW4ryXZqJSfz4g2 YnS1yWSK73FYjvmNbXsg0wPQ6kEnEQcDYqpAeo+3MRF2oBo7xzDHYDL7KH+1dHJKPYEOwcJy5EmM 6wdpamoEwcDu522cDEoznchAjscXVc80tAsisY4B0j96SWwDxB+ngYj3JQkbBfMIPxu62jyVTbIO uuLmRmUPYyUlIJZx01/ubQjz3y23x28MzwU/5L+OzRbvY/ssXe8s3ISFNCi1VYvDHt2u3ODUv2r6 TC9lwqQkqFcuKU6ML3zx77ATB+1Fp+3Q51APUdgl1BiBBtRVM+zkjQ8FkVFSsq4GLK1SojBtWlHz 1SOD851q7pDYAktd/OhQXhxXPolsNDgBWeUvfZWKOfuGHvci1QH+hskLOcEXG987/C2SK0AeUoUH TFE5lmUt+SVHKJfSydvMP63iCqI4I5y58r7VbcDqweufJFrcZZWM4YRMfn4gV0g1JeYS8DtFklI1 JfvNZ7e9Zyz+FOOC7fl7Q/uDjYiDRT7tT3SltYqZffXHzxY2VLaN02jzRjDtbnWBqHRXMju0QhKm OJ2QpGlFpN7pPdSAv65a5fL2VD0HHDwcIzB8a+oJQy/IVFxes/ntDt5L8x3lXprl1E+EHTdW14o/ c4UpN5wmAUJnTNachrYSDvtRnlk8kxQTnlNuxzGHpEoNcPbX9+xt6WYhFRj+v/pw8n5XIBJfFrXw 7mmlEzjOCP1j6G4QphAN1F3PjLwv1N79+yty63EH0WULaO/3lk5Qp3LSrRsagdXAsBF/2X6KDLwf qTuYyjsnZob/Wp/EjMKfEuGjiubBOD1Ae5CzWnIz8f8oX82R1++hMXUf5pKgdHQVl5z9C9kcY33H E43YQActhAyHZ87Vo8Di1QoO5Kdgd5Qt7ON6zAuqU7/OesnMSXW/WENs9wTb+USpabhg5CR8Vv38 xbxh8IwuJmCcRCYBp3LReUhidKLNsW4di8RkrvdbUKJJ70v6wfxXpLHy6OBEkWKOAczcNEU7vfbf irc2Xt0oeXdP7EiH/G1fLdQvKXyN4pDWqs6YtKpnxWtkkx7y3NV+qhapijOp73E6RVESoLTXurZx CUFOJPXvLE3vFDewLjXIVKKKCjm8va/1GrGGGzIel7GBjZek1EUQoCAJcEmoAYrifyJgE7vTzEwK Redl8/fnlgiwG4lCP2Ps+1Yy6ntDH0THLz/lFpqtZglvuLH6lorBPuCIz0oVLiqSOnVpOHhQnPMg O7gN+xrKLKKeOEVZUk8xZ8Xl0t37OgQ9GPQG1R+yZxaiI4MzUtIp3xHu5I72RGf7ZxQXOh1BP3i3 3KbtBSOGgTqc0ss+8wAj+FCMUTeZI286By8wZja+oYwAdqYOt0AepTJjxIGEkpXPzDb3L0/7vc7C EdozWp/55PO1iR5nGZ+bbaqj8FEu+Vaib+OUBJzZIhVVVlyo3ISLniuGltJZkMHfeemV0bGEF5/e hvvH+P7QKWE9R2GI3hb9EHdr1qTEjcxu9MacG7Op+0sWb86yHDMSbQl+Epram0C+xvQcLKHzNZrZ E5NRZIWn2gkUPAocAlJeG0+f/gcWE5nek06P7/D2mtpizmBjFaTlFkka2Xh3XKWDypPQwgxNR3vY PU4zje3MnJXHf1ihV0EBw8gR7V2H6qyA/WLRmkBh4YiQRpBKGz0/roNLRV/z639kp7IkenMQiBDm a5H+8nIe4QMTFEaRfKe7ChF0H1R/48I0D22jgi/GZ7L0HJoPR638AkkHoNrFaDCdYhpvn7OISNYq 6O8WYmcDMncSZWFP6RIpdpw8F0+0fPDncENwntIiO12hFTpkTSo8twN+KZrscSRzoa6vS98BSGDa sGB4nPXIKIjrDI7EYgX/bqSPolv1IsG7tanwyyTooSKs34RhpTl9bRZ6gJNjVymAVjcTUqWdu0k5 ZaIUDgEINWbv4+63ToOqMReMZfEJIFuHqTFYsazOdo5ziLXk6VUOEKF/fAm0RMpAwQb5uDJh9DBx tI8Fj83q6fob2PKeURN9nrE/MfJrCNBR6YGZwhxjJvc4qqB+/79VcbFZ0v/NCF9lzIMQ1bUKyU8Q 3+KpE7jFRe/DGsjlAGIt8R0c4mD2HgdvTFZKgt5rk3qqtkIOUtlsJDZMldOh6LgXOutFFIfV/jKS 5+o3I/FtXeIyY6w/rRnnAVBNqRVDz84OCbeMtx+6zlwniQrBtY8YsRjhQsNjqKAZhBCUHqV6zwp8 59FXCOx4M318tsFD3xj41Uj/nBX/V++id4Dhs2yyIx22BJfGWvER8i6LtkmO5dygEusRHpJ7M6PF 9XNaw7vn3/a6U5PkeH5h3oh6mTPefYV6t7Y3VWzoLdMxmrg68v9b5UvhstleU8LRkVPl6u3TjBvW KHSZfbBqpSpd8w2UPTKFq9b63N/prbe3UGFounGM6n6CYNcYiSfxfa5+tienHukdtBgfLHEC5JoU TZMeQeOXR3hqyZJkfnWTOT66jb7i8q0s1nPy3oh1Nw6Z8d98ibhvDPC/Nw2ytLrQzk3AAFbF4I+1 fnRxJHmEFglfzGuXdT8xk+95xDuopMi2r86vfZ8PkespLNPFrDcUgkPzIHRjrHsVY/pVyyBQFTAU +hAb3QrtowHmOj4IAdBRu8tR7EnOHhoJ8EHE7cX2juiCArxzL5zELLN44cmJW9bzZc5cY3QlysmL +10EhXRxWGdBfDpLZPBudLuvy5JRyLL3dxLX6J4fIgAVGsLSWZ2R5AFYpRMrCJIIm1QurHD9QJN+ kyjVD0VGviIv0v2a2HJf9hLgVvyqy8ETrJIo2wM7V+ZoA56+u0hrruO8gOuBXYatmQ6ejBDmmhD2 VkqplBZ0igzkHBo26KJNPIuSdnoLq9xgKKg9yv9KgQmeOFN4UZ1H4VUBj7gEnzwKreFqa5p80EjZ JUrrA7mlvDvutUneH3pCaHDxJEo1LFDkBO9ecwuHsJC8R/BJdx9OeKD8G0xGDR5I2VQBAzNOZuNf YJDpeSL1ooW59YL3V9BC5xFctKyvTlZVr13PzVN6LC7mU6jX/ftydOvexXsNTomAOqBgVPNMrMZo SfbbQW8QMLeUX6QubJrTom6KvOShrmqH11mtQDPfoGAQ5uOvKuNfw4L5h0twbgSt/rseUeN1i6SL fJVUoeuYfl9wXMfUFDNTkT3UZzoLE0KVSatbotEk9SFElfDjXiplys2Oi2XW0s/8PFX9gOh7Oefv 4LmX34kf6vkL+2hu+jUMmkhC3Q7S+FfMrBaNhMbUcYZWU4yMhmSzDkReKrbP/j5uEqgx8d52bmuG zUyJeFEjRSqkSf4P8CTSM4K6Ys1dDQf3gDCsSE+GYskQUYPCXxOPtnFVn5eSu8C9zv/ygVqfcOKC FkFBrkNYDvB2czbMLf1hpvn2SSGahI/Us0eGNBKRmlhA1VS1Mghi5kaza/8lrEmCwF4AgBGlsAaV FVr94VCI0DjeUL/n89i+hHZvAUzDREhvObpV4/gRtaCtzkjR4LkPmmh2YN80uQQbF5OdnHBPQ3Vj r7isHIiAonf9fjIy5sG4XxalvLx5jl2DWZemoQxtkNt2bmUGiOIuq63MhxPgFxIMRh7Uv+1S5NU1 dZh3JAKjhYiCCyaA+whoU7kjTKMtmSn6p14s2LQcQwjqzQlgRAezRY06lNvrKCimkB+6AUMle6nW +J2gNxQjdVAFcukeB9oAU5HLZBguSxImHfMZ06Y8HA85s+p/oyfJOGcVxMSruimuj0tRaZYVuqgk 4mTp9eqFS/20z/74qtNDFOq7L6jYK3piX5RqegLNxcjfkqYW2IEXfqM12gOZnbcOAySmBjNNv8Hv LJnU6Hmcu1MpMTrupy4E/U8c6F8kjLWk6QdokstxvFYpINT7iS/YIu8TVJYN1MGmoWkGh0jb6blG eh+Fip321AQ3k7Rxznr9G9S1jGJtkEs6tqfNFGHGH3zYMRrGSZvieMQga3xzY+6Klm6QQaMVqw3h RMX8eRY9+4XyinZj3sD6+MvEomNH3d+L5erCWaVsAuJCLA4MzsnCVmByAHF7B8Odrg6OHTqnmuNe gl0AJa9GNGk2ADJUar9ndWmtl/2pIn3TT4bZtVyGKMCkqGz8P8Zp9lSu/iRQ9+PpvSnLJsWu6fkB wmjaEpGkvxp3VeKVVVtRTOEPy8sCfPvEs83ZzSG5Estr0VgebkG7lYk9ic8q+uju4i75bDWxSAPf QC7QxWTujo5NzFTMRNYIlxiF77uoNK35LB2OKZb6jeFe5GRq/ghNpNiKRfaZkDNfJVT+avFzTsLF EkhanaLw2e08UjhQY6PUZ9900OJUGNnVzjyd2D64Qy+Zny5XVDE328u8aOWysbVw+CZRpAv/Ek02 g3tmjWKo4TXU0FAYPvs/0B5LZcHSPXNaosHJUkqd0wsLMfUxt98SV0Jx7Oq7qXXJt6g/byliBzsU D5Y+7sM3rb44+N68ma0B26qx1+TX6cbHRu5YWrkmzIMk2381sWteTGt0D/JQwzUedesRIfcoACnS 08poKFEFP85OS/f8L1VX43eDH8nzbW/60C4CyJJDJhMqLbQhon2H+WIJDpUM9hkENK1t+m++ijhE 1jzE6yM7Q5XUARaX0jPdqZ/pnC1B9Klcb2Ap7rVhiiWQTCUZ9P8m4mLg24Wgm8hO9t+uaX7YzcOl 9CD57wHsjDoa0e1LP+U05AAHz1D1GNBDaM3W0ZiCo0TQMQTJS3jvd0PZ/w8bRwtc+Ft9lLr/BIMU pHcDnhiPFcyk/tBc+LAIEtCmRIu7+f37l3VM+H+bPKVe1JQe699RwEG0+OMMMzIvPthYBdTEsSZ6 1PnEDqouQ7ZuWd58amdoxVfC87VslXx79gLNvMR/zRsTMZRUWBPZz+Lz6S9qhXq5zCbBp8RdgkqN Y4kM6a5M/sT6o0lS8ALgpLud3fuUrXRbFxWs8Txc0fSNKrMjXNxA9FX1HereEyUxdOF2cmsqs30K hyaOrHvkM4tqB31X86cYIitse0N4zoZwHsB/3dZksOCHeAYJ8F23kO0iYfrzRrGRUJ7BUGvI6fle RBaak+vSC+t3OKlBHcmuVEIhk6aYJz7WAKD6bynP2dDnS/Pj2T8BxXU4wP2O6Q77Blw52amsz4Ty SfHYIqGVmnYM/cIivU44Itk+basDqNSlb57OXZRXqLEUzI8hj7kKNTjaV3x3ub+BU4vOKqP71VMy L26PsGQmBldROqV4Ko+vm48I7eep7aQcOrStcd+tXQA1SUKv0RkVjYo9AAcQqzSfOH7v1H42If2A MfXzW370kJSpVzCCYKXYZ0/SxYs4wotEwpqNuGkayuDk0rRsFIQUMJTDgrkDg8X9i0wEEsMRCFTR KAxmT1GhedefY1jWyYJjqrNPdwBGQZ40mxSR8koSYSZAs22jjisZ3ALoWaLrXYDcPxgr8MAW6X+R itbIIBEfhDkV1t7mCDt40rj7KO8PCeAxsHnx16Q9ZftpvEydoOPYzVFT7u4EOHeZpZXEqm9Awj/K DhA2uXXGXeJktoo5WueBRNhELP1giatbxDgQe8uAsPTXwKhPWrR6HyjJb61vaD5yFmqpLM9UUBFK HndDvtnGLpPlS9T2jfxvpuXOquBaZi9cx5VpjKsIJzmdFG884vSakd+RTGBMHJIDMkEqniKEfjTl fKGVcHisI/Lzr8jglS8q90ywiGSHeTP+UHEhYvratQaJ3Hut55TXVBhV/I9LV+7n3/YTzDNeZakG zL43rrLzCaMzmBTltqSPSIugiBQIN/x//KOO7GfAwyTsawMM4FyPETDK9U/AsTP3lEPsCXz9qmjv MeeAe65Vuxml+/n47ehE9ly1OPWPFiY/x9TwfEs0J3mU7w0wRRFm+4YXnZ+efg/hX9Od1C1fy7PU 76mU0IsQEFTIwa1rD4uKsliG/DGNBzsKG0OyBLiwMrvFwSjmazZDBafnQpyQVZyXHdHXGTKUqvqa MoVjPF0hdLv72H5aUc193+9ytWCwPTD5MhNzM3yk05bgztHMmdbx/8dtk6K6wemimLR1hRHHcbUw fro+yJlSXu/HHl2hRR9+hlIG1YmrWkuHU72PzFYMLseOE5o3bTGNaVbaVi5j+mbJcyQ+hWWuIRVO 579AH5Hf8IPmM3mlydECNlCUWLIKnkiqNX3XifK605RMkibFc7Mcl1uy0/isB23gbvhAa0Gd1DyF +nT8ImB6StkdODkp54YxR3VHSxC9sdzL7c0CA7qXyeL/y1uopft8K0qnzbA6jFyVSqN/hJ17cp9q 9tskePXgiSFTlJp6KWVNW/3/0OrxtmRs8EUfNIk4gupRbuV+Xjtp/jAlvZod6/zniA+PGiw8evn1 59/jNkFj/7R0ppmh1aMzA6T9MxdnPWP89jPAJcYKSJvNasjKD5lnnZy2fAIk3hr2JlsQspYUFQpN +uhPEL2BKQDRKz9T7pYJM+4vAP/nRsPz5pHyhISyw9SglyCtO3jRpi2dLwkAX5UNCFXlBRMFZKxm 7zs5zWREzsxrDXYeVGCL658bJ9w9W5lFQvmRQO/gmyOilaU+BIhW9QuZHTmV1gmHHCG5I3FvK96L TM8KyQm4BaOMzuA79vBTFl7f/Apdq9kugHRjEaa0TOuV0A7v7C28gvtMQVnuvFlSNTLSuhD7aqt5 mmLZzZqDOWNcDlQUuRLUlqT9VF/5BMVTyc1khohVe8Nlqba5/8nQqO1kePoiO8UjBaA4B+eANgCk NyJLIAYWAla3B3NEoVLKyCJj9CO4ZaodkM/xlNWJSJtHkYkZTM0+EONTOHoqOlOLdQolZkTKMVxk bulhya4OSvIP+JwbOlDspGU01h45nJ/oqGNAba4Hm9j15tZPzCXCiWsuKuHUlt+KdrNWRSpLSRRO OfGUv5dbYX4F6AIwFKnSBbQf7HcZ12ANkTmtDZ+dJJrY6bkPiNoNEyHzB9tnxmlSQX45EfE5W54/ w+ayEMSgjoYOfVBdNJhhPvCgYKBglLM06Rm3iBGQc+o4EHbb4A1tnsboh5jCo0ZZ+PQdixBpWwIs FaSCbM4sqUIlbg7xSpnoXUV7hXWWYJjDaFIJeJuoIe6zeycvRY2K8kmaA/ZQjaaHCUZPtYzJK+Nv LFwXLT6RlE2RA7Kw43kS24BxNjAMIaPvav/B10bvGGhLg8yUSnru9m8ymL96h6bpbFLhG17AzxPb ugt5oVXOIy2nO8ADkmFczz59qnCTx+YirWyWRQode63n0vlu61pddOzbgnDbUnNgNrY/x/gpA1uT sawydjRE9KlrK7kActL/IjYm9MEgfePo5vb2cPUyBCTYBTTNxMhwirBbvPKa69U36X7DD6RDsZ+y ENf5wb9StzEbqPS9pvFvkkZHtFdIND/FsxLGXy88zZX6g/0GjQz1ru5kf8s/hg2+X2RttJxfgBjK PcwAP2hNWGbymUeVC2nTCzSPWAND6mU9LO5IYHtSO2QO6QZqRdrIVXQQWCiVAz5MBGlcqWp2hQn+ C7CcoDlHRTkD/opfsmZN1BUCdvP1EMEiaB1E4Na2qSnw23vg3w5Asn3WpgKNIACy7NPFtmxX0rvk KkJyendj5vqG/uktg88yrK6gZCfkM7/E6WEffYLMe8uBlSyrck10XWBknG1qi7TDUYTovzS7h+eQ 5gh2BYJtflLjEZGnRrg0TuFguuIM8Zl8TXGUqzIYzRp/sHcprqMkrajSIwNlOf1ggioq3+V3alRD agjpeO8oa8vuj/nMJi/4yiQRFFaEJyEwpBBSn4PbqUdraLEZWlw2xqoGsy3Zczn/evbRA+DTo9x+ ClEMIDQweFPpFHk48ipBngPHS4zojm87/hqPhXBKYn42+qQn0TuOCUm75TpZmoyzMdljfch2vEV9 o1m/YXr5ByCd60NSuljVtEsdIt9gXba7ZLlaVWNS4af2KSb7gKbxIu+KfoPnMGVlhlZuNL+f2AJx SYi67yl9ctvjytLZ5Km7adLJqxNuKlRUc6hWfX8epqiYOXVBKsZ3vs2zllgqoo9nb/Fjl1un1ldb s3ftRK3cHsLej9S20Jk96X6oWmFLRIMTi2C2SOYATMXazMfqYYejTXII2MTVXFLYJ6CZ7HVI6C0l eAcxvBhY425SvaNmLdfNBmxA/w64qUnRRm8qcVp3gm+oxhFQhEpJhiNyLqL04ZX2QP24f1aZc3Ng BDsg1mfvPc2POhutGlvYA/hFuTHdT6zPshjnxUFI1KzPozHQR0VcSdscoO35vRH+LhUIIfUMrLyW ayXpu/anoeg0b3fPr283TlaDqAF4t8yEjUHq1vSWtsUxhFjmdz5iRtUrsBc0rwWgkmgq/Fki+V9N Sq6GSQ1ko5rawQ61k1+gS/qqc527BitEPldKVhp07AJT5GTolfmHq4uS6/DSvNevu8ccK8F+iSUx BpNiD6JslkeVPK5NlFCZaI7CbG9tDEdMuvapT4khzggSfsJiJQ2/jjLpheRMaOnmmcuWck3ykG0y 71LqUvjomX1ZvAY/8xQFlQkZr9R/Hphw5yZ3Ghnecu5dgj1hw636dtA7d9BJBu5LDxALghdHNNRd SJtPXk/s+QfzdE3TOT4CnboZkC9Dhz+yEwZxcd2jK7Igt7wwzKre2GuYLB3Occd8f6+xOQqa3SON v1lKpc3hRJwOex+XL7V6jwtN7pUl8mfg03HlkR3OzSuWvFigdVFdwtKzSrN5KdVDxqEKk24jFL3t NRXDVRyIrzyw8DYZuvVCR1one765AUzZZGF1VNnVEflXKczCBr5mHk39U4evvgmWHxwHyh9kq1UH pfSzU5FJrmpO/faW2tKHcFyAqoOBDI1WSaplIJxFuwS/8xNc73peSFoqLmQMpTdMZD5vTEgE9OJQ tmC8aGvIjrhS45+PHene+wEFTZe71L+wzrQ9hvCnpEKeB3oTgmQH23DPSKhZV2m3UtB/dqi7cpJ7 lzU5f0pURlgneTZtC3esZeA2yDjs/q0yzMAW69LwvYfqUcri9qUytNzbpZsXe2ixRlmiWqZZmNVN fvjKS6w96RNje2VY2Zv0T6eivy27LQHHvnq6/bPxJUPOkCjEi5QflHuParRWjHz7ajJUQtBQ143c SCOnaQ9eYfjCSTq1K8PahfRNljPWgIdy8OXgISMexRL3KqRPKDU1EcWOUBQwY0zu77RFzgIe92km TMr/fNwmfn/dShqyc94omlhLiFY54G5BRTMVsMO4IFHgAnXYCldJBBY30c6ofXAVDI5bP9mbNGji C2O+KIxGXG+9FXV5WaeUrTKBAcrdo6UxCLm0cq0JOJp7CoafmvHOACoP0n4dP/z1HzieXWT8QK7d crHl5E/MDxHJUb8bTjiTa2fSsSMhNSQKpozpUlyDHvzoIwq7C0IJCFlXhl/LowgkFpvszA6dFSOP IYsI7FPQyxvSjxqttZdVnN64XWqdewJ4hnDHfPcSfjtaaVF35CEY+2ZyPR7Wl0AAKUefpVDIB+Pj 0hHmJz1x9qPcNx2t9CrG8rVYHYcw+H07wNBS6kI82Z4R2DSax7341KSegBuLNn/KHavbUaIut85E d/d497nHErWzGmMYVGR+Wc1ikPe8zD5UK1DMio62KXUseloSOqqmnuPtQA/0BIjMQkfm9JOHf+V3 g8DCVXgLHhCAovgEICq+LdKHwXS8XnjrNZLGi+mqnUuHkNJD7JQ6fDk1qi6oVExebCWxo/2Cd/md RwMURDCdQNgkjBp30uvX/ISIlOcpnx5j3BKCdlDy/oiV/DEOg4Gy7RKK4rE3TqgHLpDZ2Q2P3Npe 3OFsiGhDlhTZjD8VPeN1W9mo3LBn+5rKTDJYhGKoJ0GDn44xf7mWcrgpyepIBQq67/Wtfr1evGSD 3TEknG5JwZvYpzT2Cic1vMEe/yc8t/HWf2p3o2Uiirw13uzlAUpGnvt4jo17HbEl3QpErlbiFyQQ m7qD1qi+Cyo7hDAqptSl8fzt7coXkA6/CiprtIgscaebmijUIKlTRkW4yUmPu+z1GOiyMkPBqw64 Nfo8T3hZ61EnEAdQx5uRCOlf06K2xmBMUKLnNT6cn8tBS/niAeEqExQvdHXg5kx/c0TcpccfcqOV llqHSdqBLqRfaAqxX9a3ZTsfQcfQQclBT4Eu0WvnkipS3ElIbYV4mTZNFERMvtXHhJgonOxBSBJB VhQNvP1CHKc4q5mx2/z22A8LijNBbXukdSiRVm8H9bKYrgOgZtX7IREOICDTbr7Qh8bN+8SSSmMS 5wGxK/kwoBXXqjczl8eWqHmU2EO6d74yrVI5F3kSLwzrfSZS0dkMEMD+Qf6KljmmTAU1aTBwben9 2OK2HitxZ095Q25ahEYmxCdo5uuqo0x8FUP6xNXfRJMN0Hh7tEECfqZpLNpF/+RVv9xgVQXZ8CvD e0ZQI3nFkDBKF/ReH1WXPfCjlZjSi7xquHbDDiTW6CQykxS1UwoTESYOItcztPOhLH4vl3+aSxwL YD3oge8aOOJVJAHdPlh5+sjTMmW39ARMEZPEX/hDZCREkbjyCWjBaGtzWxOwxTFYE6xmjEye2aK8 LquYQvODoG6l4kJb2qu+mdaEy2W/9xR28bZZPgubMmzGB/rwZm/Pprpefn3V/N28f64Dfuqe3qB9 JTiIpHtiCnhP6EaUOcLgO3IyaQPsuabbND4cr7MEM/YULYYjQMJPxYg4qJkhSO8uOig4PB4Z9k8F D7yfBrn90h672ZDxEtykH0y/Xu4xlxZMvaz/X2ZCiP8k8Vx55UKzm7znl41VIquqo8V2ryw+5Xwu 6bsK1MkWJ2cEpaVxuBxC0vePXdB/XdlzEKYEr/IW21e2TB+kxqmmw0pKfY6M5dq5zKHZ98yQbkRG 6qpCEc5P+fX8sCMwUcKMAz8eR+/wmSAB4CtkS16mi3BF4/KxLD3ozC1ul/4VOsfMvn2yS012oYHO 56I7gBc68XdopnvHc8VpWiFD9ja16UYkV2BIpObKCU4xEtlGcOiyaeDOoVibbmg4702BUT8jgeMT gfS+jIt8FxXNgPTIGCJDJvSA9eBsCFCjeC5eNUFup5zk/1iV8a9N16Uc8Ot+CANj4/NgVONMxW6/ kA1+bW6Ja9Wz3u3QQi6YpWZTTSOepKpFjjV0te/75riOBrpXbSo9EpIM7qPzazQZEE/oJm5n/WHv Z5+Ouu2npHfPO/TFN/47e7n7ObHlt+Ah4YClBR/Up6KOLzHmytzteqZZTPZgoJxjkGr5CXJN3ebl WWUMpFacL/PmLS/+Gzlhd86wNLI/ZLRLpjIKlKT5v/Rk/1bWs6EpdWLD3aDpwI7q0lKxLNIS1im8 3o6ur7whDR6HkMeBJiDhBfiBEqNViRpMftjs2P1tJ7GtPVdKYP2+FsnNCSfvh/4qZEEa9oZCmKNk axq+lEVxIimEGcqNslVzRuMgaZq6d1oigWdBgmzspeZ+XqIzD7NquVtlD9jJ4erPSMBPmjCnji69 ADxXuNxOS79kfdeFdSV/l0uVqDDUyphy8MUC3sDKWAgX5THHdMV9/K+1XWoGo4MbWlpTTijwQGT0 LsIhftZxzEyyGqADX82SiTx0Qnhse2E8+gjuibNf3wa261YYj8mMo+KCgNUJGWZDMpZiacKeHBB4 Yqgua+miJ9ZpMNUocH9+3Df9ivywr0AYq0aUCvKNfpr8p3O9yNLsVaPYKrEdbm0baGBbuBfMITLy RuarhP1Xbz8kbLWIWPURpsp32cQ5ulvOrp0j6XKfsBbvTFydls9EM3D7rAZHKeLW/LG/jLLUqrP1 +5IlnGqUjcgfqCEcvA47tfFPXMLEM06oqVUsDs3JdJD/4qwV0xj7mtZGeMuYuaM6rKfLOWtBI0Ox 7yK5rJJcUxs7Wx2DAXq+tq88IX+R+SRODvZUD4VrEqtt/JWId+SYLtHwFrhjd+oLKKjQOnM8u9LT UNkopuRrfAPKDrDIKjbxAjeB//3fb5DI1vjhdV66y46BxakibwQxZdCXnBRRNE9DUhdHSQkU84Y4 9ADeSFiEvXzA7m9bT0pLUGB/jUCf5NQJ6zcJyWHuGWlcbdhZwKOKR+Rl2MJdpDyt0am+iIsXFvA7 +d5FnOQqVG3CJ6YQeaaNdqRStHYaTioogxAsP8VBfOMdWC4i1G8FLhR5MVlABogyf5j/lEP18bOf 1bSd/UpLCtQ8MluBYB/eijCiU1r7Kipe5xQIXo/zCKROL37jCNXeYLXSGLbrqoy7zdPFIJ6A8j40 tkD+rHtiDM1SYmmlh2t5PUuuaxhKd/u2wGHfmQ+kuxsZq+oTYj5uoAttWTg88Qz7/rOfDkisn1UJ i+40p1jsHBEG/Db6k5TnR5VG9m2hayrQQRl+1iH95GJL6SiL+4c06qlu/Qoo+Voq6PzEsqxv26/0 IN0YLACwYKX4RiokIwf+xp2mDRVdd/mbNcK3HZrV836nO1BMb5a1j/frMtu0XXTRTz/v3E0Ka505 OKCtZBD/QnNbjM33i20fBlZqX1vbwrQfEJdGpP94bkHtcCE8F9gWKV1iq/wj3LWCgq5hgB0IjpIw xqbx76wMGbRpRu4FNiMcfI0/ybOg/b2v0DEL0dkNyKGg+l85NYPZ24iJIXeVwU8+xUYuyBXhwa0o v8OeKpv057ILWTe79MtAkYraTPQ2OclFktTG6cljGS51A1U49kqHiU+hLd5pY3PN1kBftuZtRSu6 d/qsDzTDKFLrD5klXLk5H/yJj5TuBbQMlsFkpMD9P4ZGTYLpBfYhtFnTO9KHpBRF6TmJMuSRP204 L/8ihm0JJgOtDU4FsZFHSDwyZRCHed8wghOBG0jrjPpWkk+K342fWItXXG62Ur57xGm94B2HwIla 5pMFa6oVFWoqVPcBauK/Ht/9BygUm5Q62kYX2FHoanBJ8gpEZraHSghpcwIpHJbFF/oXW+tCAK1/ ReKOMFWN729swBV/SqqB1JizdHwQ/Mw7gvsdXF06Ogh2UC/O03RbPTVShbmgE+Ccyp4eU7+G8G1H suh0p50ZmZo3bAAfYOLDGBAsNcwKE2VxsQeceyeZB6UQRJNPlCkjViJzXnAmhHnXJ/AxytBqTBDj tV15vHmF1nt6TvXab0zHHXitgxD/rOCWpah7uO2+eUgjgE28BlODKfC6KgmyvCsxI7fAj1GZWT/j UmDwElegWO74PgpF1wjun0UkYXHchqHbPVzxr0XCeD2rUVpf9grBuGCyygLylcnY4c0RdoNC7XWW CZ+5VY9PJpaCSDQYs/HADVY8qaovAMQ+OnyZ41xk/XnHdhIkrD49JgtzbjUxVE0pWjNfVo1JdGXI rCWt6QyfuAh6sjb1odWR+Oo/hNN6O3oqqp2NZJtM2KkGoyt1kOj/ovHhOEO8uVX4cG1O1VbthSo4 jUDDoTpRuX4KNMjJi1bdf9ufjJ4G0FnbvZowhwBJnHOS8sRo64STzaV1qDZvS1QNoQ8Ye1Wwygmr Kqk1I/S7KD26EyKq79rVMBDG91LINYiZh2leTEaHwT0M4X6jZT/QSf8abDxWfqfvb4g0B0yiBjLA 31NeLYXgExy7JCzlw1nOv+MpysDRBIJK5ShMWraf1mxJmjoFiewzU8YviHIkYCHU/2Ow9P03MZv/ RqHHZmu75LD3YGJGKIe3nuITmcGGa9/pfllHZY0au7/+Z88xJa1Nt8CTBd3j3zcg0h6HKJNy8WCc IRYHnjDyX0XSzNA5hy3aVUE6XbSpl/MCsLjF9kj84vOJb5UvNqG8b1Y0qhKMKJBxPwZ/CX++opWo i18IL6kk3HUF53ygs57Csj4m1dHLniM/7Hv+x7un7oPXn59IlE214KcmxeCDefgEXNsWJ//qQe01 MqO3Y5urvvCQbFy/n6m1IGrJ3LtdIbzw9pmqFQlQEXURHPaovDtmuf0C0rsWhjwaEGN7w26X0auN RV3ewjSdLmbm7VfsDt0gYiBVsWF33aC8z7C8VhWyCXrqi42lxfH+x0u/VPx8LRNb4Qb8yJY00tT4 PnzlXKbOAEdWqTHIfz8k4fMwY+WHxYiKX7BxPw/kUjHRG+KZ+ZzP3vsrXIMF3l5AVkPDP9/aGfZS PHKQWQVDFxTta8C9tF0m+NeVafwxlqudplE+7sJbTSqVQ+ZPnIh1zUliaU+g4YlsgjuyXpc9LCth sNK4MTkGlFH2UmYWRqVZwvGjZU1OmIcVcrsJEeXZFz8yPnrjPnVfTqvIExngFs1mVnkJYB6LNYTb 6QKsP8LZQX8YCif5SQzMyjdu5pGOqsDhsD6oUX5DyHI/WbKExek0+oIbTSKAveOOfgjruFE7UESj EG5wTLrRRQBV5It1ePgqrwXn1oPHM7shUTmcHWkw6cHbsgaQFcthj04YKLi/3v5YcPg2UIW/56lL OWpFFe7fK9Kt9hwHP4etCqnVGulPncaXkAqa3O3CS4el4yZkO0QbT7W9FnFnMrlYNzv7Gpmx/DuN 9Wp2dJiRVqFaKZvNGVkGtbsvHwku6jag8GLHKUmX2p1mHY9N90ny+o+pW14+neyV/1NOEPV4TT/3 Pav4X5bva7r0MkKzLuryF/YCK5AvjXKtR5d99lx8vBmzs4LVxnNS7qXqxwtv64bO2lP56oxoilf0 1Eb50DPHNrPVdCYWsv29gEQDrteAzM+I1BfnHbIiu6kmyxcqTFo5ZKBAh6mHvevB1ExBdzh2XPBW ksh59SdI4IgA8U+QZGLX328fDfzKu9MxPeWWvjgPugc6mi8qvpKWrQ65/pUCh3COEd0fphkyTmyZ +piu+0t0PxtEE+PhYN0MG62N8rYx1uCfnWzQXqjzNmwRDO0QgcLoMijQalfeFo3Yu9zTaeZS+4wE BWwoKLtc9Y4sNN5s9jeWJs7kadUeWodDUKZrgnLTeoTiaNKXe2WFkZmsR/io3Abo48MXLKTDzqCJ 2LOLizJOYqwQstXfpTYCYSj+3MDzGO084MUk89A7WmS8SQEP+5wTQmyQEo+8qf6PcZD7k/s0DV62 KrRA7ClEdvKCiaKtdDpowPstCvnzofNX6iqHyDylLGKWbtlwpJk1tTPogei6Pslo1dfBE1sb8S/I JxTlrRstMmlDNE+/RCVk9dA4r8GamMDW6cUW2ZZQ5noVn5GOuuhqgJ1sB+nvhD5IaGd9uOf1jQ3b 60B5vXjapGvV/vH5+S9oifqxA6RMgY+6OfmbvS0m/HjQZNnwachONaJeWeR6y/NkRSyjRfam4gH0 MQDyuSVbupXYCG13MBddYj1gbKojrMqQxXm/P/lqa2Snz8LTmC0FfgtlcjSwrKlPcNxtspV+kInJ D2bzQs5p6SoY+3esLghNKh9tMm8Gw1SwVKZnmz25n8ULfnwTNxxGDw+3qcsO8mgpGDD841NUTw+z HVBM40U7GzpH7WjPOLHOcnfkxn4gPuiPJ+RfN3rW4QLGfXEQm0C7reJnW1CO4qab5lLe4Nioa65o 0+HBz2cDOu0vr0cCTPn/00ZvDjt+9yW7xWQw5ilzGYpMPpD/Yserah3e1yBoGC1CGuyP6xovONUk BjJfKLlOjG+DrE7stuu8F2fDa+i1EexqR3tPc0JulL0L5gpDiEzdm+Qt4BiEb9atVOs7uC2XaLR8 VRLvJoBKKS1KLIOPbNDTAV2AVSLPXsd75dSzxRsMAnN6geV7Se8c/UFC4aTlwJnHBb917JTJtEUv AD3IV1USXROKXcPeskmE0sJJ1N+ybiHBpGIWcqk+eBqkenEuiEpP1oACD0M0iojQAA7kEo7xvZmJ he5ETFpInB7hGPi0T0koITyQhQiCKSLBaVsqOdzoEWiMLdisie50rx6j26EkmHK9+JRbGVKV214A KoxStNIYI7j1V9q+pisZ5ASR9CEHwpdlNKIBWy9UW6gJQcvABCR10/e+lXVd1LHZXL+jMKE7+aQf yQ4MtXE1k0tzmANRSTQyL73HvDmWPhr0MKpytwkKDu/v9kJwQJOSE32M8XZKdvH0rSdetefwOhX6 A2RfuSpRO8x7uBi+Ok1BQA8EueeW9PmBQJtBpn5KLXX1CcC9x0hAZ8Wt4cBciL5ZUs5TWDb80NFe dI/h6H9VwENEpIZtiuZ7bU7irLmKUMMaiqqdQyTigBcHt9ZO3Lc/hQV3kqS+JeSDBlozzqxVdFQm OKwZvJWcT2K0tbIoEbcgUMWiq3lOyWgYf5P5VxrpodvTiOvZgVvHlmyoohRhEhEhc2y2Fm06S3NY Z/UTcp3sLgUHLIik9iOr5qearhFxBsnMZoa/H5Ddu2YhDLLWAq4GzNVovBTapAklDhB7G0yLQa8c JssKy7G9IggbJtLJ0pKAIq0kdId/daikoHelvqJ2Wx2Gd8nWX89x2CkB7iR1s1liyH5X/dl/Mxpb BL6UzbhrnqNFUajO14opNA7kwnJ9pe1t+dGJ0oFEyfYM3CphwsBdHSnxCipDmXnhhmbKomzse/By o6kbFQ73xiiQQzRYF24PmRYk2+31Zb0pLblH9pPxx80rosNPJERKP+CBFIFupKksYEMawcjCP6LO 77T4iF+1fT0F6HWn4/YwPjwmYgpw4VRhcjsFjKnNaJDz9soZOavef4qiVZt6sfdmM3+aPry3aDwY YJJ4JWXESygaF4TTMkg2W/1XPn4KtTs9sd6H0kJNyHLtDGP2UsTT2fi8HEiyD4SajuJ1aEwNKOVn 3o03xljowgkbiBxMxn26bssfYjA1wVb7aEgsdK5x7X0TDJ8e1/A4cLeZYyeS812/G/yeKuiqbJUJ YwqZd0DtO4O99AUuQrSW+dAyLTHaViQo/7IZfilb6+dQmXktIbc7HdQy4M1pHEwX5cQwkKzOWZ5M ma8CkO/y7ja55ZSIToHhaxnk0aLkP1FpjVYSEHipxEBOSVkzFJjpcHHHnMUpBvnB0QMkC8KMb6zg /nZ99OBFO5480q1D74lV3DcohETz9vyss0EfwzaKUwexu5OkYXNHimipDS5X8qTCKDu45b+IlF/4 bJjy5gTs7mlKtq5v3YMAFUvFxMBsWKO2U1E/dx9nXW4pwgcYq2Qkz1NRt39K0dbqTjStn3RyFG6W H2cE1aU4xT+Vv/o9vUXxs6TTXqFiTOctjcJfDBO7DX14/NyIokT3lVzQYLGEB1jRsdjPf/ZEGOah Ukxmuco2ZTi+P1Lsbxs235Ch/OhZnj8cYHNlGPUfk1KjrOaBZcdOUaFqfvDWj7NbMpIAcJVF6Vcz 6YrWeZg+DP7oi0M9XPpY2iSq7YzFmCQVNgLX48xUkZCBjs2DrDXSNsm0JXy/TipRkCV7wsKde9e6 CtHz2tnb+lZi5hIlE40slQijwaPun5Y38FQD76XMBFsDlCjLcntAigUxb6GNcapWD7XFUv6fya/9 9JVqWvqVZkjlLAmSCfW/vvEHRpMhFljygr2otF5FKbmc7pHAKVO0XfclhKd5BxHuluMGsoustyec r3hO9TFStERdxp88S2SbLrXfBgEBGerxlLRXPTrB+WZ2zk8NjvO+SHk6gedXVDaf+OUI0CkZlla2 kXGd/tXgp9TgtHxLtQ1MTcYxJH8uDkRnVueYKuJx4XYd2vm0U+k2Hx/pQeFDbhiEsP40zgh4SOyM 85/ixi4KkBz2jAFLsGLJwBuqZis6voKATqhvSpEQQpWzLUCsz/WSDeb0xnYpq0Mh+yrbZjuj5Wyj E5e5MMGRDOzbTz+BsyZUlVC/mTccp6wcZoDB03+mFr0feAn3+crIIwb2qDfVpnmNDVwytRvxsYVe JHV4zA1KNlrWGMARqBKmO3vWAovhbdQObifFWxeETQwHoVk1PNzYCthBZpwvp6tcnY6hiKtU4+wh lYx3SHWITeiQbC3iys2WoS9u8rbKj3IMBHHgMSs4WruuXFtBqHSXdldeJKjIGPhzMZ5m7V27LUTJ vvByVZXkodVAWxirKY2UUsprBx6ehh7nXK71jea7aS3EazBbvxk4TF98utQxeVWCj2lrgB2zDV3i 6Q+ffls4B4ATQAS0EasP/6rbO5EQE8WBHKXiIMFz0Fw/K7pgbYhmyiCnsQoYaUKJ197KwbwR5Qng Di+AqIT847Ms4UebTrcyREubvyHriRSjg3a1finjkchbjBO6r0bA3n5M0fJ4Wjc+8JMnBEJCuYYR oHn8gz7cJTflI+Yi/eBLZQI142YKQN5g7JChp9bAN6aWrkk38Sp+8fWjw4w1loYB0xc/s2endAro ma+sSU6xeDAKr6/nJUl5GDwqX2MWXX1ojN6WjCJ+XvBtIWftq4Y36mhqdq1/jImvW67cJbUk/QtC GtHaJ2zdGgzIxKZvpcVg9gzP8mK7fwbVKBYuG2pZFu2QSmMvUlwsWpziq5uzI2DEZ/u47juSjSKg GWjFJNHjPL25PUyRVc0ZHaWm92tFpcr4lORjHqM1c09AIpiuS+qU0rrMLSqCk5as+eOyMLcSfASj iLLkOs+6EuiET2PXPr1OobW6yOiMacfWk5MfrsG0qgmO5sd+u66I1KdPPfyy2sHl6f6foEXzDfh2 sIjvoN/jxWh2eKSePkJLOLs4W0lcdQN0cAOn92KNh1/S803Yv5zSgO5pDQoociRESADGpNtnb2+S 1zOc9MkDtYq3iFEk1iboHBhOjP5x6WZV58y3buFidHdQMLKiEZmOIBsYUBoVwZ3swQAQVwpfp5Qy Cloq3+fHI9TPRuP/Fq7tmqcdrwOCgzOTF6zrSAXF0153zN2iQodjSD1/ZbIdeVwID3jP/lAfwVP6 cu/7+TTAhktitFvgtNOB0Welrg+t7AEJlHsdRdfJbTybqkHHGrDW+fmcNY85JtjOGhKOK0dS6GLB INwDoo257aYMqPJ/bphEuodSt+HI+A3/P7wBful2TKfESildiALIuG/uT7XuCrLzgsqFICqCv+oT Lm5Yx8rJ4iRsXR+VywWTeLJcNOWm7J3LSeN9JH8tMIilOQCtvvU59Ito69oP8ks9QN1bbGyPaEbe KtVWFoI5d2OhjvDGNbV0/AJ9RokjPTtxjxJHZia52JjbkqBXmXKrXCZ3fbvVeXTi/P1ywUe53SKS cDalNLkmRH71+ySH+QAT4xpg4A0dNriOAMriVQxH0j9hQ8is0hQ84BWZLQk4IZVUv4cLrq1R0YR0 uMfCohw8PU9FWe7Vfi71DOC4tigRMuQ0ywgyDkxfBAUNqD0Xoh++TL7gtIzwuIXD1MklcpiO6a8i Mlb42eLAk1ngUzyzrrHAUj4+oKPGMPwu1n6yz8YgIFGtkC5unG/5ZrCS5GiX9cl3zS0UvZXzA5XT AgouYhi1OqnAlx9iTS2Z8G/M/uAtOqhIEmFtDwCLc48lxw6/qii/CVaPnUmoNHVej1hATs7hufu6 zooVsZ46g4TABuZz4f++x/tPy4bJVIaBRixO/V34oVADbCtkjIH93P/bNvzs8sRa1qvho0kXqfTG yEpm1SUaUwMo4mGqPE8aKB4Hqr+gJQnkc+Sv3o3nF5ZjfkNd9M9UU+0RkEtk92PQN00JRbRiSafC QxV1fBkcVwsO0J94d4am8XrlPXukVlyC1c/dvwOhxIHRLUyvumGmOKnCGfm5uHu35RQ2Rde/CTUa fMXck2obmHRYnJ5/pMDqdHudRWXWWHg/XSmx0MzaDs1JU66bZLEG2lcFipFTRekp6TmnZI3s1nFe cY4uSJEJ9fgbbfaDLCzWTplUtZJh551fj58qIwiJGr+wzyROgVGEovCyJyOxmiw697iVENBjUb03 kyDNhg0SM5NW2mFsmRYWuKFBYPM1TGXE5HuJt5FHq8Jxrpwkjeqt40XfFyUyM6G142wIU8v0cXdc 8qiPsK5ziwVWoptOhCyjG6rXha42Vpx6mJGWMfaZoSIkG2683OuYuVSQgIb24BCCS5sIXFWNqEW+ 2ARWFMt3IqQ8TwuQkD9z7EkD2jshx71Nf9mMKzhICq40+UlUV1mard2GpP1592hhqdG2X6B/dGWy fyj3LjDJaCK/+3jDW7AtD6tmikB7R5v1n3gi43w4Vz4/NNRs9Bzd/jCmDfKOt6p0Gcuk6W6qrJOd 3ZerxDuuc3JKf8DCQ26O/J0XQY+dUE7dQNfc8dedRus0CZ+yIy1c36CCHkzY4qIKrIH1pSgDUq4I sgOhU9gUYKdqCbj8nQWGGuVOFo9sPh7jw1UPl3ef0CyODacm1IlH/FYx0PkJie4uH7cMEs7MAXQP iXYY7Z2rajFfVZP5YDu7kEml0WCS/kxCDu09h5zHMoOKTomvj4sG7I4Jifux6Cy0ae3Hkp7CGfoN NHtupwrvCu3azXo+eCKzffPF85F/cciGAkNLRRKotU75tQtXdlKp8WfCq57PPzM3fklEhBrJl6n5 ZpgIrs+yZupT7hRwxUuBuu9zthBZ+lUFEHhmvspcM+67+qU4EQdHGqvlX99/aSWvbvbhUrRCPtwZ RWUyXH7BpXlNeDLzeZ6D54WIHfkXwn5im/tf1EdnDNRNP9Bd71IodN4TAYRFiuVeQGWXDj+b8GB7 DLTQAediQ9d9o5TqmxNT55S9Ue6DCmkQGc20XYWjkXRKBTdbUBv3FvW485wCA2G4Wa+GkV0h1sjJ tTjApwykeNM4HKV+F342j+ZspLc15H2v/3cMo2UBxh+NrBnSMmNMYtUR7fivhH/QAa9qFbizMYUs 7zvwfDclx5wU+TkgXADMoXSo1QnPTaYvhxhpFRPn2xVB1M5dJ5bumTukM5ftq9m0TFCEI78LXjKw qy5mlZsKX3EcVagdDR20WHOOqgs9w6s0PA2xJPlUUnp1hDBtbs2kiY9AsX1ZPcyOqSshFWoo9F2x gNHI3tuNwOH25tspdJ7JumtpafMjHuN5WEOqOXCt9PgQGVAGq7eUuupynC4iZcKSYcanqX8Qp7D6 kwZ69N8TaTBUuTQk3YmZ4Ak2M6LEGczjo9XZx49n5pjZKwfCoP75CRZBRuaqgaapmKoZ0oRIlsal bCZJdIcIZZD0zeCy0DyqYPSojWETCXw0wDl8DHRjVfCtp3q3eTh9JEX+XoBdxFcJOWCVf3cRrzbV JufqrgNOFlBYoDhwer1CAOaZTa4bU/uZeTYde8NDRz4p8xiPFVe0YMileukjzLy7RIcgLRO+/ikc Tuh5xQCAd6uNCuGBgdfqvO8J8DhQgjWKCqTDJruUD+VoV56d3c0OqsKCnanp7xNr29U8Uy/HEgHf onUg6K02bQYAoa/vH13tZYbQBd7dOB0C3XwVbM6HOz47gRcMla6zg9ifxv6ZOHcY0E8BMwpa3QHq 7tggXA4/rXKAdRnPydAylcxz/uZApuKEQsAbmSAY1Q1Tt6c7DlMfWW9Jf97Q8VAEOPO6WcuZOa0Y demObJ/ejsUaMXjCNSJ0n3BcqTuqviSHwcYfDZ8J6BTn/1X2IO9N7ZgwX0PRarjLATpT4g+JJIMO vTA6SllNPi33Gc/N6mR/+riPAd3u9JKqCGsg3uwrtlfBkf+B/NxEIi8u69IyyOmLR7OeRrO0ltbV U4NaYjs7j8QOaMc/GmZ9LSwYrPZOquTks+9eDmZXAVr81LH2kDfwCUWCxxQRAJtpcBaobgXSg3pU N+N/1DVMTIBHOMjTd+HXXAMRrB9brEqYC4tgiSocFdUg2c5J9rTsGGzMSi8APEKOEJDIGcV/G/lf 8wXvBk3aUHlyMY7jhSBn0gXlOwIFS8j4c+ukAMvKwfK5XPLzCJulwDy7SBjUbVfFjV/Qv9lRo8SJ 3TbVXXrNPwbB44+GjBTQVZ2k31qvft9T+5OZKGszONRJFL/NhQJOFHRF/attPoV6u0HS/FJlv8+2 psBYf95s1hQRsqW3gq0XYR4SfrkrU50IoRhvXp98ZFdUUeWkQ++71CyfBpbQJxr4YTlR0qTuq6P5 z3TLxC9J3nnlXoLPNwCoKgtbX+rCeH6XZWTn0lVAKoGcAmCciNPhoPtNnMvAFzY6GPr+T8aIynuP RDfEdXiUyCGkWWip+0+iTTQ1gGv5GNZa9mTITFQC8m21b6zr48s5CpjxsZ3g9kaNIZch5rZF9ai+ CaXdfuRzGottjKEyaFXJ5h27PjPuEDlVPXFwOfvw9kaZIsa0SxiJjOV5MVDxTrrrYnQmuxrXfgBj UXF6e+vuCtJrkkODpgZ4XuL2hb5YMliJeQ1zC/NajaXAiXIrpnk0MCUpKdTKqsQwxFBLywL4aa46 e8oeniIcI55L94h0pea8mQvpKX2YVzhIe7kGpm+Fbn8Mc+4YVPPZexhEbXNajEqurIKczA9XEWwP CHIaB3z45ySx5qxVjh+e8NdahOPaHZU+UAsVv16mGFsKXRoMjm1YRYO8e5++9wLnKGXG/43nHSxg FUWDAjlVzGWkPYTiTqIr+xpxDS2XJ1b+5vM8r6SqG+2I/ADYyDvPU+NHgyIbQxsIRYP1aSl93VAl EDyEy0Y9G1hh87r08MlPcKTIsCFq1Zj0M7bVidr2c8RdpRzyDs/SCvIADq068PTdbLf7DX9xSLbI BFBXzhBasAYf7iG2USYP7mq9umzsYxo7siTsoFMmDoX78i1xllCeI1tPfTI3bjOKP1XOdjkeUUft NvGG2LurivLnSQqWr15taMk4ZX5hta808ComMaTCZ8pJfYzhugiA1Iw25MoDSp63HT4fFN3S1GV1 ytr+f/XfewMop/j6DO1cbP66Eyb46Y+ZLquBeFIXQU7u2JYgVsh8YKCbKIr5gYzjLmUrTJrrMqu8 iPsm7TL+49NVqDM0DqDTBa+jczAk6BA/nGT8NWZeTPAwiOSusgAGGSmwNjh51dKwTAdN0Jkmvmdr dp+IqoRXQzM8dUXQ5wweTgLl/HXMrlMLdoL/bH5SZVnUEz3WNfqYe/bmUsqov82op5kpniHCi0ir DeJxK16FCecwROfMrWNyFZeetCeVBa3joOJtqVlF+lMU6msBG9xb/0D3b3IO/4NQmmpouZBGX946 auU/8OrRoB8AK3iXiACO85VCLEB0eg09XPJu6DQo7ujSpapDvsiGSbbCue1vf7zWT8JHOsRTkkt0 76PppCw2j0z9LsS90OPiTJz6DiYfTbhURj0N+dhoT9zudQfXR5cfks9y+dHiUUBjQgpj/rx2XdNb grD92vACwjCN90eIpM8AvO7qUZKG5LDHq1zyZRPC3ZAGTh0p0AyV/8MLJKedvt3Z41+as3vp+vv0 RKJdfr1N0yqIHdtrEX5ToYfhi9EjOWVUcAwiz2u6Zho/k94tYwHdeH/WHNzTMxV9uf0qFjePkNk/ TUcF1fIrKfoUyYtoVoBGFfY2aw86b0HdIkKCVQZ8y5tiGZtkAUEG2Y0bOWwlQGRSj17S9qtVVEmt AzSz7anp5jakJoXQxLhPqJ4HY3IVjEeIz/tgr6cVhFfQT5O+brM0eUQy89B1f3H65kTqYQMOoACm Nbpf9fdyKQ2QT9rMhiiPpd3+mcGvcvbUlRAiGjQYmS1Q34N2xFHg4voyAujCisq0J9rQX9LOxFIy oLlT/341wa/b4FvEC388pRQHOZrxRqaIviFLf+96zFXpVg+JFlFxE+ek7P6oPLKyuLBfGqqj5AEa ddv5FImkaVA0GbmNujNVEYio2Grq1zQMj834Se7T4IHGYTQl7iNBUeXDFo8x0gx+5z8a1gqXONM9 R5GO6/T3f9IPk3+Rwz6UaVX4g2WMnPWgeL/RuwH4lt5kysFXvvuTId14+2dQp29anw5miSOw2Mbb GGd0Aejs5SN6itM4XSJ5VfCDnB3b1t+U7tjHWCTvXkg3VsNl8EAL94bCEa5wEA8V+2FjawEj28tR afqDgdhNhs8FLPQf43O4vacK896on78i471Ns+wQgcjMDDBBO6dWJ4CvCPa+wgFRpjQsUEjcK1r5 BU5cDaPTZNBR98n7YJn3DDHLTr97FoKbIvua5pdCXDQjRPnVWfY65louR/qqZKpKDF28hy30CTXQ aC/DzQ1Vk81ATIZIljLMyp1waj669moIYGwk9lWimrK3MJNQznlooxUsk2gkLsb9Ps1URpkylPTw DMnQL8hgUgtTpBKHpFoQjwFcBscyTZmJUC9YnA8T+ayFKJY0XaHB+O1e6VrKwnM1ARdkHYff60iB rkpqsU5a18pCXOAPxrHcGENJDVxME5RN1m1tilJaS3aIRi4pjQTmuAf8TT4lWpzUJx9O4p9ThImY 8pITyBWlvGnXqEGOMXTp/DBRXnKLF1Cr2738BmaEmQfMYV/3hgkYEuV2VIhj6blT00CTV+QBuAJp u1iD9URCRZ7hcsWf0sokB31CVzGQNSQEggU/gQJtHkyRfE6GhAh1suCoiDMyrFxbJU/vaQCcOFhs uaoucb48MK5z+OfxaeRhzZTkpvuOAQ+Ohhuj6ZxjqNxXRnJHXJPDEi8nZehF47bSH1wViq4VqstG a7ppArz+H3+EMrPH2p5Wc2w5vnpAZrcCKcMuYi+PnaNaPNfNpSrGyLOW/0sBWz0ZvGK/oR/rfF6Q 3lMyCJxI3AUT8QKWICzKZkqYLtwXPrnAqnScfzAxwkoDLvg5M1GwvS2fD0/1gxYMMsC7U0Z58QuE HQr/QqLgi+94KQGoXb0ga7tp/gk4nOH61dyQdi0Sfs7+W75rJAoX9nF79REySTiUXK5z++ylILJX t2WegQnlPCXBabOp0OfoXfcjCAYRaiC/inQ4pe6N+AG/w2nrTxhaCdrP6gqVk/28lTdmPlXsOPsN 76/r9PeuZe9xGS5mQbcElIn/5VwgwpeFr6wiquCR+LAzsW/exPfuliQX9v7qIVP9D88+4H0eKmjk 4Qt80bhueXDXBKXGPdB1bhqoBJ0WBul26aax9kcV5DbId2hYhhHLPze0cYPomUzs2uQRSIJBHAYZ z5Dnmz27KVq3xIZb2cpKLjVXlR4e4n8d1TNtZ5Xi39tcO1eTU0kabojCnPb6VuE+DaiBrho6N4/H ibyIYwpGX2q6yjYMhC7djl/CKupmVUiVQowhbO3/sm1T2AMbRXvnTb/on0FIPWb16ucC8ofBK1v9 tBPkYKi/XlANnaVyLb0nKHAgGeVwqtIgElSswPFVM3+8urop3Mersx25XXN+Tmo79Yz2V6dSDSs8 1kkkc0rzFGopKmHyZkS17vWOHjS/M2mfX35nwUqzLvNv2RWZrFnMFmGICnoUjjLPms8nLAG54jnk z8VEPJ69euAaRhoT4liGHLpVg9OfijM7mD/KDH4ywynVDxtlseH3aMV8LRVMXcnpy9ki1JRMlp41 srFuJaht6OZmX4SS0WBaB6/Cs3n6UBmFyYuip2I+vApaxI4ZKtQztbw20JutCR8SGI6vxNIOv+FX M7t7Ew+B1i8JBbnCNMe06L4Y4m7OnzINgdt+o/suOIr+xXBfEHfSk5kSuOlxHpEC/lL8ClXQq9Ub edm2p+LBEyT6V9Q6XUejYRRp+c9Ek0n1ZHDtCYbQTC47t5JAmskaLFEvvqy4jeRKLxnWQGDhrOSX 4BwPiwkrYSQUTo6oqC7EXPTsMQTJ8wAJKe/RScWt+FGklNlRiK3TxzmjMP/Gm4s09eXGzK0PokC4 /PEHsnquIrLiT8JMao+ppuYJ2aqx6gyTeXzq7Nb4eoSrQsjguBX0TrPsXcO6ONcJ2boeo33cDWMV eIB+iDDQ9EfdmEcpGVP11h3YiCSMJeYc3JWXHqV6gBwu+nkdNlwhKU04XLGNLcG0El1qQJfe82HT hC5NOS9EaVUSHQ4dWwH7NQQhqxRuuk0SJv9/GEKP9kmgzG5PtsBH2vee1swYAh4K3yPuaDXiiqMw R3Dvo/q9Mg+gf4awYxuPHDhMSv3cHaA+mEOy7tn0vThQt3+6MCJ74GUYDwwnXzjww1gNntoi4vsG tngOEFxF1JqUdiNZzhbabtrxLK1bM2+m/kpIjNPyLzpZkOrPzqXa3eclU7SFrGPUi8VwmBKzLovo YenJ49IMY/DOOoBOt/dqbA38kWpcX/fSu61O0+uklDmph6EcCRM2xUrpE03OL1b1a3eDi6Ei5/gy EXfurwRpyVhPDCDDjXIBohfI0JgQWJjsDTbKZDYKpkt+gmHq1DeCweziuPAfwknKvSmDrlY5it7D ow0IX7/j8aWPA0m3LAJY0wFyayoY6TzJPl3WSgFWiq0G+HdX01FJW7qDoV9VKDoZg4CofMc3A/9m mAkxrmcYgnoJceTvuFDgXhIwoOLDzrRfHnBKQQotuthOzt+tuKNYmQuObK1bsASWva0uq2/yL1mL luxbkVKLuVW8iQHqUYnPBn0qwDELWo1lwoYdwPmUfSmDQWXKRcKFeNKpnxXBn4VSgf8oS1kBuqnq aSkA8tg/j0VHi3p0fHVnEGD9R7Lk4YvjOkQxPo8kRE/ou4wF7QkK60e81b5u82fnQNV9bYWJSJ46 Xd5tp4eeY0UoulyNpjBI8y33ORJoZIv8W/dXmxZ4O7PC6RQKdiqSgZUmDYvmqUKOkSo0lzmFVvMg 9mGeWVGmJFmVpwN/Jy0NXX/ogb4VboJNcVQTYTjNefoQ7jWMx36unU6+OXTla1wUJyoiHspQAkGZ mLR6ewU59GpiQNSsv8LIEWsBP67nXcXTBK4cSbowsNLT8bgUK+lcSQ9cGWjtWMIgUpbhGvGuoUnC 4q5x5hqPOKwHbTIdEjjBAIeZ5g9NzoT0vH3NHA445Fjj5/INzcy8UEDg/y2H69bTCL1lGviuycde q+8TcEl7VkbZWeYUtOVanfKwnkEsHGkxtl9XR0lcERUFgS047nnitJcbmGUVXFUhFU34ok/Q164r psFAEUgOduerJYHZQxEb5sKgAs7VIzBY0su9CbD49rgiCERrTsIXk0c4sU7X/mUXN43lQPxHj9UC Ta23GawhAFAT5DdtlkY7Ean5thvO9ywsxECyf+5HINywih+V3lrCp9KDaftvh8S5cx9s72PUX+iR UVU1J7ZjOSZLhk+PSYlkhB7gwosJ+zZI+VkrwqSH5WEBljdAOCVxlOpQX9fVbnVTUv6xEqluQamz vYAA72wpaYTeuT0FG3yp5FyMkYQFut7+dyRnmsYcMhqVJyjjFcluABUcUZdPzNRelWz+WSN3fzq8 T3xbcw12AxUFiGEAOkoTqMVC0NBNsMFhCBoOwe33sQgqxV6fb3i009BIoEkOAE3l4iQZtu8ACD6e VhfM6jIPASeKj4z8ZNgGoDETABcM/KaADv5TAgXVnBapfPjje3te93sERDLnklT71CTRUaQb9R3G bnbrI20rDuGo/JZVlDzsctcuDBsK+K7F/3gQiR14H34W7MxOC7RN840XIrUgzD5tlvrgg7eMI/+c llcVLsj08yDN8Y6Bt7ykZO2BnXPVGQdxBJxdgbbbJkAp3wObBnxw7w194RHEB0qYCgIJVoKH75UE z3sFXXFDk5Czbf368/+7/+8/DanjVVUcllvXmsHjQuLi52Rv17ud/J6qgz7wsw/qZRtY/R3PBQc5 FBQPWH465q0/oN/sDhwNg60qqqOM2jSaYFkkvfYz2DfGQpwwEuo0jLiVbDF0jdyXCtT8Luly8XIA u9pKiMScTI0wAJ6RA1x5Zv/wOzqHYjCO3e4vbKagADQxgKuZ8HbgDbFLuuw9m0XxEhCdjx9iPccc VCyZViyWKS9TlLUNxudG1141tc8bP4RCmwYyvzXFvh8ZBTgzNrrQdIeeSWFTmiD3U0sYqnW2lxUm 9Em/OooYFY5PRzb3pXapfqztpNmDaQXjLLXmPQh5+nKhdIBvKVRtu5FLyLAGjXBwzM1hN5xBmIXu vODQAjE/NAUMxbAcgj/cFtNVkoowI09qD0C3RGCcHqwOSQGgacLwYQw72Mba9HW6eneR2G7fQdCb 2CBGbSADVDtcVuuRb0TDoS/7b3QlR1ebSjX7Pe4NrVwIDyQnS5OYe2v87aSoWmXKrwUBH4DIwOVl soYxhmXdvIweU7I9uXeOVUBay8gLKq3W+ua7y43UIZJqAxZLGAt/Ks5Qg+X1sbkPoLsrhO44hc1N d/eD/Y9lwrFxyYW5TGxalDQkmpMAtkNREaG5HuMfYdOqmGRJPKr3/uuHH+GwqGrhm8lLMRtLfNud YZe9dBn4utCgWseF/OItBbqqk/ZVbHilH0M+DU4P3GK8C2GaL0huspP2dkjXQlSuAbCazupkDX/2 TVrmbY36sqmY0wTHOlPvtqWjxO8fsubhkK/EP7o3hu4XH45Egeb7Ywb9lkX1m1nlxuthZi/X4UCI ewA3mRf6ckIa7HCkv4eJvTGkflDfCm6VDSvMF0/FIfGBKcxC9CzgNsC6tsJjmj1DjkAy1W6Avdx4 Yl25M7VQVV17oTrn+fORyOLKpS6GKgmse/kHfRzAQ+Gh5005r9aBs1VJa3qWbxKUQgQJ/lkMA5cv ZX8eJqnwG+/HD6jxj6GgzA8bF8qv426c67q4V4ewTNSpJcuM883H7wRxVAMbIvKEH+qp8P/QuXRW 8vsuPdfqmD1kVZKKZ+z5n3yNCw8qe2GZzwFbw73qjFzOT6548kurAq4uOt3/RHjLpJPcKJ9lhkpQ hapgDa8s6tyM1ujl9i9tdJrZWjCwowrlW/IOi0gREBweRJonPQk81MYqnA2b0DJo7AVVjs00ANv7 4NqFJ87KAOILwq9aOXS2YNvsa8MIz6rMnpZfr7AdtYQV1AmpkWmbO95pJoFoeC2am/tMvSim6tH7 jutn2/Fs7guiykXKsQJhb+AMsDejVlk2qggW2snAB42R0b1BKT0ob9Rd/5+VlpqG+KKDGl8t8y0O /9eSiyCs01t7FigljlSAuDc8OCH250/6sOiSvz1/3WSR6P/60zTIRviMVvdGKisWi5W4lBolstDh VrUw9XHZa1nGa0X372n8mwlSXa2fZXocPOu+Pqcr22SXTI8PtJta++MyTuBZ3HSDvwWHbjD2Owxr xx0jBHGF1D0Q9p7OBF/g/6AnzAxL+LEP5+38oxY7DJkmHm3lf020phexPvTZKVDZSlzW56t7DV+V gfkJhjCVobq7u1eljg4F9kbe31INLvlHgnJx7mnudtrc8DN1A6seNMUInMRNRTiEtSSyDbiFIiJn U1OGRkatGPssd2ox3BNIbG6fx7lGz0ak5xI7BmNcwc5pBrHk03xD+qXXJSWa/ULt7yw9Y7bcka8q C5bjQN7TjgrUOPx7+DUDEDSlXHf6OFhz+aWrMpApwAnEEstddlRSx21DylfObBmETjc4rQbqo3n9 haz3LmqNP/K5S4zo4yljMvAE95tR8LVl1Hf8GvXbNq2bUr10mtVzTEf43toK5bIU/YxO3D07YlaA fEM1QE0ZlRyNZoF1fUZrudn/hb2bZ93HjMqXUBVRpJzZJr7V1fVfkTPnve9KWhE/YA/XKGHhYQCM 5gWqO62M4Gwg+IkQxkD4CantWYSg6zWA+nl7t4qNl29T53Q42eHnhVGF5CFJSxoLe1b0daAy7wT3 d//ZERAT9NO/WewYufcq8W3t9lvtqgmMxKbLL5v5wvSKOrjDTNOe+RQmub70WD4SUuZoD2oQ958K 1kG06MXC1w8SlI4CQVYuYH9p8eg7JKnXJRWdkDqwlbJ7J3siy7jrxg2GCwikYzj7cA9Bwfgl+qgv i3RYAzIUgyiccImenMWkarVazhuRFcG0KiFHuNNUnBGttDh+zoXGPSvV/1eZFpmhir8HbK8to1wF uj0UYfAR47HPE7NXITAbZDN0X7WZp7YjI8gZmqTx6wX02ie8Hb2THKjVe8uQBRov8K1J5Re9prAD mKba23RI3ZTortKEL9bY+jPapNL6t9McDOdPmjMoha5tGw4BXg17SIILqw4WTfdafl7Amw/acbrF SYSmfV3lQnKZhJusu37fIPTr10LfATJoKNl5wWfd7UTMI9PfCDWxWcf9sCzwYQqrEaF4ljt5y1XG 4laxCflHwlER8LxJcZiUcrHVQrv5fT6i/PvhLIA6Vzd7vLFG1aTJusHOPWKdkKF0nlhPQBT3qd7s CTW5MPk/HFhzteKJv29HS3lxOlR7NcKGL49C1S9ibABKK0R3mmAArTFEbkbEQb4hwGF9TnPdVk03 q1xs94uGvAXD93L8OrmV4ixzh0dzTpCthz14iLp8XUiF0nZ6ZpVcaC6bmMD+AIxNQeNwnajkANzb BpX/7evdHrDjWJ25JYB4PiV51f44Kdgzr31bkYDZmC5AxwLPdMpmG4S/5qqru0VlJq24UgDU9HLa yY1d+g+eqqb4Ez35hIcG9inecYBnMMCDlTAWqzRV84g8KszWNvDKlDlbfeCTqeKW4DZDVScAqNvQ x4e5QqsBsyI/+Ef1xi8qs3UdUqWpTp0lf0phV+NEyVGUHf87z4N/VCIc6QrBYNt5O/a/WKPb5DhT j9gfyCwAmjxeSiPm2GNel1AIP5vkS0hpftYQ0MRSGqXQypPyBeeBPMVFsGm36FU7zSICwt6g6VTO 3dmtX2dBgG4DyWlHisPXBa6r2OSFIvrFfGufeYeY7fpXItu8uY3QIwepwDW5lMX4H/ditSQqpyp5 4Qw2adKzhnvxns+hoHAGr012sWJBNQt9CYDdKdgC0Q+PA8McC/9EMhJrgD4Tp16NyXOrrqox1d4t K/T1RsX5SwSkB0fTdc1DqHcu8W2lS+y6KA1hEah6AhDgOZg499UOSGMGdaC4ncwcagfoOBpMxR4o Q0o8OwgsnCPRAqZHgdvwK43TbviHbsrkE76rm/Jis/L7kuPs+5VuSskZbVkqC4sJa4OTqgwmh7um yf/2aCoyZaSt9Wcvv+4x2sBhmb5ZqxFttMHx9wWtADA2IpIt1hOmdX+iGut19XTxmamxTWGpWxz6 25U5EQlH7id368FCC2yG8ZXRKQN/CIgzt0MKThI/HpU93LYaZ5/7acwrI7yhjUSvMJmb70uR7+VN //ID3lDBL7a394GO9oWxv4Li20ry8vm0KyEYEGXheBBrpwHaoeK/4wIYjWM1M5KTXJVUy7aKhbEs meheNgSSe9pWQhQCrHEB6ZQoNIe54L1wIQo4FNPX+CPiIJLCR0z37w+N0crY35HllPeQphH6cArF hCPL0kPXPOH/4bLpwsQ9GhBz8syv9gNfCEZGfVgoPUzxiyLkruE3PBuD5ocliyusM2ChYY6iz2Ir xBhBTQHX7W0Oc8TgjKG4Sg8RTjI3AUgwJ4iatfdSe6x9Zo8ayY1EL+eXVsxzm5FNfov80poNNSRO RBBMDMettCZqmMtkg6l+iPfRuC3FdVEuP1Ma7wHYIiI4n55CZjWUPwhpFu/pT63HcvDBR8s8Y0N3 jSXfSEth41iQJMEv10Ci4DaALYcIOjwWDEDs5AmzlkemlKioHmFyPzEdFaYo6Tm66TdQ/6NXgEgm To01PjdNEkCLdG/WxYUYaA0Jt7PrDzxoTK57vJ+KfREK09yCkCZ+a8ifE9yqXO95h/1HHJyUq5XY rkZvH5LcO/CjIiiPj+AdySDI480QkLK2TRfkmCdAYoVggdRnyd84RYtAVv1zKbhmLiIdmkjWiiQq 9wMGr7/oL4cyvAlEmh96+uNLhClTc2KVezSkFvyQyLrfkfijdQtxmdhcBu/SzJqW4jsGj7srkk5u y8i2njEIzpvdigVeYgDxd5LsyBDYNXgWzKBLh0Y5AoytgtqO0hs8QdUGicPbPIQXl8bUwWa+l7d+ jPeGzSZZ7MA6Tj5RnLI8cr6nW6luEBaOnt6Ki0QecpD9b0pdk6kGsULiuxU8wRoPqgckrQBxF7kP JCUWirK383EIVPqBxjTZdcIIBjflzXg3XiP4PCnbJkZ2eaqbIOWQtq0AE94ud3CrjDccPWDi8VHo O2TZA3TiocBSQwaKvLWszO0wC+bJjlhMfG7/jwDdspyZSs8UZKl7vM6eNn+gxlEmVrMRlUBRmGkJ +snyBeYzFYJ31eGGTYDDkL+Sc2gz0CMYlPMVQLclFdzPfKyU0usbh1kBW89D7DlZ+XYaHahBy3yB gGs2v0f6Zs3YHpFkuXO9rn8151qc3iGEzdlNIbz9CaOlD+4Gpv67YQ0FrrcME6cJcblgzUYYwTKF UAykqhYgchFrLa+/t0iT4DipDnuxRBbEPeCoyE8w9DwnpA5VVdNycbNvCKsJbULdPk/lTSR3geRp UMl/mj+EI/XvlaJcMVuLPaHRneVU9+HHLxA4OcoowLSxlnHvEgyo3MXQx2NuoIMeJtz/SxDL6sa/ C3ZDEkzobe8rnbkmF62rra9TYUYCil2RChGdjOM9zKuFfWmqslz0GjgTAVLnhHOiyf4A10/11/uY jEft6X88LzzmJz135NTCUJS1GUFlUa6/gslc93zcYJmEC+gkOdtupIFNGrlcV0kfxgfpWwCFY++K WqWaQSo80bRMBjQ+DDUFc6ygi7NAoPmnU2ChecbQQA/93hMpQXqFeUx57N8UFxQE5hkmDpxqoUwI 6u1Ijw2ouWYeqqe1oA5Pa1ehdeACmcoURjUZhcATEuzUovxEt2x0Tk9BcM6kUCV0EL74myyMKMRj cUt7Hrxjg41G7/nLoUFpCOwA/q4BA5uTOGmtSmHG2nEYEDhQvVRhK4DN88gu0UDWrJWpWHpxXobv zLAlCw3ZLLQj0EozZJkO6VLOD/5a4bFm739gEtkwURZqt1CPJX9zDXgHcijaOizNLKpPF9IlkyGV gazY9ixytr2oDddynApgaOyW2OBwbAYOZEPmqrGQ0ND1y1OEXi0aDgoIn7ioquxUT66pnTUD50I3 r7UCu8NCTC+ed4h+RE4vo84DDj8/ilFXgOxmqfGOxNkfszaEMw7tiV/VFZGrGLVPW48A/mrWhMQo /dDmq0NOHbtwel4zhnQhpO+gOHJi6SwtN6BSSjiS2j+lj5CmLXY05JDRSPZv/NIoxRzeUpyTFhNg P6xz6SzZJw9vFE0ZY+jNspSD9bXOMVILeFQ+DswcMMYZuCBv6K8c1qWLLPPNNVYOzDEf0v3R4mbU AD9+u/b2TuuKjIvaNZ9C1xhI+3OZE8UAb5cbyUHxv3XYvYplSDfKl44Vjt0LhusrQGvJNic5p1Y7 XOqHf/PU2kNWXHVYhurrKtg9pomztHJKQbcwLKqBbTrKtEi17faiwQLVCSxun5c5lPOAUoaCk67p ikIdL9iqkT9KNg87lVWkHm01DWAUt+a5dY0lu/8urduyDoUfS4bmORISZELrel4dmQwHwNI9sNcW /yR3LUPrmkxQzsAyZwNhhb+ebHbi4UYrYEnwl9XggEb/5L8YBjgQ+2IbHtNUtSC2P/fwIKR6afg1 OP1n6DqkIQjHtvMPu493wKrEZMOPrPEObWgTkVmSkC3709zf+rWG401ae2GjqHwHhNlLhgBoIwAm s9F9YzdoqXqTeE4r0nGRxTO7R9oiexluRIKVzkm1z+ZM9hKLW0SHXIYMx1BPSTWl3nwQCaYGtHRq uIGwUcf73KvLm6ouP9ffGMjAvGTh/Hy0mDNvzL8HfZXpRyDAvgVPkvVXHnXV3lgD/0UVyiuZv6aH 2ur4AwJ9WifOnCMZYxdlh58aPLE9uILnbIXXLCQjus7RSj+Uet+qCjhn5R4PT8xIdBIdP8h0tPfJ CagxJ12Ymx6af1S13+N1odZzodRcrKRTFpFnOhnvW+lAshKRK+rA0//mmF0eYRPXBPW/uu3baqRC swe+4MleIESgTqHDm9D8pEpHazymzOqA2fW6j+I47yluIr7jmHlPDyfoOgcZ6iqyMTaFyIeASLLY JI3pYdbNyW91J913CK9SMTwc/cTMWU7U7XjmIF8r34EX78TCLj9OkFZeCjo3NfqHeAj3OCUWVp0s ONQbrv9unlQi82HNBQNYHkuQwSPOYbD1syW6IuMloDw7LMEBakgVjP4ZlU+6R0LIp/DfOKorR2LU WhzzBiAn3rLCTTutLO0F2qOLi4qe0BLTQeTEZC2fLtLb1Xu14vrosUL/dhMGhMKbKJ1gGj00QN+r eVivjsDWIZvwMdqjDvJNrlAXYHyJ/7EFJFHg3KkSft4X2xvHd0UlNhndZd0Yn7ybEZ7q8t6FZMSp Vd2UZBM33uH8FyiHhn/pgQC+A4eQB2KCA5bxSa7B1mUdUx7BzSJaBTPZiz0FwOPwY43DxPhLZMi3 G9QhZDlYUNS71NmxWRaIftCTBV2rJcgR5MhAOKGww0fIH0bRNdjJ8AQ6vg/OknpIusbnxOXhFMsC k9DfcyDkh/yU9MCPip9q41FPPsOqG1OiyDH4/aVuJEgs5Ug4AUJFLJA1RAAc+qU4+TEYf8u+a6Xr B34xJ98IX23cSezR1ZIyGDwUyktCvDtWCTNdrw6ETQNdopmi+CZowat3B3eCix+KntGkd4z5X2/a Crq34t23xvXcX11vhiUdqo2gK8HH5bKzyLJE/ZDG6VNgD/gBqwpuSqe1J4NPvCpK4JMExqpJAWGM GIUTNQezSz5x4xTnw8vDsP8zkMi1ovU8ahrsetftapk7Khb7IgiFm9vK1Eq1UsS8obvqbNDTTTp6 +5abY0zk4/K/wqUL3eU6cmMAhbCDaPClQALAlYM8d3eSddXrZL+GVhoZzw5v4aak3/qVlSIoIe3f gt2TwVxOe6dM3c3FMMJTd9FKbWo4SiwEwcJ/hw5PV1u2es/O3k6SVHhFWeWNRqYTJ+cuF1aYSyHu g1MvZpQFyE7m3qeAlCSsZ02YQn9Zg9x1NQDzbsNWpKAFQ52oWFYjbHLfio2AmB7sPsneL5/IgKvL mwC4S4EzdHv7TCcHnWyBrj4TjJoY7ZFoG+CH947nJgGYBXnQUSgmOOczCBDvm1tEAuBIBS8kKsL4 A9NmnpKomRn1erOzFSBVR4X2grmDbBwkwJP2967iZXzLW2zrq8B+Hp/4JGVjPbChWEMZtnCJOACI 5cVTXxByICSbUr6yVozTXyWch/1/PZmxmode/3QKPV8CIfWFW+gyJ9MBeW7RrBWjDGDpj3KDJ+SR pUwc6xDZFKte97oZ9QlquXyyXEO9zWQjzc3NIhlY9etyQrk160HFwhSfgXnUZt6/blcRYywj1yMz Np7orM/h6pkJhUfBuv4LF8TcAYZAFVI4BNjfvimv9OdX6sSL1KFu6FLIHdsQ05fo2lQZmFJExuaN AezZSzWQKa8a3+eqEJHogogSDmaEtJkiqrt99FZeWlF7ndAdKcXkRrT84Ih6i+XeQK7nodhfoebO Ax3notgX2vfaQ028QFL1NZG98Hb1tNf3dmq1U/C1GnCLLNfPgOpCrhf4oyidRvL7MLdYZEO16UTc AePGW/3JDNZ3AQbWjUrXhIFd0QLmA1j/T/IDWxJb+FcTTpHa758ganAdZFQLuPh8yVh2hwzZlvWh t9TejoWYpQHOUVOXxn5wtKiovHWgbINrx+FsQ6rpo8us8CvM9PAA0j9hTWz0GTLGxR42CCtG1rts Y+0zkmXVh6WJPjsOCkbChFLYG3eZ4PDMl/yQND4sB+ra0X3EnfF3KGpx2NNM7/FzBKx9eeO/Ii58 5gK9Hm5d7/Q3182Ge6LoAlBa7pHun12gx58XAX8aRAvw8IOXtmbsKgQW0eIFi3Ux6Y7G2rcSRw6B GamE0rvMTimP7U1BWoQId07h8meCTp2FqwGe2wHDfls5I84/jJiW+MeAQu7ckGYCvrpviKGdrxel WJUroJl08CO7m7v3wHZ0jnC87kW8Oo/hN/z5eNjZ9Yty/ei0J4H4/eoAdHP7eXrCE8iIExgSC6FC Z5l5lR/QK+RRtkfQYzE0MMAHP0VToljWDGeG87Npyep5KV/w9/LqrKiXBKJMrWZoDzzout2rtrmK +y45fqOUUVTKX3QxCfnnsQlxOAQyZo6Uom3M7TiXlAz1HBA+1nV5AC07DJvkmv/MoNg1G50NmK7H C6Fpdjwyh+bE4aXhVDXlZRzLea/v59eD/SkK6sObj30152T0CcQR2PZyhwXilWCOrYhK9W4X0EUj G5qgviQ05BlOVuMpB17ZWvI7txaGcd6EHkkcADhuyZQCbeU+MKGFJ9cl1qiou6z/z0Nn46+mWEey WmZayprqHr/wk6BjXz6exjAdssfhoP3D8/Foa3B8fj123HXIugf/5I9trxx5nn51rdDdWQiraska iqUSs/68QaQRWOl2ewHLk+EXc/107RqZNQqnKTzezJ4q+CW6/WnTzMYlhf2VvO9bXYDJzTpk6NNC 6nxwFx//EtrJHn69wsTrPsUaoo/N2G9fYV0+fSUlravUhljl3l5DSQpm2Xtmq1cwpeHOFHgcrNr5 cZuCassraTzthn3XM43pc3GzlBDtVZPfkOCptguagFpHG6iKARtkgKATl6S7b6j1rExKMf1dRlO4 usX/68TTY0P6iNHqrK65FtzO0BQK9I+BpRsHajUy9NIvzCmaYmtD1uF3op81y/ZHT88jKiKxUDDA fLSqkr1Sx+RjphOs9l2asMCqIyvUvP/q4vbmadaLw64OLFlAectOotiieh+JGL8fdbBD+6i2N1bb 51hK9jWmx6dqwpSmEBV1j7sFYLKhJ9CBte8JpsryiNWlYjV3ReLGAzz2ffx0/zWSiAXGmhgW1zNg dgB/O6W3BhzvqunmC7RkRSA5BRT/lkrH7aYcmm5VpL51NPRlfsGhAjNlXobGrooYEcg8NwZTCcYG btW8aqUadaN/1gTIJyf3xKMsWSCtCGBEI1ewxLA0En6Ew1Y8hHGKEKs/GtO7By9oAflvv7wHaGeS 96JHwFmkmzXHbDqlorsFp6gY2+JV31D+ngq7SVxWraYw5xP6cf1mSQ+eTCs1pxISZ0WcVFsQP6Dr AbzoxAcnhwY3R/ZdjCzXqfVmGDShSLsffk/5TmsjLOvgfY4uAx/KTA1qyn9DZM5hts318//7BpIU N3DdSQZDKsBer5C2WSJ7WJ7EBONtYiL2RB5lXr3DFvOTyAWG/DXcBgRkL2MHgOqWqIKdKA7wGwjm UDz+Slloa535Ag3PWxEA08kbfypNREqGXwed79vRw7L9BDq0UEBV41Skzj0U4IqApHA9xjeNax7U OCCGOgv7cGQ3xxi6X2wQfe78nyjHrLkjmq8WOYw1VN0ka3Q6mrNo2cfpkupxHcTO7IfwCmrHowj8 EzcuYZhfzZiIVN+97OGEEP3a6fly15gwNcuJiF34d4rJs/MneNmazFDusb67SO0FMK9assCbQSH+ q9lgCHYwLOuQtPE5FPiG1ofCqsUQyG0xMZitJuz5j5TJW3JBWuWEQSUGac8iXU5siN4iuZDR0xqz aCR96IZFI5DCGvwFiKy4CxbGzMu4cZZfDmJvUIADWqVOkGETfhXtf+fWWXmtiWeSc5nTzcp8Gwsz eN/D9ndpIEGIw1fo7yRNgvsKXGoLIpq6iFPLFji8473oOHWmvupllIzDiDI5tgo7EHmJjwXOuKga zN5RvsBhbEkrtD9akxW8K0Vka0HFR3yd80VCDlHHpdVLSigPMNonqpayreAZauD8dKxf2P6MXa3c if6n0hzNbwkIOHgKpwkQruqhaW15YlO1CYMMS9P2VTcv5Q/BJWDDvj29xQfYpcFVTY6fViefy2d2 htJ2GtiFiYO3fqgQPs2er/PzJM+uxPyL2R2XC9ik6ASuDsyQcHnIkIYmEEK45KQyPbW5FvDRO3xf 78Hh8gmr+RQkUgVbJiuA3uDBH55yis5qzGgS4qIv2A3BRjmqwuTHa7wPC55a76BkSZ3HJv/jSnrV NdLkSx3no/nSU3/BI7dhaDIOHoV0hlG5mA2HyiGtbvc+il9lYNLcthW0ZQwXMsSowpvIcGqPssAg IwCwUiVrqfPq+z37gIy7ylQmnyDx2tS+uDgfsM7tWfGxnGpO30MCasYhax/vfvq0BsN3sXT2/q7B DPWD6O3ggZtE7S0b5ByxXWKGjWcSxGWT3EvWdtmLFp6739zH9YpGruOf19XID8C78Mly3TWhEZZY OHg+WbH9olbEmm74D6pE1tny1BRSyiAflWQMr8I1KB9uW4eWaRTdnUicMmRIy27ZaG912z1u33c3 sQUCnSnixuNvgZ9PCf26xI0XLZ5yKS74RDIM4bTbRtDvFJE2Wga2SRsiLfrWdu4pF06DZneEq8mS +DxVoZOn51Rev5JWr5tPHheGFiCyCPffhUWk5e+vA4E7NkqZzOvpYtmZJBi+kICaviEQeFxfRtFR P41bWJAFf9JCaFx2HcDPJIx7SLUOgQIdwljvuNLnqCy3njQxb+AUBas+MChH6DY1rzCSzGqx1PYx 3qmCqcDXIwKK0M+ep++fCUjBxe9vQ2lMcih7mGGw8WifON6vIyVFEd5h/TauTSxxmvTfDk0WaXlC 7g60lw2ZlGln+SJeeO9St2Q++dM4ouAMKxIgN0RmkIPIv3CP6UNz9UT1XVLo1+UPEa/bV2JJz2tE fL2Y92K+ELC/mUbx8Zop31xQ6xH+PhQW3e9gID237VtxCMfjPQa0xg3oa608NhISCs8JBTLe+yzz dPfBrsSlapM0qbV1XIQNjM3abYcAlGcB3Usv+bmDgn4f1TfJoYQc7Uk8tPsJfMAbDwEq8jxy+E9s 0Q/x9q0tRLR7P9ne5lzHimYl3IIlc4suPGkTkPGDqqbqtaEvL7NGBwCRiYeQc9hOQV9iSG6px+b0 ENDepiDU2BaDrqYx5S6qttXAzjUrefFmuKcs1r2484FA0f0bD6PxwMeeMQNJToWjNRD+Rh1FYCn2 QM7VTg8c3ZKZyszFi5K05LeldkhedwspQ51QjIZi0EEALqXibcl39JpM+sLYQ2jQx8iJr2x6Txkr mswgyI3dpW5YlOKAL0V22leByGWQvlGuQAeIWXTqvWucALIjkZfWymX0CevLgH/JH9M09iGuk7eO f5GRc+iw1N3gLBeHwzBfZa/uIkT+/geQ1vOUrsG73IyDjAhEt6eyA9JCNvLOAeA8hvJL5MdkQdAU BRL7EL1Ff++cS8KsXIfF6TOe3dfgjCLB1rWld/SXQLjvKS6xfGh3FS8p8hkuiHGfl98SNyRStOd7 pCtKs4S10th2/F9BBSAKYQqv4/JYXVRk5bhReRXrvmgkFofBCzwK2RznWbNfV9Sphwi4h9wsRBuU O+rr3u1ZAKYvUv8YnhdAP62arciuOYtvKYPYsEJaEPogQ5uSMA9p27F2kt5Y/Q5DI++LYgHDbdr8 7MGi5Q9cj82H0MeGPFxpXVMTqiAtEAx9qfwOT0qv+2RZ5mBat9/4CelKWi5/MDXwEwqIZnhm7qea oxkHFVH4Y0bD5XjN9rIOnwa/0bH1V3FpEpbuDLKaDHtwV8MNYIeaudZePbpVAOGNPLMcDB0ftXE9 gc0hf9uXbyRNLaZkm6znYd8hxxqzOblzaY/I6nvcbcI7+XQug8iNcdaALww6EZrZPdlLKDie6Q4A TUHuSm0FcReJ9kl63dmM9QWldi4nTUvyZHscuPptn0mUXEdcCiZh8fPfef8jCfSpLL5UDnTtfN0p t0kRycr5Yi3G+6PAsJfGXzogofcbGgnhvdzxHantCVlsuQFFCqNIiUcDG0fxkKJ+mBrnj22jvYp7 N0/NLAOijETvVqBoiYTvREPEX0EIGqngcJ0ZjXaGyukYGX8a7nXvtXMTee2DSa9WM1CzNI7CcUpd XUfWsU+XOTakou0/nRBG7N85au65koya07hgARHJOIY/62fC/8UzIftIM7iRJnAZbBS6Xa1LZ+ua puI6ekgp41pnMZpLhQz2AqDyO52Kn4myyb46N67dyycwtiNAp9p7VsTxeI7EIKc4Dt4SzNEPnF3g fvHRurtck2FBxfiQdEYkMtLh1bw73GWpC/FJfxONKAu1xncZdYQsd/2f4WxgGyVFtsUljvcO8x2g SNbHmCvYLFCFz5MY6OgwPQIP4e70Yw1ErU9xJixMvd+yvCHvcwJjuzR5nzqaPkupoSOD6tSYAI68 9tboLr4FHVfeLKRCDHFbdUrCDLYDACyyMcdp9ZsK2RryLunY1WBc1/CWU5oYNjgCItJy+Fbexst8 v9ikfUF8mt0tn9ro6X7rPca3OQRK9vurmG2xmI9oqyqWq6B36OTJXFxabhqaFUzAZ6a+bhF4Nkes 6pil4a/pa7jI8psPfp+ju1t7URElw+mCeBEEUMudEgprKhShpWlrCqDQDqEQKDBU7ljwcOvmPSHZ MbFxW4tgJ/mYv5b/0bwamDvstvQUayS0rMPoe0ujlPW5i8qAOA1koJoi0aUQcIKN+OfmRUg8G6IO bld///GXDJbaGUkFzjdEumGJDGJu8/Iq1KVFIQmLNVazHTgZlqbEVSsr+Nv7iIeJ/gCXSShbzJlk sH/HmY+/MfW7QJeJbXI9RYWT0Yfmg3UDmUSJ5DOhd0TTsfqOU+KqoODMmUCN7rV+kBfxNtQ+SOyw oxfCaJfjnljQaOjd2A2aga1Ife0/4MA10G7wEMF2mjg1kl6YY3ImrU3HfdEbFpyDmZulicpqLEkx tA19fSJebx98xcrCAWPjWek77oD2nbHvkKci8DEmBjHtFFfOH2J0a/KcR+Bw6mbTpeJJyYwcGiFv cB1oVkR6qOs2oRThPl4p427NcpuAXcmfSQi+DHwqwVhyQ3VDfMJoSlh6tUH+dBR+N/LkHW5I39by ZrDh9VXbfAt86CNhHBlL8dqBBNndpQzR0lv9Z1pnP8T99lVYjEEc+26hm+DwoZP7kwTTL+ug5hhL NtI3Ar2nEk/UjMe2U562MPH5n2bkyC0HjMZb1ttyE+s9+ETAwT/3SSV4U33uaVOt0o5DhaxDCNrn FrcwsZTTjNvzERSS6RcTS9GRhfGxUFEW4etE677sEfsJOUvBcHV+DU+ZHOmEz08baLjBEOXD/k89 vNmEToDuKZm3yYYjB2oy+TeP13pVebupa7fkvYw1UBLRMxZIZM6ASbdwgkb6VpnOnx3YZ4YHm2sG jgOXiCAT3t00027OJxZ+y9gSVwBFy7BpLP+hBnmuth/I3eWbhQF4Lilrk+r9mkj63e3DG8k34hfI T/CayKjfuUFjMVN8WYwmauNTNj7raDix7FbKFEoBn02TkgbiDx+ruz+BJntQzcbHDVC1zaaIosNw xJyqqSAxOyE8nOQVPpck9fczEpSwLKv8waqByx34p5Q2NTS2pSfcvuOQ+UVfNK/i3apDYMGJ8P7j j8Kr9cTmBQcdEGSDJUGAiZZ3Kga2bFoJw3hzt3Bg6IKSGsApzh0FelmhtDDp6kvPBxU1Lh7AKB6Q 1x7lgVjsz7Ig9eqzIUOAzULKpOSBXb5BhAh75T9g3vlLbW0/C3Vi20dSGuEZYfQYMtVrfvh0meJD l3okER5g3WlmLIXjsgFBAFoq2O9f9ERtU29nwn42EVVjSwCYFX6/d+WdvFw6JfxOnvomGv+n3+L9 u/Xqh7uLRqpj3GpRupgrGEW9qYB5p81R2oAbW8UpXijP0eyompRedy8a/zIiEiyFzG8WRjPexCB0 yxyhiPf1hAYosSR3XgJD1KPskEqyisq5KyjFXZgkw2L/L+r+d4rkgke+WWIlKiw7tFDr7APiiDV2 b6gll3phLvo0B6KvOs6OMgTFbBGTovANQQWR9RL//xxP2hY0JeVGmeG6+ipCfNz6UZemeSV8Uqbv /xKGnNT89MTOyAI43wFIJjWQWVXVQo8mMSu3RGhqGaumNczilvuvCGsz/090JL6FpzIJ5hcwG6al G3tlJ+iIgEsObMpRFN+PJhvlq7qpZi4pQj288bboq5JvfpN1lBC1Obm48eLaudWZEODFrr7/NRkB BTnf49qagcEhMJzHfAQgHE4vjd0YWVSzAe37bk2ReHyw2f0TDOvBQYIeRCBiw53WPyiYXBOdWTRP NhBtAAb5TgNXG8b+T3GnyoNBzyZEVwkJ2jvFGM6tOZQw9d21M+kBz1nuYWXEkFblwoWSKJOdl/28 i5VNYLZ9wBJwZ9lgVvZBAsEuCaNo/COR1LL6v/28OZrhSVhUptPabtUB8qx7UvA5TBhiB32cW9Y2 NhO0dnG26oKF0nF49VzreNYFNtW6uYdH/wXx7YHNvX445S2+kQw5c4Qp8aNM8gq1s8fq8sU4wzyJ /HpuJgMDkcqgeBrwwfTdXe41BUgffTkl0WqpgH0Gjw0OEXDEsDuuhoHh0K8+DM7fgc4aeGXFn6sY 66by1vTz6HlEHmq4zOc8UFFiEZQsYEWpQd3oR2TLXIKJAtF87kDk19DsXflsjYtbKbH4NWQPrLjm dO3pjf6uzV0fmR5VmBhb3fFiibgXyUNZNu+BXHJN8nABkIUn0oHhoRUDl4l6nariXvoq/QPntQrz vApy0iitgHmyMbot2Daqn1yMdRCk17agSRxPK5TFz67ScBgbSB2r6oH4OL5kazBHo1sk45rCoVON 3jFrqDj2SU+4Vp6QMJb28c7CSy+aIcziO1+7+zWV07pxvdpg2/M651Ovx6+KnJW4CoiJU2FXuNUg YJLKn+3JVXbLeqqwUwY3ti2Y/HR4IZu9Ur+dY4XuEnLsY6GjJm9XCoMwNJ5wskdq4g2z/ZL4pPwb 32XUh6RbVCstfchohvUdQc9D9XoE8fdKZnzirRbLlqJfpqdSVUzeoL18ZT3Uw7oNvwS6sIMgXhrZ UbtqnSAGJ+hOJSpLPKJbiKHQOpD0pmYoC7nkWDWzER4COflEnV5eUgbMBmnSbC9sR/nzJhbAGMON A1aKkgY/i+waypellbBzKbOpXjYJ4BPX9ACB1ppUYksXJkATAoOxsqk2lOxdeq/l5/Rkbps4Xp0+ Iigd+bOYqwg3Uqrg/eCKJvOlCu7qPn9UhFhaWVZP/St34gmx1nYccdp7ynobzQnQdTw+PA5PzndY RQPpE494Y11QdIFgNXRWkgmOeOzHgPOBtOaeWix8vdw7hbcuPXfDO/3mGf0USyKvxKCk1GxCVKvf ow/1MevuJtbWgC7BGBYuaJIaatqVyfmZIXGGWHBgfbLLA/naA5MMY8FOWVZYfOJevdOhosBKXqtn EniCclTOGPPNMmn9Ln3doXaLAk1sg9oNIqRUjs6apex0TTXBA+HcNWhzd0r1msRnGb0TjMgVF6IO j6odsSienXVQjiUIpBc+EflNdatLCWyC7niWa9qU6iovn3dzwdEoMyA7aolK8NPWvGAqHIGu4l3S D5H1iNpAuaIDIbutcJwHfzE8TRUA40M15167kqSF2BgSmb1dF0xrpOPstTOFWjnE1xQQ+WzaTMQz La5NqByixXdKrC2QWd6GQ26gm68Mk3dxj1Ih3mBm4Gjaln1aTP5jyGlJd5lyIamjFuzzex4ciMG4 CiH/x9Jz99mCKZNQYMrrTAXFaRnCv66mK/rVNenwr2mpmhL5eQaUlD3F0usxwZ0qv5qyCKEq6IXD dqh0fVraUhkFyFoxUzfV00fP4EhyJbdgMKLHjvenC0OEbvLKh3OTW68+5glPltXDkrOwHBFVjUoC p/6VVPyaMEo4hr3FgR+XL3J0MiNupC3wAhnwdwMhwKT6xPJ1KN3F7jTIbekMOLEVT184QWz5N4G2 WOuoR/qqiYovZNcDoLK0CqX7ipq9bp+DNtnhzLi+eFf+UOe6hmU9maU6sru+jW7g/dZrErSNgeLu BnHQMtye/I04JvWQ8dgU5D1WKgTyWphtJblP56IdRCN6eH2A7OmLlQbxeTScRtgiQkfOEuPaH9f+ l3HfZo2nBZU6caGGW+ApW0F2aaYjrEvjoAt3/WpLxPwK+iVpkU1QZbtEaXlfy+vb7Os1YUmfeG9z QEZf0voGTMkALUT+HcpxcT4fTmJcGaCyTr0yAS8D0nHOPWFllx55J5d8MRZQhUFA4G23qpoWUXHd ULyHR+Z2rbZkfHAjXOxQ7u92r5UrIV31PbKwa0xbTrjGoOGpQDxzFgRVv54LDByuO/M/WUcZJ56v LxLA6WHUIyvm/grUcnIJn/ymn4QY54k0REch+/ho3HGKXggbi+nsmlTI0UC/aX+Id2VGLawt2xFV Z9KEoii8jl4W2dH/lp6i8jSDJfhiQe2TsjvOBEUQiktnYm4/UoQ+/SVTZq4NF7H3LogPUD+3vAde kZg5+OhQCyIm6KXBw0TcvmNPm2L+23cozh2ZEsbaPHEoPB2GRWptFgcV7v/SMeQOtASIxXTzGft+ aQVt0Tquxo5mrnRUZX5+5zidkOWf9PQM6cQP5FK04F4LQM/b10Cd+WcnOHRGnTpy9nKh8ojWvze2 Pgs48cHFGTn8YUFfpCzrOauGJJlcty2hbVneE2PCo5QT/yUck5nNJJjAeF1TsmylzEawefky9JYL 7TVSm/1zOo2eC6w6G1lPtS+bBmDR97KMX9nJKnQqSg2xeQkA0eT7ypWgz1fnGYq3QnXlkCDnM4Fd jYSDgNGU0Y7YKE2ZTqR0e/jqdKvoYsNWc2mmbGstjHmD7IZ/3Vyarkzu4pQIAdA3SuqJ29G+0e+T qBp+VxoU7Zpf93Cov3VbPcuj34xLWVtlGVXvApvbXimq7x7WQCSf8lZaU/+8HBaIsOl0YPlPN96U Ndma0VJaKDSIBaYv3FNIN9VKV9l5AsJF6XvV+1p8xuauHAeiNy5FS4Rsyx8n/qnfYp5iS8c7Z1RS uK/bCQ7xKOzkUHV4sIJs7qRfTedHkofJwxLACdzs73ylagBI/6QKtN2syyclaW5/pcn8k393wDLH fbisosnqnSS0aKya1H46l/5JLeLJbMQYOpFGffQF8tfZZaJL5E5O1YICVH42VJu3mn8pU10xancu mXXYY51uRkjdAdWsKnt75I3Edhgi5d6pH0RvK7feBHWz3wHhoprbYisaCSoTiuiwvll6hjbEfI6S NjBFtDnX2T55vZet7fITY0rgN+eW80WHNA/wsE3Vxg5S+0TRfdo4RBUDWQJasB6N2BI309uBSiQt ixc45BlfpUqGv1q6oA57fkp+BiRLQg7JYcwUbmgzinyVX3qiA9eUjTrNY27pcMeFWSx5nx0T0fZk Z+yKjJJbQdW7B4qFYGTGdbeiN9yPMkNB92wM0Qs2pNNE7adrPrdR2CbM8Lh9rZ4eTmxraD99uZbT z4BFUr0ULtBxsf/TtNCBoZ/Iy6TISM441gMJf27MviGitNYWFZojG2ct6POqytJuStX98HWF7tyC 8Jj5G9a/CsTo7C70ioFnXzhEToJvR4Ohw7I4IYBpj+155NjMGv1AoOXaMvoXxIUPdcf3ozYpqnIV XHJ04T0rRZCR3SItubmIYvMJlUKs/WeB0v7/iYRGKzktj7d5VFYcQ/MIWg+I8zqgae9+WX1njaYx GaFPF9spiEwMhd5ka5CTqK1ljGvnA81eR8a0RsIHUXNm7aVyCNBVYBmlARmxxb3abeZyXjcHFsmX IypR/N8xLjnm6595MX1jD18oYPuUSE8kp+d4KNrmatIn9YXRTxAuVhEkyNDkk9yKHkuXspB3ZLw1 3fG8B1EiBvxYq0wnug== `protect end_protected
mit
b6e9264f686bb9b6fdf9546e77034077
0.954831
1.826724
false
false
false
false
alemedeiros/flappy_vhdl
obstacles/obst_regbank.vhd
1
2,912
-- file: obstacles/obst_regbank.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Set of n registers to save the obstacles positions (2 integers for each -- obstacle), when an obstacle reaches the horizontal position 0, it is -- automatically discarded and a new one is read from in_{low,high}. library ieee ; use ieee.std_logic_1164.all ; entity obst_regbank is generic ( H_RES : natural := 128 ; -- Horizontal Resolution V_RES : natural := 96 ; -- Vertical Resolution N_OBST : natural := 4 -- Number of obstacles ) ; port ( -- New obstacles input in_low : in integer range 0 to V_RES - 1 ; in_high : in integer range 0 to V_RES - 1 ; up_clk : in std_logic ; -- Update clock -- Read current values id : in integer range 0 to N_OBST - 1 ; low : out integer range 0 to V_RES - 1 ; high : out integer range 0 to V_RES - 1 ; pos : out integer range 0 to H_RES / N_OBST - 1 ; f_low : out integer range 0 to V_RES - 1 ; f_high : out integer range 0 to V_RES - 1 ; -- Control signal clock : in std_logic ; enable : in std_logic ; reset : in std_logic ; obst_rem : out std_logic ) ; end obst_regbank ; architecture behavior of obst_regbank is -- Declare a array type for the obstacles type obst_t is array (0 to N_OBST - 1) of integer range 0 to V_RES - 1 ; -- Obstacles array signal obst_low : obst_t ; signal obst_high : obst_t ; signal updating : std_logic ; signal tmp_pos : integer range 0 to H_RES / N_OBST - 1 := H_RES / N_OBST - 1 ; signal tmp_obst_rem : std_logic ; begin -- Reading values process process(clock) begin if rising_edge(clock) and updating = '0' then low <= obst_low(id) ; high <= obst_high(id) ; f_low <= obst_low(0) ; f_high <= obst_high(0) ; pos <= tmp_pos ; if tmp_pos = 0 then obst_rem <= '1' ; else obst_rem <= '0' ; end if ; end if ; end process ; -- Update obstacle values process(up_clk, reset, enable) begin if reset = '1' then updating <= '1' ; -- Reset tmp_pos <= 0 ; -- H_RES / N_OBST - 1 ; for i in 0 to N_OBST - 1 loop obst_low(i) <= 0 ; obst_high(i) <= 0 ; end loop ; updating <= '0' ; elsif rising_edge(up_clk) and enable = '1' then updating <= '1' ; if tmp_pos = 0 then -- Shift obstacles and read next obstacle tmp_obst_rem <= '1' ; tmp_pos <= H_RES / N_OBST - 1 ; for i in 1 to N_OBST - 1 loop obst_low(i-1) <= obst_low(i) ; obst_high(i-1) <= obst_high(i) ; end loop ; obst_low(N_OBST - 1) <= in_low ; obst_high(N_OBST - 1) <= in_high ; else tmp_obst_rem <= '0' ; tmp_pos <= tmp_pos - 1 ; end if ; updating <= '0' ; end if ; end process ; end behavior ;
bsd-3-clause
6c2e5022455b92c9f272a6ef9e8f1f9f
0.583448
2.95935
false
false
false
false
alemedeiros/flappy_vhdl
output/frame_builder.vhd
1
1,895
-- file: output/draw_frame.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Generate a frame from the current game state. library ieee ; use ieee.std_logic_1164.all ; entity frame_builder is generic ( H_RES : natural := 128 ; -- Horizontal Resolution V_RES : natural := 96 ; -- Vertical Resolution N_OBST : natural := 4 ; -- Number of obstacles P_POS : natural := 20 -- Player Horizontal position ) ; port ( -- Game state data. player : in integer range 0 to V_RES - 1 ; obst_low : in integer range 0 to V_RES - 1 ; obst_high : in integer range 0 to V_RES - 1 ; obst_pos : in integer range 0 to H_RES / N_OBST - 1; obst_id : out integer range 0 to N_OBST - 1 ; lin : in integer range 0 to V_RES - 1 ; col : in integer range 0 to H_RES - 1 ; enable : in std_logic ; colour : out std_logic_vector(2 downto 0) ) ; end frame_builder ; architecture behavior of frame_builder is signal c : std_logic_vector(2 downto 0) ; signal id : integer range 0 to N_OBST - 1 ; begin -- Process that determines the colour of each pixel. process(lin, col) variable curr_id : integer range 0 to N_OBST - 1 ; begin -- Background colour is black c <= "000" ; -- Determine current obstacle. curr_id := col / (H_RES / N_OBST) ; id <= curr_id ; if lin = player and col = P_POS then -- Player colour c <= "110" ; elsif col = curr_id * (H_RES / N_OBST) + obst_pos then -- Obstacles colour if lin < obst_high then -- Top obstacle c <= "010" ; elsif lin > (V_RES - 1) - obst_low then -- Bottom obstacle c <= "010" ; end if ; end if ; end process ; colour <= c when enable = '1' else "ZZZ" ; obst_id <= id when enable = '1' else 0 ; end behavior ;
bsd-3-clause
ebf55c31509b1f21f718994992709f7b
0.606332
3.17953
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_1/example_design/system_axi_vdma_0_wrapper_fifo_generator_v9_1_exdes.vhd
3
5,702
-------------------------------------------------------------------------------- -- -- FIFO Generator Core - core top file for implementation -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_1_exdes.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity system_axi_vdma_0_wrapper_fifo_generator_v9_1_exdes is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(9-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(9-1 DOWNTO 0); RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(34-1 DOWNTO 0); DOUT : OUT std_logic_vector(34-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end system_axi_vdma_0_wrapper_fifo_generator_v9_1_exdes; architecture xilinx of system_axi_vdma_0_wrapper_fifo_generator_v9_1_exdes is signal wr_clk_i : std_logic; signal rd_clk_i : std_logic; component system_axi_vdma_0_wrapper_fifo_generator_v9_1 is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(9-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(9-1 DOWNTO 0); RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(34-1 DOWNTO 0); DOUT : OUT std_logic_vector(34-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin wr_clk_buf: bufg PORT map( i => WR_CLK, o => wr_clk_i ); rd_clk_buf: bufg PORT map( i => RD_CLK, o => rd_clk_i ); exdes_inst : system_axi_vdma_0_wrapper_fifo_generator_v9_1 PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, WR_DATA_COUNT => wr_data_count, RD_DATA_COUNT => rd_data_count, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
bsd-3-clause
6979c418c037730d2427fb8edb33ce2f
0.527008
4.539809
false
false
false
false
kennethlyn/parallella-lcd-fpga
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_1/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_1_pctrl.vhd
3
18,499
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_1_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_1_pkg.ALL; ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_1_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_1_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL sim_done_d1 : STD_LOGIC := '0'; SIGNAL sim_done_wr1 : STD_LOGIC := '0'; SIGNAL sim_done_wr2 : STD_LOGIC := '0'; SIGNAL empty_d1 : STD_LOGIC := '0'; SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL state_rd_dom1 : STD_LOGIC := '0'; SIGNAL rd_en_d1 : STD_LOGIC := '0'; SIGNAL rd_en_wr1 : STD_LOGIC := '0'; SIGNAL wr_en_d1 : STD_LOGIC := '0'; SIGNAL wr_en_rd1 : STD_LOGIC := '0'; SIGNAL full_chk_d1 : STD_LOGIC := '0'; SIGNAL full_chk_rd1 : STD_LOGIC := '0'; SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; SIGNAL state_rd_dom2 : STD_LOGIC := '0'; SIGNAL state_rd_dom3 : STD_LOGIC := '0'; SIGNAL rd_en_wr2 : STD_LOGIC := '0'; SIGNAL wr_en_rd2 : STD_LOGIC := '0'; SIGNAL full_chk_rd2 : STD_LOGIC := '0'; SIGNAL reset_en_d1 : STD_LOGIC := '0'; SIGNAL reset_en_rd1 : STD_LOGIC := '0'; SIGNAL reset_en_rd2 : STD_LOGIC := '0'; SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; SIGNAL data_chk_rd1 : STD_LOGIC := '0'; SIGNAL data_chk_rd2 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 50 ns; PRC_RD_EN <= prc_re_i AFTER 100 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; ----------------------------------------------------- ----------------------------------------------------- -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN empty_wr_dom1 <= '1'; empty_wr_dom2 <= '1'; state_d1 <= '0'; wr_en_d1 <= '0'; rd_en_wr1 <= '0'; rd_en_wr2 <= '0'; full_chk_d1 <= '0'; reset_en_d1 <= '0'; sim_done_wr1 <= '0'; sim_done_wr2 <= '0'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN sim_done_wr1 <= sim_done_d1; sim_done_wr2 <= sim_done_wr1; reset_en_d1 <= reset_en_i; state_d1 <= state; empty_wr_dom1 <= empty_d1; empty_wr_dom2 <= empty_wr_dom1; wr_en_d1 <= wr_en_i; rd_en_wr1 <= rd_en_d1; rd_en_wr2 <= rd_en_wr1; full_chk_d1 <= full_chk_i; END IF; END PROCESS; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_d1 <= '1'; state_rd_dom1 <= '0'; state_rd_dom2 <= '0'; state_rd_dom3 <= '0'; wr_en_rd1 <= '0'; wr_en_rd2 <= '0'; rd_en_d1 <= '0'; full_chk_rd1 <= '0'; full_chk_rd2 <= '0'; reset_en_rd1 <= '0'; reset_en_rd2 <= '0'; sim_done_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN sim_done_d1 <= sim_done_i; reset_en_rd1 <= reset_en_d1; reset_en_rd2 <= reset_en_rd1; empty_d1 <= EMPTY; rd_en_d1 <= rd_en_i; state_rd_dom1 <= state_d1; state_rd_dom2 <= state_rd_dom1; state_rd_dom3 <= state_rd_dom2; wr_en_rd1 <= wr_en_d1; wr_en_rd2 <= wr_en_rd1; full_chk_rd1 <= full_chk_d1; full_chk_rd2 <= full_chk_rd1; END IF; END PROCESS; RESET_EN <= reset_en_rd2; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:system_axi_vdma_0_wrapper_fifo_generator_v9_1_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_wr2 = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:system_axi_vdma_0_wrapper_fifo_generator_v9_1_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_rd2 = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND empty_wr_dom2 = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(empty_wr_dom2 = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; END ARCHITECTURE;
bsd-3-clause
3338f7501cbbe305edfa40436bb93534
0.51246
3.237487
false
false
false
false
alemedeiros/flappy_vhdl
control/game_control.vhd
1
3,155
-- file: control/game_control.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Main game Finite State machine. library ieee ; use ieee.std_logic_1164.all ; entity game_control is port ( game_over : in std_logic ; reset : in std_logic ; pause : in std_logic ; jump : in std_logic ; clock : in std_logic ; obst_rem : in std_logic ; new_obst : out std_logic ; timer : in std_logic ; -- Enable signals for each module. calculate_speed : out std_logic ; calculate_position : out std_logic ; obst_regbank : out std_logic ; update_obstacles : out std_logic ; colision_detection : out std_logic ; draw_frame : out std_logic ; ledcon : out std_logic ; internal_reset : out std_logic ) ; end game_control ; architecture behavior of game_control is -- State type type state_t is (start, update, draw, loser) ; signal state : state_t := start ; signal next_state : state_t := start ; begin process (reset, clock) --clock) variable count : integer ; begin if reset = '1' then internal_reset <= '1' ; state <= start ; elsif rising_edge(clock) then case state is when start => state <= update ; calculate_speed <= '0' ; calculate_position <= '0' ; obst_regbank <= '0' ; update_obstacles <= '0' ; new_obst <= '0' ; colision_detection <= '0' ; draw_frame <= '0' ; ledcon <= '0' ; internal_reset <= '1' ; when update => if game_over = '1' then state <= loser ; elsif pause = '1' then state <= draw ; else state <= update ; end if ; --state <= draw ; calculate_speed <= '1' ; calculate_position <= '1' ; obst_regbank <= '1' ; update_obstacles <= '1' ; new_obst <= '0' ; -- CHECK colision_detection <= '1' ; draw_frame <= '1' ; ledcon <= '0' ; internal_reset <= '0' ; when draw => if game_over = '1' then state <= loser ; elsif pause = '1' then state <= draw ; else state <= update ; end if ; calculate_speed <= '0' ; calculate_position <= '0' ; obst_regbank <= '0' ; update_obstacles <= '0' ; new_obst <= '0' ; colision_detection <= '1' ; draw_frame <= '1' ; ledcon <= '1' ; internal_reset <= '0' ; when loser => state <= loser ; calculate_speed <= '0' ; calculate_position <= '0' ; obst_regbank <= '0' ; update_obstacles <= '0' ; new_obst <= '0' ; colision_detection <= '0' ; draw_frame <= '1' ; ledcon <= '1' ; internal_reset <= '0' ; when others => state <= start ; end case ; end if ; end process ; end behavior ;
bsd-3-clause
71de058fed827bdfc53a029947c1841c
0.490967
3.342161
false
false
false
false
justingallagher/fpga-trace
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/0728269d/hdl/src/vhdl/axi_dma_s2mm_mngr.vhd
1
50,576
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_mngr.vhd -- Description: This entity is the top level entity for the AXI DMA S2MM -- manager. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_s2mm_mngr is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_DM_STATUS_WIDTH : integer range 8 to 32 := 8; -- Width of DataMover status word -- 8 for Determinate BTT Mode -- 32 for Indterminate BTT Mode ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_S_AXIS_S2MM_STS_TDATA_WIDTH : integer range 32 to 32 := 32; -- Slave AXI Status Stream Data Width ----------------------------------------------------------------------- -- Stream to Memory Map (S2MM) Parameters ----------------------------------------------------------------------- C_INCLUDE_S2MM : integer range 0 to 1 := 1; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for S2MM Write Port C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex5" -- Target FPGA Device Family ); port ( -- Secondary Clock and Reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary Clock and Reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- soft_reset : in std_logic ; -- -- MM2S Control and Status -- s2mm_run_stop : in std_logic ; -- s2mm_keyhole : in std_logic ; s2mm_halted : in std_logic ; -- s2mm_ftch_idle : in std_logic ; -- s2mm_updt_idle : in std_logic ; -- s2mm_tailpntr_enble : in std_logic ; -- s2mm_ftch_err_early : in std_logic ; -- s2mm_ftch_stale_desc : in std_logic ; -- s2mm_halt : in std_logic ; -- s2mm_halt_cmplt : in std_logic ; -- s2mm_packet_eof_out : out std_logic ; s2mm_halted_clr : out std_logic ; -- s2mm_halted_set : out std_logic ; -- s2mm_idle_set : out std_logic ; -- s2mm_idle_clr : out std_logic ; -- s2mm_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_new_curdesc_wren : out std_logic ; -- s2mm_stop : out std_logic ; -- s2mm_desc_flush : out std_logic ; -- s2mm_all_idle : out std_logic ; -- s2mm_error : out std_logic ; -- mm2s_error : in std_logic ; -- s2mm_desc_info_in : in std_logic_vector (13 downto 0) ; -- Simple DMA Mode Signals s2mm_da : in std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_length : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_length_wren : in std_logic ; -- s2mm_smple_done : out std_logic ; -- s2mm_interr_set : out std_logic ; -- s2mm_slverr_set : out std_logic ; -- s2mm_decerr_set : out std_logic ; -- s2mm_bytes_rcvd : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_bytes_rcvd_wren : out std_logic ; -- -- -- SG S2MM Descriptor Fetch AXI Stream In -- m_axis_s2mm_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_s2mm_ftch_tvalid : in std_logic ; -- m_axis_s2mm_ftch_tready : out std_logic ; -- m_axis_s2mm_ftch_tlast : in std_logic ; -- m_axis_s2mm_ftch_tdata_new : in std_logic_vector -- (96+31*0+(0+2)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_nxt : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- m_axis_s2mm_ftch_tvalid_new : in std_logic ; -- m_axis_ftch2_desc_available : in std_logic; -- -- -- SG S2MM Descriptor Update AXI Stream Out -- s_axis_s2mm_updtptr_tdata : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtptr_tvalid : out std_logic ; -- s_axis_s2mm_updtptr_tready : in std_logic ; -- s_axis_s2mm_updtptr_tlast : out std_logic ; -- -- s_axis_s2mm_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtsts_tvalid : out std_logic ; -- s_axis_s2mm_updtsts_tready : in std_logic ; -- s_axis_s2mm_updtsts_tlast : out std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_s2mm_cmd_tvalid : out std_logic ; -- s_axis_s2mm_cmd_tready : in std_logic ; -- s_axis_s2mm_cmd_tdata : out std_logic_vector -- ((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_s2mm_sts_tvalid : in std_logic ; -- m_axis_s2mm_sts_tready : out std_logic ; -- m_axis_s2mm_sts_tdata : in std_logic_vector -- (C_DM_STATUS_WIDTH - 1 downto 0) ; -- m_axis_s2mm_sts_tkeep : in std_logic_vector((C_DM_STATUS_WIDTH/8-1) downto 0); -- s2mm_err : in std_logic ; -- updt_error : in std_logic ; -- ftch_error : in std_logic ; -- -- -- Stream to Memory Map Status Stream Interface -- s_axis_s2mm_sts_tdata : in std_logic_vector -- (C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_sts_tkeep : in std_logic_vector -- ((C_S_AXIS_S2MM_STS_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_sts_tvalid : in std_logic ; -- s_axis_s2mm_sts_tready : out std_logic ; -- s_axis_s2mm_sts_tlast : in std_logic -- ); end axi_dma_s2mm_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Primary DataMover Command signals signal s2mm_cmnd_wr : std_logic := '0'; signal s2mm_cmnd_data : std_logic_vector ((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0'); signal s2mm_cmnd_pending : std_logic := '0'; attribute mark_debug of s2mm_cmnd_wr : signal is "true"; attribute mark_debug of s2mm_cmnd_data : signal is "true"; -- Primary DataMover Status signals signal s2mm_done : std_logic := '0'; signal s2mm_stop_i : std_logic := '0'; signal s2mm_interr : std_logic := '0'; signal s2mm_slverr : std_logic := '0'; signal s2mm_decerr : std_logic := '0'; attribute mark_debug of s2mm_interr : signal is "true"; attribute mark_debug of s2mm_slverr : signal is "true"; attribute mark_debug of s2mm_decerr : signal is "true"; signal s2mm_tag : std_logic_vector(3 downto 0) := (others => '0'); signal s2mm_brcvd : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal dma_s2mm_error : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_d2 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; signal s2mm_error_i : std_logic := '0'; signal sts_strm_stop : std_logic := '0'; signal s2mm_halted_set_i : std_logic := '0'; signal s2mm_sts_received_clr : std_logic := '0'; signal s2mm_sts_received : std_logic := '0'; signal s2mm_cmnd_idle : std_logic := '0'; signal s2mm_sts_idle : std_logic := '0'; signal s2mm_eof_set : std_logic := '0'; signal s2mm_packet_eof : std_logic := '0'; -- Scatter Gather Interface signals signal desc_fetch_req : std_logic := '0'; signal desc_fetch_done : std_logic := '0'; signal desc_update_req : std_logic := '0'; signal desc_update_done : std_logic := '0'; signal desc_available : std_logic := '0'; signal s2mm_desc_baddress : std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_info : std_logic_vector(31 downto 0) := (others => '0'); signal s2mm_desc_blength : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_blength_v : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_blength_s : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_cmplt : std_logic := '0'; signal s2mm_desc_app0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_app1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_app2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_app3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_app4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); -- S2MM Status Stream Signals signal s2mm_rxlength_valid : std_logic := '0'; signal s2mm_rxlength_clr : std_logic := '0'; signal s2mm_rxlength : std_logic_vector(C_SG_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal stsstrm_fifo_rden : std_logic := '0'; signal stsstrm_fifo_empty : std_logic := '0'; signal stsstrm_fifo_dout : std_logic_vector(C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0) := (others => '0'); signal s2mm_desc_flush_i : std_logic := '0'; signal updt_pending : std_logic := '0'; signal s2mm_cmnd_wr_1 : std_logic := '0'; signal s2mm_eof_micro, s2mm_sof_micro : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Include S2MM (Received) Channel ------------------------------------------------------------------------------- GEN_S2MM_DMA_CONTROL : if C_INCLUDE_S2MM = 1 generate begin -- pass out to register module s2mm_halted_set <= s2mm_halted_set_i; ------------------------------------------------------------------------------- -- Graceful shut down logic ------------------------------------------------------------------------------- -- Error from DataMover (DMAIntErr, DMADecErr, or DMASlvErr) or SG Update error -- or SG Fetch error, or Stale Descriptor Error s2mm_error_i <= dma_s2mm_error -- Primary data mover reports error or updt_error -- SG Update engine reports error or ftch_error -- SG Fetch engine reports error or s2mm_ftch_err_early -- SG Fetch engine reports early error on S2MM or s2mm_ftch_stale_desc; -- SG Fetch stale descriptor error -- pass out to shut down mm2s s2mm_error <= s2mm_error_i; -- Clear run/stop and stop state machines due to errors or soft reset -- Error based on datamover error report or sg update error or sg fetch error -- SG update error and fetch error included because need to shut down, no way -- to update descriptors on sg update error and on fetch error descriptor -- data is corrupt therefor do not want to issue the xfer command to primary datamover --CR#566306 status for both mm2s and s2mm datamover are masked during shutdown therefore -- need to stop all processes regardless of the source of the error. -- s2mm_stop_i <= s2mm_error -- Error -- or soft_reset; -- Soft Reset issued s2mm_stop_i <= s2mm_error_i -- Error on s2mm or mm2s_error -- Error on mm2s or soft_reset; -- Soft Reset issued -- Register signals out REG_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_stop <= '0'; s2mm_desc_flush_i <= '0'; else s2mm_stop <= s2mm_stop_i; -- Flush any fetch descriptors if error or if run stop cleared s2mm_desc_flush_i <= s2mm_stop_i or not s2mm_run_stop; end if; end if; end process REG_OUT; -- Generate DMA Controller For Scatter Gather Mode GEN_SCATTER_GATHER_MODE : if C_INCLUDE_SG = 1 generate begin -- Not used in Scatter Gather mode s2mm_smple_done <= '0'; s2mm_interr_set <= '0'; s2mm_slverr_set <= '0'; s2mm_decerr_set <= '0'; s2mm_bytes_rcvd <= (others => '0'); s2mm_bytes_rcvd_wren <= '0'; -- Flush descriptors s2mm_desc_flush <= s2mm_desc_flush_i; OLD_CMD_WR : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate begin s2mm_cmnd_wr <= s2mm_cmnd_wr_1; end generate OLD_CMD_WR; NEW_CMD_WR : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate begin s2mm_cmnd_wr <= m_axis_s2mm_ftch_tvalid_new; end generate NEW_CMD_WR; --------------------------------------------------------------------------- -- S2MM Primary DMA Controller State Machine --------------------------------------------------------------------------- I_S2MM_SM : entity axi_dma_v7_1.axi_dma_s2mm_sm generic map( C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA , C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s2mm_stop => s2mm_stop_i , -- Channel 1 Control and Status s2mm_run_stop => s2mm_run_stop , s2mm_keyhole => s2mm_keyhole , s2mm_ftch_idle => s2mm_ftch_idle , s2mm_desc_flush => s2mm_desc_flush_i , s2mm_cmnd_idle => s2mm_cmnd_idle , s2mm_sts_idle => s2mm_sts_idle , s2mm_eof_set => s2mm_eof_set , s2mm_eof_micro => s2mm_eof_micro, s2mm_sof_micro => s2mm_sof_micro, -- S2MM Status Stream RX Length s2mm_rxlength_valid => s2mm_rxlength_valid , s2mm_rxlength_clr => s2mm_rxlength_clr , s2mm_rxlength => s2mm_rxlength , -- S2MM Descriptor Fetch Request (from s2mm_sm) desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , desc_update_done => desc_update_done , updt_pending => updt_pending , desc_available => desc_available , -- DataMover Command s2mm_cmnd_wr => s2mm_cmnd_wr_1 , s2mm_cmnd_data => s2mm_cmnd_data , s2mm_cmnd_pending => s2mm_cmnd_pending , -- Descriptor Fields s2mm_desc_baddress => s2mm_desc_baddress , s2mm_desc_info => s2mm_desc_info , s2mm_desc_blength => s2mm_desc_blength, s2mm_desc_blength_v => s2mm_desc_blength_v, s2mm_desc_blength_s => s2mm_desc_blength_s ); --------------------------------------------------------------------------- -- S2MM Scatter Gather State Machine --------------------------------------------------------------------------- I_S2MM_SG_IF : entity axi_dma_v7_1.axi_dma_s2mm_sg_if generic map( ------------------------------------------------------------------- -- Scatter Gather Parameters ------------------------------------------------------------------- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_S_AXIS_S2MM_STS_TDATA_WIDTH=> C_S_AXIS_S2MM_STS_TDATA_WIDTH , C_NUM_S2MM_CHANNELS => C_NUM_S2MM_CHANNELS , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA , C_FAMILY => C_FAMILY ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s2mm_desc_info_in => s2mm_desc_info_in , -- SG S2MM Descriptor Fetch AXI Stream In m_axis_s2mm_ftch_tdata => m_axis_s2mm_ftch_tdata , m_axis_s2mm_ftch_tvalid => m_axis_s2mm_ftch_tvalid , m_axis_s2mm_ftch_tready => m_axis_s2mm_ftch_tready , m_axis_s2mm_ftch_tlast => m_axis_s2mm_ftch_tlast , m_axis_s2mm_ftch_tdata_new => m_axis_s2mm_ftch_tdata_new , m_axis_s2mm_ftch_tdata_mcdma_new => m_axis_s2mm_ftch_tdata_mcdma_new , m_axis_s2mm_ftch_tdata_mcdma_nxt => m_axis_s2mm_ftch_tdata_mcdma_nxt , m_axis_s2mm_ftch_tvalid_new => m_axis_s2mm_ftch_tvalid_new , m_axis_ftch2_desc_available => m_axis_ftch2_desc_available , -- SG S2MM Descriptor Update AXI Stream Out s_axis_s2mm_updtptr_tdata => s_axis_s2mm_updtptr_tdata , s_axis_s2mm_updtptr_tvalid => s_axis_s2mm_updtptr_tvalid , s_axis_s2mm_updtptr_tready => s_axis_s2mm_updtptr_tready , s_axis_s2mm_updtptr_tlast => s_axis_s2mm_updtptr_tlast , s_axis_s2mm_updtsts_tdata => s_axis_s2mm_updtsts_tdata , s_axis_s2mm_updtsts_tvalid => s_axis_s2mm_updtsts_tvalid , s_axis_s2mm_updtsts_tready => s_axis_s2mm_updtsts_tready , s_axis_s2mm_updtsts_tlast => s_axis_s2mm_updtsts_tlast , -- S2MM Descriptor Fetch Request (from s2mm_sm) desc_available => desc_available , desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , updt_pending => updt_pending , -- S2MM Status Stream Interface stsstrm_fifo_rden => stsstrm_fifo_rden , stsstrm_fifo_empty => stsstrm_fifo_empty , stsstrm_fifo_dout => stsstrm_fifo_dout , -- Update command write interface from s2mm sm s2mm_cmnd_wr => s2mm_cmnd_wr , s2mm_cmnd_data => s2mm_cmnd_data ( ((1+C_ENABLE_MULTI_CHANNEL)* C_M_AXI_S2MM_ADDR_WIDTH+ CMD_BASE_WIDTH)-1 downto 0) , -- S2MM Descriptor Update Request (from s2mm_sm) desc_update_done => desc_update_done , s2mm_sts_received_clr => s2mm_sts_received_clr , s2mm_sts_received => s2mm_sts_received , s2mm_desc_cmplt => s2mm_desc_cmplt , s2mm_done => s2mm_done , s2mm_interr => s2mm_interr , s2mm_slverr => s2mm_slverr , s2mm_decerr => s2mm_decerr , s2mm_tag => s2mm_tag , s2mm_brcvd => s2mm_brcvd , s2mm_eof_set => s2mm_eof_set , s2mm_packet_eof => s2mm_packet_eof , s2mm_halt => s2mm_halt , s2mm_eof_micro => s2mm_eof_micro, s2mm_sof_micro => s2mm_sof_micro, -- S2MM Descriptor Field Output s2mm_new_curdesc => s2mm_new_curdesc , s2mm_new_curdesc_wren => s2mm_new_curdesc_wren , s2mm_desc_baddress => s2mm_desc_baddress , s2mm_desc_blength => s2mm_desc_blength , s2mm_desc_blength_v => s2mm_desc_blength_v , s2mm_desc_blength_s => s2mm_desc_blength_s , s2mm_desc_info => s2mm_desc_info , s2mm_desc_app0 => s2mm_desc_app0 , s2mm_desc_app1 => s2mm_desc_app1 , s2mm_desc_app2 => s2mm_desc_app2 , s2mm_desc_app3 => s2mm_desc_app3 , s2mm_desc_app4 => s2mm_desc_app4 ); end generate GEN_SCATTER_GATHER_MODE; s2mm_packet_eof_out <= s2mm_packet_eof; -- Generate DMA Controller for Simple DMA Mode GEN_SIMPLE_DMA_MODE : if C_INCLUDE_SG = 0 generate begin -- Scatter Gather signals not used in Simple DMA Mode s2mm_desc_flush <= '0'; m_axis_s2mm_ftch_tready <= '0'; s_axis_s2mm_updtptr_tdata <= (others => '0'); s_axis_s2mm_updtptr_tvalid <= '0'; s_axis_s2mm_updtptr_tlast <= '0'; s_axis_s2mm_updtsts_tdata <= (others => '0'); s_axis_s2mm_updtsts_tvalid <= '0'; s_axis_s2mm_updtsts_tlast <= '0'; desc_fetch_req <= '0'; desc_available <= '0'; desc_fetch_done <= '0'; desc_update_done <= '0'; s2mm_rxlength_clr <= '0'; stsstrm_fifo_rden <= '0'; s2mm_new_curdesc <= (others => '0'); s2mm_new_curdesc_wren <= '0'; s2mm_desc_baddress <= (others => '0'); s2mm_desc_info <= (others => '0'); s2mm_desc_blength <= (others => '0'); s2mm_desc_blength_v <= (others => '0'); s2mm_desc_blength_s <= (others => '0'); s2mm_desc_cmplt <= '0'; s2mm_desc_app0 <= (others => '0'); s2mm_desc_app1 <= (others => '0'); s2mm_desc_app2 <= (others => '0'); s2mm_desc_app3 <= (others => '0'); s2mm_desc_app4 <= (others => '0'); -- Simple DMA State Machine I_S2MM_SMPL_SM : entity axi_dma_v7_1.axi_dma_smple_sm generic map( C_M_AXI_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_MICRO_DMA => C_MICRO_DMA , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status run_stop => s2mm_run_stop , keyhole => s2mm_keyhole , stop => s2mm_stop_i , cmnd_idle => s2mm_cmnd_idle , sts_idle => s2mm_sts_idle , -- DataMover Status sts_received => s2mm_sts_received , sts_received_clr => s2mm_sts_received_clr , -- DataMover Command cmnd_wr => s2mm_cmnd_wr , cmnd_data => s2mm_cmnd_data , cmnd_pending => s2mm_cmnd_pending , -- Trasnfer Qualifiers xfer_length_wren => s2mm_length_wren , xfer_address => s2mm_da , xfer_length => s2mm_length ); -- Pass Done/Error Status out to DMASR s2mm_interr_set <= s2mm_interr; s2mm_slverr_set <= s2mm_slverr; s2mm_decerr_set <= s2mm_decerr; s2mm_bytes_rcvd <= s2mm_brcvd; s2mm_bytes_rcvd_wren <= s2mm_done; -- S2MM Simple DMA Transfer Done - used to assert IOC bit in DMASR. -- Receive clear when not shutting down s2mm_smple_done <= s2mm_sts_received_clr when s2mm_stop_i = '0' -- Else halt set prior to halted being set else s2mm_halted_set_i when s2mm_halted = '0' else '0'; end generate GEN_SIMPLE_DMA_MODE; ------------------------------------------------------------------------------- -- S2MM DataMover Command / Status Interface ------------------------------------------------------------------------------- I_S2MM_CMDSTS : entity axi_dma_v7_1.axi_dma_s2mm_cmdsts_if generic map( C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_DM_STATUS_WIDTH => C_DM_STATUS_WIDTH , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_INCLUDE_SG => C_INCLUDE_SG , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA , C_ENABLE_QUEUE => C_SG_INCLUDE_DESC_QUEUE ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Update command write interface from s2mm sm s2mm_cmnd_wr => s2mm_cmnd_wr , s2mm_cmnd_data => s2mm_cmnd_data , s2mm_cmnd_pending => s2mm_cmnd_pending , s2mm_packet_eof => s2mm_packet_eof , -- EOF Detected s2mm_sts_received_clr => s2mm_sts_received_clr , s2mm_sts_received => s2mm_sts_received , s2mm_tailpntr_enble => s2mm_tailpntr_enble , s2mm_desc_cmplt => s2mm_desc_cmplt , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => s_axis_s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => s_axis_s2mm_cmd_tready , s_axis_s2mm_cmd_tdata => s_axis_s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_s2mm_sts_tvalid , m_axis_s2mm_sts_tready => m_axis_s2mm_sts_tready , m_axis_s2mm_sts_tdata => m_axis_s2mm_sts_tdata , m_axis_s2mm_sts_tkeep => m_axis_s2mm_sts_tkeep , -- S2MM Primary DataMover Status s2mm_brcvd => s2mm_brcvd , s2mm_err => s2mm_err , s2mm_done => s2mm_done , s2mm_error => dma_s2mm_error , s2mm_interr => s2mm_interr , s2mm_slverr => s2mm_slverr , s2mm_decerr => s2mm_decerr , s2mm_tag => s2mm_tag ); --------------------------------------------------------------------------- -- Halt / Idle Status Manager --------------------------------------------------------------------------- I_S2MM_STS_MNGR : entity axi_dma_v7_1.axi_dma_s2mm_sts_mngr generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- dma control and sg engine status signals s2mm_run_stop => s2mm_run_stop , s2mm_ftch_idle => s2mm_ftch_idle , s2mm_updt_idle => s2mm_updt_idle , s2mm_cmnd_idle => s2mm_cmnd_idle , s2mm_sts_idle => s2mm_sts_idle , -- stop and halt control/status s2mm_stop => s2mm_stop_i , s2mm_halt_cmplt => s2mm_halt_cmplt , -- system state and control s2mm_all_idle => s2mm_all_idle , s2mm_halted_clr => s2mm_halted_clr , s2mm_halted_set => s2mm_halted_set_i , s2mm_idle_set => s2mm_idle_set , s2mm_idle_clr => s2mm_idle_clr ); -- S2MM Status Stream Included GEN_STS_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_INCLUDE_SG = 1 generate begin -- Register soft reset to create rising edge pulse to use for shut down. -- soft_reset from DMACR does not clear until after all reset processes -- are done. This causes stop to assert too long causing issue with -- status stream skid buffer. REG_SFT_RST : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then soft_reset_d1 <= '0'; soft_reset_d2 <= '0'; else soft_reset_d1 <= soft_reset; soft_reset_d2 <= soft_reset_d1; end if; end if; end process REG_SFT_RST; -- Rising edge soft reset pulse soft_reset_re <= soft_reset_d1 and not soft_reset_d2; -- Status Stream module stop requires rising edge of soft reset to -- shut down due to DMACR.SoftReset does not deassert on internal hard reset -- It clears after therefore do not want to issue another stop to sts strm -- skid buffer. sts_strm_stop <= s2mm_error_i -- Error or soft_reset_re; -- Soft Reset issued I_S2MM_STS_STREAM : entity axi_dma_v7_1.axi_dma_s2mm_sts_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_S_AXIS_S2MM_STS_TDATA_WIDTH=> C_S_AXIS_S2MM_STS_TDATA_WIDTH , C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_FAMILY => C_FAMILY ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , axi_prmry_aclk => axi_prmry_aclk , p_reset_n => p_reset_n , s2mm_stop => sts_strm_stop , s2mm_rxlength_valid => s2mm_rxlength_valid , s2mm_rxlength_clr => s2mm_rxlength_clr , s2mm_rxlength => s2mm_rxlength , stsstrm_fifo_rden => stsstrm_fifo_rden , stsstrm_fifo_empty => stsstrm_fifo_empty , stsstrm_fifo_dout => stsstrm_fifo_dout , -- Stream to Memory Map Status Stream Interface , s_axis_s2mm_sts_tdata => s_axis_s2mm_sts_tdata , s_axis_s2mm_sts_tkeep => s_axis_s2mm_sts_tkeep , s_axis_s2mm_sts_tvalid => s_axis_s2mm_sts_tvalid , s_axis_s2mm_sts_tready => s_axis_s2mm_sts_tready , s_axis_s2mm_sts_tlast => s_axis_s2mm_sts_tlast ); end generate GEN_STS_STREAM; -- S2MM Status Stream Not Included GEN_NO_STS_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_INCLUDE_SG = 0 generate begin s2mm_rxlength_valid <= '0'; s2mm_rxlength <= (others => '0'); stsstrm_fifo_empty <= '1'; stsstrm_fifo_dout <= (others => '0'); s_axis_s2mm_sts_tready <= '0'; end generate GEN_NO_STS_STREAM; end generate GEN_S2MM_DMA_CONTROL; ------------------------------------------------------------------------------- -- Do Not Include S2MM Channel ------------------------------------------------------------------------------- GEN_NO_S2MM_DMA_CONTROL : if C_INCLUDE_S2MM = 0 generate begin m_axis_s2mm_ftch_tready <= '0'; s_axis_s2mm_updtptr_tdata <= (others =>'0'); s_axis_s2mm_updtptr_tvalid <= '0'; s_axis_s2mm_updtptr_tlast <= '0'; s_axis_s2mm_updtsts_tdata <= (others =>'0'); s_axis_s2mm_updtsts_tvalid <= '0'; s_axis_s2mm_updtsts_tlast <= '0'; s2mm_new_curdesc <= (others =>'0'); s2mm_new_curdesc_wren <= '0'; s_axis_s2mm_cmd_tvalid <= '0'; s_axis_s2mm_cmd_tdata <= (others =>'0'); m_axis_s2mm_sts_tready <= '0'; s2mm_halted_clr <= '0'; s2mm_halted_set <= '0'; s2mm_idle_set <= '0'; s2mm_idle_clr <= '0'; s_axis_s2mm_sts_tready <= '0'; s2mm_stop <= '0'; s2mm_desc_flush <= '0'; s2mm_all_idle <= '1'; s2mm_error <= '0'; -- CR#570587 s2mm_packet_eof_out <= '0'; s2mm_smple_done <= '0'; s2mm_interr_set <= '0'; s2mm_slverr_set <= '0'; s2mm_decerr_set <= '0'; s2mm_bytes_rcvd <= (others => '0'); s2mm_bytes_rcvd_wren <= '0'; end generate GEN_NO_S2MM_DMA_CONTROL; end implementation;
mit
7221c50618c2f8423aaedf17866ae67c
0.400743
4.334962
false
false
false
false
louis-bonicel/VHDL
Porte_AND/componant_2.vhd
2
1,478
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:15:01 01/15/2015 -- Design Name: -- Module Name: componant_2 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity componant_2 is Port ( a1 : in STD_LOGIC; b1 : in STD_LOGIC; rin : in STD_LOGIC; rout : out STD_LOGIC; s1 : out STD_LOGIC); end componant_2; architecture Behavioral of componant_2 is component componant_1 is Port ( e1 : in STD_LOGIC; e2 : in STD_LOGIC; s1 : out STD_LOGIC; s2 : out STD_LOGIC); end component; signal n1, n2, n3 : std_logic; begin inst1 : componant_1 port map (e1=>a1,e2=>b1,s1=>n1,s2=>n2); inst2 : componant_1 port map (e1=>n1,e2=>rin,s1=>s1,s2=>n3); rout <= n2 or n3; end Behavioral;
gpl-2.0
f2935e82f9938f2e13173b68f02bca87
0.539242
3.437209
false
false
false
false
Kalugy/Procesadorarquitectura
Segmentado/unionntb.vhd
1
2,205
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:59:24 12/02/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/jummmmmmmm/unionntb.vhd -- Project Name: jummmmmmmm -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Union -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY unionntb IS END unionntb; ARCHITECTURE behavior OF unionntb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Union PORT( Clk : IN std_logic; reset : IN std_logic; Salidaunion : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal Clk : std_logic := '0'; signal reset : std_logic := '0'; --Outputs signal Salidaunion : std_logic_vector(31 downto 0); -- Clock period definitions constant Clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Union PORT MAP ( Clk => Clk, reset => reset, Salidaunion => Salidaunion ); -- Clock process definitions Clk_process :process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; wait for Clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. reset <= '1'; wait for 15 ns; reset <= '0'; wait for 15 ns; -- insert stimulus here wait; end process; END;
gpl-3.0
a9696a2b9e02168d83b7a4b3a48cd12d
0.597732
3.987342
false
true
false
false
justingallagher/fpga-trace
hls/triangle_intersect/tri_intersect/impl/vhdl/project.srcs/sources_1/ip/tri_intersect_ap_fadd_7_full_dsp_32/sim/tri_intersect_ap_fadd_7_full_dsp_32.vhd
1
10,722
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.0 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_0; USE floating_point_v7_0.floating_point_v7_0; ENTITY tri_intersect_ap_fadd_7_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END tri_intersect_ap_fadd_7_full_dsp_32; ARCHITECTURE tri_intersect_ap_fadd_7_full_dsp_32_arch OF tri_intersect_ap_fadd_7_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tri_intersect_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_0 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 7, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END tri_intersect_ap_fadd_7_full_dsp_32_arch;
mit
31a9a797169a7fb5372a3415dbe0cf00
0.632625
3.216922
false
false
false
false
Kalugy/Procesadorarquitectura
Segmentado/UnidadControl.vhd
1
7,569
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:49:14 10/20/2017 -- Design Name: -- Module Name: UnidadControl - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity UnidadControl is Port ( Op : in STD_LOGIC_VECTOR (1 downto 0); Op2 : in STD_LOGIC_VECTOR (2 downto 0); Op3 : in STD_LOGIC_VECTOR (5 downto 0); icc: in STD_LOGIC_VECTOR (3 downto 0); cond: in STD_LOGIC_VECTOR (3 downto 0); rfDest : out STD_LOGIC; Reset : in STD_LOGIC; rfSource : out STD_LOGIC_VECTOR (1 downto 0); wrEnMem : out STD_LOGIC; wrEnRF : out STD_LOGIC; pcSource : out STD_LOGIC_VECTOR (1 downto 0); AluOp : out STD_LOGIC_VECTOR (5 downto 0)); end UnidadControl; architecture Behavioral of UnidadControl is begin process(Op, Op2, Op3, icc, cond,Reset) begin wrEnMem <= '0'; rfDest <= '0'; if(Reset = '1')then rfDest <= '0'; rfSource <= "00"; wrEnRF <= '0'; pcSource <= "10"; AluOp <= "111111"; elsif(op = "01")then --CALL rfDest <= '1'; rfSource <= "10"; wrEnRF <= '1'; pcSource <= "00"; AluOp <= "111111"; else if(Op = "00")then if(Op2 = "010")then case cond is when "1000" => --ba rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; when "1001" => --bne if(not(icc(2)) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "0001" => --be if(icc(2) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "1010" => --bg if((not(icc(2) or (icc(3) xor icc(1)))) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "0010" => --ble if((icc(2) or (icc(3) xor icc(1))) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <="111111"; else rfSource <="01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "1011" => --bge if((not(icc(3) xor icc(1))) = '1')then --sacado de manual rfSource <= "01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when "0011" => --bl if((icc(3) xor icc(1)) = '1')then --sacado de manual rfSource <="01"; wrEnRF <= '0'; pcSource <="01"; AluOp <= "111111"; else rfSource <="01" ; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end if; when others => rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end case; else if(Op2 = "100")then -- NOP rfSource <= "01"; wrEnRF <= '1';--oleacaloquesabe pcSource <="10"; AluOp <= "111111"; end if; end if; else if(Op = "10")then case Op3 is when "000000" => --Add rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000000"; when "010000" => --Addcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010000"; when "001000" => --Addx rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "001000"; when "011000" => --Addxcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "011000"; when "000100" => --Sub rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000100"; when "010100" => --Subcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010100"; when "001100" => --Subx rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "001100"; when "011100" => --Subxcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "011100"; when "000001" => --And rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000001"; when "010001" => --Andcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010001"; when "000101" => --AndN rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000101"; when "010101" => --AndNcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010101"; when "000010" => --Or rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000010"; rfDest <= '0'; when "010010" => --Orcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010010"; when "000110" => --OrN rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000110"; when "010110" => --OrNcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010110"; when "000011" => --Xor rfSource <= "01"; wrEnRF <='1' ; pcSource <="10"; AluOp <= "000011"; when "010011" => --Xorcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010011"; when "111000" => -- JMPL rfSource <= "10"; wrEnRF <= '1'; pcSource <="11"; AluOp <= "000000"; when "000111" => --XorN rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000111"; when "010111" => --XnorNcc rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "010111"; when "111100" => -- SAVE rfSource <= "01"; wrEnRF <= '1'; pcSource <="10"; AluOp <= "000000"; when "111101" => -- RESTORE rfSource <= "01"; wrEnRF <='1'; pcSource <="10"; AluOp <= "000000"; when others => rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end case; else if(op = "11")then case op3 is when "000100" => -- STORE rfSource <= "01"; -- leer wrEnMem <= '1'; wrEnRF <= '0'; pcSource <="10"; AluOp <= "000000"; when "000000" => -- LOAD rfSource <= "00"; --guardar wrEnRF <= '1'; pcSource <="10"; AluOp <= "000000"; when others => rfSource <= "01"; wrEnRF <= '0'; pcSource <="10"; AluOp <= "111111"; end case; end if; end if; end if; end if; end process; end Behavioral;
gpl-3.0
83a282f2f714d5faa94f85119d675ca6
0.442463
3.350598
false
false
false
false
loetlab-jena/das-atv
hdl/src/rgb2yuv.vhd
1
3,834
-- RGB2YUV -- transforms RGB to YUV -- Y = 0.299 * R + 0.587 * G + 0.114 * B -- U = 0.492 * (B - Y) = 0.436 * B - 0.147 * R + 0.289 * G -- V = 0.877 * (R - Y) = 0.615 * R - 0.515 * G + 0.100 * B -- -- delay: 2 clk cycles -- -- file: rgb2yuv.vhd -- author: Sebastian Weiss <[email protected]> -- version: 0.1 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity rgb2yuv is generic( width : positive; resolution : positive ); port ( clk : in std_logic; red : in std_logic_vector(width-1 downto 0); green : in std_logic_vector(width-1 downto 0); blue : in std_logic_vector(width-1 downto 0); y : out std_logic_vector(width-1 downto 0); u : out std_logic_vector(width-1 downto 0); v : out std_logic_vector(width-1 downto 0) ); end entity rgb2yuv; architecture behavioral of rgb2yuv is constant exp_width : integer := width+resolution; signal RsY : unsigned(exp_width-1 downto 0); signal GsY : unsigned(exp_width-1 downto 0); signal BsY : unsigned(exp_width-1 downto 0); signal Ys : unsigned(exp_width-1 downto 0); signal RsU : unsigned(exp_width-1 downto 0); signal GsU : unsigned(exp_width-1 downto 0); signal BsU : unsigned(exp_width-1 downto 0); signal Us : unsigned(exp_width-1 downto 0); signal RsV : unsigned(exp_width-1 downto 0); signal GsV : unsigned(exp_width-1 downto 0); signal BsV : unsigned(exp_width-1 downto 0); signal Vs : unsigned(exp_width-1 downto 0); alias RY is RsY(exp_width-1 downto resolution); alias GY is GsY(exp_width-1 downto resolution); alias BY is BsY(exp_width-1 downto resolution); alias Yrs is Ys(exp_width-1 downto resolution); alias RU is RsU(exp_width-1 downto resolution); alias GU is GsU(exp_width-1 downto resolution); alias BU is BsU(exp_width-1 downto resolution); alias Urs is Us(exp_width-1 downto resolution); alias RV is RsV(exp_width-1 downto resolution); alias GV is GsV(exp_width-1 downto resolution); alias BV is BsV(exp_width-1 downto resolution); alias Vrs is Vs(exp_width-1 downto resolution); constant CrY : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.299 * real(2**resolution))),resolution); constant CgY : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.587 * real(2**resolution))),resolution); constant CbY : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.114 * real(2**resolution))),resolution); constant CrU : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.147 * real(2**resolution))),resolution); constant CgU : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.289 * real(2**resolution))),resolution); constant CbU : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.436 * real(2**resolution))),resolution); constant CrV : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.615 * real(2**resolution))),resolution); constant CgV : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.515 * real(2**resolution))),resolution); constant CbV : unsigned(resolution-1 downto 0) := to_unsigned(integer(round(0.100 * real(2**resolution))),resolution); begin process begin wait until rising_edge(clk); RsY <= unsigned(red) * CrY; GsY <= unsigned(green) * CgY; BsY <= unsigned(blue) * CbY; Ys <= RsY + GsY + BsY; RsU <= unsigned(red) * CrU; GsU <= unsigned(green) * CgU; BsU <= unsigned(blue) * CbU; Us <= BsU - GsU - RsU; RsV <= unsigned(red) * CrV; GsV <= unsigned(green) * CgV; BsV <= unsigned(blue) * CbV; Vs <= RsV - GsV - BsV; if (GsU + RsU > BsU) then Us <= (others => '0'); end if; if (GsV + BsV > RsV) then Vs <= (others => '0'); end if; end process; y <= std_logic_vector(Yrs); u <= std_logic_vector(Urs); v <= std_logic_vector(Vrs); end architecture behavioral;
gpl-2.0
107af1dafb887450cd5f4e2e827ffc3e
0.67397
2.800584
false
false
false
false