repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
seyedmaysamlavasani/GorillaPP | apps/lib/floatingPoints/common/coreGen/virtex-6/multiplier/floating_point_v5_0.vhd | 1 | 4,929 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file floating_point_v5_0.vhd when simulating
-- the core, floating_point_v5_0. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY floating_point_v5_0 IS
PORT (
a : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
clk : IN STD_LOGIC;
result : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END floating_point_v5_0;
ARCHITECTURE floating_point_v5_0_a OF floating_point_v5_0 IS
-- synthesis translate_off
COMPONENT wrapped_floating_point_v5_0
PORT (
a : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
clk : IN STD_LOGIC;
result : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_floating_point_v5_0 USE ENTITY XilinxCoreLib.floating_point_v5_0(behavioral)
GENERIC MAP (
c_a_fraction_width => 53,
c_a_width => 64,
c_b_fraction_width => 53,
c_b_width => 64,
c_compare_operation => 8,
c_has_a_nd => 0,
c_has_a_negate => 0,
c_has_a_rfd => 0,
c_has_aclr => 0,
c_has_add => 0,
c_has_b_nd => 0,
c_has_b_negate => 0,
c_has_b_rfd => 0,
c_has_ce => 0,
c_has_compare => 0,
c_has_cts => 0,
c_has_divide => 0,
c_has_divide_by_zero => 0,
c_has_exception => 0,
c_has_fix_to_flt => 0,
c_has_flt_to_fix => 0,
c_has_flt_to_flt => 0,
c_has_inexact => 0,
c_has_invalid_op => 0,
c_has_multiply => 1,
c_has_operation_nd => 0,
c_has_operation_rfd => 0,
c_has_overflow => 0,
c_has_rdy => 0,
c_has_sclr => 0,
c_has_sqrt => 0,
c_has_status => 0,
c_has_subtract => 0,
c_has_underflow => 0,
c_latency => 15,
c_mult_usage => 2,
c_optimization => 1,
c_rate => 1,
c_result_fraction_width => 53,
c_result_width => 64,
c_speed => 2,
c_status_early => 0,
c_xdevicefamily => "virtex6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_floating_point_v5_0
PORT MAP (
a => a,
b => b,
clk => clk,
result => result
);
-- synthesis translate_on
END floating_point_v5_0_a;
| bsd-3-clause | af39ae41736631537ceff5156a07873d | 0.534591 | 4.124686 | false | false | false | false |
albayaty/Video-Game-Engine | EDK/VGA/20x15/vgatimehelper.vhd | 1 | 4,696 | -- ==============================================
-- Copyright © 2014 Ali M. Al-Bayaty
--
-- Video-Game-Engine is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- any later version.
--
-- Video-Game-Engine is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- ==============================================
--
-- Video Game Engine Project
-- ( EDK: VGA 20x15 Resolution, Timer Helper VHDL )
--
-- MSEE student: Ali M. Al-Bayaty
-- EE659: System-On-Chip
-- Personal website: <http://albayaty.github.io/>
-- Source code link: <https://github.com/albayaty/Video-Game-Engine.git>
--
-- ==============================================
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity vgatimehelper is
port(
clk, reset: in std_logic; --send in 50mhz clock
hsync, vsync: out std_logic;
video_on, p_tick: out std_logic; --p_tick is the 25mhz clock you will
--not need to use, video_on is when you
--can display a pixel
pixel_x, pixel_y: out std_logic_vector (9 downto 0)
);
end vgatimehelper;
architecture arch of vgatimehelper is
-- VGA 640-by-480 sync parameters
constant HD: integer:=640; --horizontal display area
constant HF: integer:=16 ; --h. front porch
constant HB: integer:=48 ; --h. back porch
constant HR: integer:=96 ; --h. retrace
constant VD: integer:=480; --vertical display area
constant VF: integer:=10; --v. front porch
constant VB: integer:=33; --v. back porch
constant VR: integer:=2; --v. retrace
-- mod-2 counter
signal mod2_reg, mod2_next: std_logic;
-- sync counters
signal v_count_reg, v_count_next: unsigned(9 downto 0);
signal h_count_reg, h_count_next: unsigned(9 downto 0);
-- output buffer
signal v_sync_reg, h_sync_reg: std_logic;
signal v_sync_next, h_sync_next: std_logic;
-- status signal
signal h_end, v_end, pixel_tick: std_logic;
begin
-- registers
process (clk,reset)
begin
if reset='1' then
mod2_reg <= '0';
v_count_reg <= (others=>'0');
h_count_reg <= (others=>'0');
v_sync_reg <= '0';
h_sync_reg <= '0';
elsif (clk'event and clk='1') then
mod2_reg <= mod2_next;
v_count_reg <= v_count_next;
h_count_reg <= h_count_next;
v_sync_reg <= v_sync_next;
h_sync_reg <= h_sync_next;
end if;
end process;
-- mod-2 circuit to generate 25 MHz enable tick
mod2_next <= not mod2_reg;
-- 25 MHz pixel tick
pixel_tick <= '1' when mod2_reg='1' else '0';
-- status
h_end <= -- end of horizontal counter
'1' when h_count_reg=(HD+HF+HB+HR-1) else --799
'0';
v_end <= -- end of vertical counter
'1' when v_count_reg=(VD+VF+VB+VR-1) else --524
'0';
-- mod-800 horizontal sync counter
process (h_count_reg,h_end,pixel_tick)
begin
if pixel_tick='1' then -- 25 MHz tick
if h_end='1' then
h_count_next <= (others=>'0');
else
h_count_next <= h_count_reg + 1;
end if;
else
h_count_next <= h_count_reg;
end if;
end process;
-- mod-525 vertical sync counter
process (v_count_reg,h_end,v_end,pixel_tick)
begin
if pixel_tick='1' and h_end='1' then
if (v_end='1') then
v_count_next <= (others=>'0');
else
v_count_next <= v_count_reg + 1;
end if;
else
v_count_next <= v_count_reg;
end if;
end process;
-- horizontal and vertical sync, buffered to avoid glitch
h_sync_next <=
'1' when (h_count_reg>=(HD+HF)) --656
and (h_count_reg<=(HD+HF+HR-1)) else --751
'0';
v_sync_next <=
'1' when (v_count_reg>=(VD+VF)) --490
and (v_count_reg<=(VD+VF+VR-1)) else --491
'0';
-- video on/off
video_on <=
'1' when (h_count_reg<HD) and (v_count_reg<VD) else
'0';
-- output signal
hsync <= h_sync_reg;
vsync <= v_sync_reg;
pixel_x <= std_logic_vector(h_count_reg);
pixel_y <= std_logic_vector(v_count_reg);
p_tick <= pixel_tick;
end arch;
| gpl-3.0 | 353e87e30973b4522670d92b4e1fdd28 | 0.568477 | 3.39971 | false | false | false | false |
seyedmaysamlavasani/GorillaPP | apps/lib/floatingPoints/common/coreGen/virtex-7/multiplier/floating_point_v5_0.vhd | 1 | 4,929 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file floating_point_v5_0.vhd when simulating
-- the core, floating_point_v5_0. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY floating_point_v5_0 IS
PORT (
a : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
clk : IN STD_LOGIC;
result : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END floating_point_v5_0;
ARCHITECTURE floating_point_v5_0_a OF floating_point_v5_0 IS
-- synthesis translate_off
COMPONENT wrapped_floating_point_v5_0
PORT (
a : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
clk : IN STD_LOGIC;
result : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_floating_point_v5_0 USE ENTITY XilinxCoreLib.floating_point_v5_0(behavioral)
GENERIC MAP (
c_a_fraction_width => 53,
c_a_width => 64,
c_b_fraction_width => 53,
c_b_width => 64,
c_compare_operation => 8,
c_has_a_nd => 0,
c_has_a_negate => 0,
c_has_a_rfd => 0,
c_has_aclr => 0,
c_has_add => 0,
c_has_b_nd => 0,
c_has_b_negate => 0,
c_has_b_rfd => 0,
c_has_ce => 0,
c_has_compare => 0,
c_has_cts => 0,
c_has_divide => 0,
c_has_divide_by_zero => 0,
c_has_exception => 0,
c_has_fix_to_flt => 0,
c_has_flt_to_fix => 0,
c_has_flt_to_flt => 0,
c_has_inexact => 0,
c_has_invalid_op => 0,
c_has_multiply => 1,
c_has_operation_nd => 0,
c_has_operation_rfd => 0,
c_has_overflow => 0,
c_has_rdy => 0,
c_has_sclr => 0,
c_has_sqrt => 0,
c_has_status => 0,
c_has_subtract => 0,
c_has_underflow => 0,
c_latency => 15,
c_mult_usage => 2,
c_optimization => 1,
c_rate => 1,
c_result_fraction_width => 53,
c_result_width => 64,
c_speed => 2,
c_status_early => 0,
c_xdevicefamily => "virtex7"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_floating_point_v5_0
PORT MAP (
a => a,
b => b,
clk => clk,
result => result
);
-- synthesis translate_on
END floating_point_v5_0_a;
| bsd-3-clause | 212cba19923f2280d206e14588a6564f | 0.534591 | 4.124686 | false | false | false | false |
Hyvok/HardHeat | src/pid.vhd | 1 | 3,354 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.utils_pkg.all;
entity pid is
generic
(
-- Coefficients are shifted left is positive and right if negative
-- Proportional coefficient
P_SHIFT_N : integer;
-- Integral coefficient
I_SHIFT_N : integer;
-- Number of bits in the filter
BITS_N : positive;
-- Initial output value
INIT_OUT_VAL : natural
);
port
(
clk : in std_logic;
reset : in std_logic;
upd_clk_in : in std_logic;
setpoint_in : in signed(BITS_N - 1 downto 0);
pid_in : in signed(BITS_N - 1 downto 0);
pid_out : out signed(BITS_N - 1 downto 0)
);
end entity;
architecture rtl of pid is
begin
pid_p: process(clk, reset)
variable step : std_logic;
variable setpoint_err : signed(BITS_N - 1 downto 0);
variable prop : signed(BITS_N + 2 downto 0);
variable integ : signed(BITS_N + 2 downto 0);
variable sum : signed(BITS_N + 2 downto 0);
variable last_state : std_logic;
begin
if reset = '1' then
step := '0';
pid_out <= to_signed(INIT_OUT_VAL, pid_out'length);
setpoint_err := (others => '0');
integ := (others => '0');
prop := (others => '0');
sum := (others => '0');
last_state := '0';
elsif rising_edge(clk) then
if not upd_clk_in = last_state and upd_clk_in = '1' then
setpoint_err := setpoint_in - pid_in;
if P_SHIFT_N < 0 then
prop := shift_right(resize(setpoint_err, prop'length)
, -P_SHIFT_N);
else
prop := shift_left(resize(setpoint_err, prop'length)
, P_SHIFT_N);
end if;
-- Stop integrating to precent windup
if integ + setpoint_err >= 2**(integ'length - 2) - 1 then
integ := to_signed(2**(integ'length - 2) - 1
, integ'length);
elsif integ + setpoint_err <= -2**(integ'length - 2) + 1 then
integ := to_signed(-2**(integ'length - 2) + 1
, integ'length);
else
integ := integ + setpoint_err;
end if;
if I_SHIFT_N < 0 then
sum := prop + shift_right(integ, -I_SHIFT_N);
else
sum := prop + shift_left(integ, I_SHIFT_N);
end if;
step := '1';
elsif step = '1' then
if sum >= 2**(pid_out'length - 1) - 1 then
sum := to_signed(2**(pid_out'length - 1) - 1, sum'length);
elsif sum <= -2**(pid_out'length - 1) + 1 then
sum := to_signed(-2**(pid_out'length - 1) + 1, sum'length);
end if;
pid_out <= resize(sum, pid_out'length);
step := '0';
end if;
last_state := upd_clk_in;
end if;
end process;
end;
| mit | c59e1e227f1f26ca7376c3008db1631d | 0.444842 | 4.060533 | false | false | false | false |
Hyvok/HardHeat | src/epdm.vhd | 1 | 3,816 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity epdm is
port
(
clk : in std_logic;
reset : in std_logic;
-- Modulation level input, supports five pulse density levels
mod_lvl_in : in unsigned(2 downto 0);
mod_lvl_in_f : in std_logic;
-- Signal in, should have 50% duty cycle so no deadtime etc.
sig_in : in std_logic;
-- Left high-side switch etc.
sig_lh_out : out std_logic;
sig_ll_out : out std_logic;
sig_rh_out : out std_logic;
sig_rl_out : out std_logic
);
end entity;
architecture rtl of epdm is
begin
epdm_p: process(clk, reset)
variable count : unsigned(3 downto 0);
variable last_state : std_logic;
variable skip : std_logic;
variable alternate : std_logic;
begin
if reset = '1' then
sig_lh_out <= '0';
sig_ll_out <= '0';
sig_rh_out <= '0';
sig_rl_out <= '0';
count := (others => '0');
last_state := '0';
alternate := '0';
skip := '1';
elsif rising_edge(clk) then
-- New modulation level, reset counter
if mod_lvl_in_f = '1' then
count := (others => '0');
end if;
if not sig_in = last_state then
-- Count on rising and falling edge
if sig_in = '1' then
count := count + 1;
elsif sig_in = '0' then
count := count + 1;
end if;
-- Skip every sixth cycle
if to_integer(mod_lvl_in) = 3 then
if count = 6 then
skip := '1';
else
skip := '0';
end if;
-- Skip every fourth cycle
elsif to_integer(mod_lvl_in) = 2 then
if count mod 4 = 0 then
skip := '1';
else
skip := '0';
end if;
-- Skip every second cycle
elsif to_integer(mod_lvl_in) = 1 then
if count mod 2 = 0 then
skip := '1';
else
skip := '0';
end if;
-- Skip every cycle except every fourth
elsif to_integer(mod_lvl_in) = 0 then
if not (count mod 4 = 2) then
skip := '1';
else
skip := '0';
end if;
-- No skipping, full power
else
skip := '0';
end if;
-- Reset counter
if count = 12 then
-- Alternate switch pairs so one pair is not constantly on
if count mod 2 = 0 then
alternate := not alternate;
end if;
count := (others => '0');
end if;
end if;
-- Apply skipping
if skip = '1' then
sig_lh_out <= '0';
sig_ll_out <= '1';
sig_rh_out <= '0';
sig_rl_out <= '1';
else
sig_lh_out <= sig_in xor alternate;
sig_ll_out <= not sig_in xor alternate;
sig_rh_out <= not sig_in xor alternate;
sig_rl_out <= sig_in xor alternate;
end if;
last_state := sig_in;
end if;
end process;
end;
| mit | 87a166e725e5d3dbd54a6dfcf0e5a231 | 0.390985 | 4.592058 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/axi_utils_v2_0_vh_rfs.vhd | 1 | 292,080 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UC4CmPqX0SqJ2UUhTebSBQy5NcA/j9bxAIqQ6wbegLmM1/Cn75/uFkWA3deU8+DcyuWUTHYXfhQa
FmsYXwDixw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dDSQWLdodlmwLBbrVzFALfmMj87bDPWQb1UJjsI1uzzBl1j9KFL73KnJkT/qrIi8PcgHGRQCWLuV
tUcQKJfjWUxPaUKck7ZfMCwW0ZJGmK0WVjMxDHFIuX31Lq56qpHabMaUfI5KbAXVmRuHP1XZg7p9
2EQ0Z71bgP8pXajMFSk=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P01x8DA5LINiJ5Q8424Nl+7R8kGbW3lfX9wGoLcfWirvbtBJfAL09pUefN7FmJt15iGoX6tO1cZB
ctIXrvbeoMvywb7Udmez8d5aqZArvZs59U94qEoNgAzZqK2Ezz6kfp4WejsuQkVWEQLHNeLS9PcW
MJp10y4RvD/VYX0NKJE=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UXEkB9WyGuIaKBzTWNZLPDNy+JYL3RQwx9bZB0Yf0lc2SHpe90bdEUkFtwEOKs/2GCKB6M1l0ObO
SYIVWlc6TzHtIXyquu4NMWuZuN/hF3oi2ai4Ikin/Q2racoJ7a8nvTx/gMojHQvsfhyTX4IH9sUW
HG7cH6+UDKEY7Tk7GLxdhIIq6Zb2CFPPQLfK5KJiMgiS/0E3CUShwVonZhtIeqfeGgtL+OG2JuDt
SPIlvxJ7nMS0whAX5Sy/+1eI+LwodrwDQL241xn8VXIXCp9ErMFxwaAbaSU8UNR9YinazX1jj4hH
ttxKfqRN2wxivxXimO14C2lBfu9n5cN0oSR0lQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BIHNDu/T0606wibtdYXC1WxJKfKHI4oxv4q1onL8cWS9nNJqvtCHy9zBA1k8+FiOxG9Fl0Qwl7w3
UGQmaUgH84k0ZF+OLZUE7zcN+P1qCtyCUvBvC7F52hJEdEB2guyqDeBkBAVbJ57Boixm8XRATDpm
LeLAeBwOGmbF8h60a+41WQCnLin5gB4ucpTKqA4dDlJFWXmyhexluJ+2/y+dr8c8j+YaFjCc7zLf
5qWqKnoOrZCFDydLwmsv02rvwWYyi/2j+M+2CXB39T30KLn+gmWctQAgTiT4tp+HXIhK1nExf+3+
yNqJpiSH9SP7omKXkpqu1MAOc0/d55C5FSdJVQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pIxY208BVkCJvQBRFNEdpbvQ/dkcDQYqjzbWuRumY3aJJ+CK0p8Wx3hBtAejfbfbtOoVsazjEisH
Of7w+0A9T2cqAjj0NpHw7CgquEAQnnXd/vVPqCE8WmsSzphDS6cGpM/SlZBlT3f/PIwuM8VUAhhC
aepAXx72V4uF+4mVb1YULcWLdnlpdVgcT2lpB89+mVduhGJgTRlqefgVJrnuax07UXqvcexu0dDL
LJ/3H4I4lQB+niTZ49ZySOu6VTp+971tfqWOgoMuX+Gx6J8Vgq/X+Ij7Klt/bdkY7K5R/dvZUqHB
jpYoluSFg8hTBvs6HNJadhE06onjG6+F6dl3ug==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080)
`protect data_block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`protect end_protected
| gpl-3.0 | edf9d98673a5e664f2ffbc895ef554c0 | 0.954982 | 1.828517 | false | false | false | false |
Hyvok/HardHeat | src/lock_detector.vhd | 1 | 1,727 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity lock_detector is
generic
(
-- Number of bits in the phase-difference input
PHASE_TIME_IN_N : positive;
-- Number of bits in the lock counter
LOCK_COUNT_N : positive;
-- Number of bits in the unlock counter
ULOCK_COUNT_N : positive;
-- Value under which the phase is considered to be locked
LOCK_LIMIT : natural
);
port
(
clk : in std_logic;
reset : in std_logic;
phase_time_in : in signed(PHASE_TIME_IN_N - 1 downto 0);
lock_out : out std_logic
);
end entity;
architecture rtl of lock_detector is
begin
lock_detector_p: process(clk, reset)
variable lock_count : unsigned(LOCK_COUNT_N - 1 downto 0);
variable ulock_count : unsigned(ULOCK_COUNT_N - 1 downto 0);
begin
if reset = '1' then
lock_count := (others => '0');
ulock_count := (others => '0');
lock_out <= '0';
elsif rising_edge(clk) then
if phase_time_in <= LOCK_LIMIT and phase_time_in >= -LOCK_LIMIT then
lock_count := lock_count + 1;
if lock_count = 2**lock_count'length - 1 then
lock_out <= '1';
end if;
else
lock_count := (others => '0');
ulock_count := ulock_count + 1;
if ulock_count = 2**ulock_count'length - 1 then
lock_out <= '0';
end if;
end if;
end if;
end process;
end;
| mit | 2894362094508fba096b713db70b66f4 | 0.490446 | 4.082742 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd | 1 | 142,619 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pXt0zBnv+SjqvHu62RxFkfS0wqquPBLL+6yxviqE59umVtWPwCI3YyhW8Bl9+Opkh6urqyfDfWEg
MlRRDMmANQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cdA9QkpoT6ZlsuU8hWhqxe7wNejVZlW0NdIMEmHj8PcoRFTK6HfHWBaT297rvNg6VcPH6+r7u5YV
r5VV2BYx4sR8wsSsmEMZFN9ZGDA7/j5XXpweWU0vhD1kmznT6lP5F1v1cWZr0IT97JM33GkQXRgk
XYV+f0iRXOuVbwgp7yA=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fGy0L71ZMdAUupVxSMkupmpau/6+ymoUspxGrg2SBGImTexwyqn7ZyLXa5b46UAxFr1udRW1eE4M
NrLNlHV9iQMzZ6UdXkcK+Rvc0qmz8m04wdZ7XiLcP1f8ZLzqOZ9rxATsTGPajLDfWQFj9RTmxU1K
RTPvPztpFGd5uB4kimM=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
zfG0tzrifIadgL3+SQ93/zd4MwkSFNE5B7Phj4IdYGrAd1UQ7ETQwowxw1jyjr/raDZy3iTVQxVq
9DLsO1uuPKGvdg0Z5/zGv0L4ZbInaUx0PjBuC9DkYo8MD+ZSyGOrd92vrwRL8fIlAVC6FavbiRMJ
0OuRBo1LXwrm3bPoJ5zxtBxYAv47VkAgBhEpb1awyZ3UUh0itaEfMZBJiM3/ZC/lE9C+5jV1JhTw
TfWKVxfMF8b6wuKE9oJbAlArOor183LR3+T2wf4Smn+BMzAuRSuiB3wjCMzE3BRXk2D4HnBpdlVm
O44VlAPORmuEiiJ/WYFKxpNOYef9P7Paxa2dnw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gWI8a3DjuldP+8dUIiKr0jB8tAX5whXp9Zmad9wzSxgU/fjJs0lo+o/9Ovx5Oc6SZOQWnCIyiuyx
SKR74BVXsgDANGZhmb1/60wo9ezkLSMYMWIGWZFO1+ViZWVJjM7TG68N9QgJXUP8EIJKikZvO3/z
1noClqCqG9PlRNpR+xmmrjSWVbqpUGE9EBYED/UgEa/HB1kszKRwiX0Tlkfk91IN5kciJeSNkGS3
QFOh4iAIoaamlAIEpLE8m8IhD8yKxc+beczmycEj9UtUDxRGphTGQN0CrL9kACG6HWuQ3IZHZXNj
TY7s9iSKGi49ehrpN5epq+aIYrs7AEwcONEQ7A==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F/a1b0Bmzdnk+n0E8MfDmOdJaSyOrwHHIYIcPXJPL5kBcR/Z88PP6LbcdlrBcisz+S2jwPWdXtfE
ElpqGlihYsORygoXwuneP4FvSsgtON4oDm/qJbarZzDuIPpVlYCuEvlTU42L3WVvmzSxI1bVu8se
yvst1yFAYCI3vfuZZPStX7evTOLLPJ0Y9Q/hck1aKO1dzLT62dRjxfN23o0cpI2HDL6C1YeAdi3i
uIwEycTF5a/sHs+gb88u+QDdHvfGda8M1ozey6a+jBJg5NwVDgMIGQkCBRuhv5rrvBkC8iQZaoCJ
AhHoribyhLAYA7cV4oUimQSTDoT3ezGGacdZ0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block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`protect end_protected
| gpl-3.0 | adc79fa7c753e4485480c593132111c9 | 0.954291 | 1.830796 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd | 1 | 80,739 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
e/ksY1mUl9nMofPW1Rc+DbyVVn4KhvOTcg+ZnAIoZhqN1w3TBdlSwG9IVQBVAhMKxrA4Q61UquG/
uSmobGZopw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
B1aa7reFpGBrGHzk+d04DwXiHXbolz80cHz4RTNCyC4+7wMwMgz+oW7ONWj3bjympvtxrdA7gcI9
dskVoNWvOQS27mBg/ogZHU2bB8PDn6sdijCK0/IE8HgqKKWXmewXezkj1eTsrGMWNy41eT2u0CS6
i53/hgysfzHXPHzobyo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vaj5OjDh06txHSazuw+3Aw7cCjUii7NbUNoGxMLGZFazb8yxvjd8p0bnn4Jj7LjZdOCcQN1NUp8k
JgYcPH/KXbBgGKPCYHmyRcs1FOz4x260kulH2mm0Fjfjo7vCvxbiQOe1CtOp61jBleKBm9z5Vuv7
H1oBlVXr4d0d0Hvy3pY=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xxdJMN68X7Qrjn1+XSfDsIBWGd2GSIpKrpsRA5gPiN7lvJLnDZo+IqLC9seIkG/QeJmZFfFU/GBW
59Qqp3enf6LTl5QORSEZmWDNepC9SRErXAQBzrP9UdMuhiGJAcMi73VU7ZcuVHEgfVWZZfxTwMBi
1s/t05goOzGyc+9G1x1H+x2F3vbgZfexJ+zzrGebRmgJq5QGD9cREL2uURpDPp7BdIdeKOfhGnUi
gnlzyLhkvcJ1ldLfg3f0uD2J8OxYDyLllP5JCw/thQR17j27OC0EeWO34qkj2ZErDyiK+QcshMuT
oXUSjOlgyazGW4+JeJZgw6sUHnBPVDHg/okAKA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gLSwyYJgwF+ed6Aij6tTUPBbJm+r0NEgdWtkDNCthHxcduCbwKu+r0o6/PLusSpi3Pxu/pLo/3Q2
cSTPTgQZ64FA3E5GlHzkMmIPf3H6176TH/MfmdjiaYxy9DMC+lbb6uB9mxSbAljwJ7qUkMrqYvQu
dEFTZGDDJI6tmYlVX8b2VyQ+5O7kPxK+cD5x/30/mm+vvHWTBMinsVjWxXu2h8VcIMs4wEzfb1U8
K2jCIhrH9LJQIfw3/A+p4OJi8qclY1R3WhT5c7/IeVNYGd5gBM1dazeP3RF4dNyZ76XtjBSZL6bH
dPagZDGy1CNlsN32RK3B8qHl+koHexyjTNMqmQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AM0x+QnZbkIngAMtp9ToUQCgKYzYmrXvBiboYV4N3gyQ4ZExYh9Ys8Igyo4nohLnF244wU1uOuLy
XndyEQlfUHXtqQ5slXWCu6quohGINGV3iyBjzG/8YzFWbYwSqHAli6cA0qP/KaJtwULXDj4hLoPU
hR2olxxM13J/CYLZEGfAp89D7yH3Puu5MuDqGZhAsSejAKHoIp7wb/54AyRs6oPOoqcUMSqzzftc
EfEYVXIM6zEE7f46dcNafgTh2OE6Yg/TUkXzGLQfOOllpfDmJouVYgFpsFPr/LnwqVoAOG72ez0D
Pmdx6b2nGCRyEYJzjP+IS5GCO91wsjZHc2OgyA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block
+9DqeHj15rJhJQJ2Vq8k3KygpFUYkZM18YiBSpwO6ViwkU81dME1q0UosiVkNDP1jZtLqoFL1jWP
Wuyw/YyaQUxJEqW7Yn+lSBLGATS3Phav9N8P1/R3FzAkwef7BoV9f3flmsMA6fDKw95Hik4QnJRz
3dK2VesKWoqwCKdfLwt3yBJvhcXPusb79aDd9T6OFhwZaz4zPhizq80PSk6df+kyCbCLRQFSDxfr
Kgdw5IBd5vrbju77DknfucqS9uNoTWgVNLwmrjgV9DLuKcMzz55y633mIP4QWHeXa8Z97mzRp+O5
ep4R78xSWvEz/UtuaXu4UoPHqz1rh7k5sBd/bc30+1Ogf0RwBJdCOxDrAt1FI5nQjaXSes5RLjOO
yRPJSJPezw6sU4IQL2a5YmZwkKfjJfQLOVEYA1NClJYXi+gGsG14+hQlHQ5Zx2Id1aJAc1RnJPzx
3LLZppupr8d8zlMvCiXoyq0V6qVCbqC43kBcmdqR9cwsM+b3Y7kLHoSiHSc+k7dltCPvXGhQCcvD
iy3+RG7IisyVrtGAE1MIy9eb6ZgIjNuNtletDGqOtwyRaJfAwUzPlwtCSgadUJIwAVe9VmI95Fdf
7BcJt2EPXplMlAxiJzjK5d9SvOGHE30Qr1opjykClu/SpXTe++4/Bzb+ly0UNUHcywB1TQZ5CE7z
3+qqEt0Z2qLrAQzp0ePq+PmnLd7uzOgvinGGywEFQr3atyOEo21TwOqrQS3WxGlYsVjN2gZunOfC
ExmOOMOJCHpBMOsFbFDGsDyVy65NcShkTdMAEZEkmq9mBxMi6czDLWqIh55yQUBscckPIwbxLKHa
x9il9cvtkD5WdHltfxdIGGaS3uqerYuDZV+BrvDs5ma82ImMC0+US+Vf0v8tFbFZxii8FBqr61p1
msZ9T34xp7U/lk9BX3LMG8W6/WBNOOXVZ6xXLGQ3JJ/BnFjSW7C69OFSP5XeEyJQHd1+jOVvRAPI
W/uTQjRb6rwyt9pKsQZ/SXAqs+UvaUoDCZ0BjJZvLAYiHC7ZnJ8EhId/39U/1E1iBzQ+RXFucNiT
gJqp4n81Me4Sh53eNKyfidqcY2Ap6cw5qhhY+eyrFv5rC+3UAtHxkW8i8TWuyA3gJYFnEIlKPIC5
eOpey/1imjqAZmklE71V8Y6bqQ9Okv+8Zy2ptRz3SLRvLkvswPLiN7hRPMsiiKcItiLwf90DP0g+
if2QQVf1GJw6hgtrxJhWp0s4G/roRkArQnn6VkA6yK042ZPJBHd7sZojQmgr2iRgV0ZcUw7dt+D1
uyOKN5Q9nnsrj8HF9ngoiHY/wBiUhd1K8jcFgK/9NBWgk8Lzj3rFevz/TIt26XlLlqto4XQegVKq
hmGS1Vjt+08ODa/8ARehZVp/g90MucjRM7ZURvoxd7MeoFnF6CHq7EpEmwwYvF8cCNZ+iEovFhEZ
ckce3ix9I1om7ZXModPVj7WUIH/yy9iajLh8xqoZO0VPNXPrpSg33i1BzCHy+CmnC5hgoaR/agRL
il7dd8wm8CXPuNA+RmN+wIRPyqSZQp4oInaB0Q73eBDwGGbWGUhFA1jRf7oLi9loohNzPixgoA4i
/4B0N2d7k7oPr0bbOMWmUxECxewvS7NQbQgds55hsWDS2JTXRbgn0Wv2dTUQwlYUod4W6S2+pMtv
MVUWhkdTDtFcZJT0rtBwdE9Im//5LbrkwAeOSWX8wd+g1iAt8JlFVJTvnpxDuY66/cYAIHDk4a0l
WfYLlDUSCD1NIKhi8eosNIDgPAfX7YlVtt39VU4MEX2D58mn6IChU/+ur5R5Ix7C82zqkus7cfBH
HAz7gQjx/b3NDZseFHBjAYJfpzF8wpiCgNdJLavRtiFImm0Jrr3GFvJzro8HLrTouzaGM18BX6Cn
TfaX1dOOouif0GoaPMp7Mzc6hGWohEYJiWuWZGBYwcYpmPaaIaQslkMDh5CiHlfgxL7Xt8fN6sZR
ZwJc44g236NtG9vBD40FEf0yuKOzqdWWiH01+XcnTbGPvjdUEVrt9oFdfLpwuFVw11XmYlmVmSN3
+IRXH1Sv6T4zg+XgpwWM5iFPCmMXhkzwvuD2WoG75KzOEAgEQJ2T26FJ0UBKQUEu+cCmRdiyErIL
K07XyiK5n8qekeBONyUPeGuJiDMoyqSgoR4RblNqO9XRSrTghz249Plr3d6xG6Fw3urd0mwkqgrc
H6SB9joQXSWj+hwphAV5BDqn0+bK/KD6+dD84fRaZrHJ+UbEJn5Bv1JIgabTEpT4Pt7ye3Pzytr+
T+rvapa70EsGXecNque7D7+1Ln9FPbxyZk4W6nDoas20jxqQtVZQ06kjc7tpvzdmv6chTbS1EHEt
CSxhWo6fmCcL7NOscPa1fI2H0sZFyM+dP10Z9K48sR7u5ZMME3s19H3GmXvJks0cEnQYNwne1AU1
bUepZ/GpgZ+qWR5+XPKG7h+vBLcmZGVtcdyYSUT+1EonjkPMdyu4qcKTzezbyyvvzWL6j3ymJQYV
UJEk+kAZuQoJNKe3MPFqo+wif0JcPjIy+n7yYcq6tx8faYqMspINOzX1BnRE0Q6OMPGShziyka/j
podonvOF3ppEtw3eUio7w10Rn1qfez4wsZMJzpWOb39Bu+R2YKxcQRLO9+7DG2JrQGQ1kqwjyBqK
C/Bm2U+YJfAe5tpVeZcvuyV9TjcpRzLAPg1arzQBJwZ2EiU+h4RbP2V3E3SPeOF7ZPO4kja4qp/K
B7OrIOwqJtLbqhXWoeiapNYCTCWs5a8zM23Mab/6S0FtKoImDYVHJveHQ4+LmCQnCCvxgjS3rpod
FNBH5QBQaFBQjPle70bEAitjzAGXVIK/Q/2YsnkhyXnzo3xsyoK3pxK+yQF3ySIL39MwFCZv3jXo
JnYgBGgsC0RXsUnwBunsmOr5N0SbDGnPZByuf1gM4AABton+pmGWP7uQwrJdsIu1snM5f64fw8SL
j1Ej+TVV3H/wOma8pjwgz7fUKbpdAyn7TuucTadvDhkM/vRQCGSUH8o4JHetGjrvhjX4e6mpYqpd
F/dMWIDavlH94vWOqf5Q3e0A8+Wfy9gopSL+q7jI1T4UatomTyMX7lmPvOSeOCi3YEJ2DbrhTORI
dG/DXM1xw6gSEhfo9CwbW5+Kc03ysmSgXq+lrkMqm9PfnwHFmnGyPV5LwE6L0N1tGb5uWBiEuRt2
Swh0K5JtfMBfTi3OYHZBjsmA8If79AzjN1a1vMBU/vUTlpkTIRH5PFevTkMdDmVPpMFW3z+Sw6p0
Fi8k7ZxO2//YNm80zA5+fcj9ZpOu9s+Yp6TSphng3joUp8Ao3J+2V/SahNLI4hKpsfwX57HcURI5
6HUhR6lOFlHst7XlK1K66IyJaaeRpuaiEEm7izpvRRqUgcjw8isuR8fQQfg45wNzZV7kffuVDkba
wmiMPSDybjrPRNy33DSFsUGXbRxCo39zuxgDYvGOkS/Zn9XA9wMkthpHn6a59rMU15+ir3edbHdF
lPsB3MyGcjEekHvj6hKxuZWhCJOSKrDRsBMreLO0eYdiTwcpP2pa8jj1ZFHS/iTA/MHsX+pb2U7e
7PNWn1LXvi25NGyt5JGMnmhHz27ca9ybXXZ/mBZjPxBXbYk9IXJlKoQj/XqH6S1LkWLyAFv1AlXS
0BFSHXwr/nnXdAvCzl7iLXaQHkDd04T7m//PtrUETWbs+OuTaOERMV9QDhdfS6XTuULMe7aMDT+E
JamNxbR6o/7CNChUslrCpTRYYVBiKBf+xWBeggJZydjIGlGoi0d2QdLReAwKpU7FpoxrA9Amk+v9
3vwvC/yIqgEmFzKurrLvO24Mi35XjIuY12xO2VvV4vNXbAF5/BdhqQK9WH4sgPZEehwt17pyvKre
csMAkrKqqYsCrD5XvFHXHM8x3J4if0yiKucOju6rvdn2J00Ur07JYPO6z+tR0mNDrTN8XFy1MMEM
Dz5VXzySh8XC8DeE3Ri6weFcilasNsp6xakxDzMZrls02M07KL3gzJy9YtOkdA0toRP4acJGBD5Q
7HTwDVPxkMoZFRFHCI4M8/cB+2qLKl/cdX8AJfTxQxSDZK8itVp3ZzIe8XzV/drTAv+8UHzsANp0
CuF7qh4f5ERkuj1n+ZEAew14SP9WQiagKFulqp+c9A4gKM8+vRyhPaioEMlh7VW3fo8AIa0SO1/e
ceHGeZOIOm5Ak2uP2S06k7I2VQ4ocmPjZaFCODDsAtwTzXxyuXGlVOBG9N9obIRRYY1MLB+hEl7G
IRE5ZCKaKeCWkPjMONvvW+QL77rGHMZwq/JgG3Wap32LTqRJm9RbGjYrFOv+njPkTd4amOgz7a11
XnAFzmWODj4y/Tg7HFJRWW2U8E6OjyNDjP4OBc98Q59OdIZ2jzPNIWqqQska+qXnXS+V1FccnWua
YC5kYypNDmektg3aYyMEuRhcNz/xFurFV/Vv3lcdG9BHIL2rWNtP7qxkFjuTj9N6rhm0zj9UruIH
HiSlHlHE/Ng843L1GUiv29aw7rg9RHOrBxoMJ/Q+l/Qq+GTf93+pJJG7ni9n6Nua/9A16nvA16/i
sU4cjXy9dQncYo3116aQ8BbPMx8qu1HUVZVXWCUkHgPQdTAYyrwFGK+fkF3phM7if2j8NeNciBgN
zpOP1srKVQ6kklfFufQMn7dygzubhUVKwCcZqKGUnvJMA/FJd9qoOwXHwmvn1EP+a8ITEddjfGBX
10rz544GW4FSJMtjp8sDG+bn+pcH18KYfbP8c9iOC4AvUkXjh+6am4JURPM9m119zXfWp3jJxuc0
97TyUsY7EpU8cEccGD4euPA3N15AFMFMX3tXgd9p8mgVGaG0uz7ZTa8WPwF87DIKTEX8aZUTfpUI
MNQu7lbJ3+4HQ23fnN2p+KxY+nZZJAADXRqkLt6p7VJo0EFi/+fnb0K3ET6iUFhOZ0JGRM+qDZkz
dORCRXWfTPSqSui3X/K04GoKx5J4xMDP/5sAIEKqr7bvO+3vkU/XzVuA3r3j80RBrVjOdLTy+ieR
xMIhIZMoTAptUKDq2ucLTSTX2IlvSAtSRXbHkY6vjzpXOrgUGXBGdfIyzdPUgijiA5QLLF/fnWpm
qkESHesQB7q40rBtFi9toO4EYBJ6XEwHZ+BiZTJ8iozvY3F3H4pSTcUj7T4znIhYfXf2/epeaxK9
oRYvXHtbsEpOOWLP5wvGNYO5pnLBYXUW2iN8yEBWM2QPKFubnJsDPrDrBtQDctuJLW2V9H88k6ZP
RvBp7Xc8SoygN5VmLCHY1poD58TJqZanTkXWP2KzHNXWpKmPr6mIPdyqz/05x4L1k2m13GE7l2mF
tozYvH4JTx5fXB49Mlu6wOvqS6QRX4fOxA5thZmYswzXB5V2oOc1k7uZR69VsQGkWOAfRDxsesNr
4hyGC37R++XtynmBD7ugN7u8dTDfHbVvh9VFsqNWVI9VercOlpBa5SCRLthu4qDMJKTKVkr9aNRy
0y4Yt3p2PgqYEK0hHo+bjQglMTX+ob1rRCnh1v/QsmycWQjwL6PnFlsV3YkLBY8dOYOn6FFb11Xk
pVahycrV13TbVWykSx5Hs448TYWGvgjVEdnZmUdgfeJ6g/oP8OAhklPiCu/BY4ozdT9ZNbjnO79n
kpxVFBQ0iWT+aRjDAGlmYXgFxyco2V9arUB7pQjhlOg1NO0CakUR85UeXJZAtpcuEWEmVAmoyI3I
hzDBSHAvqC0KLmVH9xFiOQg8Cq35+GatcF4Y+hOSmfRMp0PI6QPNeInQVnsA8n7zx0DM5sJjhDyz
yXYLRNjSkqI/Gr65lz4GBOY3LHQdxBpU1L9IrngiY366BLDhcyOpSGrWasW0su4ivS2uYoxPBJBz
nHOJG+lPkWklVK0tSkh/9qpDeOfKRQpP/Y3GjDEP/9aSgiwrn/jtpKnQ16rfXiJqN+Wb2sCMwhqd
QSz6l+xLHPgc7jM/zuqyvkg+2GqkI2wrUmTBTfPeA8kj4uCA5mDzRX+mIEZJGk61snSJB9wzhn2G
Yz9LizV7RlqrSve3aZRnGT8k6RRnykCojvuihWWFlGctUupYByVlevY6OJtJwUXT+CHEPxpObVbK
DtfWkzODKvtZOlWFBerfU9l0+H3EYu7ohciTiTnrchBL/lfXvJrJF/Ghtvl5C8RPmdsMLzQwBf5L
mzkNS9/XkSKXu22aWLiGi4bCjAb0l8Ku5gOPW2xr5V+dKUFIHJTFNmUfC4HsGy1WKqLmK+LrXXR6
UaB1WdZ9IeSbpcDbFCWrn6WGrjhYlOa+i+kTMwS5C5Cq85U7rQEfQ6ULjmvC+j67PERzqAyz6wnj
C70oFu7uVtG+tes9MeWaCnI84ESXM7Eb3XNXlmY2Br9z5UPJnWbSngEU8EY6PcerNdgzW7ziV4N5
w0p+JS8IufHc7wfzdeVHup2TR/+3ha5YFUOXgV2pceSZsD+1ir7k4UJ9UxosI3y4rA5t/G9YRA5y
zxqRanlH9JZC/jxvEZqCImLx8OQVF6VmPNQGucOwwLseFAGJQFhldMYC3uyN+n+uur+rqX1tC7+W
EGDXcoU6UvjWBIkxuz9PF/5yMFU+L6cyOpVI06p6qnC/GU0sCPbrvDxzHU/Mz16HLHUbompRM/RO
EpadpQMissOJmLLwg0+C//n70EjJeDQEZ8iVBKHaQsh3j9mREjc7sRG9uWJffNcePVX0EQ+GODOu
mK5IzlQbORDnpi4QdSoCeKpHe+0F4g3no3c9x//3+e3bjZnkme1PkogKRiUFoXE3eJ1FOXiHSNeV
/dywefgtGRqd5ubOaGvf93lSwat5ffvUt7YPk3WJMYoZ7XeKKCk11c7JPzZalODVKMTqA2kObs2w
i3ILAShfdi0njtC1sA8F+K1LmsMXnXn8vkaSvF9De9l3N69dcx1qZ3fFJVlijoJb+77dX7Qv3LBH
2FYjiCSPsvuwORXvQpFcwZ3QZa6d3yI+XDB7nAEFc+QFfCgJ9usurLdnIzYPKez/IFqS/w53BRpP
qL32cHa2FZIYfkcP1Dk9gJnuLVOaOACMIiX3IIEwunDSXMXd06S0raVmnCjLEZgt92k0+4INkJX5
PcQBZLOsxBfPduBGsZYCfzWgWqGhndvXE2I2ZongouGetciM5XEkVmqdCpS38YIps8xJL0Xhjbl0
i9FyaLN3ukpr1TtQWHtNvTXVQbGNxpgnwaHxDEVb9f60uELEt/0cgH19EVVJ169avnVMXldc2pV4
eMFqU9YChRbjpRrMM0uQXI1envZVTyhUrEVZOrRUO6FDPCrVgdhXPDP2k1HkEkp79P3pRmA6/bMr
t+TXcdaMh8E2oOkl6S7wScjE5v9h0T+jrWOAHIGOANJCzB7LPYFKYiRiZeabgKEGEkb48kVMmx9i
riqZbyEe0OANYA9e8wgjaBeuavQifB8V8j+iJ/1TVRK0O6ARTRGJGYyVoSaiQR5+CJKiGqc8kvYq
W0+sxVDybXZpWzLj3rWPc98caDF3mUU3CoT2+HXJn4DjhxCMkTqQ3HJLxfYqAqmBrz1Gi5mVCWf7
o44dDKx6GV9zwX2yTJhs0TK06SqGtWJ9kEwsQRXp914kyidlytL+hJQhjX12CMgUwua01TUKck2O
+dKUjzmqPfYx8owkjAgcTN3OJ0JE5llvnoOkB/nk+0qbssTa1uxcm1PvmbtPW9wIIcp6auGfYLOK
CrwweagpJWRu1dIFyLDg7gceAnvTObNHoeINhYZWociiT08TD84AWKb+CwNcAqotyf+5LSXl83KV
VPCzRw8eYj5r9RmE1mSX3CzaansR0XqqjVJr0U1749gqA6IyuQaAUVa+dBTEeQCQ+lD6IOn+zB+a
0cGHc7+JYhuW8ToZzRWjsA77rAr1NfRfy7qFq9kUQGE7vI6ZpP8gQujL6Cc4cj0I7gOZQI5GbCdM
uVM3Rj3VPe9QIC1tD19oLDEiMsxkR8GqHfmsALno3efeUzU2SiaWwOuQLRp1ztN9tWZm9qrLFLrW
Na124rtcOkKLUbHQe3xrBJ44gAM07usUc68mcXR2mHRxuRoKEVe9Iq4y8lUXompW3eU/r8G5Bgxw
rKHN5nuiVdeXdkdjQ8KU54UAPgEjg/hCheJwvL98VebhGk/W8if/w+4j5wLbNeqgbbJ6qJIzXyPz
x+N+djU6vjxy41gwshmPXjCMRHcIFzMfwPwjXG49Pl+EgIGahw46Nni+evmyvZe6YUQZfMT7R3et
eX8sLJRz4mn0SixMjxU5fc/5XubXGFLe41y4NeeUkanbfBT1F0RWTGxwHXo1r6xkrP8mJunVxteA
fHrUupENpjWtGxpTAHRwD2bwg8Uj7ySdeD+qLd8t6dKh0blwishVrj5c5+e5pY4HMbe0pP8XC1fh
/vFknBVIad6LELDZj3m5hsaS4cWLcvSnaPB5qRNCpBFD/R9sION7grxcjX9DvqY2rFtBnw6vMGCM
52ebrCRKDIJNlFRr1UCb38w5g/V0faumxyJ7HyAsDqE7+3PGaN5nm0/pelPEZh61EPfhjtk0DTzI
B7z9BeWjpqx2ZELTCTwfdVfkQdyoO2Vrio7Ao/f22kwg9gofb31SbuISzOGG1tgTCFrlawPyLoFf
oAbCBrZaAOsOxg3dVwq+2uxRSKrH+B5s9NGSNg88+i2rzk63naA48AV29X5stx/oMWbFo1AF+ZUU
rkOoAnoJ1p18VOMmVglTtfe07MQZUNw94SKeoNulxhG9Hnqf/YjhCetVXX6yHdB79hZUYk5PQ2eW
lBhPr0rkXWYhIzJ1EHIJTaqyxqjPuG+12+vPhKqYaevEXOhNVYnjhFffrKj4bZ42uM5wS7Wtl1Gy
ZylQEWi7TTYq91yLIBoMh5DCJvGUdq5z9ny6EkeDW+lq9JwekEOCs0i1TbW7Cy0lxQmoMdl2QrLd
fo1RivAohgQHNoukCozKzV06nK7t04aE16yZpBrwGXHpZNXu3vjfMgwFHWxnzbRHtW6qqnvtredb
Bybq5LXbTSlKwQKDNUSf4KJ7C7IXE+2THa7CL8b6zEVDbjaWP5k3jHMNB9EKUq1/N0yAUaskC5WB
3TFbjf0RZweItO1CDdbnb1Yw2gyUgFxbNobJht+RHuZHieEjOqseO4MTqSWRiwucehoJxHVjD54m
gcx5urgQQAoXNzBuKFH1MtY4fOjV7tMstpYxDH02n6/M9m1bgRQWEN2vyfGD4dcJ24NJv3zmsI37
UpgBanvig06NLT+kqtQQYd3K0KTp3AcvnuTYc4BRfrXf7CRW7UTraN3lUS/2ltTzsquLK/545c+2
0qYOdS1SBvBMiGZCcRdqfDprL6OSsK/HzNeNqKnaNYhoyH7VmKkpAZY/w+SdmyIMFJnvyAk0zGOz
bfeopImQ1bL6QgATaKx++TUxb1PXMOnmMVpJL3VEOgfJrjuPOKzsC3M1AD5U9uLdg5zSCUodVErl
ruwHgDhRz4spR1Vo32CD423A+IqkWAvwi1vpYOG5KxFtjgzg4Ba7lDoo9Hh4MiGgbLFQ6+m12U33
9Xjhq03kE9lfovdJ0kUgu7PLrSENzSGxcxh9HNQdg90jvxXWl8LmewL1bjk+ExvIoBSROD1qPsfI
Lsky0wc90AQ/RbddPRUaoZW7tmRW5p7dBB8pnoNcNhZT3Cqth5XU4G31WLQwGUoI+bXIhvlkc2zy
RTs3E6YGSkmqCEogT/2gU1i/Z68nWT+foFr4/HV8vLN1XjKqaou8J1D3e+WTwnyB0UZD7bLmyKdI
awljS+1MpJfRPcOfYZywVbzvgqlWvxoFFg6hkKe8Qazc1RjSCD6mdiA65+aB5MxnOS+XJ3LE2e/d
pXEX/IpOa2GZB216VUBJBrR/KpxRmc5PCzOlrv1MScNHCmiUqyrtx72hfQjD5QcYLDThKjrZN74S
eew6DPVvfD9we7Fnvg7WI/yGrwYnEJdULnb1WvKjLlScM5qF0q6ZpCOacmdj6qJEwWZyeQLa8Cel
3pDET+XWNX9nhXXeUdOfc1le7c3TyKZ4BF4xiN15Ry1F259swYn3/f4UBxD6lOFLcjvIJ8XBqohZ
VjGDoWF8rI7Be47P7o7c9tTiFBM03rnsdfhTFzyXE65jJB1E9clK05LqUZE2jVTJstGrSz8A9Y1M
8ebBGklyOKXhUTxqodf9Rsg8BLKXT3ZYjyFreYrNLvtHxaIi6Np3tz+w+NrlcjY5znULX98IOe4I
eGoCdErc2yS0l9Fe4/BgCliWLrGLABpJ47rW8z62LLfeT774yvpp7J7JTCIhyCq2+opIeZqXPM7D
TegJMid4KOzL/y2GAzBbS599/p+DjagOZlMaVgFUz2g70r7QvbjQ4uJaZetujequO7624+3T87PN
oQMfm9dWaKhZIsh3Fu3ep2ZzzbMEqpzVPCKy/FEAtUaQaygt05vk9au7pty9C4hTy+QWmO30iFcx
fN/+grw38l523T3uW1Wos7N0UtQsLlUmUB24Se8eXxs+a0GArciMlmPKEXYvYZexWwMUV/OeguhJ
b8vH6NaN4hfNEJoPm5zx//ZHAnYIo0LN+jNpt6EmkoQ905he35ggwtYlO9rtZ1keTblGcA+JK+kN
Yqx0AhapQWdgkFpPbRzGRWzeqTdf3AC1a3RXWiWervIyywrXEUvMAgDfVhS+kZ8ytrGsDVUG0+zn
KgXXn92n7GkPUBM7/6REcGcAfAt2Yb8H1Q82vqmvSW0mEShPekrTRQiI+Lak0ae4boC8/89XMMJX
Gt4UedXa7ibGUDT5Ufwi0hQ2cP5DOQOWDcIAbZImk97pDti26qFzy1lGDML6RpWZENXV4uphZaIF
XW8BBMi+93iu1L3wyhF+zAqhza6AodIKNEIp3e2pQ1iYbNGLGN97E2m8ThiYCZPwxZm9TXirOBDY
FWlk6ezepE9uBUVZfS1jCDFXx4UZOZ2YLAurctmjglhgcp9q8zxYDQEIxpyoIJ9+kHEqzsbS8Z1G
mHvHLYMRnzFHQK8dYgQAmDN+LkeK0/CCkNA/sVCQ0ZQo1Cp1XgzGMWQ7CJa5sPfRofxcZb7xlo9T
kbGgEJ+KY63hI9Q7UknaltKJE9iOdfNBDU1/x4pJCBxkeGkC67fSqFuID6mc6QrThYxZxRq5HZBV
ZQbYb54XhVEDrG5lVVrDAE+aVMdLbcBLC89rkRlO/8Lz7/YWCJgfypYvojhlf5nYVLTomkjCg0NL
kHMM58D9/xd/Dhi6qRHjcjD/7TOx03cficktkWDAWWG3fnya0AN/UyHskzgiuYnDgxkfpEsnb7qr
btG/YN+ILAFFq03MvU2rYMeqjmaVINSDpEt+gole7Fl8q44cr5MuxiWylD+acEn8/A9kT7Oz3nPW
fzeKiatbwOPQ8wsWClkCFkUyXW035nqjp1dGCouqLD28g8bK5w8O2xpF58J4Hud7R8zMeifCopAS
z9jIl4wZ5y9pZmJxJqfSAu7fTEwgJz15DnCyTXgEWmd+rdS6JWqS4FMJRsJhXvoGttdFAkOlYUev
I4xTwW0ifZMGS3h38CE/ozGBUjpx8um+A3x0/ZLQ2vJJoVR80uJ7vRroC95OQE8mXcza/FeA0Aor
f3GKHOw7Bra0x7FMkB7g2qJvAz8yD93l5y1PwEj8UUWKFufnmQVZP2B7j+QutEp46Bs0VNAJludZ
4jymXHlbqeb2AkmHpejOuV8UK+ZfaDVXt0kV6zPP3vKWIG3oxeGnAI0kcM+aQBzINP0+fKKOzJ6t
sMDfMfooiXURKbxvknsECeABy6rjSepGQVcQa1oKEFd3zVeljv7sZn94udCq0qLjDt/0AwUnnPfx
nnv+xlA2TOUb/tkzgrykcZJxva3LeivmMFB3OW/xj1nYDjmmcByBNP+2K9GutVDRCU5RzGFgEDVv
ClPlBhyovH0hCwKBtsGyJnb6w4Gye0Bdjr5Sfxoj5mJ7UFotIx50/ZCGhcfWka+HKwrADf3oMVMI
nUPFPLIuPghzEsNooKUnFmTEeaX38w+QrcOXz5dfLuoMc8dsPlLFj4czdwS6sYAkNvKKw+EtAYnR
TA0AyTmYR6ie12Ltzv3vv3NOQ4P6ZsYpKb/VDSo2lzxqnktHv+Fo/GTKulnh67B+DsoKm90xNkt9
xUdiCM4csrEFPqW7+0Ou0XNv5lfPwvkSFBcDdb2mP2kcHRl6sPpm9Caoun6ghg68IndHxpvSLGVu
Fi1NORqVZbE7SUAok5WaTAMRNI3d4rGQ0xI4QdpHKRPpU6PYieG7DiLXOtsK29l8W5I51tUFXiY9
HjE6EMB3YY+BmLclEmEPDMg2RytcpgWhrLprVulgni8NG4l7osUbN4+0rPjRPqid6r4CglCcccSk
Mv/tWUDe73RAVmfPIhH9vr1DCngKl1uUZIr3741WkoluCugbHzaXXcoz8iB7c8my5UU5K8Vl5yl3
ur/DQa0jYYqtXUu/6BRW1O4Lvcbd3c+9qdKTKUveLZTwGi03QL9U590+ucs4YhdpEEwtEPFGrC9S
wW4tF21btPTVCZQm51b+ZLlO/LBhd5XukELJlIXhrn9s7CZ8lKC9UmUyXBsHvs5qslIn1JSrthCj
L1sz/6BDTaJZY2rKV9Y1ebAkMQ4jdn+iUtQXn7Ur3jOjYQUPbxY1bKFeiReEdDfAYfSz8YiMVvEh
KqQ+AozOHrAuYQ3jV1kPb5+To6NCINwQ3TCHLLlB33XKTnaAHURjL6OddnYWUiMC1aD60yUC/Ff3
nBGIFnPdqeKlkYnEliBew8XO3nZFsRv26Sdxo/gFvOqDTOBtKJASf4nxuhe1K+Rd6UNambdvIhqK
sb5/SbAFTBt7ADHw+fbjyaTpvZredXuWMlHPcGRbg1ODO2XrRkqUoCiauP4SAosZLp2WFFqeCLhj
8PSNAH1V1tdbWwM7emfnVInXh1X3xGBbXTMqVgFOOFjSNLxiwf8FzgG4+6WdMWg1NUfQOeoqujMC
ZAEsHbDjj3tAj+BzOJFEUi4gGJwHGBV3kstLtafTQ/YoD+H3FoW8GbIG0LEmq5LIJq8fp/N0F73F
FOD0auA+VtFKk+yd6DBAxw9xfPx6K6VCF6h60wrk3g4nREaRStliUR1TbYlp+sAbKPPNPgTSXT2C
/CbQB5oxWwDVGt2F2575mkHDfPsyahJFxfNBRjxLYifgaEg1/uQW8LyomnLmGZIPmeYrI0EWKh0U
notH55scW/e0u/nklZBE2kiJQ009J4pVV44dueEkkIQabiS7birFBBpEAWEe7So7NUXOuKCWQDqN
MHfhpbU6lGQCHmkwFIKyUTN/gTEaMvcCO8V1qwXW7lGxo7P/iST900QlvrExzZAcTsujx+DNjFqc
/UpSGi/7KJvXiLApnd3nlLT+1meEP2y7UddgJoYhSRkZMZeceCA64qoRM6txoG6Z3Ne+10+jgGPs
c1JA4RKP7Ve9/9BtaXWCXc06zJA0waIjpQdJ/7K4QHjPIwzEy6twWQvkbkANOerOgvP+y8AFt/k/
LsvWvn5/GukUZ5qSDeCnJai2qdXqfQvo3+GZxHLOIKBR7RrVFTmDl6sHuoZ/oH26vrwwHdoeCA73
5a4wePDhrXD6ZSWFvj7WynbNAteg1H3BYHwqWSd7FrCobiC4Lkn3QDt65CYOGvXrJSP1ecEQOgVt
DcdgOFuvsbWG7t067a0wlBUL+JQImY8a92ujv2qyGOvonTHyagKD149t736x/ry+adWALYiwGjMA
NAqMBNCYVI3Uyf80TArEygKTBkyjXzsCFEqUI229mbnaxJxqBUwZhp5n4LUtHkytP8G95L3eu4fc
hFvqShhxMYi2Xt2M/8aVgeReB/tWyp/ohJG0q3IdJNoPWABMt42cns31yQfne70w6ik8x9O19sAC
wi74N+QNJqgnMIoqLvAWmB0b1l4yyTZ1J52M480+4xwaZLF2ifFFnjqaWF+iWK5L84xAUFaII3wR
M6P/sRQbP9ft05wxenBCiF20ah99MCUnOigaPRGyoihDNKMJq5UC51KldAIxHysY4LA1Pkl3L/0N
32zadHrva8/eXN6O7in5yMXtSqx2uMUs9xr3ZPcCEiLO9vwmDcb3xrZxMlyzmC1y6OIoct71xuRv
g0UKl/LwdivVOXXB0f+zjbvljUD0Si8NIoOhJaih6V0Wk7xnHV4NJhSMZwBCTJE6BlIg2lejf9/i
c4m8BjImOHa38U2n6s4deFdTGvYm3TJYi5a7YeLV1iHvSmtfMbSrGVNzZ8VS2dvT13J1+BZrbl4f
CvZcCG/bSP/koBinZ5LsoUgfIOOx86j9+TAbVlDqvZSaze+7VHT6l1490mS/AzTo2usNN6vcSt8b
IVW3+t19pG+LutWBIhZRwZQDHJDhwg4MMdFgxToCS1s7GPtPIWcAY53tM4Jl07RTbbbfduNSZoq+
bmCPMzdtJiWXYNfUfBzOcChvZkquWo4VIdb4qcEwj6NODbcEv5KVdKzgpIkVOZtPthxGyTVxFg1v
3H0/1y0n9fetMta79nb3Mj4CEfSbVWbPkXCy5bEYNdwHvLy11XpA5BqmK5UWmbz0va9Te7bfKhe8
OExR5eqViiA873ktsNc8NYLroahQ32oEmrKNmYYfX085suedijz3roIC8cgjFKvesyawuPidy78T
SiUX8TBnvK0+FKVlwoq7U3lez2Y4PeavzKXJOwTs9KOs2Dp7GRSWx8icB97pHRKQBn1+WJu/pfoe
XEasypIzwoLuHDyiPqvDjPqejMIyj8LW91TAgumUqzsb2Lc3ouq+kYQYtK518k6LOmuASLskH4qW
2N3Sr7lgI09cJ9tZ3ahyd0IGxLI9bpRD6QI5N3iUeUua6WeDEo+PfKk4DMOgaMP+9sAu6WKd6yz1
acQ85LLAlQAdTGGPxx3DbuWyJKa7vth5rn832qGvzsjOdxzyakZaxw2eoIG/KMckluQV5QU4N1xh
OyMPtP++qYjXZkyDztT4LwMh5od8quVFvVD2nOEjb/lLObKBRDx8HPDElj96hdd7TpZ2DKl/HMF2
anLoqmPCnGl5OWQd8yFAjpPzqaQRsHRydDsnRWevUJncgLxLDsuwGpdlcBp90+IypPFs5SA2mqJT
EuBDvJ0UvdYXOpTN9CuwsbGLfLi1m4r5eNl/P+wSNkudshCtXRc6+Y4w38dFQE5wzF5ucAaZJvp+
7oyMzIescGT9VvoCIgzgkZn+lNiOmtx++MBX1UeM4zw7ZhKXt5Epi5Kt4CVbOsvvPx9gxYRFHzVH
w5HcO7LXexRcJVhUwjx+wDuDLr+eHr8qi8kLvT2FE3c+acDNG626FrD1vrF4nCNAGxA9VVrBz67J
5nF4LPK2W2EXXP6OOuImNhqTpSHxHmQsChqYxRhb6J/5/1aa+mwXpfAOaz5lTM7VUsIWvPqYxQfc
MwPyVt8x+gwMwGClp7Xt7PiBT8tbWIvPJymEnKjK6xtbEJqTftHFtfOFrSf5DtvIL4ZKfFhE+DPF
hyh6pVMONZDvzUGqYNq4k8ZMPlrQRPMj6xQpzdwLy9GHzq3uKRjxk7oMIHhvZe2SBqxGEtI6//y2
IwJkPhjxYl0tcPRLwlEOkUY/6wtNCch/69qAy0WGqElmn4S8SnQSMc/ik48Ox5+c2xuVIZUdSQ9X
VTTXG8oNkMciEgHGO2h9L/MkLlen052mmZaB9i5mor54XVJDfLwiu0h7IqKBqVXimAmesDZ7euRZ
RTpea/SGqvlOLJr2SNC0FEAeE0S32RDPMQGA3PVCRz+DyqVj59ZUyMDqL66R1oj/h4Y3bWFaKIDT
LRkNoXoK8XCj/lOAoRQ2gnwwJbDONq6MSBKv5aDlls1bH4ggKX5H9POZiX9EO0QVTeNDpsgE+lp/
KAKE7KNm0xLsNEMoh3glFaTuCjMZ3AIf8/+EgKEv5lk05Cc21/Ch/WkmxPgMVut9CVeQKrSvip20
DIguB7u0UESvS1yNCk0YvL1xJ2DPupckXSjU+H8XgljWmwIX2t9V7PEK8585fxW6NNRQsfDlhnhh
AgcdSo3ZA1zbmJ2b3KfsC9X0iFf1EgWzJDTjzLzPBMx7eEy5M2L7HtkhUHkc8weQs2k5dIm0cV+O
V7GksX3eo4fIpxzCRbqSTQy8hoXhDFaOST3ATt8r3kWlYSmj4DFFMHAysAfqTQUKttgDi4nwGOQr
DNql+vvYUoypO1PplHg/nfBxruUnOFQujJ69cob1EFLH9OZBKpQ1zc+DkpV44iuPrJcRxfTZdZCD
l1BAG/nA7D4SEPUNCmT2rl/S5iiUN6JllNUqjkGBpaDN7CP25EXMgf48tGW96z1Zfos+S4eVCk1d
HK7DQ1wETrrqMyZbHyrHS4iwlCRR3OKXOAsaIDHj2Usx+nxQLISWNWukw4/HtmoT8jTgNK1W5Jcf
WmCP9YKBRV+HXsVgrUnW+hCN3xRTt4z6biIUs4U7nQduCvKBSrzIu1OgViqwA601/dl+YCWK6IR0
L/3asjZchhWpvlvXATz5JwKhz0S9Aq3VlW1Otz2HKSj1HQuRZ9goMeeO1FydnLzR3V0Aw5zfmb6Y
85Ipf+5bWPxAqhk1GLly6HxtkQ4hcHlkME9aFmXHqjxud0WaciprXpQJ0XY4++VWbcQrM1XYF/Uj
3vt774abdndrBZplF3ikRQ0YPphYQEIazUGKoUdxfRNaGWwUxRCgt/DqT+N84tPlCavKC5D9h+1F
xT64F5wnbWEgMHPNbOC7F4LMxs9ZmjRCOXaxsnvBKU3PsQR/pJDkjpIzmQQlN+glmBOKnxunTAXr
gyHNKfT+s1s6mgUFpsk47kNRKimhBB1FnU3S9ZCjgChZijDZje1yDotvfWpUuH5eIvwlmnu7akZR
6yXD/4llJ4Bzo8GR2Fq06RhLV4ADDCg8TmuKAEGSbQiTbXAi91zy0qdI35V6y8cRNIGZMOpMEWXr
+Nu0k7Hh1MDKYHG/2l58sWq/lNNpVXezdax471c9tsoSqoVdsBN1xSDj73bKHXjZ0uogVEUnfBB/
zlC54YMcDSu159i/VXAPg2/T79wj/ona1EXnaD7O2+fCqQHY24JrKDLINYppU8VSDb33sJN3m9D0
hU4tDA03zyncF5N2eJACU33HRiuaGT0kzPPMDPFa0YjwUEIPG7A7QF8bF+whOpXfc1lvSEymSt6Z
PDAkIybh//yyfTgwaH+x49jUF+1eF7RVXpIq1iltdHAlXWfIg9kXRfyS/GvVlY+mgm82JbXmobhK
9V4G5LMKsXgMdbJkKG4RTa93dbQed9w/bqmQVUi6u2i/XBq8X4qPOKgHccypKdMc1lEWuoKbgG/0
8GcEUJhZyunNnRSLbUaJcVRlUsG7KRZj7oAvAg0wJsvJu2qNlsor0bWGG6/QK+w7DvRr0TTwn23u
cDQ7kCyoBUo6IM/wa0PnTnysZ2n/5BpvG/F+iUFzq7pAo+RIiF1Bj2oGjSIY5c23z0gbBQaTGO4J
Idikcp2reUjqcej6ywNMkhucIgZR+ZwIpJiR3vNotGdHZ3+mvAoM0Qq5I54Oxuj4gG87WsXfhJvm
lYLed/mmHhAK2+APRxOaFMG6Saj9W6hFW95ymleE6DWztT4Tb8/6qOkL/ORBkiNN/dhL67l3S3Rd
hqnU+22qonKkccdCOJE9ak/XFJLLxihSC+1JzQxiw4XDQP39nL1xU4Uucai7lQPF4L6ij9Roj+7y
ztXB1y0gC9LyYMJt4zfcry8jpowg8ERvQHBg5E8LQr7AcSv7HZYUg/D2Fwu3WTvrbihMbpVegjGx
4PaeYPTsySqI4XpZKLW37NngLEMWh62I4bKqiTIs+TiSoKaH5sAE+tbcqtEgLGLk2P0AOSJLaYD5
WZR6Ymdux9LsYLTubggTysVNNiioJPGNInGapqfRXVzBnxmtBL4BPazfVF/Y2l6oJzpZiocYEPKi
5Q1nc6nrtN5xWSrjG/UB249Jcli6kDPoNXIEgVw90kKMt52Wg9dKv8uRgDCkPLX02DAF7DDrt8sW
5QtMn/dH4xgTZgpYmqr6xzOVTVmQZ9oMrJuPTvRuvoIYGOUuRlxN0F3kjapKQmlwy757YOhnNG50
7oyr+0NnAJVNkPLk0X29K8q/Koc/CaY5jdTjHzpljIIdpW1ZPrZTdaCfMGnUp3bnMtdbcrNFx+a7
iwW30d44qH2KkgEw92XsDJwPANeWf4iZHtiqL3LehEg9lg/7DX7qMe4Xr3OSw/7cVHYWrrJv0e/Q
GyheH9ndRQyocpZBihspI1pyFN5uarbpySKSRCqoV2csIgFgERZYgkyFVbOmtzLXgMYWYWDCGuTk
FSyTqhza+r5mQWztEEUYBQ0q6cYKvVt5h+ekib20JR0G1i5G0HfaQb2KItNKe432ZqdWY7JRW+ai
IHVx39eEjp6hGEMt0rb1mDvhSPOcWDHlcA9Yq336rB8lxCv/ojFZSlbF8j13/5yevaIXv3143Bye
nbLnnxvrLEGZCpnzs1xedAKfz2+/VX4nszad9XCGONE0YRitlLJXSy8RI7TgULTowZdJ3o8aGqMP
MLz53uAWaZ046Rt26pQEzipBt2bDco09gx/R1p1g07gIxDRozmSwMux1NT1xB+xmNjfSwxaSFuRd
I1A6tOPKMZE4zBNkiIY073zGEsznyYlpOIsWYoQpu58Y/c/DvMvIWnOsNOWlZy0SThKnlwiKhHPI
QJo+esAY3YQXuhASfLVVLkiCW03Tm1FPQS03FPL3dFEwGMNnYb8sK+/TARH1yNSMI3GvgejwQ60A
DetSXuSJQxvTwIOlJIACq0FZNzRIAe1Vujv8/FHmLAZdFF33AWSVQwfBUe9fcnUm5fVEdKcDrM35
NZFD7mCc1Hl4iVXwJAcNy+pbdPaID4TeUvMp8Jc90rBCgAqx5vGTOoTww4fLodIkRksuG2ddBe/1
lO+FGSomtAVfU0s9yFK6qTtE0ondZQM9Xcl0ETpUWV8HyVlVOT7TzlkyBYTLFLNGFzhEDhb20VKv
0gQ0/WA3xi3QKf973K0w+dpzqlN3neuA94f0I0xo6HxzrNFP1AnNwuSMD3JxzmaeAIQRC5vmw/Bz
twDlyto9+Z8EljhboeIoqqaygHm87tMO1IeON+Vb4tELS4JdNEPuRwPqB+Lrhppc//qUXtybnsD5
ZFpWxIquqECy9OWbMN0/KK/ibXuTf0eSfC3upmLLzmCXi7nw84GtWyD8ZZ/g+Qlzm6PxDs5wIekA
hc1VjnlNU1FOx4grt9lHkCYgvL66qQUlBQHbo2deFZOVlwmfIY/aEbjaaDbS6ImVA0B0FPZDC1ui
1Z6cy61RirSlAQGbs0p38eNaaPJ+HadqwveSYgX42jCz9SctZduJJrsTF7bOgj6aiBSOKYpGTiqz
au0xme0+3goMqGy6CCtIqkfr5hDY4de5fBkjS7QfcgAS+e7JK4rjxnmpj3cV3QqPjUTiJnT0I9hD
pvxUXOcTT81FTj56YzylB1rtEQn2cHUU5jMgJ4fBjZPA5y4IbOTO2HJBDyDe9uga0u1iUuFoM0Ua
5+1Kb86TqCYCt5wRDWYblaB8wiFHjGwwMg3EfJu06Q9EJ1jBiprWFaK2tJSAQpOQ5QiNAhkgGhWR
UaTnMobaOfeoygG24lyBDnUU6vhenGduB7LI2dhMR/hcplnseFcSXzLnereZbrgfsoVWI7quKpNx
GLgNotGJLYrUmvXS0NggWkl36tzIfQGp2yQ2cBI4tD5KF+fnUlseLI6JQ+w5Mk6ti5plgEA1vtK4
52NQat7oP0k9weAidmY9C8J25VGP68H977F84bUH445ENyptGIsfRA0q0sTy+F+QofTOSfMuZQyT
PNz+eGiepk+or/4lNXcOrX6jWS3w75YpxitmWmXbZqLC6btYT3Ml+D+AWlzMGncrl+wRxfxp8309
D98tLeCjf9gkmrtxAcpP8EhvAxkDMFApU3B/VAQrw4ULzGMT0r0ZQhfR0/hPSlvdaYnG8JfQSXYs
nLuddZkfJ6agcH1giUuEaD0jtmjpqh2+IzVQlwlypQMerRez2cuk5BRFBH/zLTkXINzbWdTrBxjB
R9Q22LCXJPXCT5Ut/XOEI1kzEE55Y4w8gHT0t3TRcDK/fA5XZmtcV38kOIDR9z8EFq86pd8tUNds
A3NvSDojkbmmAVF/MGDCYcFZCD4GgFTHnNGgyGH9roxFUXRYOiVyhFJKsBYW7hlSdch6UjJ/sv1O
sO8XeH31tNfG8D9RL80jAR2oB0qmLsJZe/bkJPq3iNzGptx1s6ge9V/eN++tlWjgSO36Rg8DFWi/
jQfAMWHy3OeLbFDUxHIgXUw2DwZaetAZh5X5dKW3EUIWplbR08Kd8h+pSODuUvXJw7wPaDxIOzp6
WWGF2FTw2pD9hej5QsXTOU/Y1dwc9UjozOqy27/6HLh7XIUbZTgt5U7Z1SYVeiEK2lQd6033sbHM
r8GtwGKzV/TYQB43sp9FtjufZwjFNqiDHuTqEe+4l8Nb1t1Z+VXbl6kDz+17jMrHIEtedl8UpAa8
Atg8y/FhNjUOxSS5irpC+xolimxRgKzKfvnsF8tFSwTgYCTDhSlgX434QaRiULRf9ng5DgCtudzd
HlhkzJ4HHPb7/v/Un5K+wqDG1ES4at1LjNuy2EW2/Ns/NJLW88yHh8dqyv8OCO611/c+fSz/KNmJ
nrvusk1BfhIzS4XLYmCpBfqDhf3YZRHEokU/PcA3FtJp8xFrrDAjngyjgLnd/wnQtZNd4REZfpgo
5PFysvmYBt3gtSpbPV8/SsCkn0PBWtOfH0QJQmaNIEA+NCvnhaCXhK2wBEnYFItOLEMRtbSkTajv
5y2mGHqnOS99QCvzgad2ErXoSnniIyOZrZRx2qd3Ukso9p2ZsQhQN2CqNwjaCvSydEYlxijrgFgu
Pv8fJEp+JyiV0w+GMcGnOsJ01lkAQiw/jYvkuK4I3LaXDL8EsFDy5UftPybu4NSvh8+uvjbJrp02
e8WQs7xo9PD6D/VAMdw8w+gKNloSusyRHs49qqvZv5z3vmivzzLIUZ4j+BKfzykZDWOWoau+NP1y
BdazKtiplCX5+w6H0UTQPxJHMdODWNE9M6o8sfuLoizYQuYtClKa/ShXBldZNPQkXuI1vCk8dltE
SEh4Fiw21vxL0Bo2TYy4Vkw5oikYDvfLkx8aJUW0DRtiRTqqk4xJaUsTJcd5K6O5I+9elSN+fOKq
3qyLvSU5u33EpB+ym7N8HIa1SPgO+6vOunoII7Xn7yCaxjRcFduGKkBoDOcu314mHHLzSsM2Se+v
oMKqP75wm910g4hqRd4ufcYMPEbdjdRENcfPQQMK4IC8kxuammPwt2zxlKXCZ2JMbiOg+LVXsf7M
Vmujh10HlG50yPO/eVgj6vsGmjUeq3UBOH1XKBbB1k4VGLfXkBnqhCw/SNFwEZpfXfcDB+0K3F8t
Uj8yPfDQu+mGGvjJb2/4D+eoIg5GSWCOcAqf6OEj2b5RpQS74BPB+UFTOevBXbQBb1VYuR6zwgzn
O6mdu7c+2t5GBXy3ozwS1CrJZRsjzb0i+ZvlKFSZiLaO9L7yltKqkHN41gfLwblvjLc2ex3rXOJ5
oKVvtA2T8zWkzt10hQ5ZkDtodbGVAvIoACpHaltyvA+aVYK92Jax56DJQtXKt68eUohqJIcP8Vsm
KbwKOvo8vOCebCZLeTqkvBFg6K+1L262QO4GvY407vby3ur2tQQOXToo1azHvQrivxa98Ob795A6
MVa+DV20HI6lXCBosQaRiqdBJ6B62c3A6YKhlrS5FyZ1kqzXdtCpDklNwtYy+h/p/AWDQKEDDlzD
v/6HmYbLGx+dEMGeAOz13shLbyACTusGAUEdoni7tRQZ71+rEuR6zoSK5D0TmS5cMOT2OlWIMkHA
tdsONuZDs1+KuBe+cvFboSsCZO5+rVEEPWYOSWYNGdyTVcx/wzrcP804QymGDFMoCaOumIFvZP8r
xpu6KcZ/rBl+t4jti+dlWeoBUbqmjWzgRS9yE6xCsx2YpUJGxN+OyryWJvwkogsnWR7KavMya63Y
/J7DU0tPx5wE634fQ3siXCHmKy7YKO9btU5K6gde366jNWZwK6rzuVNUIOxBxfKzlGVQd0tFL/C3
VtzKUoelmQlNVRLVITYNj5Nw4zAsKXl+LaxwRGR04fdHbSQ53bVZWkmSyW5vs3KcLKLsDSMFTBoN
yIB6PQvPo2MgnZGOIjEWtR653gggIUzyH566FKRWzd/wQJF31qb7wxXMUe14v8Fp+QstalUGWMWN
KS+JFMHP0sSKjrQGgJkQd+SBsfFtTKoMiTcub6oy+7jIEVAR2GoVxFqy52Y/sKz9en+oTbqr26GZ
5aaEQ1k5+CiDezNNj0HGRzPZAJobd5W7PnxaR3Cfc/RXlRwja486YnTYPKDENtqn+VI3lfULZ0y7
5ylZKVJlUuM/uan/CeAw4OqYzb1vlIBqlNcFx8bF6tOzWL7W+c9n0QQXprOpYwSyzF3YV/r1s8jG
mT6Xp7XdO5mKsIH9y+fQjKeuST9rtQtlU/NN3C5S+KStXubsVQbf8Cnywk6YcDm/Gfejdaio1da5
e2CK1FKVfnedVSYIHj7T7r+e4bjiR/DjOB0GJgZtWg+geJhWWivmR/8Escu8l/GreqdkE+ZfEjEe
M00VySsv9/yv+x7RYhaCCEyKxKCueM0zEO39xd+KDZCw1mKpVugganeDKmuEwW+3/I0Ea8pX+Vjm
cK0G+IEcwPHcDZzBjI1oSJL3K0q1cQRA5lKJWLWnUnItfeO7JsWA5m3u6QPGjpD48f/MknzhrnZF
zyMfXXG5N+m/VJcEpZiGuCAF4hVkDMlvG6S2nQUGGrtxNXyG3VM3ztQ9fQXuDFHXGOCPXArc+R/+
VLQL7uJlJbT6jocKmEHu10s07c7vXnCpJbej1N1O9ONeX5yXZh/rJA+UYYlQhDeHQ65PDwe4AFiz
7kXjgRmmLwX5sllQQV9vTOiNj2jPufr7KKz/fQn2KVyISBMwV248ibcLEqA5LIcPEI/39scWRjLo
jaCZAQJzaFVU+WWL1bH5XBIIiSqGyZzfgz6JxhOGd6jJM02SgUTbTje9ykXHiJzwEYIjmmC76vdJ
1mlvfEgDJAcn1LP2rpqTTrR3wBf28co8kgTb7KGCENyBQk76XDiZYbITG2zlSiAyKI24QX/O1YeR
GnkE6QE1P5wEq4+aTgMGtRdEd7NkT8/WulnhMtKwLM7BaUVUnUpDLfjCgl62nvZQtHwLOyczqL1b
gDLmWTHGryvxxjEjsyNOh/dbBK69Qbzd6a6rn34IUMURiwk3GPW+90HQdTOL59d0sRP/xQM7m5JO
C5/+KjthGhC0kOjrEgCMbv+3QtZ5dRyjAc8f743hDphOm5OvLcUEjEE2927g1xbtrch1mbeb5ARh
XKV0/t30qOOLO9D1gfC5w704t5DJttOSNPKSZAUGa9y0VyO0QtxzpQGmg8+VIGwfyEWq+StK9iYD
pLwOkUuMbAp+zAhei1u2pp4AyhAtuKGPWv9l4omxpILaVRJF0t0YchftXTjNIwBFeyo1lcn2dr9x
Gh3iCsNsHrlDKka0/t49Rpmv3OMlX/DOcK5U05INHcJPbNlpf7GZwZ+r9uUivzw3YTHaR2WIIxKh
fJzDJdPR0dvNa+3h7sPEfaPm7DrB1JXUuIY1xqlDbA0f1DzzXpWrHwHcEgXCcK29IQ+k3NiOSaaW
XxyqmqJ622LwgqaQjOnyN6tSwyubn+ch0hUKc2uhsOnJ5wcXrcS2gLy0U8o1HWz6bub6MIY6phEy
DcOMS7QZb/1MSpvwczXERGqPahFLtMSWNVvuH2AluZ8oOjkM+yEuPwyiWi5p+lYw5LrvgJqc3FoB
WtNIqRisSOIYTnSkH3WHQEAzeQyGRcIhIG0sjkux0A1BqIJS+bvDhCUNfvg3Qg9x3yRrqJunSDgL
F5bWRaDlHGaQmVnJmgCJ7q7lIi5VQrStiJWlzoZLrUQyWGGjRsEqRMsIUDVONgY7C2U8GSH1D5HT
f+WkrTPhp9La82vOoCLza2BTF+3V1Cdf/CQCOhwgfbd0O4f3B3nZmAsoXf+ukC3X7LcoLvVvvpmQ
TrojQOfkSWltEkRih7zgQCmGn/qugj5302BGF7Sw2vergYXWhkwmphJjB4QHF22u6CuD3ZzGDnvm
KxadsjevvHn285spf1dpaGmSal4Zd0cehUlHNz0fq4/M33Ru5akOztfiaCAY+Ni30Ng/vL8k7eVi
pxajtBF3GDTsZsXaPY9crNzgPNpVXc3SxVm87QSTlHTu1Jx5DSw0gvARpqZRu2P/8Dj2kqhvUCbr
io6zL588/a6lBKt32jgsKbVMdd5XvKE40LEif7zNuGXF3DoboAyP5U4f2BAh+45g2IU94gHUdubg
AeR/nJ3Pza09h8L5nbGVJ2MmzFAkoMbDiCPHlf08pbCIVzu9GpAk5bFslCjn3upZVmx+3luDLNKA
tsyomBIV5WqF3HA1IP1tSb3Ud4SJGbDzPPlOR4v/tPFBenFJTtI6C+I7jTdVVQUK8w/b9PBOOUG7
mPX93y+jPLynKau3TlXeoAS9fKBVBtRheXsFgqMr6QEAFzF5UvYrRN5q2kzQhV9qzr7cycHTvcSp
LHuyVhk9rq9zGvU7fSxdn7gQdB99jnmNkOFcRiff+zabgoLEDOWYKpKLbVYCPkodKLB/ukGZE+C5
2lI3A5QYXMBzq/Pohyd6jgPfukY7QsuDfapucvlm5U7QyhtYKzx61l0gc3haSDRQrDSMrW8p02zj
HQd+gJ872FHlFbFQEs/VS2IIaSf42a61O4Gb6aKkB+zSII+V4tSlrvSsj09gNiKI+DwmrXIeInE3
u6LaXe5PQ93ztVUf8VL8DYQJbk/0y4fd/avQZhgu4bpWvtXvmk23QjBXUcGHKy+6FtJgU3AYfjQJ
zEOJHRfPS9UdrSTDDltEOo0PWhGTNW9WvNUYoftzkfNe58D4DXz9WiCPto5g6yDlIsSdRc25wsBL
i+ZQHbFrXD3iQkGYnvJO+EwRVifQcoeToAGzb38j1WNgt1Ly4EdjMZVUk5vPKEZL60ZVHJ3fBOd4
KmdC7g7z+UCt+PXb7eTGmeFYs9dSCPs6eE2jZZmYt4MAA3ULeqWI1UvkKDkfLFOGQUnP5UbPneVq
FreJ/u5S/6o3r9HpoWsM7QCbAc7utBbKyVqRDfSkfv0Wc3qxawGczMbNH1r3FV72DzqbV7Kw9Lsy
+kb9GHPcSTweYPk+rWw3jtWhl42mVlPlDLGqkKL+mIzht8QlrYBhv3N6VB+MCsi2wqg22oQDT+AB
Dh82wDe310zeJj8YHqtWCbLIW76me2nRiyvKbWjXdPjwDot0O5kImwuPSI9flNr/EAyuAxJQUjI9
q6DboKPhF/wYwsBucDhILuaGbLBuXl72cj0zm3ziJb9S4Rb7kamF1mhd3AbqRqY4Taz3mukZxXO1
eyb9Cy0Sytf2nhIyeUYhJ8JNGESMlGHRK9uDYBxPmdYLvyLxzW1tkXqX9h6eNuErV2K2mHhuWzn2
IbgLrKbQjO2QmsEa+DBxrUjHYH0Wbe1AgP+KUNIRxOddha3zp4AKEPKTOafDl8KXAp/xAGGyUj+0
tkZJDOK22f3/BEW2ilOq2TyvTW/KLxnMvkkXUdwRGtBEJWTB5p3d8Xcapo/JRBIdj4zVPdlSqT5M
e2rZIHx5A7HvVmHiZ9D07eNNs+YCRcVd4i0Xls5tRDxElzva5ws43pmXuRTZzlEBO2mR0E882nus
OPqBY0zIizNTuXKxvjlz9SwYh6QpbLANpCV9NKuiZfcTtSdRgp/G9i23sJAIWO1qLgALgjepqV3i
s2QZZPAFg8dBoVqrBm4fwH5VklCcV4FhoEaVndZlecI+dO1TSz8503Ii3aImLQWT5TePO8Rv/uMH
lsZgyH6f4fO8JJ79CBglOwkg6wh1KuxjBfgOkfoYrtBr2xfLxS3qMCh0sZkBqRVK+1SsOvUMyvsf
CP6kU3T7Chm+MS/sDhl/lVVD/PWludYvpHGWSkk1QaQWXXeE8tFVFhdg6rF9hZTPPeVpRL09XRW5
0C/WlU+vHx/G7T00aSjN1tgGufCMzQCH48qxZrtCacMKaeC9JpcVzHP1bIJCdeXNdN5mSb++SJ+u
QtucKqksXdnjbKl3irbwYVQYDercPkTiE9U2w/84RrO7Pma93dIwbDarjCpO5iFGroS0OjNjsnq4
VX+IFsruONrNG3wOnaQTdKNeL0iqyM0PxIN2XxeJxEepM2G6hjrLg9u7uJlMjmRuP3825ccFUUbS
IdM05ui3nRsyHoXs6ub9YikTWYiT9uwCB24NqnYtoih0wbIb0GlBglrVfzZ1NyUkk7RA0JvuXWSX
wUYRPbLHzdNwK6s4DIlKC4d6oQ8+IKtgsJ8jELgsLCRYiF6jlYIxS+1264Z8UXuNobaoiI2+wQPv
t4ehEG2exFu/FGi3/KImDDWVrwTOf3m76HO7pj2P7t8RGectjFGX2oIsxyKeoqx4M0Biun4JDYI7
x3GVKJW6Fw675Lmlnph9bu/Mj+d/KT3sSXcVQF28AD1HZm6614HcxDMLJSXBKuwREizGVy4RCj8+
L9KFUnblmm3Q1wTy7ri92APvwRFfo5oqpd1H4YYUmi2k9fFNyQ030XjbeFUqzzcT9O3lW1dZ6kcB
y8e4c0KHgADcN/C2+2EPxmmfFTek1T1rYcuLyu6zZk2hahiExBynlHjRTfFyqWOsIFr/rH/ZFMpk
4RSQdwH4roEmT6EM0X5Jd13w+d2jy4LQhksj1j6wluwDRx+x+vDyFqA1zsHoKMRdOkczfjvrXZ/x
cJ0+xI14XHpoRgLpD7qysrveZz5pITLrubIz2eSR6OfWqXM8TysZdFWcz8MdUVz7fDPU3OYRti03
itbfs905yqh4tO1oqVksHYOzouqSfDVamRx7MEsC5I0TswPaO0hvSLKSnyOifE2DucfPQCjMHUPO
xp+TKHeQyYgeZdopa952QjTWRuJr5blgXBZQsvyLkelXPxgx4RUyhCLIqAQVEcz2VNJG9WslXe6F
baEcQUyhwGqOSLeXzEO4zUsmC+Y7pmEAUYNGOyty5OdLFBRb4qJDZ6bLnvY2RnV6p1LSlv8UGYQa
uc8eRVMaYyXhxzB4uoCQvWWrXoLMSA6Sp0PaY8YgcwIrLursASVnKST1Nwv9EP8VHTEtckFDc0Xm
o7pwXvF/V8rqvUJ3o4MtXKwVN9yPyzJUoLpbAZgXWeWsqUE74AkmJBkSecm9JRtIEv7iebS+LTZR
c9kI3XQD56Aow76ED1t3mL3X8RO+7x7qCEo8Tqd8GNSRtsYehEDw4+FXcXIJ8KFRI7tEkAQ5iuFV
Oic9W8gEcPzICLhQ2e4TnC0HleZSxdShiRF5z9DUpPG0TI+Zkz+LtFgSiU8Ivyyr0/LW2XwVTMD7
1OQ6Tsr2hktLKAkZtKm/T9qW4XAOujC+2aL1PI+CAl56iCgsuU4VfakaCGUGEcTEUUckSmvcD1gK
L/b8Qeo2bfk4dVRNQ8UVHBDrSlL0pZ6ZWZ8ZMg6J7Btrgt0JynvgvsgHRThaKl9/3eiCauF/jfNq
x8QjfE3iapKl/Pa+5lcop/BhK9IOaFYQ2tZHq6Y+vKB37gmJXpcrcfX4PwWu6xRAl2Iu/i7pvvxp
I649AtNtX2H+OtzeH1xLPCBbaoh7uDbG/MWEwcT72tg+A3W4yfdU803WIhhEnEeWGLX1PUCa+fha
HPLtlgLflbdlBsnRssSLheNO3FA74l1GEpU30d5KHs9Zfeq7OrEXZYN1umSuOzDLDDEXV6YcGEZ0
KhXT+/TZV635x0+5O2y8xZxYxoSgsq5iOuIww77B/m8nahPE4GB6q8BDWybw57MR62Vz84cEMg4A
9zx4WghR8hfmq4nGvA/89bTo/xZGys5oDa0UMS8PKQXHldEbxLgm/bilhk0pR5QQYbowuBiyqxqx
CUUuPZvgWale1/3rz3cexqEAUqfol7HscEuj0WAVFfYKNyGprndP38Z3XP6zrLrDVib6B7fBDbuF
2lL8+AHvvoNjFBItlXWcR6x9dauOEW4JW9pr+yuTjShCtMv/EDi4Nyll79Cz3akzewanB5UYzYFf
vi/SYkqLeUsOM8jPi8Biym0lYUU75gfmV6u5kSW4nMQPXVZXePtTa7LQQSA4yb0YiWtNcPU9jwcC
bHbP7Ybx2VqBMgdfaaPTQ27fAy3NppYbWfEbeC7xNK1ObhSRzkEghUWr94bv/9WNdIYKlYMTefJz
WEepvn3tmHMhZhB0cBzz3DlmSYvqSCSf/IeqJzP+ho1fwMjFn56/ZXaRSRUsTc3KTyCzTItjDNgX
vi+7BC99mYgpFjb3DeHfRX9gxd9ZnsfBMaA/SAiahNke9mo26jmJfYd0WpRkaXJbfXTDxz7osCI/
bUrCNmUCHQjjAoomvLJUvLUtCQbn5jrluQXOz4HSaGCKB65Wny5aA6AbCiETfp26xJQTz66OPh/4
9vr1RPZd2pBWCQOk84dMPxzGMf5eVKM9cB0wOXHa8rYmYqDtgjAVHLwwU3GyI6RZ62hJPyJkTOYh
gxe5CG4rHmql91L4IK9MDQdH9NlRjG+svSWMNfkVWZnH1ZJgp9k74gbzcn3xfYWl8A1Ly7W4XQf2
kiPiZCiQ1zOg8PpPaUEC4um9acUSmjTm/O/fbc6en0ORg5/AXzNcie/oBrMK+ezyZ2R6X/nsoeQt
xIJGv/p/8HlvqVQ0ttf1G5ZdbDXJ0miSQJtsvg6UyWKklpTQ/yoaBX+mykJnpggbW+yYjOxrFXGa
M92qoUQ/Ejav1AOTQSbZG1PwxTkmabwnCZG/s/DIbNL4lHoBJLjPnu5EjbKoX5K4E65wsMoVNCQ7
BrAhPXz+CodHXLI5W5EpsNyBzNKk7BmZ16SE8aOYcNN3ciF0yfHUdH5YR5ryci4mW1nW2nKu7oQe
HLM7tySjbVD8SXbuy/1F6CNNWgiXQ/iQ2QH3WgE38nZ+4Ya9LL8FJS9TGUnVam9mWPcytEIGXEH5
BQai3vC64DB1Me82ZkAyGikPePhXvg7UH54+tTpqt8XDGgf3/I+/jDw8mXLO3ckiXUm8mZqWIXNm
Dg9ko2Z+Q46Xjh16a/MjRrwjufvywVhZBpTypvow1wVqE3BxE1SE8t8YZgDMMhC8CCc0L6iiKvyQ
q8gSsrS5FAnbyZdnv0ZkzUZQw4TtNoouBEwXYfP+tWsnmuxaqdpd6qpcL13uo8HbpVBDyQucMxSI
b1Fge3pPEFL5rRsIXWVQSP4APrTstoVIMdQEDUNDv6rdVkbI6hVUPpVCdl1n2NP1cn9u2Y19fVHk
Ek0mIhDGxIuL6jeQlAZMINUHbH0q8jZSTlnjrv5nbksRa699TjEVncY6WVPu+c5XziZ6vTYKnBpa
7cmMMCb8rOImo04drZSEX8iPsxQE+Zu9wxdki7fIHjVJeIr3L83IMRwW7tEkcbuu97/YwxR3gsvA
zh7Gycze42mcIlo0l4AdBFrOsODijjfq8BbqLHIc4VUIJkyJiwC34Bp4TIGyasTx3ctr48DLHNFg
nznR3TF2Rto5L1c7Kcu2u/szVua6+aC4ibDg+FX8IOs4fbfF94BmdgwNxObKW8HZsnMzX7ZsTFGb
pNjrgqOcHMrIyxxvWf1sTOG7M6J2S3b2wC5OcrQPDCCFiM+Pi27sQ7lHbxdBnMIIGKwtC9TdydEZ
qp9x01945z84cebhVAcvJCqtoWQ+HxmpiF5KjQji75PIgLhCk+SEdYCDplJS+N4cjpfXv/S/hcVj
fo7yN/csWoGrWWp/aJR8Y15vi/ecULIhOL1sBmpLD3kGPrOKOZiXgdfNnJ1U0x15JvPzqvUBigKF
7juZeaHHmtD3Tg6KD/PljMTyzOIqQLzjt05TMzs9eptXx4UlWT60+fUHwOFs446Kgu+8m2id05CE
LdCxCBVoi+MB+zhyuGbIJx6DC5nxCNogHOzXiFHRcsp6fz7VDXZOOohWnexVuKvCo6mGH2HFClZh
5N+WKa85dcwDsUI4F/WswVg8biqmqENB8xYIO2uj32Hc+ZueqQoFHZrIhE5f3W6Vs6f3ulX35AYm
ns8pRC91dBZTQCTEKfepZD2JkYW+vpZMbc7byDN3mg2Ii/V3DuibmSpFp0ayGaxfUh3Rlec4J++Q
DNHuyWurJqF/QAv3P2aBPdsZvQUD+f3yTLGbmc3Wj9NGm0aYusprWboY1CEcIZXjAz3x+r/PJLgG
zva/+BR9odaOhe9e4/1FjfSADfa01HFkkYP/rXEJqVMF/S1wMGE+J0Q/9+nZlh1CfxC5BmiiUPx5
N3PQE1UvSznG994VABjwMPal39o9cImyU9I6n9escTKRcZSWz7rwgiyf0U9+z5yehHdnC+sn2RvM
y3m/epuL1rUps51dUxU1CuTrpxnQ7/npHPVAt7Ze1TZe0xr8p9Z36aAmSt2Q16NClOylJwYAP2Fv
dQwpVVzvYwoFxJVCCUjSgNuCRcv/5YXchzptUIOOLw1Y9WQEXFjZ7uWMZhIG/Of0mroKThNHhV+G
2bDAH/ptkZCGPPRvm2TUdELa+iPu32yhyKXKfUBrqETFZ5MUbcPiBbfaTbs1fbRh+QZrPOfWbvrm
uElvnZQEUm1/2LB74i5l1E4YizNv1yebKXXeXYMH8ZZ+whG8aCXyzWKgwZai7GPSA+w4AaJYdC3/
yZqrOALAlJqCPUmHqMGjROpq1Iz9E77/xjsDaJaJfVyvCMSRBpTgWjwtmyODnSDstlJrHhU2j78R
re4A9205PDkQEPSOj65G64E8aaW653t/Z2hQsp9O5ol5/ASp+v+mmHAyJyPF/AGLYE4whtd9zEYG
Dy/x/uzESjW5nKGX83xRLfGJ7b8lSOJ7VpE0dH1i/SCK04NrMzJIfF4ZIb9BFxWvb4bu0fxXmG+5
xw3SiaS9IE+Ktygbru6D4zTZfh/d0ne8RCRlmISzpiO9JZ0GMJePQAy7yao6+CAKmJA84c+tAx5c
I97xlK4meicN38rhZtUsGVOP8i+J6yluV4w3Id3grFx+xaxCUJvsoCuGQ9B461gkH2Ixvq04azGQ
Gu2ubGTA/5MXO8Bm6qry4FNsrxOBswB3sYveUOPOy2BT6xAXwDJKcKKKzthqmVZju2/x1u1kY6D7
N7WieCLCqeih/jRBzkSMA8ZjJI2GnyRvpwDpJOnZ0UJ6edywFlG/8mzhkvv5fKpW8L1TiSvQY6jm
f90Wq6VdUzknS65EXM2pIdx++CN1e5IX/3LxoduTgG4A1Pvoa6Sr7PGm47ZBrdOamRrGp8rF2Qbb
zOJxcUcxHPQef8hEplIyOXJMlWDCj6ZDdZ2zu/58c/6Nm+/8pdyRy4MM3i0ROSru19rKF52JS7zP
j3QFPsDhOoTS46sH82861IYhEfSNbUDzQeUlxHKHFxavkjmrw8/X3gOFcv2i6AigmvMbEuYUuky3
/EYDcUz2Advm6Zqi1PZ/kLXI85O4bfTEYb826DSrwGcwdDIo25MgzdaZhtU50Fax9LuU8nCgG3JH
UdJ8Ie92RgqAivzzXvJqGrtEpXDmmPXlN+/I2C9jNR4YRRXvWl9FCr2gso1bYFpZSlpCSo24hFB/
RdkmhE61+mchu++XiIN9yuJXVqRRfQOKP9/cHwVKJXjEXp2yF7savcvwP6EbF/vCqWj4JfjpDLDQ
ZbYGEjusIRDsF3xQDKElbW8JWD+92CeVjVvao33BjmizFomN7jw+eR8eIsuxtMg4qhfHO+O20QA9
wJvDTlwWdQBYDkFHJFj0wbSQ8sPHBFJYzLzsF2e8djNCEd0Otr+F9qvJ0a1HcYlLui+Bl9T7AkRg
AR+dsUVOsgEs50xeTphTheeTSlIRBHx9lG4dAfrPWDTmCswsn4vCGT18s+Qe5WJNO1Ppc6L/cTqO
Rv5ct6RjhLsS3R0z6VRYz25LJBpD7uVbN64vUFmpafp5k0ekNDajvKFVZymBn89wsZL0Tu4G+76j
KNNBTZocfvWJQxVFNUgCI6evtqGJUSQaRvxdnLSEsp3ArQ2BiK4t/W1o4aasUvigR4GTctfAJTXu
IktAjgj32Xiis3qF9E8tV1LUpwm8wRS5YxNDDCh/bJ7I74q83vP5EiXcv6di3+p5U60BSCpSSeqZ
Gj5Jn9V/PckvGRjuPp4mJMbMBSiPn8xEP7Ns03arD1YcxEd0Fru2+Yg+JPwlgY6iVy16/afzWvgn
rEJRk4q6bIK0shcow1iE8V3F5QPk2Swg8OkWQS5B8m6FiLjEGKWbZ4R2hIWz2T6jGIxGKJG+VEuY
umX9EFUZRXiVOldMpEeJmf9PPN8L8lPMZPO+f/RIZvScRCAgJzJ6NftGhmnf9RFAXpAcpghz8KFf
R/QW2fvI4gX2cpx1a/vwm2cR+nHAsu/9bBGFaCNOz63Ob0HD3sFHtv8Zd+WIhhAeYqw6wYJXYlRn
aXjTBPbL0zH7iwXexnG8WbDAnkmB2ZEPpySgu5s0nJUkrAW8vCZBPq/AqrEywwp5Zj2bbU7PkbP2
6pJ81xv2lmYp6cNFcFDmbTK+p1Afmk9rX0itKLogiFsNGbgfRPvgFS3OVn04PbVcPoIk5ryS7jdt
+Dae8joNCosSEJoZeG1u2Jbp/oeo+Nytj701Tv+fkbB/N/egRh7DpPTFTUfe7c8TM05zRHABml2d
FDcurqo8l6IZ/qQtzJ1JGqDz6xcEw6Blofyqr4WVQHa5G2rwLuas/TAlGvURN52eSN3ZH95RkW/r
eeMdzSzUpIETIhe1KViKfM8XyuyFt+XC7E+LMphXfhvntJ8Y07JSIy0WpPqXsnHkV/hP4U8xCRqF
va8zldlL8SmoQclueGbDgTJaGP1rsagNBKucKJYqOH1x8bSAJQfINHvtoZbk96Koym09VjcvkWa6
Aitqf+JfQQM0ps40OqpNm3LiDWVuk+LeT4ov4REFPubVBFJ5FERTbCPdAKuXnRQKo3t3Z/lGxwND
Pt7/I+RYU/YfL9iqQaSCBh0inDNKF4LgLiaTkgEIaur1/FRPBBx9tSWWvugEyFiCECnCJUbVQY3B
6HRdEsSJyp6lZ/mSQ4pOGP9ji6bgPnq8RzMu48zFdNrQUrN4qt5RHVLxs5ICTCdo1vrhHaD7bFqw
4rV86iBvktPPd9PsiQKsrdihskpRBl7TW059wBueQjwG4hYo28HRLucnr1wlXKI1zNPNsARQcKpy
T36Z9AE3R3B+cLNlFG756d/8bND5ECjfHvYo68PNI380MbMHb+44sfyx2hy9QA98V9K6lMcI/D1I
D98Yr+4glccnNvR8wImkv4VM4myj6D0NF1Jqws3qUjgp7igp1LywJ9RnNawsk2oPLcsyhgQspQPU
53onH/mHudE5QBAGS9rBWEC2MLzRyJAOpGUDhMo2Dcdsg5savKDgSNINLhJZMcNLM/mW699yMvLY
RJElFXG/NXlFO1yrAht1LSolgq9oZW8iwXdLy+tBxESyh4FbGigzeIeCjcu27kg7QtnJU+bo5iMu
1Wf78aUE0wnU3ZIsh5MxSNBsRoc2J5Jyh4UacnCb7QulzQZKv58VhfrAsbmtpCjt4l/8TIRixh50
apFZAGxna5v/7SyRLzDUCIKnD/yratHvOxszbbd3CrCo37KhW9iZGO0i5QdPepwgLQVWyFlpnlNP
2RZwm8QGzha5v94iqdlpyw+F4KfkKUG9SfZOU5x3fW214Qm1RC8fpI/h+J5lNvpGaSUPkgYhZdUm
se8Z65fxqWm+K07cJCoOlRnM/8rCkh1NkEp1OrlrvIE4UrvaTEhslwsPmoh3j/Snat/OYmhH6j6Q
2PnjPUhIFa4IKBgB1NQkwcQn1P38C5Zn6SoRh06dTKXwayUUwvFTgHsMNV9hCr3jyf9mRLE5HdG0
2bpYxAOLJDbrIRl7GmRRwcbGfAY6i91r+ZaGsOlyA/pFnxqjt8dy0AGaDaOWSmz9hSde4yLIrg5c
LEej+/vYWI4CdPdCHGDQMNQQlHtsL9Z3gaz+Ar8559MbY7nbDb1xmrfYPrktPVGvjkyS7dLgn4c2
cWo+ybz7lRjGgw34Vmb6rtnjdQGUfBT9cAIa9IDfXVMw7ii9n5ICINFPCiKcxwH3kJruyvYjq4Pd
9QD9s89Xkmwa7ZnMxmiMfOIksnEuFdZP1+Yx6SJAR005WFAXMDdAdGx7eIt3CPZnGTBJ8rbAdUoN
yaXJLdKj/2JcfY/SbXxm5dvG/HGO6QXwyzV7FM8aYa5DuvOdA8KDfxdjFGLMlrldmN1SLXETbooC
sdHmjuqoIe4nweDG1iZA7tySa6HUZltEx3NIOqe+aJkM/ok4ZhmQ9jcbdUj3lMXvJ2PO8xyMAYOZ
nhxY4iCOWGzPAsc9hE8bhqD28Eoilj7dheXJ5mYr3DKHcBHY0bEvknkffickI6QRRytT5wJGevpI
hrzRv12R6O0QHfdKyqQUsvl9KmkBAAvi/Z0p/31G06fHj/pzNZgeX+4/lRwGv3tEHY28BgUfJc/C
y5jD8B5rkqZ3MqjeAikkkM0fBZzngEIH5NecerDVkLps5DJpy2HBDWKpWvLpHjUnHg5BWCma/Ty4
jk9rtCahqxsrauGyvPOIBnzV6d5eGyX63A4UVlDBRnpYu0E762m58xOraxSiVvckWNbpvtXfqzXu
aLz0FSIHdgBMin8FLeeje72WSchF2M8FmPuQ4omDnOU+tFtrhaNmu22zLtydus/86fjnwdDcJv6o
BcUZBz7wDddn02YXutBEqvDQ0ebvK6DdKPYHFKbbSbnmTPlakPIJgXs2vRlW0/tHFc5vkVpWyzRI
GwzW/qKgKocSqZyj6vNn8wiDVMuTDxQ7U4la2pTp2hWZ0d0thbIPvDOKN4aVmRmyLU0RUxeLiLNE
ObapURA/c07NRz6EPh1jEyrkJ/Elbva6cAWp6MyObJX30lUiQQYapxM/1W4CiZLN0jOmn8f7GKdE
MKaoqDyVr9TgaDHqxgkDGihE8XIm/k9zVUHWocJkvGUIB6mK6TBY+NTVcFABmh9lxg+c+FJQj6ER
/WTYsDXtWQ20XHLQuddPNxWDQzYOrMA0HDMTSFRcmNGx88/X3HeYaQxLAJk3T5+k/PHy0VEm3tLp
oF0jyyVvd4JFGohufwyel53EeRJuZTQAPYqr3tmWQkNqYOqaIcqC8aQNEcwS1m0Aiunw4q2XqYSA
erLxS7ohqEJ5XpbYJLWMQGtanUPkjtagDYXH+BkHXRXIVxJwP2ayExEmhv3fX24I8BCB0vjPrQew
edQXzjkEoKLGJfZ/xOX/W2qMR4lP5Xv0O6x7pG/kaHplMyahMTBUHEgLDvOdxrwRqFFnbGNPBOgf
J6sayIjaqBbI34CRiqFLYD9oq/U2hCy6qS3JKrhjcpN1GD+ERiDLFtmYzebsCv3XUgKxc8fOX4H2
/YcRIuas0li09qlDyuSpSafa9+CaR6JzozGa/kRKIkI3yZqMRDtdRj+ywTrnfckxDyvrR7Y2uB/V
UImp1Xk6d4djEQOZ3qSCvgK52Cmr7m0ng15Tb3GNCet4QwbJCJxQGm08ws7HVCO0gzZnfqj00SSP
m6jVYcNb18ehbLxJwKlAH/JkaHp47H/GYe2KsJ7aRH9qMCtZ7ucRdoFVG1McDAnSPmoaXcVBTRwe
LH1xjACi4xRTOCaHhW9VUi8BUz3+PX1T2Q357bZLLGgIu4SwyBfLz/hVszHnTHf9i2yUFpccTkiP
AbFhXxkY80BnLZmQPoedrjK8nB05Z8ZVcC6V3q6oJaXlC/GSPjUvK5AQBKZmYD2lC1vMqBVSirml
RYgaRFVVCNTwksz3YNV4Hgt562ws7ltJ/ZEOYt6HCLli/blDf7jYhFF3oXTZDn8lCx4JNLFM9/4M
C09/JVtqOb8u6NDECx8GMzedDSTgcxmcteene/gdzXoTdLRADeugPiIDSMrwkky5oejVU8dQk0SS
op7Yl5cq7KZM03Qb8U3f9dVb4irpCMcoEaPJ04B87jDGT+pZls7v131/mBWrsA8qpKxNPuJrYUEt
Sgqp4Yb5l4PWekoVKatRI4XMa+z0DpRFfnJ3y4xT8heNGIcKBT0bWuay30yKcM31VgclELz7qpFc
x0NWLe700wpKnVwaCV5tIVGz92fQANl3vYErCSUbwCG4i/XMVRSqBnR32mSr50aBD5M6+tJ81oCD
YstCeA7ArDuK8+kgL8HMIwxhl1B5Nt4ONAakVi/stk3t/AIs4KdPbs7D/mxVdoV/8UUfJ5InfmIJ
U4H2W4pN8u7gxvlg/tLz2P0RHKsiXPwkN9W46Ol0l1dDGseISfax95dr5IvG3TvSVR1z4/WgvQKh
BVGzMtAXoPRvV6GquMh8z64KGjygRgPEF75jPf/+xfYBBgLJhgKwsuWU9LviB5v2o+v4lfX655yd
Pz1ejBIboCvLYBsvfWG2aUIbRUxdgz9TmNOk4INKrrw78HL16Ipfn3JcdURReK9W/97OdVwG7VOv
ZtrQW+RVTa1A5Yb1ZlvAJ+nWZI2c+XrbR2XJ5K3/aaySuv5jYhQcZvW3JFJ9I10SkvnEMVKaAG/K
dqFdZ8vAsuuPKsxCIG5X+QlxQVWk82W98ZfYGjdK09Aj4LQJ9dgrFODvO7jANOefXyP4GlVQ/PFS
SexaAObB2tJBaiDWvJ2GTRxkX6+x+jiraer/lhF9UXxiPYyU1MP6qdjknwrbnFcyTxfDC6xuJpe1
22PmkwRhVwql9Sm7OjOxmDL+/8q5L9HulPymPQw8cNrwAGh+l1siH4d5vXAQBCN/m2JmxMfyR0Ro
iDOYdzXrfb2qW8v043NYfIRrReL/7MkBYHsHgRTceNiVA8B6zlNyF0nOA1hyo3LH4RPsdPmsn0ua
5pRUNOgoNP1sQ/7shconsaCSmfl6ysR446Txu8c2+o2GVeW5xFutp6rZtH0/QusLaB0KTv1RwOSO
wALcjhTUobS6RuTgPldx78yrdnhCR+wbSJr239w6PD9F9ogyiXJGAILfbOTO25QCX32BITz3wQVa
eZRKO6LktXea/cOVkcdr/F8o85SL0Aa2R5/qXqqobaynv20OEIfbdwt7C9q2TQ3u8z82vcr5k+Ou
EMmwOG38dd49RxUlLNk0uSFFtMJAaSV+yIHDjOu8evZajUWdhmq+fNSh3W304KMUzs3WBxrRrhZk
SCUNXFa8mNoeJfQZlF1Qeh8VAVqdE1g6RCD3fDtIyTxR+HoVQF3uMZJrTlTuoU5W0TsJSkFSTuRX
yrPy+DEyhuzegZHkN6IQ7GPRTMrOpwbntWiFeDgYMCGosC/qESUS/H2y5NceywDS7Hlz50Pz3PV7
7wzsMN7ceolmI45TvhGCn7ipYKH5MHXRczvOAYBNSp4lvmrlaELuzZ5zaxK5Mzz4+b+tQZUuzgXG
uBnLbvOCaUs7yI/DE3uihqtN2Ip+uPUEOWHyFV+9v7GosCPxyTWYLmHI3T7zhzEkb6i24tWyv/1r
roRB4hMi9NErPLwghpg0fGbIZjyAPXEDF+Gv/6ZXO5uAIYdIh4AzrKNUL06dt/C/20Bwd97pypdB
IRuIUwRqhkV9pKKRUtqLCT0KRs4z3fGHeNGIGghabCOjRkheF8LEOGlgljiWUXft9krxLfBQ/4eB
fyKuiLDzO6tVpFNn0zjwhASPEpK+UVMmBAHAcbrac8If0q3OhWDLvPFEKacoUVIwUW7zui6ktgQm
WaS4MaN1nP74SbovTePNT6QDy0eEuUg6fQsBwklvBs2vxdbTx7pkNV+sK6Bvgd/99l8w2jk9lA3h
bKNwDpJlxxSrUc5jVdDeoJaF3r0wKhzCqJxvsVOI/K3KG2bpHcy8/JZDHniJ3/cTvr4QrrNnCZah
LFiU1P3wOMhvPWX/WuFo6nSFAeuARdCbECTFRgC29+yaCxjxaBz889qR7ZWtJHlIKDcPwipqylG7
I1qPfg37EZdtVqJB8/2ce1e2mYd/2wrsK8TsvjyiZdjDKjI1BoJhCwd1ID3KN6RvEsN4i9y1XNBW
RNCe1AruC7DSDSr7JBxLSdHQlHmdGCCM8aXA8s1cMecsc56rR201G90R9TaTJK3xmg9TIWxN59AC
zaWm/aBvwzzXiCncI5l+Y3D8uNYqmJheFV7a67GWN6Zn6SSRZJ8RW+PNwBAD4oiuf+gn1jKhJCmw
lsDFzvO5EdlNPc0bJO3/5Y0F4hk4KwcRNCyLOIAHjpYuTVrMW12pXbzZ8ZLH+lj07uiRuIkMnLVJ
1itxMgrCPrulLKlk1E1VTyhLMqxAlWXaxjtGk7D56V6WsmxjaHjpTakqTVwzEV85eYNr4GpFbMeN
GnB7uyeNs3vISh8AcONVbazxZwIPXMBgCci9ODPvFLx9hHE6ACO5Z/8rJqWsYZAF5eoPY/oxWOWS
XZ7qOk5WIsv1Etqa/3FY/djNsXNO22jt4+tojpDzKT2zAFwnkhrW0sxGyTz/DHsky7SWRkwXyVyW
ovfeBDugKl4KtHZN7VEzy60vTyJOC/nVHtaVnF2rnYDHYd1xH0EhdiEsEGq7d3ePyxxs2OfXTZ10
aLdW2YwK/rEnsmed8OI00gwPjCuKSoNg/c3cH82l9XvieDOjTVTqaqvWz+RezW0KSKAUwNw54+hQ
8jpOlXKXlFIc4GEJflQ4X61DTlnBGXex0Y6u487XCc00xBA59e0ZU+pJqYd9kz/006eBR99xgkD8
Yt5iHiZbmaJ5DTQove/Bzj5Cxz21RiJRri5Z2m1JpOKZho66oQCOFcT2vm6XAi/XOSbOEXgldqPD
M8lyUrWD4XpCokEnj+/xzA0uopkZbfEG82vJABvpaaM2RktRsNa+l4GquNBzT3WB3GG5OBHY7QaH
jQ3HpFrdAXs/t1aVXASG19I0i9lx07EojWBLlKnPkKS006PwRC8Q1i9zD7a0mfsmReLX3NLtnEfg
cm+ymLjrhvMuWTt+kFLeQqfXLBTTutQU76+zuHcEWp5V64KX/WkkyBfB7STVTaD+40I9ggICbPjc
Vk4G0FcYe4yUSH+G2+mvzSduEnBUm+HGcBCeJHxWPPhJ6xSaOLexxW8jGXHDxczEydLZi+BZf+nH
dd8TagVdyYx+0czmVQ1uLpGCMI20IJqwLO2+FmO68pngc+mtW0q7KxEpaSg45OcYGGP5ODGjQhTk
KoXe+O1U1a9MOQdlE/nELtsoaBItFBzHsK0Jytwu5G1p6tp6Pjqal8mhcwca0UhpDAzvHZAY5hK4
WQoL5p6iE6eg0DJmosjzSFxi3WQCW/+FuC3oJ2rDV1roNQCsnS+0+Ubr40zCDe4603KHlPHmpq9s
Rss13NBaV3RhfMbEGVWQcHbXdDc5e3n/ZYC5Zvg7cGAZ3FR0b7h5CFwzjTmnnWPJ4kSDCz0H2Glc
LGisxFqlBwtgXuwlRZyO64bl88I2pn6QK+flCHBthmBspKeo6rcnmp9T5Rg+3r+Fnjt4Ub2zBkLM
hw/thtDZ7unr8Ebyl0vhOqCjiig5QrKgn54TpzGv4pz6yUPZnksgcyNI3WnuVWvczm78f5EekcNd
AUwYEpt0WJDw0+IJFMg/O6vpxkl5IKX8eLQ2XvKtZcIZW3O6PtaP5DjGr0pmZozYYhXDYcQYtsZs
SAQkPLP+ZhsfP4ni9+ZG/bJGOffmvFa3zeK8sc5PKixQjyx3LNzgaWDLDsTPfIIfvWSbbwca12Se
9zUHmroxjSZ+G3VH+5XEx/Gp/+uKROEJSGZALRPMDYZT7fwG/ae4LNCauPsG4bzzAqL8vR10z3Oa
x1CZJGQRAA5GTIC6klcD7qYqiujh/8Y4d25As5+M9gx/qbBVefEErj8gVAmvMlL2XLusc/WXFbAO
IRkU/rSMa6AWPV1LChgML1Ery8b+0JyCkeepamekd2GOMWoSH0jF7mQT+6UVZSq9p4IpzFPadvyg
wIoQva2VzFqSK99AFMJnibbLQ8f0A5NudjOK8Eg0MWLt3H0K/juyDlDYYRieu9bgIYHNFQU4uB5d
mS1xLNZml+BIqxqP4QSyKyV3/siY5okK2G7HGcfJgwmGIhbMiC7wyt9x2fDpyevVAh/jmiDlPCNf
KFFmMy8Qwr2wU2r6ZffW9+X4SYw0wzRX3ckMA5zjFV5sqMASotMSeTcVweIdZtruqyfgpW3qL5RT
K/RvR2Y1Qrl+pTtgLSYzo0OowHLydFwKnrPeP7hby7ckK6wRqKxC7G8B++SCQI3/bp+u7bQnOt6C
BbUZ6/jM2qfVVwhOFjsxM0ANuyHbRFjLLIOyB8w+0zRGOpGwC6NTMhpllhU2q6xJOJfDeMIrODyD
VB8l170+A9de7pwhVKz5MPu61U2AVhHF2bilNdQ5lNJ3gawutZ3CE9NtszdhWqFkk7J3FrmHgE5f
0lInNkk4dsLj48JznSm7+9TYiCVEeouvi9gz8iQQbiqk37QkheGz6pNGgL5CF9LpX2Cn95Pr5mr9
W4HZb1IQqWSPLaGHHzFKOzMJ8BbF+QP9WtNKmrP9GHpxUbmGQvO4vtIZi2jhsZYX6SaElhszFLhM
qRHAQdBef9xMVIIcUZ15ZPKXR8bSUgk0+ouySJtsrqaGRt/UgBVYASZkJ+MmyK//TvpaKo3oXjyS
0el3Cf5z98m3upk09lnjoLTgalbcBIf2aPK0osnHWP3QTfSmPm0Ddp2XNn6kLLQjc8+M/W58oAhk
hnG1qOs8DhhW2rWQHBM/spcJjQv8oQJypsfZQtEsQPKyzhs9pt3BVJhXQrtkGUqFNCndmp3zYOA5
4EdtPe2glSRju39RHBUP6UpCrzRjKeNBnpaYGFxHX/h5G5FJtyckP8XPkRvXuruUklWJE5myhdSo
xQpaJszv7IRTapO3v3UK1pWnN3Fb9fXHNHP99i90wjtP17PRfLJCWSRngtU2J27+F3NhRkatYs55
3Zp1PUnBX2pfexTq4XiimjHYuRn6aPyBvr9qwhcMOZU7sT1Qsccu4nuSBeuT8NpnHgPVQm6Jm+gj
uwWbCWNoQcGNSF0nfEb9R4XzSPFW3EFcWOGgfuQx6pKDfIdTWgv1TdVHyUHN/LI4c5gXb388xZOw
E7WWar9JKHvlJc8WtMDPBFs1oh1sSAHKX1CF/lYU06wvUH1VTWk62m3zjjz4DDNBVsLJmrgAHacC
d7qvTYc5m+nW8qqRO4JPeQacFjtqkz7u7qP/s+7DxBQ+RLv65v6nQjCkkAe0TCtITFWmp3LrRzuQ
ZMW4OdEPluedXadU4726JsEYjBioT5L6YdvnRjMJa6mQb8TgixZzqsKCGbnMjIlSA5hhO7SV1IqC
l2ccICg073XulAIdiz/lni/NMu4tXJwygrWbunBkPQbcVvs1hEwc7Jw+iu231WkYnthStDE1qzAV
uDYv+H7yVmAu5hACAVsjU+Hrcpo2S9r0jDDXj5kORflYPH6zcTE+AQwxCWvVr9PQNgo3mHhwPH+3
ZOzV0097K4GAt6UMOIsk0W0CdmVOWgYkfYvBHRrT6pTnHSURsLOL8ulQooyOkLTjEuzcsVZU2lR4
okz8GusqPrwRMV5v0KGkKTHXavUW0e7ohusOHaQZKaTEv1stieCVgaR7QGlQQ+8cg6U48M6H5tf/
9oo50fdzq0ezkZNJEaqD3/RMMV9qBnjn6sTW9AkwQsMFDv7rVHJhjk5zWIrMYHbZ8DJLSanOXriC
GoHTHYNnX+M7cDuu2MK1JKat5UHvrl249EPc+/RZLPOm/0UfZmjklQPs9IDVa/p3qRCwyH97aKqi
1QzFpJl8q6FxGmCQCWed01DxojRF2DHi0dRkiymKeDsenryEzaIDHJJ5TE1ELymjEb2AV9QnF4zJ
CeF2TkMQx2S+X1B3ziT8f8kBdXLn0dD9S0w0cy157r3ivPbvC94x+8pOWbTcIDNIn1v1uHK9jNDy
+O3EVBYsxqwdFJIq78wBP3SIGPy5/Ae6G0uIBgM0RDF+m4/JiMZe1h3V9IcDfq12zPiZGp1LCVLz
Oz/eJJH1rzgy+VQ3F6LiweNijZNttRyN7V2W/5nmBbyNzm3iIsuxWYVEOc5Ua4hK7q+kqkt5GMkP
IZWQbN1XOZTfwjbdFQD3BmN/E9FPRiv7RyyPckKeNTN9ezozo+y2Ycu0SlaLoi3E1rTIHOe/bxZF
Geitgpo+0ypmPOpaw1Hvq09hjUKfvysC426Pxz8kRwMgHpjf/tQh7Kjom3fRw6ic5xwEZAsJorhF
uczQ/sq1+Nz/LtnCCdITtc1N2Rrkzn/fHppZ7BzdCL8umEY11WZDDSObNO8d3gRIBJdLvuEOe/x8
gOkt8s5CiJpk0dCyrOCWP+xUMUW6ByvwLucaSTAIezt3tpPvabqZL49UjupzbL+pOWkPhJjqQNQg
l8wrPyH2KKyh4/H0cksuyII1qXwvd/Ehcg3Q8bj8yhkL8FR0XXHVJ7cCpXT7ln4XmfmvKMoDAFtp
UjOGoMhFrCdtSqNYEzx4EKL0cCErqQEgqZU36qd7h/Z3Dhmae8QmV1NYYybAKURx49oxqr1Kwch/
InzqmPhcoz45syWQuzAS2JQQPILt+4X/sucawlauyx2kIt0i9Js5SAmeNIhaHjQVtFMmG0axQ8Kb
hofJEFar9JKEOjKiONF9zn3DxSlIc05UyuXMDgZj+cRWMt5lAaLhDlaSplTDevqceLGY62wLhObY
ETadHiwjXJQxA4lAuumwF83CedNPgA1qdfbNreOFmz3+2c1SqoClstZ1qDtWGD0wrbFplP+f91zd
m6L/QR/G6HK1pL29HD6/m6CECO4fcvEz+qL359Jk5Y4XjjvynSXyAwCfWzmmX97gHTvWNRiPDnE5
nhb/D6fnliLebnJ7QEHyWiDUPKJuZYT4+Rd9lma50i+a/4E/AiOxbR3Azii/kLtYf++gk4sDdjnw
i027Rts0gap9pwZPfmxMgA8QQKbh9Dh+xzdSTJiLN+YedQykuME17y9o0y0E6LBPQBTs3oPrLhHs
eByhG61pXcFauCG+6pN/g+NpZyTuKFbNr5dSjCrpPyks6PKxNvUQH36zh8tve1TuUH9QGkejuKhB
N3Z5DwFBokoJPHPKpxjFs+K9dVDCt5Tzj5GgZM9asu4866PqPXE/ri3qumtM45dA/Ul40Ase0/38
WhZinofZ7vUTpb20EKiwjGfqeF5LQeiqz9RzsGPOvBGmPbJwIE4efawJ+n/aJQoyPo9ye82i8gzs
/44OOat8p6LnbJqD2LczdCW+1j9q1eVCpZlnDhaAeT+0W8G0MeAzQFtvPhEWvLJXDQVo+/OyQNcu
pL1WXZcpwyEgdBqxMhu3qK5FL2516czh4EAIo+2oe6nrp8WivtC3m2LwohU+1HPEEKCd6Isvuzp3
l+J5UEB5yXaih1YoPuPXchuz0/OMnYy2I5W87TjLINYvmlbDBzC3atRH9AmcMweBR1YYZaGMX97t
7kH9hqYp4aq/ChYQdTwipcn2n/kEu9CD4Q653rFa61B+dmZmOSibo+/HLx5QNsGY+Z9wz80yHG6B
y0UVuvQ/qUCr52882vQNuDKdIVeoMLlqYAgIAoksmrK7lwqwXziEydzHrvDrAYsLswLgLtLK7EnO
d05IeidTqwLxkN5/zXPkYD4Vu/dKAvWatrpI0z1u011RWF+vVY2A4FWBO1nAoKott4nCQpODLyeu
8HjU+kMhAfC7PRmA/mQ0neva+5l7tOO+irl0b3xpIibViqqqxYeuBMnU4tLEDl7J9IrM1KERa4aF
h89MCp2v2CYxVjDCT9cHFRTmOwg973JP7qAkJhIlXwNBM7lNBrAoq/8mTaL+8sx8limAE6PZEg9Y
LXuC8m7iRjSxt02Nf+Wzci+V2kKWsIbOvCBrUJDOdpUzRA7v7RImzXndy4YBUGs/GoTB85wDNtso
g9SVgdV151uUKRCikZT7P42fohf7Ja76bgvauZBCEJ918dyNz0L1PoYvUmBA/1vUzcg9YMzvYd/1
c/ZTPHVZ50OO/NyvjPY3dE33IJW/yNHmWNx/abd2/+XQLE+4vk9m1H7q/wk47EGd+xCbqH3Q6SnR
zxdytJ3WZ1LNOQ8Wy0a41SBDXs3LbGdPaDnstkorhx9KvcW15MgxB25Ji6P7VY5Q1SVkq/b0yLGN
L/97GspbiUfEsHXwxll0L8ISBfXBIil+CcyY+l0EZMcK8jJ+QqZ0gOZkhd1YlhoP63RUZ3sgAej0
9OF5AN+VHsTOx3UHLiAADBCcDBD74JoZ2zXNWwfofzMtZHtMojF9Q0A9+D8YBWhw0otwO0zP2Chu
AbuXlvCfGP3MrG+LShUO5FsZuS9HOfmRpQG2bMPN9kFBbbzkOuGLsXNPkO6DTCkjjruzEimNvOVK
XPOKktWHtbMk1iTg1VfmnQQr7u/kMuFvqV/vw+xEBdIxRUlaJH2+BuVPTbguoQWjJsP57aXTCjUh
ZrI5gCnFcJccP1kXpemghpKhuPptRjFJHNCKsY7Ny9KLoM4UWVb2NiMFqTqhvPbDxG5ixzIoNFRk
GQEmZE3nMQo2RxB9A/CqO3aGtXo12Xb40cHpo79Roy3NT/jZ8pkkMP+JN32DN5479TVNYklpSAN2
MOH+3kToe04CzszOEVPFzw3UxoDOPP0KpMNUH1qJ475HhezNMUa/cllAlpBheTEgA0S42NEUDkKb
JQ0zYr0fgU1u+eiySm0ylCsMnierhLRtnrHK8/pk4MfWLampaDyJNukfrw/3yv3cORKqAPP03mCu
RnM65ALsTutXO/XjKTnXKaukK/O96O7WjS6xHkFNQ686Rpsv3bjqkNdBxi/VLUqLJEiRkpI0lmr5
gzq1MqvdnDqeRwHwrli23xQHRY/k6uItkDtH21tkyDT5HOYsH1DutCKRn4egqKLfgi8z/YVrsMbV
Y/DIXROPXbLXF98ct2QUgnpsAg+rl1b8Q6AOUSoV02OfEKFcIxvDOurO1QtoKRNi9yl9HIfFG+CP
gqEECM7EICIg4n7Djzgszr5HmT6vMoalMswnxbYDH8rog6xPn6X3GA+HVVAg59dz59Gl1Eb/K0pc
WtcIIwaVHzfp64HxlQ4C6o4TdSxn0JPo3j4KDA+NmnoEB9GGJdH7+d5EKntH8xmQ97WWVQIX2nog
+SNOCcbq/x82VnQfwsQNVg8JyMbzIob/Hu5GQjZcAby0eRCRJrFRHbvPedaz0NVB6UrAlbEFwZkf
KqMpZDriVgBwsJ+SOfmsk+3YIre6NxX7G7ha/slBXjwj8VXWvJNQssXIcbHrmefdpyOMOWcm2DrC
AH2QNNiDV5dZPO+dt6iagWWxOqwhmnWxfL86mvQq7P3NaTwXcYfQAj8hJmuTGa8tGXbRRA8I1ky1
4tJRhb5KgmHynsdxCkMYNdFZ5/Wk4uR5N7UFnDmCBpkKPw/pUx3t4Yqe/hXewR3uH7kI7HnhcVfG
nOax7vXA0YuG35HjRwS4U2WIUHYzFwVAR7ndz7F0o3LVXjfftFNi8js8FJ5adLzPZtTP1RUKgIbj
DlMNreOCqCkQz6UijErbvaaYiYiQ1VRo4VhSOc0wiEKB6uHamWHBiLFkT9bxgYFKeRJbEgBFDbEt
Sm1yqWzIutqiUwHx3HnQTWjwfvIVcouXtH+/4KETeGyvj0cVsn9mzI0wAiC8ZQeiPKvTzd9TJZQO
aEnGY/bAxWPFJpe/n8vCvAahbcJ1jvUzpwuA6rb+iNSPJNBYMEAPymqMoXT+CU0MWSMwGsqNP0sB
PAed1o0cVW165upWISJkgvBkjWnGolbmc4DrFa1IRHbdLNl0oJKhZQt6iNfZsG3EqE1H4PDUjBoB
oDkWMdegoKEJP+317DK4ZKvWE+TUfhT91XHNx774tPypfHLD1ACHu66rrgb5eMz+4rn6iNLQVAu2
Kt7AHYnd6Uv/omdeas/5Xckr6otgb5aPOeLwr1xAz7DRC1Dj5Mc1gXzte1QWw6zjxqcBkg+l9xQs
LOAkv4TLMZNTMJzscCsRnpsaWVTkQ1bHHYNHCI1dCxiwi4izGVCTa2D0UtbQwFs7PSuePVKOM8wu
As50nxX5i4aOiVB3fnw9tMUj1MAn7EobSfndrOwDbqEJr3eFyBGvEn8b6xKieE4Zq2F7u8Og+E5p
OlKu5hhq2qfzQzbir4rlxkyvf54J1ywrVT5ZjNJhCqMfKMsqIoo+w2+1VkiW3hf71c1xifcPacNQ
a7D/9PPIH+9mXZ9yWFMsV9mfHiFKYadu0kdroD4ae6IIj4dmLcqpj2wFdVXiDsLZTyVLsa0MWqFx
kyGOzy0+PBd2444gWmwNTl9+XWrhu8ZUmJ1sCupTAFUVDgD9vlnVYIkW2fQDVS0G65G84IjiPCK7
meK+ju8Wwp0GPzO+yiu88PYZAgdivmh5Th1xWc2ssxVs4SS4rkhvPEFaicznilEmatk3LhR421Sd
/mm0+QAxOhIFA3zTInRjnR1ZMRMn04u0fl7PCmAcWKBh7GjcDcVi0nPhlmnEDHX19mdGFhk8rvSw
CkLsHEvdhHAmH5Lf8fMzNkJjHsQH2HZEzW4Mu9PhMEcPD92UGAkToeGLDNAGSCr8slDH0NBwdzpe
kFxtRL2zeuxhIjqLhal3VRp/PXQN8bAIej7B7lffgJ2QOrIQuLXzF/zwQ+1gs0ySc62NX88IH35U
tKIYZDlij/bnXpDJqPgQJv9NMwX4UBJaFoTUqUpivYN0PMHItGVc5jcJRtlAAgIiLU/K8V3KCAVU
6klnkROjQ3RbP78mWW+ulR9JrqDuCHy1loiLcyu73b4Tu6RMKhEOs7+2noHxPAwhgDOZe3oziAyP
6idePrJMxJ9hAeswDTDmZcC8LU/VrWxwROlj5ZPOHy1Byur50nWg7nwIBEja43I1YgY7RqFENSaY
OeX5m5aakfR0LTtKhRelYlLGQvRqfiHNop9KpPML0b64KaflFDDMErTQ1EpprcPQHsWOHk7gmj39
6RY87uPxy+1AgOfzggOP2kt0mnakUQ9t5s5KAllJBkA+8/VsS5/8D4SxN15tTxbjP1htc2SuYHET
huPvrD1FH1DxEk4z78jTHFLaMYk6kQnQUu4wosHoM2GEqInPbOOqGdHwavudtkEBEQkuerSFh4Nj
UNUcIW7dM+0zHAquiclxQMwRDCFvFDYw87KOC9nZeLhkNTvy2qWk0XLdQ8SqKtVUIOO7pfa05EgJ
Ozd8mK8WAZvYIb+VlyKXn3wbNVCm4LSbbmyC/e2+ec2sQzy81ABrLMmgRvGcDCgC8P6smRgCltPG
29zGjw/ljhzyrZNOIQundC1F2T2dXufgBl+LwstdV1COZ3lzO2sfAhd8oHQtxotjBW69iMoe1ahf
qWM5Hba1KP9d1MNnUQZ36RK4XUJAbYzLX6rFUYNBhPxEA0bUnulFIDoNtaVuD+LwHtZN4rvf1xoJ
oP8dPGqfLhylVolUIBO55/u2LvLMXNoDb+CEYQELrRHLJRCr1a5t9Pcf40Koy0CRXgovE1bt3rhn
TSKX53/+q+FbJ5w0Mab714limtzYw/zUVPPxhLZL68hCoihXadyKKNuo3TI4Rj38dLnqZrxXa9WD
hPjGENkHUkGgpuvYBUCZoUjnWEnnbxUSe3bOmxkKYaTOqdQaD6U06xSU2aSx2TxuAMTyLXKQoVSR
UZ/PH38xuKIhRQGwgk8+/KY8wNEwqhXrbiJz6BolXqvHDaY0AwJ0SNH+KmNQe4Gmvbb3+50XSluj
/mfqW6+GlAkBQYQDuck6Tnkxn5Zat5ISZA+1M7ofUZp4jAMH5WpBPihGNGv6ILi2XURNb50P+YAK
rxxpiQkppyR1QAS/inWemUppY54hMsMNrJesZB2QWKBfe2YgoC4U8LiY9xZKaxIJwkj0sqtSSrQI
2kx1VJR4nF+SpvbgAr4NlPbph68eHDU+bTWoHus/AyZm2xyEk1hOnQa94ytubKAUkGOSfPD42joR
e+Dm6ZGGCkweEi6yxuRkyuc9GfQIr8tDXN2VAfc9n+UMWGiE1pymGMMOjikn2ypqs2sGW1oT4K5K
XuZcNsmf8nUixfIBWbZb6GRHLITrQcZeagWXChYi5/tlscUddsql3VP09oV47uL9p4wlE4XfKclp
YFLIohrx9eAdq6sTYLDqAKwVODmo5d9EWJz8WqZ0lrUZsL9St/FgyJfM5OD7ZvNMUAavUEHcAuwi
Kt8CgybuLoGeEIl4NBSvwf31emQ3iYMaPspIa0fL2jfR3gUTH3lbYnDMyEFE1NqmRz/mRoXCIP+z
oh3WVUEFJEL8u4inrFpXFGBhW2Pp105+FbMnsZuDC4JAZZklyyH5f0+eTECfb6qovcEcZx78Nkfv
wENCvQnKKdNzFEBlLARYgQ+Mv6piJvA5DhzenDntPaOZS0E82pen7MuUhwBUp1FctciT4eUBPcvA
C7aD0FGoYNKqJOpo9DmDBGS0EbvI9HBDdRIy/QYiG5yMKXYPDiKBAtJUyiP+ChCZO8C2RfK5Z+T8
wvnzNnkOUVdAfaYq7oJoK3rSi0cZA3pCK4ueCmjftfEJegFr0tGms+C+t6ev/b5HUtagRWanfQSP
A3nR4fG17HnCTwDsr7Jpp/0RlPjCZDvYzB+5Xlp6JPoHUF75tSEpvD46JxJmlHuvzAZwxp8YUtZj
SqvHAcD0heHjrw8DIzWgo2pnn1u52TSh+3Eor6gFdryp38JQl51K+ENpTfeWU54cy73V76KlHnWj
zrsMINtyjpwJPvIbbdyAdIjkc9A2JXaxGKcj1QBwoROeZlo0cA9vtmSLs4reBeslQACbD/NCUqFj
v0114B1a1NBAF0A9JC1WHscySop8wPuPYkFMq64QB5jSly3u1sOy+XDr4MRYkIYP4OcEvgVJTyco
DyLlSLc1SSqvbmMyNlVYi/U2S1cJBkO+V5iOjxShjhKKr6nYl7VpcGrSH1ssEvkthofqOwl5ncj8
gu6LV81b2FXmbWzTRyi5A2L3q36hMiXoAvSNpxyqRED4ed3ybRJ7JYA0u/VHTm5Wye+9muW70b1Y
abwHcrszh11Tu6r5SWLiIEpxrn/7510+ww+x3IE2yoGPsHaVpnLyFeFa+lgY2LXXLNejtpwuIElH
n7Mu1LlHw+Ho4Vk7JdBIPvzfZR7H15AkugQ7CFuYhcs/KLYggLUccQeUJnxemmJZ2rGZm/Nttug6
EvfRFUW1sHGf+MqBbhazFMWA1bWUI4L+N6DTJgTxCrkAgq7IERbI21SjTFKgCgL1EXQ8OUotwYp0
aaCTlajyyZWYrz2JDccQKWC78oRYdYi/z1lK4UNkbDaEeqr6WDQRlshbP5ZrrfCng64VmjbPmAmz
6HYU4QqpmYXrCHbZBDZ5fq2+DeZDjpA/1WnmHFbXgnAWgvgkSd/y9uhnDmn36vp2Qx7pvj/0Lcbm
nEgXW5POiSNCvaa3n+Hg2j2bn/Gtxu3YsrXNEDqvTmlsRgPV2kWojSXZlo1VhPq+HUoeah948CoL
FeLKmHAHM7HtZBrmJ9EAD7NWnxh7MZpF5DWxJzkca90/CZnrvHpilqkj++RkggHMFUt00iCZAf1T
ep9aL7DT2itXwT4t5ARPk44GYA7PiqOxPUVfu7Q8/FzIibIObI+xA+rd0LWY6UKO2ZA10i38ZXoa
vJzE3RnMo/pQCDQKYkY7p1GZne79YRFsadKDGHZ39tv/PCkdps1t34JrcxrYc0oaoQDqOA/eL/z0
v9rIARho7p3/hFz7q5IXpm6iGIsy7P7hUBnL9hiH8zdZlTAHljIPdlkbK89kUrAkbM6MECCdocMV
AiKQCz58bfIIw6+dgyUP5IwmI1gtPBf89WjUHrWIsck0fJ+GMJjupzNo4DYq5Z40HGp7/CTtjGe1
OwEdNo2xnkaXUen8KAA4Aa46UYc2hooOO89fu2/keiLc/N2on9Ho6e0TZ1RDgyHIhBFRWTxXHOY0
Sdvye+bMKl/eR2wZStgtLPjsWHnKku7DixLVLR4pZEBAwWzmETMnaHTOXLD+uqEJ5COZBOCFXAVm
BbTL/cSCu0sW7t1sOTfH+OnhWZu2s7v/Jc01oTNRkfKrlCmr8s/Ce5xVRPkW8OKYKE/2fxwzLD1X
XSXXu1/3NiGDPOmapL2IKaTkm/r0QT7M9Fba+3UgcNh8sObWfaMmei4VekT0ynuYmPjsvjZODLZg
aQ/29FXcBgbBiPIiQUENSu5KeQhBaUQoT82eAaLfKhAOjgDX7bigpCqctGG3nzBHOldtio1e+W1u
ajjfk5BWshutCdJSjyx60eJPueDRgqYhGUiC1vnpxb/j0tHrAzsKlNChQzB1+RGfPFONquIhraGM
TVTJB+yXbTTVcpwOudEcJDl83t/K20Q+I3Hc4j+T1gP5y1AueC98g2K5BHDZhHdeiGMO/2lgbSQZ
1QEylGhdfW0GKAaP1OvJhGc3sqcoruvJibCb1cpGkoRWRaKihBuWFQ5rG+iTwHSauivDawfrQXVr
KVFErU8o60X0IOtjyrAONsXXA4XoufwV+Vb8YAUOTLp/ksQd+BkcmWbL/nVtLMJNnH5MOWW5Y1u8
SbvDYBJSgmTEHAQ73/QxCBFWZsZO9vl0I2WNnpKiUqCjUJOrZeqOzndvH+a+vYu7dYZmFtMJqsz+
QYGt1dapijdyhGR50prrLDH8qe8Qly4o1L3WxzaJosePvzgRR8oSQiggEvlumRuVvirncznPO0Uw
cKtw9mSgQ9D6UWJr7IN6T6WOaYnlJVgLjj6UjTnw65lSKRFCHyd0WI/usVsxPHWGy7xQJEu0nhqs
QMhTuCwGQOIhsam6xPUiuOfxC8LrxD3jFAAuVO2dZPIoQrJTrrZg5OfSI3fqht16L6PLPAFAzqnp
AiVH9H2S2tP7xSL2iKxXxX46Nb8sbxrPoFV0m3rtjbwjrhQ4kjuEOfi0QWhq2K84ulsiGUQeeV8z
1B2dIjYdoeLJXX2wAj4oHRw6bbuEWtUgCU2U24L/tbl5SyFVqClSSdpseQSObU166LQRLPvvY5IF
1d78CO/WtVoRWXHdB08Gs2B/XPhCFjloV5CeYzX2yYNvS4cQpA1MxDVn+4uoaStUWoG4wFEsDnNh
lHuPBIGuU4AJLVB1xEHJareyVQ+rOTJTZWniA8hKshc7jXFsQw4YZbtXRyZFtjFO1AkudDgqPUdZ
SDsrtABlYqbXJDAnKdueFvhE3OzBq7k5//0dRYk1Eam+Uc7xEdFJ6HZCx/sutsLLZKArCBPgdDy6
QW5fHLXrBLrWBMDLll/WqOna5taRkI7fJ9fzebAeDy0ITzHGW7RD5upqa//k/6xUUplzOKT/42C4
33j31BJZ+t3ACQxQVp3DemfqSWbaEkrKHXCKb1MKnP1/prVSo8cic0clkU9KmTxlCW/Dglabb3Yj
sdCH2xZvSZNOnNha6vnWB+y69K+dycDer3QTpcoei8gs+3EsDNpYnc8ymzoHVwUXOYJioP5aP1Yn
Gu6pWi8RbaGgzhEYYaKGefOaxW3jjn5YvrzQViU4MGENBi1KX8piYFMAX3Ix8hKiH2b9sl0ONLVY
yJvCHgPHnOeHHgxpH21t80CBzybte7Fz9wcmMxV+YQ9ZhIHFyyCZNGV1C0PDHc4oLX8IK3lDDVa5
zK8kKblE+sdOjkw3IO+MwwHtRb+PFSZqsTBnQ4svKZ4TjXuuMUGxz2x2s5Jgu4Wxdkf8fLnHkCId
rO8+64FeoT96WhcP/0Q8lhuyDQx8VABRI5kZgB8RUztpbEc3orbr4gwLvpdoaACKMyJcKDfouBJP
6YJC5JqvFdQ5geQmphpJ/5990TkUkJOn91Elh7JfWKu5kHFgYHvfIdxfwDFJ23RyHzBwH9GexccK
Jp6sVQoZOPaInBs6NhvOPXSahOkrbuBQuHqtG8AhLauKHkzAjlnxDZxVB2wfnhg73PW7/Ttbc+Jh
TBndAZR+Qvi0VnQaSsRPkhxLQ0akDLevIvSvxy+xbMcVg3zlwV2LlSV48c1qK0+s32FB4sbBDZFH
oGN/d8hWncvOtKVyqPqBoqneGyz9QE+My9pAQA54ebNhXVtpvxt/+TQUWvzCdqFdM5BBB/NKWrU6
4fMu1YOL5C9odWFc7i6309kMB6R1FIdW1grBVSKl8ctSbae5HTCSm/HBAMNJ8xZFW+RNcTob9QvS
u4B62fHqGlL5g8rgWhrF2hNgDwur+4uw2zvaTWa8c0z5y73fmbUl/e3an652D2n1V6Viyyy9EMTM
mliL/pqznr9IX0/Mggcad6azt9WF73i5icdOV5XXdPVJdcNkmt5k9+JsCnNo9KWjZJA3byndtg74
BYiyWa79f/e0Evi8WMz8qDXsvr1Dl7G4+X6WIt9e8I1rWOM6pfnDq4F8w3+scr75LWfewklHt60u
WrX3aGxIwz/cJcUU5T+5RNuTP1Vme1Oi0GoSkH20DHqaSGxsLDgi03LXgfd4jzIaI+BIOlw35gYN
/ZtDiKgCeRXkwnHqAEyffvqcWca5v0xwdAPnLlacZHRSKcJKCPkqa2PnEjs5fWx7D391V4WBdd8P
qNDkDUDUknZKRQR2PGSInnLhHQAUW/zK455a/JEShsCq+kMbYjOWJDT9WahXWeAJZD0j3TwwN69K
jExVyiaojaayMkSQ2PiIZ+iiFckxbcPwAYrGvji5PjEzWcmvJdQ60Prz/q7JXy6dsT9qSr6T9tfp
GSE9QIrMBVyB3+mXDm2sWMpdLts/1UHR8SL3fbSsLTbpAYXWerQdfYttHfkLTi7VQjLU+6OSxUS3
BdAruGwOT31Dg7UF116CFu1IVr1BdtmcrzJqxIITbWoZHcE5tfC/8qBBYnFDGzCIIYlqLNohBwSB
p0OLibTS0vPBG34eGjbL/DIOvVIH5871Cpg+iCnpst24gpe9Rh+4pEax4/ytPNgy7Ki4RhNa0sT9
VBTTPa2Z9OWXgOiZXMML5XwBuprGm8Jpk5wORNnq95mMp/yMWr6MHYnuri82VMY3wvpqgqW0qJOz
4xY8aqolhSs53ce9yDQFKKo9/ieTGFxLgNzJNz9uJt/36SXbGAV/uZ/4lfaMgqVingUuXQgSNAVY
frHBSnlr+hgGUeMZQVCnO2T/Yh/DkdkCGCEYkVhuG8/9U/27XUpAijgPgxmAFOwwL8ziAyJJxeew
AuE2teIIq0oCH93gGvJn9HTen+3HTgOett5BqLSHIVaqnaNxXNjQ1PgQzVG5STV7ZC6ko+Aygpd7
1l7skqbyhvI6YeIg67TzFKfhEHsei9C9aWr2kQvVPvMTbwpx+Ux68x8O4jlpo1O1JXOQBfDmsldd
ip1Jb3zPH1UNe7yadxFtPL7n3TLyr2T2vV6S/CGs8JewJZGMStU4qyHQ8NF3b24dmJQpCWh8dV7R
XBUPw0oSJm4sa5l/ulaE7t+mDY5scUOhnZUEqD9b3CQJq08WDJzB4AwnjpDUAS/St8/8/9jgBM5I
q5PE7xiV6IvYs+ama5wIJT3xlJYsrfpWUyERyPVgz8DbPO+iW9hzW8J4RqTN+IeTKJ+YBRzp/Rd3
amz0vXnbt+dsFwvYX/yzdFGzOpgkWozOr/EUcPdAw2LKvOujq2fOlSylzwRzTrFHewgmIExwOYX0
cMpOx4TNtzDZG0BJeWw2nDdcPI2tpi48acJhNuupDoY6a5DeqJcQ1xJt7n7BOv0LjuLBDZPd1Mzp
eHflEEb7fl635Ef4nQ5eWjY+k0sHVU9qjRhKDt3GsoE/1TB2G87UoTP87oH+Q+ZPcWmDAkPLEitK
st1n21+IRgmBtQfb+xwYTS5fImXMdRw3E6ToyZvQCaB3n73Ib+nzEAdj/1SnyhQMn8GoR8QzMmON
GcPHRHof5c8CEOYZJ4ZAjpcQwLQOOVnJMYR6iF541e6XMVaigtYtUprWiWBAaAivOK3KIEj3fDx8
GqMrbHJWYCRJGO8YO91lt6Ckluw/M2kgXSTg7Hfm9Zk4yEVwP+vj6jzdC9ZG2uTObDauWdqxZNWJ
cGhfnvmD28a63e19esIUz/cxMU+j6YWcPxLqQuJXdusHrShMGmyp93QBoVFWKleXOxQQCTi4w2Um
+W7WwFwWVAhevRWkVRhjTwULBpD4r26zNCkBqPRCpeG8KVhrC+/0LtahqoJalyFB+AQKINu1bFa3
Wu/mA1v8hOTVnyCU1t1iCbelzV7/yvRNGtmTJhWu4Ufln3t4sClcuxh6D++iz8AWz9SdQTBSmOjG
Ke8PQnfVbgV/FFBX7ZrTC5anKccI5oHV9mZen5T0ViDvapEdPkR9kh7IOVh/p1Q4/uQihDgU9/7T
phQyaijfUWp4TLbMwrfv0vIFVA5qp31+xDylVnlgkd92tnitIK8pCZeVrOPNqhHhq7gLTl30/1xW
/l+TSBQr33EgLmB18GqG80Daz8O7fXJfIOCvLKO4WmPScUUMw1/5lqHDG23e5KlvHBasQq+LXOGN
sSJYBystiQ6DFoN4cO8R4iKt0uT18WjncjAPOuOzWg9brapuCgwpsnWzAFMVFkwr85Pd/iDbgMUj
inod21OOEqzPPlY03eedYbWn3ax7pPjXShZvE40JcK+VfC5UeMDQyBWCHzRmeartqWI6+zBRG3I4
RyfCZvqI8TVm3OLwXu3da+BzawH87sdmoWv3fKTEhJPxDwQC8Qe35hmkqbiS7sJLOI9I/k5HEZmZ
MnBB1gqotxA665TUSs0dSXjzmj7qWJZWjQmdor2iIdCItfCkGTmTXHZAs1HSG3yzOEKnYC5XXI0/
ahEn58QZ1AtBXlf2VFYeS3y4iGC3aF/LyoRTTIuHXJAoT72QyEx+Wz/XgFCK5ecPlUsbA87wryGt
37sHJKm9bfE23G7/nGCe1kV36bBFipXZcjAL1HljgLhLvhGOWDKPspUSrxkorbPSjPDynE6X9Vew
BlQwJTFMRjuaUmiiDM/70qGR1UBVaRLbSi4TWZ9RJO7y9Q44whP9e1rHruQrsOFKW2/CnqtXnURJ
LrwIBuWsC3h9exgdaP8qPCQ1YTRmmSu5FhoMaFFOWqKZQUNEBuvwQx7/XDXmoAtnU1L3Xkr3h3ZD
FIegpcyjJTPhDHnZ0Z/fh3xawPFJfAoXsnK3l8PiG6U/vUuToPb7gOUCfWE5ba9baBOWVlbhejFy
ykKU+dnBpMEsFuyBNTr2EZh2pEMETGFmy465OAswvuctfb99Dx6Z/NYMUYWJPm2+bxkKsMUK4h3f
e3mAsNZCKx07LYR0HdcW9JoaQtRa6B+ZIG97aHHJUstGPM1EogUGM+pvs/EUqplvsWgtGJgKDstV
+fhXq/OfjsfbcS/TheQp5Yd55JmW1a2iCuoU1iicWk4eSkw09kBki9Doj4Zo5IpLZpa9b5eSDHRY
MXtl7B5jOzTmIxKvsNmkm6avgbQB8JlYh1FEBbame2mmDg2oaTs1deofEMxVbcKME70wHKWv2eQq
ZoCU+n+EMQJ6p8No5TH91llmQb0OrUK3RHurJHWy+OtnoHQqZO4i1A6qRBe0wafbPeXx8qKvCF4B
U7Fq/K1iYrCiujUXGqfxZ5462Bwk4FSTGZjpDu+8DcwFQHUkmwII+H9CjPZjPr/G9PjPr9DOQLRx
JnFnkoAWnJAe+JQSJk17AKB4uUf50gZo9bBIZ+q4kksI3oejpegTvDTa0gVCkJr9kB5asMiTkmIl
xn2r00PKfIcJ+HfuJEEfHlGWww62KGlUYkjR9MiiUj4s/jQwDcIclZ3hGkEzFcdxABYR69Yxr0km
EWIu78XGfal0TuYZq1h2xAk36PzIGwSMU1YoIhRO5HZMaqN0QAnX54q0Msp97O/DoRDQ+Rfaxsp2
wZTj5ohajNC4ptpH9XNsmTKASN8eNzHTavexA+xwU3LVTGdj+v+rCu7PKl/Z5VdQubWCSN7DE3XB
KcTxtRthMs5rhKX3CbxaZdqgQVwYZ455THBfAdUXdO/+BjAqx9mKFv9IFrsGAEaOr7KMS5vdcjT7
qYT0zuqaP32++aNoyDBwxzyFCOmq9DiuzajXUkgL3Vnj1eIk4/XYP5oIUZXOPi/Inyale4uj8QPW
jtcmvxJjWvXf6xaG8C4UxpXUefJYj1XyUGFGzbCyl0i6nEG0ZopUJTxLLJSyDlUJttuNx+G5rSuM
YPcpbZeAy3Lja4D+ibyI45Tqe2AY4gtmUDyLvJT2ZeU7YJ1klCRdxiGA09bBIxyN4eUif6DzFJZb
VwQs7ACV7iGESQ0zvr+p9iXUuwlSb7jmou6n/Ec8EFEAicPqNqYaKsvF93KXoNswQhtRXHzDnDox
P9Q+Axr/wKy9gy0B6IFiFYeSc8nJg+zvSRcNJTzxgbfDoI7Pdza0v+0hf4AeGUzpWQR0jmID4nnb
ETuuH7zL++r0gpDexeq0k/QYsGHQCK0jiH3rLYN3rezkFE8yNAmsiAQZdYOjz93DuMAbcqtnGWIA
mTqz7KVD2sa+C0oKuwH3Xptv9WbO9fY94KC9LNCNbFqawVnad4zwNH+sppZ9HnHJJpofEUQew6Yk
pVs11EUZt4vv6NOCdPI0YxXUrl9iain2sXJXZtlaPACBAxp6TCuMS2TtMuNfWLM4/2BzimJF1P4b
wMUIxkhPeK/3c9FfVE9RzH0a4oNlV6s7owy3Bnbw9wHVpL/YiNb09GLA3/japkk8J+0Wzi5VSzvl
wM0aDnxkjMQ4DAvHpRhXddZWZ/hrjHcFHD/+yfcj0m2jtC7Q3UWqRscT8KNSpetUB5n+ARX1qYy8
CTTb2itURW9n2xYkKEP1YfrzhwTMmY6FRs9FLJ8X87fGjGVJUd13k2OteIXw/BMISv4Olw68VV3f
pidogGx1jkfoaGkz+iNCyfwL3aphnLY8h2P0aagaa7hFj51sveukIUUqWPFHCUCD8zzesbn4I2If
J2gxetCJU0jnIiLpKzDMhBeCG4eu73BXGJHIFySUgIPdcAcpLHI8Fva984UGE09eN0LRBGcV8bcO
/r+0Cv8mmALvupqDm7tTa1RRbxRaz4slP+J1iQKPnWMSRaPk9FXQrZ26eBFOCf+9LQKMftc5Pv+d
PQxEulOLWno9kvrqwm/O52voDwK0Bj03nr8A7336U6IW8LjhbVATU1KJZQVqEr25q+fbAHO9T4FY
a+A8AHYZDXOc498xU0GHTAqmkhCg3kIw7eLDtnj3I4/ksFfvh4vc+Z7wVvXr7xKa72iaIddhPiEz
rx1zlIND/Gd7qZHGA2yfVjaemHILt5EqJG2YoafncgoNbZKDtOUP8zdGAV0pDsRCB8qSlY5S7Zl4
4TUsBvTYqyaG0IHWdFoEwIhif5SKMGDEr++H7VHLeFVF3RrsTipYhjU1vMTPRR6daSE4t+SyxydP
KL9lRA9sz33UXOzmPn8JPktStQJf8Zhm9FFYEsrw4M0t0hIQ3XIUtXg8GoU2VO2cAxBzhPq7JvYS
VmXQLqk7ClGCdmoNsh+dHLENOk8xVe0+BLWgkAAaF4c2Kk7rGlkmjcSiCbweJBL0mMQmRLE6FkCa
N9j/tunWwQsUcmBydq+0GD/Z0nZuwn25p29/7NVKO/IOMb/mFolnNfa2xf3OnedcmGGjXTvql05+
g2eJWnMYZ/wLD5CBtI6iDE0u7evwCkbtLsojG0/hpVeaAmHEIEHvzlYJ3Nzzq14RGp4tRbL9M1Fk
HteX6GcbTE7sZovpT/iki3whY0pXrsmU/HTbrBirg95VhF+hW4e6/LFhbmVAU4VUYNl0I9ehBrEa
HzlDa2YHaoe4G+xCIcOWECMRBac6lDxSdeYyoHGHTeF3+2VICLsolIDwkVHBSIshUJIxejG3t/0k
A2NSjSoB5g+Otjk7WD9yK6b2hTg/kANVsTUQDGvCxRlCBHoX86HD4TM2qXWJbAkPwk6Xkthu5Fcv
XZqON/9gOVg/YIxobqVE2ZT1FUPjilADwUopKCxy7vmLjXuC8ryTb8qDjAkHMhhv6rKMULomJ9wJ
z+33XiXNrAxCRc4bxeGvdwRCiJRGSJ52mBvpLUBgd5aJVwrAYxrHWN54Lx6n2nCWKeaXmHs+/t4E
8lDD7MXCLe61xYZIhokXch8SgVXeAJYz9JY9nJl33zMCoS+Dp01U1/91E7/aXUyQ4huuuD+V3VWg
uejzp0FbNYuBIn/utABy3ov/EDqdkRqZjSdHCcZsWTT3qgT0TbAAFx5yPXoSi1VZvgp5Hn+hC7hm
V8w1nL6GvvXa7OyhEwf/FxqrSgV9SgpMisjgHIuh9gsw/mWxkrBV+RJzmUsurWc+zZXzgB7MhO/5
3WmYYoj1iGxFaVzKya7FB2VfsgpxBwFc4ZBfDoX2IZrMc5hDFvzvLkZ6Mh1FzsDIlXtxlcHCX65I
vpXhJlkuo1DAGRXtg4tZLfpynxgEm47iAub8wzhJfqNa5XLrQOwH4xK+w/e0P06I0wNKb0NMCINn
G+DqdNFyiG9Q31LdFcis48s/6xcSJlugtnyHUueMHCoHOh5NMmMEe2N3h3RGVn8zFzUQpvsbsK3g
CzclweJ7mJYx7569q8qz2nzUohKZuDggpkCEfDbV0aqzBFZglCjxOviXh8KtxqJPXuk4zr438JyU
yAT0zZJASmLNcI1UXZx4EeC1KXplKTlEihOW2lXTWWoU24LfyHykR9wf2OPsvRV3MRA7l0caCDse
Bh6dBs27T7ogT+2/08+xisG/JomRN5Y3QCahaUf0GLGw9yqUZ33CS9+cDU+BwZ6urNx52nqwHdJl
407+2r96cL5tBOP/m2HiHTch2clf7bE8qUxMt0mCyW4PjVBmPe6gztRs8ic2fHKvyd1Waa/gct1b
FfgN282IO68kuEgL8eTFupMZg8QOpaS9m33hQ8VShUz8HoDaTRgV+9RyG6YFT9Dimm6ygi3+S/FC
coNc6p8UzDcDlwi6xPw2qUKNPv6Ljg5CqzqZVpAldUM/3Ht1y85sl5p8vO6kwleDv4LcM4kL+BZE
F31tZkSThZHs/fggu0xfQUlq/nvr8Elw1zTaxDng7SAwQmpxZZo4Ex+xUKxW6imNCbzebxNVe3rf
QXfqRfZsSAvA5XDps7BSjSX9Jmho8qs5xno55MccPCWVOdgnKtf9anSOtUCXopVY+mG3yKa54gZm
MzSSAIdmqceC0Xw2yNiQRwzOg0653apGJt9c7/8yE7zG4UXFy8I3Rw8Pta9g5FmgGdWpVXNtUE6q
Uy8vWeRvPQo09rmV/Z2uSGE54Sc6n9E16fiho375uJSiSByBimH4hWMSO71NnGE6QW/eW/mcrJRR
UTBNh26BgF4GSvMLHxQyBu5Cfm5+PuyL9nhfltfTVM7w5NLZevSaIo5iMp1iCqiujh2vMNRAzZWE
pa4rVmHbc/teBzziKQIzjhCYxDcd/ibNO7blnkSKdov6X6+HUElLdyssv5Of3TAbnRT0WKdkc09d
XGoWoeYWTOEJe4stI5ZJ8m72J32LP+JhohzRZthfT7PYLOBby8C5t/aCNtwM7A9oJl1ozAWODUw2
X2NfGC5jqmlX6cE15h8LYbLxehPrnxFMRP3PcUwZ43Spj1BzOaRP0qOGRuY6Sn0hL760OAbU+BC0
dgu+xv5vxsAOPuLinCHYY6XSMkkfaOkZ9wAVT/5oxWOzmQKSyqt/Xk2oDfHr/7IS7/888Lb5bD1Q
5jK0zBhBggmaq7iFcpfVvrbrZj/98axLvaj0sJXLCjs00MY0KLDhN1C2IrQwO3IBokFfnxSzEeM8
5/ljHuvlRrQS7Gg4LQ6ePZC5tLh4QvpYxAiFyGMjbclTW4mCw4isLk7BfRIwV2acGK4+vSOlnBoQ
dDseWXqmDx3WIru/jdZHlRFYJPrrN7wBnOaALWjGCavbO5HfVjy94t8vxOEC23bBPUI2rowGm3YW
QLKcELB8zO0osB+3SA+anKjFlg8YaO32HeFudOvnOzUIcF+qxoq58kCeSSvB3U/wtc3nFk5HAh6U
aJ8PVa1Mm5kqf22/YKfaenNF+X8IFq8fRN7ZNpJRVl3/a2fcnSdP0sskhEnA/MlBxernLVo5c872
6pXRBqB7Pd9LAd3bbxKGZCGyqc1b/RtUOt+h4qqvpUHulc3V9fCxt6Hr1u6V4EbYWEFYD9wSjJ14
rN+Pjc5MTu+f0V/Ze9EFAGuc7ckI4UDG1DmvG1AL94AcDn1f9UvvErHeAnHDzpxRM/wTAR4pIfq2
3ahZgSLMq2TcDQj7ts5o/867hLNVSdjKnVl5F+98t+J/JLngdn2/EBBC0KPpmkAE1DLBC5IydiV5
q8n8ly86balGnaVrb+N3H/c42LmZ0SbfCFSWFQfocy3vjImexQKBiyoyPFWRgiILkdUda8um/fkk
7qX8tPmplVe1dz8NBx62hYpAxW2WMIWIp3ol71mUpLpfPqTHNf88bsGIk6leEe2diFTMe5qznKiq
6rXHDxotcaL9nygW/HcQYbIouvlAnjSLgHU6VaBq5213sR1pHTWI15u9b1cci6qP6zsZedVXRnvl
NAKDXV7sJmgwxO0PLoF9oqCrsEwQtRfW9xYScPjIDjKA2RCBSaL/twTeMqNRbhOCEK0gcfkrb7Q+
STQkid3U5M7sJWthi/e/hN0/74Zv0ouudRPnaauCW8zSYf/aWg/dTXf64zwA8KGRVT4jpCmGP8Jn
N80t+pNKxHcPx673fw3JMy2WApxJZ2ljEz2owqDbIw8nzQWb/UoP74XjMvnH9PzZSj49/sXBFOK8
m0yelffZ0CVM0NeGpjkcr+QQ9uLNDUngfBsOqTBkMmfq9VKQAF8qUJ9lk2jAdxiBJ9rh2PoA3crI
7y8gZhnzR0v+6NBhFBvWAj9Jx4ad5dLjBIU0wIskaOVQlqyMEYIbv2dOVOYptGC74iIyE8QEDFHm
IpCGqXImJ3mePWCtQpKeDIAMPUPJzx+xNEQ1dJjqFGRSyBWK+1EcYKvbl98cIuIs9k4pjmJMYSCi
a6PJzwQhSvtKGuPcytJThtkZplXRkEHu/cwEeSxflNL0CeCs31RyopyhzUEY9Y0xQPIbb9FR2qR+
Hq7/lAvIIce52AbmNJffZDIe8ziq3eLafmz+7Us/GY+OOdAoxknFMk5GOjdDN0Z9/hqIqWEQHy8k
dnhLvjbHIPzh/IRkxG0NB3ZuPathpC9zduzsz3/292Da3xOuey/QsgViyZmFvZOE2kt0Dnitqoyf
rhZJ1suFJ9yViBEPZx+U3xfstliOhqcET7muT/Ith0iwcmBAT24x7l+3AUu+aLJTh31DVoCLYHjR
vWjjiVGvtz8/d6yGcv+cF17hkTyB6ydx31+zPCM4h17tFVRLqq1ruQhPgPAYhOVrR30pDv8qXQTV
AB5zZqwdzJwFtaGDNNnf5Wd8O1DGXoPZcJU/UwtBjRr24ninJM+uwD5Z8R4hJ3NtimQ6lxRbp7+0
hvz+YvWTJUO+98F8afU9u20f75YBjbXfNlf2ETpwkIx6jl1H27RWvhgBo/g0NtuPPRfIWCy2NfFF
pPYBIR1ft8esChhRnjSo4F4JAwe+cpZBdNherFbN5QYaVH1l8Y9Axh39lrowBtFVyitT0iYtclVe
VpcRTriDRDI5GWrk7g4YbfFg2p1xBjkmdB4yTjl+j8LeX8Dv+vG/7epFDAkvRBD307B7ZyRy6Lu4
BrJg1zcqzNP18f3oZb0XEfDODUpsgHBa54d4MrVmcAQRh3RC8d/QlnV5Uy/9VT2dG8W/VQ3+iNI/
H981TjXCr+g2IsYQdYcqc7ptII8L4GUT0edUDTx+73vy8BIhyCdMhHYO2C+Eb8uLDMFbE2GO46Jt
RQ1yZV/02dBVTzy7bSjMMslo0dlvIj/O6hF/Jwyf3h2X/rAQfu7GsiYeskOydJ3yPv9wXifHB4Fy
RHEdHlXGPqj7r11iya3VMGjMZJeiwzJqUAXFSJdJCxJ4tocoJy5jHtJJ6BvCWPmeJkczoV/pp8Xa
rAFCBTxegnt/zv+q7M/lybqh1FESAH9xunKq3clEhP1VpQzynuORZcEdcmdBmAneuJtf2BNzIwA2
re1Vz6VHgXxUw30YxMvp3uXW0CMQOWMcZbo8P0ss8Ct/SgByrlAbXG0ag0h+qSrmqEhWtdBYVxH0
whgOLewrRjm204IRX/ZumtMpwKmuM7blskWCn9ZyQbj0USiKuHENFpoS0RVd6uXMSX2JCdyVTSv0
o3Q0Znmx3j9JKS2N3YxilRLWxtaJEsUE+Fb/J/LU9Ln2T14dw++EhzrOGSaSx5bV0EupMkxI1pm6
C+WVdA0j1h/E34ouH9eOZMEUvKJRmyhbu0JQLW8tWw5hUI3A3GrfACsgiFgeD0bSk4ySls4BzSnb
Gj6yYcVj+LUHLYVdazLzd7b3SawalEnml9S+fWfCDME1g+gE/eY0+PC0uxfKQtwsx1eMtqBcZtOn
8yxdwbz5+vmPWwHjNNUiJ/rpGoab5C7yMukWAvjIvicQWHKcRAA6Be9UCYtI+zG9ZvO9JkuyqaT2
lAMPBLUwxcu3K2Ok9OpUI1+AFSGSwSXogPkg83CNOAR0YoY4SvBuWAL8aYP+JiMheHdjwMB6oOSt
uOTf7h283HGo/khRMwLsgBJ8OHQi305SkBn+bt0xjm35Wn1SU1vMRC1j5k4K4lN0Wdf+FkHsvKYJ
sYa0O3xUY9T5WSp0SmnpmmFwLwbrGvs+Diuo8bkxFijUgKA0VlUaPzhraACETzzGTaGQb2DO04Rd
a1/Iu9SmDkK6SBLc9sUx8QMS15VtW+ihEcx0XmtmArIxb3UGWAjh6xY7pb7FfPQMxzCQ+MAxL9+1
CSDdoYf11692dG76NvK3ofaql5qbocv/WWsd81hPRfq+KeK3KcHB55fCTR3O0RmTa417nWoF6jHu
fc4QIt1TOU06wzvX6J6FaB/g09nOiPvl1XrqyfTv6VmhTDr7/d70yuLwd1H3CusXWDiJuYBAf1hc
7tbPxnhFFEGhAs9AX9a2OnraRdb1aivu2i26CeOznZ8KphO6VtLGOf6nsasqRzC6uhdC64JLmic5
Nxg8YRFWz0o8J8QhKXKqNHy87EkqBOY86wRRZvHFC4+3aO7J2qFm4PYAt5FrWK5YUR4DUtmSn94H
AYFrNJnYSXy6ZC4dEOlsKtApnlqyXuj93ynfeEFScHwBtEQQP0dI5hTt4qhYrsHNip1PXQH6U4Zu
nE0mDLvwlHGk6sIELVf5RPSmdswyctarWJcydfLvwhnjw36AALrrjR7ajuwytK2K+h3461AxvCsU
tbHBjIwsAcXYTRU63vggcgBGHY4W0f1er0w00D6BNToQET3mj3Ic+YYLuYBu+TTWij4CZ73bQJVy
hdNnT9gZfUQhUljtnLFr6WQGHRTxzwA1qO945TnSpw7E6pMV86u84OLpyVPBtzL6IfuhKjrCAymw
G75iXMIWK3Si0lxwbY3I3JV1xAKkoihyWKWClPhGA6Kdc+TIYSPEuqcBMqe16+edJpn1ZYicBHQx
alNIxryBtMf3sNvTg11oqQZg3XY3RhnPSOJFcfDlcm6w9J6MEFXF3ugcOAdamhzZ8jMk0pzMnHaK
D/rO6RadxOrr3/si3x8ONVosvc2+jCmTaT93TNjKauLwvuReKB2KGLb+bFQM7HrApDz8urC8n4Gu
K1lRNgaYgYc8FQCJD4j8BNePwWMok23GPBQQb0eNPeO0V6kPVG3cVIYyDT/MSSui8J47Hjo0aD7J
e8+kJxX4xXgtdOPBVVyZ4Y+vSikstt7AuXnhB8/I9M1Pea1EwC4ht08Y9eo8HaJJxyRgwACOprVB
Uh1OBtOae8LB/LFPckX09EJKCV6WFuluvgw1zdd51dSpbqU/oON13qjUAOCFJxEai7fBfwPKlGMi
k+F5XN16fVFlkDs3V9jC8F/cw8RS6p1t7S1qQpRIj2a0Jd5ziIPTShLu1tyHWWquYsiXTVUAOM4O
oYYsif7KvBflp+EEI2JrOAuY8pVbhwh9HtsAt+i2QKmaj4LtJyc1f4zpY9I6nVtfJRbBdERz9k8H
gySCVOejHg+ws/UjjE/lYxq6Fb4nGsYtBNNSpg4BR5/FZa0FpticpIpfZO2K10LeTCxJ+0WFkSem
zcJM/07mdJ2RHeUvSllovibaNnGC2xKVJhDc+wJXIuYwPntVxXE7ZbUb0gpzoUcxialgYGpX29CJ
IFs1thW+4fV6PkKUR5b6RBUTct/rDnIAmSZA94x0QETJfxBiyDt5iIiiVhuWSocBpLTWo7vzi+uS
TP3BWgy2poU4UFrIrcQjt/+MkH1j1I81zKxs+6jq0Klr2Okq2pAamCTQS43LmPOMH6412KQ392B6
U6rOhwqhFvqU+7Xjyfl6hrITKf3q+hXgY/srhihsiRccZlk99fy/M8JVXJPBC/C6Q/3yANsgkjwS
eeYjikQzQhnb/Eif4E6GfreSVLqSltVek9MOEmnrk4yJoPdpIGoTaIPkRQtbn3wAiU4IWkvGpuLx
cfTnFz7bvKcfahnC95ERDO6dFopgNYj++8uhBP0XWc+WkgCLPKRekCmanW0znfI+yB0u5sVKQXOC
MN4KdwGQYqCRBGcX+KXzKKC0qyrnc3jw1yLyohouIrrqLRu/zzmtdu2C+e8tQDwtbTEPp7oufBF/
U49CnOMV6FznnebDWlbwoWCw07TPui2w6W6tlHWG6APwoNWSDrRoBCyI8Ifl/+OYm3zfJ5uPGSi/
pY0uO3jDwCC0mYpIpSo4r0UDdDXJr93Sjo/BwAxnKK4Fa3+GF10DcThYnFCULU3klEYLHB9IekGz
P/6BdOj9fVJuPAhOYkJ70/UtiGVlcyOYPCuBhsXIQGjCJ8H8D9EBylcme0rEMVwx4PdoHw0iU7+i
jBxKkewVVbqBjttMZweqpK/oCBny3ITsBfMEnkqONTYn38J8VYrhRYf02nTKJpkUAaYM5ZTghmZk
hv5YhhZUef7dxKsNPwKT0RNzraQ5mhuW+sF2V8YvfzE6Yewhk+CKa9393u9CjxOi76+CbKXFkJm2
X+BF/Q6Ll8Bd/ncCXRLMf89TPSzZSkiX8tGUIWNT+MDVGvFRIp2QxY2720taxrAF6BugDGaf4z+h
INsvQ61BPzXYaDX/aFoIucOMvwEmoGwscIAkt+U0TpGlMNCR/T1fwfF2nfgYuWFqlcyVh6pMBpe+
lEuUAvkBtWS3A4fG+s5xF7X/KlqqUvPmTXqpAOc4+h2f9SqwuryWuUif2+yV6pOX81xk1dXnEbcx
cH5gwdY+O7FbWvkFkcVFCI6gsdzSKSH7mKL83jxIeOTWrQuzXkMqh7vAM7clwtonu8LIjHf/INYM
aQvg7eEbH/mJ9C1enBNmiwAnpzEQsEUvbBGcOzi3L17tFLlmiA3SW1Yw15WjGxvK8CSIiKvF0BhT
Aenw/DIHOER6dFMWYzloPLA336i4gCHsyzv+fPi5Xs+mACTDCgbEDI1+ROH436BolQS/ezcE6z5i
PfB6pw6UCnAzLxeC/aLKz5C/WwhCm+/cUuyWsLnKHjWXG04kWn+ucAT5tg1QUn5Koo9IdfTvTAGk
kueEB/9WRIZDER/PTySBz6LGg7I+ALTCKk4urOTfmeI6xUUMiGZw2wvQvI084RRpDHhEzkEmueuD
bKqKhJCRmICUMucIlUB2sn8EZHR+fhU0bHTAtVFjOQTzFsTN/h54zJmkYB/WCp3mTobFSX+i37VU
uOCfhAyL2ocYRNjqnhYWUsFJpiXL1nagXMOtV+e6NxR/qEVxQYBgOQs/2dBQa2IGkYYJlNeS9WVu
E2H3ae4zR6WFlh/shL8w1FVqXOdyHD1vlV4TaE9E82Ir1LHXQHk9HFMbPQmVQIuT1XCBkmU0p626
XBdMPVJpYHYXPUkycaD71zkp8epuhljLfH6JuXBWwOEL4X2HYVBwys/DSDLtlo6ar46IVPlt5CIs
US+NqZDiK6D2A7b6JqFYQWFg01Zd4cTqibWtP9CCWi7B7ermTiPGroxixVV+8cOe0v2ht6NwyMlj
3SLAN0UFln5az0BZueYAfbSl5J1Lmev/EsVXLgYh8yjF+I6w8asl8V6unrxZda265yhH3SKx4ouW
0raKBFRiCtDRjTAILA7twOckN3YSv5bBOwTxR9dbPDdgbbG0pR7OMmX8xpGJ8pbrixSXWcHLVDBX
1TsjGBgHP1iZWXuy6QlxtJAYrqd+YMWvBpTSRTihTZHOFaQu4crz0N+EFlOWQONlxEdjlRDjNocS
7h2DGcOC0m94Y8+NxpcR0nVM/IXt/BzuDPoxT7fTPJI+YrsXCLOF6S1WJAT6a9KhYGBjjvXmdPpc
haPUKpNKiIaDrzYskPXw5AYlfmgPOquv6t1VXlcJlfqZ9kp/2ErKlYmjEvcSKTS4emkosj2F2mXY
PH1SY8lyNS3+8wpeBNVLySab+SAVNehuVV7PpmqhqyU5bmj1ITMbb5Q4nPzNeFF+5sRh1KUwLRt3
eBpxYv56kCPjqZGGpPCpzROh54sNqHOQ980jxb4m+2kYG8Ji+n5kh2YR7RNeuyv5n3kz40Q7qz/2
N3DxolCNM7rLy6crNQq+Kzo2YRHlZ5AiiJRS3iZIwFgBHOHvdmXwajehOcQSeHpk/EP61Bx9YZ68
qZLVryJiy2WzbY80RMbX6jzyP3bcp1P7spUc+1p7NApvlIjM5n/1bL3uGufclruP/1EBgx0XJgUu
KkRwFTlf7t2RBHMsdM0fydwQsNV9SkwBmouKsYDvHLADhqhTN7IfEkahscls6so9Q6OSZybWAK7m
RPW1waDS6ItVRAJ6V5eS2TzirDGpXNF7l7dNQIz8sh7RtZsBAjEaMzG6QiiszkpTV12CGzGz/6pr
e/g+zUaY/XpWrLVvHnfNHBWRaTZFwTSsRcGfOFjfsK8IaQ1pzy3QFGj8jUtpyMWA3+iuYC/X33Cx
jjg0HZxSEhOb30SR6XZGalb8EYEZ7isbe2uwjKQCycKObPz9qMnml2Os/J0RtFYqnQQOiKKI+0RH
O2dyARINwSXodghwFmF2A//96Pms2FF3QPOj4s9w5xSJQoZMzAAW0f6sHP4aGJ/skR+P1UUPFd/b
8za59iebqdenhAsnmKPwE4Ip9an7Ilp/GsbjCujBtTG85ZC0BaGO2bgBvAXfdfQ/PEoJI++weuyh
xoMPKLS627C/sWn50j0HUvPTxaZfjo5BS4B3R8V14ctstG+tKSISDQDtyp3vhb0PVNP/moj8OADj
64+Hjo6OQEkyk8undKE8eva8OiD//P75XtFsXveQWER8ddIExtOLym5+YVN5Pz75LzdTRxrMgcg0
qPIAEwAnDngKMPQyzciChRpKfiYrqg7hm73zBK837rEUL4ibx9RSvUvGNGoVir+c3YTnchm7P4Vr
2/uFsbvo6gUOsO+DOc6yG6ydogyXrFOv6Ft1vEW5czAHZnCgaVvnAt4SnYS08DLZQh8XLG7epBEi
ZtFXrMyX6bx4HRwSgIa35AQeboUMNI1W/tfkwWqEM6VWxWD97YQ5t7jispxuIiLd4mQQ/Ck5NE6+
zqUzd7DP1hYoIJyU0DuBbGhUYAugRMnniLNgSIRFeCA8Wfa9WkSlGfNNcVBR/ep3Q7d1M52ZFJqb
kENHXQgp0YK//CQnW3GWpXj/P7OY8OE/qlpWtPVQT0JTHNi777nEHIgerLy8H1Dfcm+pr75M1Sb8
eivxZW52oJinsMLgS04/ejyr1w0/yC27TvT/atOcprzWr2rsg0DcIrgtTDECUwFKO/NrNPKV9g8j
14PldE0rWh8o1P8RHnhdANLh6wNTeep/FjNHLiBry0UH69qtl4GXV1Xi/vkzQZuk0WoS8CV8LhZh
YOFjQz54ONE52l16RMa/W+9Tk0PbUI9tzdzqO3Qzt4Av1yTtJqAUPQDyhaFa6QJp2ENfRqhbRJ6A
bQaXA/zNmk9c95Fr/BdFL4KS91DkZwHB+egzjdbPAvlxE8mAG37rYXC4Z/DAdZqbGoqogt89uV9X
176bliVLxtwBgNixFdb+Gju2K2EjAdrAd2n/SwXmRsFhxn4nlcjuoUhYUpCJpfwEaGkJnTYSZXzA
uDppMOdykzKbcUfEmRlSce2Di0DdB3sVXnKEtcaLpxLQfMZL1sUcrsooxBtngjGGsU6XOnviKa7u
P/7189H+EpG3YEFJsPs0CjXawu7exWcWsislnEolPVOSp85cVCzUaovm7l38h5cM0gFzikgjifhM
kDpDI41z7ZDtscGC9yMyBQ98ucz5qvFYjFCWV2i6eiBLHa4a/KESgJF0xdrex2no26z4YSEL8Ojj
3kEeP61vULX67vERnu4BtiWPloYQIeZvR0dPAd54gAtD1MFQdZqi1f5rhy3aU47xeMCL9MCrQFJa
gSjhaIOAcP7ZfnDxOf4t6qANbKsdPGM6sRXQRqdzd/D8BoPHh5Az2RU/qud7oNp93vFB1i1NFh9V
OoH3pwfiliY/oxA1KjgZ1khgieAtyQoeDTB1dQOCAWC44z3dM1pwTHc/4AEHTSqsHwgF6G4kuxkN
9FA8oO4nhNq1X0Yyov9Lu1wHDvczYt9B3uWhhcpAlUi/rIY7WaseRCqHjwcdOuDx0QIBSYDSTAUp
O7g60CPLcBwgUO9oUe83oKpBO4TrMgkdMK3ikS7GNxSvIUoJSPIOObAAZDDEiT1hp44dOjldAGuX
DfpecSdKoJAwRAptxBk3iBnmPgiJRYb0hezHdFgIECAjPZ0SpeYPdyN9j+LHN6NGaIJcKk555sRB
yyOtU2Uh7FLnNzYGwxox3qg9xMufs66Y6hL5jjXfrTIuM50NjeK1ZlRodJGx9GSNLQzAJ5TkrI2+
pwTearjAnMv7rlx9t0ly9Lp1EWGu3b+CaGK2U96mJYtqGO0Ni/edoHQSYP8YRuLUgWk5af3GjMBz
cvmRh2AcHFLCdgs2Xr8mjnH648PfCQVycsufFSWo7SuWKVwv5jW1eljtZWTqkkKC5gWH5fTa/1k0
oQxEjQh8nxu3Otdqiphw/jozn3qzTXJl50bYML4o9O7ZR04gpZT23ZoJjqmuLsbG0tOAbBiuZ2sr
Y0CKgMPKjBS49fjxeU/5O2lqiOqFdQ2QPtTZGgsJy2z0SLu3JMNDonCqb4rXbDXYA02KEAUY1pAU
4KGDxuBEPWiLKtZeAW+veml0sIA4HW1sm5vTx2OOPSLBlGC1Pra+xeAI7b6zU9zTj3seONkPWei9
uAHhWknAP25wqrvzqrtm9yit2uDX66nMs7ygv4jOp6l3vYPFLGfmpxImi0Lkew5titw02fu6VOrl
NExgouag66vs1pNl/vV6wqakZJe8kVr7wNzJBVx/FT6f/BOktHX+1FrFFEgG8ApkfJ+2vwX/ZKtD
5HY4yej4MPT0zW71KL19KKrNeLUxD9TZA1hO9DE4OvumdQjft+IMBe/abVEj034eR2hnIdccCmj1
uOz6cDNXaWZVQVxPVBdRbuytOB4ooDqyCbUQJvLGvO9NVOY2S99M0Gmz4qan1FzrcgXS3NN3H7Sx
bK/aeRuoaF+OaBfIrEoI+2g+yR5lchh8HbjB/Hfxn7mGhDkgJkU7tLKr71vtDnvC+t0KlbrnLWCS
dBCANU5W51UoHn9q41aqkgz5xCeCnOgQzMF+TJyn2RxyzhpoIn/94XIgUj587C1/uEA9zpLWzy+E
9baHKmqbRxc34ZfxuCBS6l0WyFk/ypJcfHAAknrZu1OZHpAnDpnl996WHA2onf01oNjc64tmDfKZ
BrBERRQEHf48FFpM5O5yTNnYfafolFgrcJDsDkmlXdvuBOrGEyqUnrXUl3agtczOWc2jk9CM95hN
T+dczyLNNQZu2zLwOTCihFYivaLYPaTWpH93ViIFQQEf9+fGUfMVDW5TDPkDF8D88w5/mQfITAT2
bItH3BCjcHts67D7jpzg7AjtMyKgh+mP2WcxJXGimW+xH7sTllxLD2x0DOAzL5TQMtX9kYjyH6tJ
KsC4xChcbr4M0fku8UF2Zk+xoBk6PMt+CyougdzBOtRKLTramMgCiVJIzFxp3Drld6yCmd9nClKw
aJ4zycKqUiGz8yGOBoCcy+S3DyDZ+7fo01K+8K97bKCTYgOhntWP6XqMfWvWRCmSdigeD2kPhG+Z
coFh48MUeO3hjFJTQBGuSZ1eWEjE5CWroH0uF8x6tYAz/52yApCvrQalm5+Y5pdtI/R8HTFTffm1
tgh16ZvmIplqwehQz6Z/nh2wjlt8WyRrK/n1RzvIU28T5nxQu2gVAnHX4pYafHF23I7aBi7p+dVx
uchPfHO+A8jLGnEP+e5MqlYQg1fzF5agwU+FEmm4LwJ/qNxGk+iKPbnXWM6MajmBxeG39AF6+31g
nBH53jaX7uYyv7adis2FMpnnvg41BvhRKPcBEjOpO3w1p8bVNq6fzgR5RKv5oxj06AS2wAyxR+CY
NoHS8S7ETHbcSb3wPEsmJMgWXEEeVkh+Xi8olg++DsT3iJz7nSyqavRZcj4U8L9aD1V4fsBGoU9z
OMMge57BrBCWy4UfGb/kgGG4pndtr9UBu0pKRP/fAvTfVfUI/I+Q+8m+cQ9ydHgr7FOLeEmyrsMd
rxBgDd1dpwT/orEa32LBjV8Y4bkeO+eTi2Ua4p4wMRqwQcm/omOT0jzN91RuZZVhmvGrLFLwRo1G
qpShr7WaVdr58t0xFIW39PK+aIxClKWyI+x6VVo6jGJdspYj55xrUDVBhKfDkLiomSTLzdAehcy2
1w9xxK/4s3ZAezUTuJNATTWpspIdQIVrRLBcA0zCO74IgzKlikRXtEF32qbZIuA7aFxkHpGVSxsB
lvQvuWtqvtNKmQU3XZ9eX5aqIZZrc8wQPLTJk46dmmmmgaShM1AmJ9L/tbs2RGj3j1jhW2FfouqX
dkmbNlg25sX2OaQFrMDuu+asbdEvWKm8Sy8nVxuMU8hf9n/Q96yL/iEwGNfudSRP/tj9GM0CHFzO
QAK08yDrS1SCibPjLyt79habfazhsP6Vd/GP2AUNmxUfGydgHex5meXHFzcFSflhNyaO6T9Itjfu
zZqbqNjnnfaqKLSvIoQGSzXgevKc1NJIRy+PHMKKIxXk/Ym/2TsxkLVVdbhkBCua1ee0hpe8VUzo
5yFc+CccPO/0TnnmPlLcTIHkwLpAg8bn+q3WgesLtvMM12LzZZdsASwtbEu2thBXHlzylY93SnH+
Zx4DrVJjiNycG7dCuoOfkeMsGAKK75mlIq4kUAp6gUkYK2lse2aL/IXz787MMYc4vJGIwzcuoisz
AbH8v++zMmuq/2FWAbOETCsjgnzFy6UjtBcjCQxigCaxGv0dfw6v2X1tHqZQVgdUm6TS5Ya0WYY0
TE2noHBFIc2D/hEq5hLRW0AWjptc/Htc7aRXFM5h3tm8+aQ3WoM8rwuKmfJIJvhgGDFSl8s/he6+
CTznHxAxEh7M74neIKWi8B58lbr8Y5C+TWY8ce3nhbl3MFG3zAGOnbuunsx8JWLRRbhI9VWPp12T
S0etGwatOguWbnHa7FwkeUMAcWG9DljvSY9zDf9OpGlotG4lD3UMdvnQ6f0rr4cbKB5E9ykEss/L
wvXYwqpPrCoqLl0+juVYUvYKiUY4jpjOq98J8cCKLra+8n9bOJzuo6we3hZ//r+lJ8yGolmYPRvz
dy4/FukCzdb80XAlKtNG185jTGJtmEeiTj/qv1fCvKPiRc9zZRriQYxaorrIh9XJUNnFN68TewBe
PsvxTDkxj/8YXsCOVOxFUdGM9IlFSx118pSPVe1zTd3q8kG/NMFT9IOHYbyrvCUIYHeSzXdwN6PR
Zydy7jXUMyT/i/LkxpRLMqjS2ydjXXaRcmiJYuXo7kMLuhDAyWnjfbMCJQ/RcWyUQR7NqpXVVo1N
xFDTn+048bqRWMllA7MdlemQe0j0TTLFVIjDTTPUO/230Nk2L5mk3XJKatQaWz3qB1B9BDXPkW84
oaMZT1G4Om+q+BJ/T7Qxi4WYZovk+VyhT6oyQ4dfHZPbqIC1Ej/YWzBw8Qxo4HXXMcFfR7ajLB+2
HCkPZ4hd9MLJ9R3P2Pa0cH0zdogp48MroEKyS6W4Kb62PROSYwgl0GmtyBnB/xmBgWry1X7dRmWR
UUv4NZpXFyhEi0nAUGxAEn4d2vsy+uTSg41+Eg/sPTgadSzs1D9tvrmrzeo5hgNpXiQgEb1YKDcL
j58O5PO3Mmse7UlgYQlMRDWHRBsOv/NuQCr7iUB1yBGHv3SRR+sN1pKg+d8LbycRKAl0gy4sKt01
kRGFvRIax5CxuCKOjmVhYEUMb0yFs7wkDqByhpU3eJTkLBEBl9sy8nGTkyYCMIbYT4G9RJuaq/Gg
JSAgDlPbpC/MZKPDN/TwOZhD1Pcokvrr7CEU0pHxFu+XM8P4GldLB2S2Dyq3qrRfGKpdSdXGC7rs
CYPd05xFTZio+Vyvjt2Jh99OdTzOHsGB2zCJyCfiDUElM8v2NurofqttOG8v3TkhaXJVUP7Z4zHK
a4NwD/4W9GG8o1lWyfVYwf0WNqUrxfKybaAtajpSLMTz3QHMo8vtUBLlsipO8+b8YDHjDqmdIWO8
Diz1pwdKLGWEofmZUxVQKd8x7rMB0a8/VKTM5e+fOgXxqddQD9wqT9e0XQ45+JFQczHLX8Zmbe0M
+N8U5n3bgNprPjtE+kUi6vwHyRmuwEFUox2PuV+Tq8PRg247//Nq4ubZK1xJMd7+n7Xbi83N4eXb
5JL44mDCYNiriSmXc/H9LIdHnb/b8uWL5Gl1f0w6MATg8X0uBULjIWNUAuGFaAlAjpABIvwcUkmQ
dPY13S/ah5AGIyFRj8arKCemkSn/6Kmw/vVCDG1BapLB0byceXS2BdiELTqRWw/V95gz8CwrSYWv
6RC8hV7VdiULH3ZpBIku79VVc7NaSzzj/WDZsmsGBFKFnzVYRqCNtGreF4fqdDpSEV6eFGMsY207
Wx2DsjPt4iBdKvN2UuYKFuD6XkMh7awGbjq6AzDnuJFrLjaxBCUkzBmAunjeA/PBrnK+I6X2b6Z7
3gOu1I81pcLiJyJOAwu3sJHpfYzv9JrWtvxxnbovVJzqiOk1LLLI6alR77Mt12rHoNX0kTEJdCDI
5pOfmuwYOJGz80454j+7+wCaWuftgfsW2dyYVytZscNyHc/xaR5/OgVAWMty9fexIEodPQuCrDOn
ZJrM0d/Pmb6n/7Nmx022cefTiCNMVaj3vZpMTKudKD5dKkwGFvW7IZGnIqy9LElx0bqRMkpK7MEy
dcDu5iIgfGZMD7nfH7GEA8d/er/iMZvjizBRKesGQkL3noO5QlDbTu6UDeu2qxF/D2jNkd2Pd7bC
aw/j9Nw/xhqBKnlCRruXXpkqSD3dHytzHBGOVyjn0spJEei93CDX/b+OAYIqqXJffihVd7Naf+yg
qwYcbKjV/9txPniKaRQgA2QjRqxEFNPk+jEmKkYtMEfFfFs7ef/QvhgUawkr5FqB1hCfWl/ZagTz
3eYXmnnm/SQev0x/LWnH3zHM5iKVtrRSi8BFERxIB9BQSz1nsgzivPPYif0f3L2Fmi2tlE7zQLDu
fjZFHbfpK1kqQm5mgmL3jtdHlhJjTQJBlpl1saWoC0J9EXY9mHqblqIGI//tLYlMLtGphupoTft2
rzuPvlT9BMNTLxUSZ2nz5MX9Uz5d+uBMGQkbJWX9Uoa6bJ5dr9SA0GDcKjTE4LWyYt/QsS7Sa6lY
d10/7W/lO5ljtY6wjkGgBNTW/7q40XsLpGNVNeTnXLHxa1vdZkBouGYREQg+2gc3/eJbkUfVU/AB
W60YrCsHsmWGkb4ZLTgHbAjwD/jvev6I7geao4if1zQmcTZtSshwDyefHfoaZuoSnR69U4oYsrU7
f2XMGR0vSul7qqjSUb1z6KOkFCH5bYa8TbXN3HXrWjGlIoAx7jfjNn9aUx5mAgf7jrxDDLqXCANo
mPuULR2UAkTaxMrYeG9FTt7rDlXcJHnUX0kh0obAswiOC2M081lDYMpq3dWNXT7pCRzAB+HrnmnS
CCP72Ln0K8QWN0mvhgkxthxFHgNSA9OLzB8LiG0noMRzuIa4p3pptvminDULa6ap+7hD8sRXQFly
v7a2GON4jmamFglvIWU52b3+dRPL6xubb48J3W9wifoVIscx+gkYbm6h3O0UNkYCdQwV7Nd1RlXb
/Cqnr4gXsTaQksFe/3SjY+7d/FHoIVScugEXPywKxZ7GFtKYTAYrdk6/ByCu/Q/nbDKhcD8FCfnb
PMP5el7GG8vi0/Tx8WeNJ42uKWIPlOBDOkXpYdgMmkqR54d/PAwb+1u5bnO3qszQdYZPyWAs/fT5
QL80HJhfqzT24ty29WaLTId3gyA94kujQ1IHQLZjQkNcnyQOD85XEAFZN0EhoWd0nGtWGZc53YKF
BM4zQ7RestuWtDLdHs0SZ5TGgIPZxFTY+Q2ssKAvoCOhUcWOpv8TNqcbOZ1Si9UG8c0nDaBq86JI
5RMR0xIwKEbkIG8Ij1PcXlWoYaxgvAHOUVBATkdEal3s6geFWiHuLFBASwuowFuq2aB6vFxobl5R
pJLpb9sCDTzrUWEUB5oRKldXRjkpQiMcE6IuieXsgHFTg2pIro3gi7UQ885sEzZTVwIqmD4EhFmZ
GA9Fa7Y/ZJwa6DFpELmIYNSaioSX+GXj5BsJMhV+avHf9hnCnn8Ex03Ig5lQDuBDx8SALM+dOY4E
/AaZsgUfB5VSkzkvo7Rb7YVUSGNBBk6iDvfiQBOBw28K+kJnSK/C4lJKi8BJjoOIWk50qlmi27/1
bSaHTm2iu/6XtRpt1m5YFtwjywqwDc337UdJYmv0yTVbOHbAaSuJoDszUyTYPaybFjgqjr7b+atj
mRwJC1QYoEn2mWWNoA7e4k6znIx9wE1OUtPe3zGvPDuQ46hB8solsyR6EENdUJlc+NlUTP4Su15v
UmcfNs5NOWSStg7GJiOuq/14zhEisVZIx35qfkBGCznh1VZQgrt47sAWD6wRNKeQXHVltxmRE4Z1
ooeh5A0Vungt7t/0Asl9w+pMXoAMFt4fRpx9yPzZwlcUw+6g7Whh57vwpUc9jowFziwyTrhWWS+f
NlSXFAHp3HD0O/vfcuQWaWY0DPlcNZGSZIjIcFkUwl2lPUufpEF3Xmh9f3x++P/hq2SG4W2UjFBi
O2ojJXOEnW8T80HbW3jAqjELdS9OTvl/CiHdXQI/rUXObKOZw5rkoJuU5p4l1WLOpFDWQ99k6vjq
pOlIjL9DWXU2Wazy4/3z/SY10v9aOA2wSUzMu98DHuuG2k+leDSDmVSDzCsAC+cjDOtfM20KgBAT
i1vkCycpboTqSpLkKmx+CR0x3X8qnmh3X81uORhS6DerraKHMwctU5h/oSMUL9hqox3+Txsdgjv/
mxbr11wmriyLjm6lqbEHuTg9PueHzOYDSCOAdxbpfusjB34cF/yqmYufjr3YJlrhYZMQ3QkS1AKU
lEdEpAgpoAYiVGidUGqiv0z6xilbHp8vPG9ifvB4P0mSfsIV1awweDL3WaHW7dttMn28oHKHIIl6
svcid29nhD0RmpyN+d2MQVaePjwg0Je0979uS6hqvOLV2CYy5U22Lfmi9JwiIqjk1Pmwn68v2Kq8
8qWoFD/UUpFPsWkmM6MB+o5jFeTIeUgyHy05NpOA3RMIzM3QJvtmVZ4IevlsGI5jOcVbsCuDlnvt
AS1wDDhdlVAFGpcNEcQLEMJDQoe/guHRwAav+OjHq+elLJuO9VIiiBtml5OgBK8paFcSIDpt6xUS
7YkCNIvS4+Jp7EAsoFdf74SU/kJ34FQT8pCbfP+x9oYJH3x/qYXjoNl5uv+H3A/VyOI1lcprJPyY
h8nl2QSg2iDEmWu0+iIgcR9Z8kcGvB5DEjkKR6q8GGQMLV3VoYVquevIam/VV4+ZR2QQJrEUjeVc
4PWlmhP7jzKnz5pFKFB44S+QXAAfq3U07+HJUmAuLZ9lniTNvxhLZZwP8KlIsWNEtUFIDSvthY0L
KPz7ZW8NeqT17G5SNMw4hn2EyhyREG1WmS0Ceh4uxJdBUV21fQ+wh+BdsyZS3cDT0zBrdXFCBokq
zlmnuJKMvM2aqdvxMrbNmuc18j09cArOnNVhalvRSid5ukO2LPausPqOPpTxPR+CJsh9VYNWWHOr
qJBIfqrd8Axg7ZKqLkWHRutuZcCK+CELSG5LbT8a6Ip7IsPRa1DxXNHeoGRBqpWd7TiSNm/VZCfO
f/aNKOSANXWvWaUHiM7SNj9AVCPGR4lcVfZkVIVbKMKsqVIMFrCTKRxBUFj6SeewxAFtAqUezCtk
7NnJ04NDK4+K+fUG71nmzIgaWEq0tHMYpdXAklKHaxZOilKxf4CrOFiiGAiJKqonAQ0vERGkGkry
9wLhToz3UeZrAv8oAAZ+LEQgs9yrWgyPcuSMz4GTz5qH+l47b1dzj02hW0pLDSIjipNHrQnz1EKU
+nYQhhOvZY3Tv26x/splMPdrS2kfWbwCwdMRH1d690p+mMNeJUCMOL7/JmPRi4LP+5Bn60tL5paL
8+8w4YC2/nTuTnm7LIkC9wlRMzTlFo3pVc6vmN/BDHshs3s5mJfMbxDaolHbgU4chy9HpxVv8Rzc
OGX9kRRVwjMSPyD2dDVqhZG6YWtTbKVSg8vtpqZcVyDCOozzZlROhQaANid/H5GSHg5K3WRrEczm
6n6xgKjtz8Qv+P6PR5FHJ9bS3M14gYYwupwOeIfr9/tTqi7hBvCIsX4BSF8n4NQ4dyJ6U4Mm33cv
UciIm4l+0bEM2KIsRqB6uQib71FN8gtK+21CT82LMqniifcAaaq7B1jIW6xD0ov8CmrSjMtywZPs
jL4ib/CVBdMapv4wDAmVJXcIg4SCgSdcVsjcdV4UfLoPb1Cl8PJzv4FIG2wVHH0PX1asDth9bEJ1
rT8iovqfrAq4G08ugna8ZreuMGAfVIcczOzyztkGXGK5PkkElemkpR3UfTXfrLWRNJNDWRi0bEs9
oCquVrO0IHXo/eU4kZXDgHPXt846gRIhUQ47sIFJBXWnaapDX88QrctFYmWhbioybLiif6dvtov8
qpmCo0d2vno65NZUk5EwCD1++AP2qRHol7rbdurSGEfEuXXLlnUxLZhKoMDGvcnpPXT6BOCbZR3S
pvQg29Q=
`protect end_protected
| gpl-3.0 | cb76ec6c1d27aa834a8f8fb73491399b | 0.951052 | 1.839325 | false | false | false | false |
seyedmaysamlavasani/GorillaPP | apps/lib/floatingPoints/common/ieee_FP_pkg/aesl_fp_wrapper.vhd | 1 | 61,508 | -- Version: release . Copyright (C) 2011 XILINX, Inc.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
package AESL_FPSIM_UTIL is
function esl_conv_real(lv : UNSIGNED) return real;
function esl_conv_real(lv : SIGNED) return real;
end package;
package body AESL_FPSIM_UTIL is
function esl_conv_real(lv : UNSIGNED) return real is
variable ret : real;
variable power : integer;
variable msb : integer;
variable lv2 : UNSIGNED(lv'length - 1 downto 0);
begin
msb := lv'length - 1;
power := 2 ** 16;
ret := 0.0;
lv2 := lv;
while msb >= 16 loop
ret := ret * real(power);
ret := ret + real(CONV_INTEGER(lv2(msb downto (msb - 15))));
msb := msb - 16;
end loop;
power := 2 ** (msb + 1);
ret := ret * real(power);
ret := ret + real(CONV_INTEGER(lv2(msb downto 0)));
return ret;
end function;
function esl_conv_real(lv : SIGNED) return real is
variable ret : real;
variable sig : real;
variable msb : integer;
variable lv2 : UNSIGNED(lv'length - 1 downto 0);
begin
msb := lv'length - 1;
ret := 0.0;
if lv(msb) = '0' then
sig := 1.0;
lv2 := UNSIGNED(lv);
else
sig := -1.0;
lv2 := UNSIGNED(not STD_LOGIC_VECTOR(lv));
lv2 := lv2 + 1;
end if;
ret := esl_conv_real(lv2);
ret := ret * sig;
return ret;
end function;
end package body;
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FAdd is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FAdd;
architecture rtl of AESL_WP_FAdd is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= add(to_float(din0, 8, 23), to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Sub.
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FSub is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FSub;
architecture rtl of AESL_WP_FSub is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= subtract(to_float(din0, 8, 23), to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision AddSub.
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FAddFSub is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
opcode: std_logic_vector(1 downto 0);
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FAddFSub;
architecture rtl of AESL_WP_FAddFSub is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
proc_dout_tmp : process(din0, din1, opcode)
begin
if (opcode(0) = '0') then
dout_tmp <= add(to_float(din0, 8, 23), to_float(din1, 8, 23));
else
dout_tmp <= subtract(to_float(din0, 8, 23), to_float(din1, 8, 23));
end if;
end process;
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Mul
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FMul is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FMul;
architecture rtl of AESL_WP_FMul is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= multiply(to_float(din0, 8, 23), to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Div
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FDiv is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FDiv;
architecture rtl of AESL_WP_FDiv is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= divide(to_float(din0, 8, 23), to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Sqrt
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FSqrt is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FSqrt;
architecture rtl of AESL_WP_FSqrt is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= sqrt(to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision RSqrt
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FRSqrt is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FRSqrt;
architecture rtl of AESL_WP_FRSqrt is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= reciprocal(sqrt(to_float(din1, 8, 23)));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Recip
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FRecip is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FRecip;
architecture rtl of AESL_WP_FRecip is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= reciprocal(to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Double precision ADD
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DAdd is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DAdd;
architecture rtl of AESL_WP_DAdd is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= add(to_float(din0, 11, 52), to_float(din1, 11, 52));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Sub
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DSub is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DSub;
architecture rtl of AESL_WP_DSub is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= subtract(to_float(din0, 11, 52), to_float(din1, 11, 52));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision AddSub
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DAddDSub is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
opcode : std_logic_vector(1 downto 0);
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DAddDSub;
architecture rtl of AESL_WP_DAddDSub is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
proc_dout_tmp : process(din0, din1, opcode)
begin
if (opcode(0) = '0') then
dout_tmp <= add(to_float(din0, 11, 52), to_float(din1, 11, 52));
else
dout_tmp <= subtract(to_float(din0, 11, 52), to_float(din1, 11, 52));
end if;
end process;
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Mul
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DMul is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DMul;
architecture rtl of AESL_WP_DMul is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= multiply(to_float(din0, 11, 52), to_float(din1, 11, 52));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Div
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DDiv is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DDiv;
architecture rtl of AESL_WP_DDiv is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= divide(to_float(din0, 11, 52), to_float(din1, 11, 52));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Sqrt
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_DSqrt is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DSqrt;
architecture rtl of AESL_WP_DSqrt is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= sqrt(to_float(din1, 11, 52), round_nearest, 10);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision RSqrt
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_DRSqrt is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DRSqrt;
architecture rtl of AESL_WP_DRSqrt is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= reciprocal(sqrt(to_float(din1, 11, 52)), round_nearest, 10);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Recip
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_DRecip is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DRecip;
architecture rtl of AESL_WP_DRecip is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= reciprocal(to_float(din1, 11, 52), round_nearest, 10);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Cmp (Comparator)
-------------------------------------------------------------------------------
-- Predicate values:
-- FCMP_FALSE = 0, ///< 0 0 0 0 Always false (always folded)
-- FCMP_OEQ = 1, ///< 0 0 0 1 True if ordered and equal
-- FCMP_OGT = 2, ///< 0 0 1 0 True if ordered and greater than
-- FCMP_OGE = 3, ///< 0 0 1 1 True if ordered and greater than or equal
-- FCMP_OLT = 4, ///< 0 1 0 0 True if ordered and less than
-- FCMP_OLE = 5, ///< 0 1 0 1 True if ordered and less than or equal
-- FCMP_ONE = 6, ///< 0 1 1 0 True if ordered and operands are unequal
-- FCMP_ORD = 7, ///< 0 1 1 1 True if ordered (no nans)
-- FCMP_UNO = 8, ///< 1 0 0 0 True if unordered: isnan(X) | isnan(Y)
-- FCMP_UEQ = 9, ///< 1 0 0 1 True if unordered or equal
-- FCMP_UGT =10, ///< 1 0 1 0 True if unordered or greater than
-- FCMP_UGE =11, ///< 1 0 1 1 True if unordered, greater than, or equal
-- FCMP_ULT =12, ///< 1 1 0 0 True if unordered or less than
-- FCMP_ULE =13, ///< 1 1 0 1 True if unordered, less than, or equal
-- FCMP_UNE =14, ///< 1 1 1 0 True if unordered or not equal
-- FCMP_TRUE =15, ///< 1 1 1 1 Always true (always folded)
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FCmp is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 1 );
port (
clk : std_logic;
reset, ce: std_logic;
opcode: IN std_logic_VECTOR(4 downto 0);
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout: OUT std_logic_VECTOR(0 downto 0));
end AESL_WP_FCmp;
architecture rtl of AESL_WP_FCmp is
-- Predicate values:
constant FCMP_FALSE : std_logic_vector(3 downto 0) := "0000"; -- Always false (always folded)
constant FCMP_OEQ : std_logic_vector(3 downto 0) := "0001"; -- True if ordered and equal
constant FCMP_OGT : std_logic_vector(3 downto 0) := "0010"; -- True if ordered and greater than
constant FCMP_OGE : std_logic_vector(3 downto 0) := "0011"; -- True if ordered and greater than or equal
constant FCMP_OLT : std_logic_vector(3 downto 0) := "0100"; -- True if ordered and less than
constant FCMP_OLE : std_logic_vector(3 downto 0) := "0101"; -- True if ordered and less than or equal
constant FCMP_ONE : std_logic_vector(3 downto 0) := "0110"; -- True if ordered and operands are unequal
constant FCMP_ORD : std_logic_vector(3 downto 0) := "0111"; -- True if ordered (no nans)
constant FCMP_UNO : std_logic_vector(3 downto 0) := "1000"; -- True if unordered: isnan(X) | isnan(Y)
constant FCMP_UEQ : std_logic_vector(3 downto 0) := "1001"; -- True if unordered or equal
constant FCMP_UGT : std_logic_vector(3 downto 0) := "1010"; -- True if unordered or greater than
constant FCMP_UGE : std_logic_vector(3 downto 0) := "1011"; -- True if unordered, greater than, or equal
constant FCMP_ULT : std_logic_vector(3 downto 0) := "1100"; -- True if unordered or less than
constant FCMP_ULE : std_logic_vector(3 downto 0) := "1101"; -- True if unordered, less than, or equal
constant FCMP_UNE : std_logic_vector(3 downto 0) := "1110"; -- True if unordered or not equal
constant FCMP_TRUE : std_logic_vector(3 downto 0) := "1111"; -- Always true (always folded)
signal ordered : boolean;
signal dout_tmp : std_logic_vector(0 downto 0);
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(0 downto 0);
signal dout_buff : buff_type;
begin
ordered <= ((not Isnan(to_float(din0, 8, 23))) and (not Isnan(to_float(din1, 8, 23))));
proc_dout_tmp : process(opcode, din0, din1, ordered)
begin
case (opcode(3 downto 0)) is
when FCMP_FALSE => dout_tmp <= "0";
when FCMP_OEQ =>
if (ordered and eq(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_OGT =>
if (ordered and gt(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_OGE =>
if (ordered and ge(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_OLT =>
if (ordered and lt(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_OLE =>
if (ordered and le(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_ONE =>
if (ordered and ne(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_ORD =>
if (ordered) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UNO =>
if (not ordered) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UEQ =>
if ((not ordered) or eq(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UGT =>
if ((not ordered) or gt(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UGE =>
if ((not ordered) or ge(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_ULT =>
if ((not ordered) or lt(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_ULE =>
if ((not ordered) or le(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UNE =>
if ((not ordered) or ne(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_TRUE => dout_tmp <= "1";
when others => dout_tmp <= "0";
end case;
end process;
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Cmp (Comparator)
-------------------------------------------------------------------------------
-- Predicate values:
-- FCMP_FALSE = 0, ///< 0 0 0 0 Always false (always folded)
-- FCMP_OEQ = 1, ///< 0 0 0 1 True if ordered and equal
-- FCMP_OGT = 2, ///< 0 0 1 0 True if ordered and greater than
-- FCMP_OGE = 3, ///< 0 0 1 1 True if ordered and greater than or equal
-- FCMP_OLT = 4, ///< 0 1 0 0 True if ordered and less than
-- FCMP_OLE = 5, ///< 0 1 0 1 True if ordered and less than or equal
-- FCMP_ONE = 6, ///< 0 1 1 0 True if ordered and operands are unequal
-- FCMP_ORD = 7, ///< 0 1 1 1 True if ordered (no nans)
-- FCMP_UNO = 8, ///< 1 0 0 0 True if unordered: isnan(X) | isnan(Y)
-- FCMP_UEQ = 9, ///< 1 0 0 1 True if unordered or equal
-- FCMP_UGT =10, ///< 1 0 1 0 True if unordered or greater than
-- FCMP_UGE =11, ///< 1 0 1 1 True if unordered, greater than, or equal
-- FCMP_ULT =12, ///< 1 1 0 0 True if unordered or less than
-- FCMP_ULE =13, ///< 1 1 0 1 True if unordered, less than, or equal
-- FCMP_UNE =14, ///< 1 1 1 0 True if unordered or not equal
-- FCMP_TRUE =15, ///< 1 1 1 1 Always true (always folded)
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DCmp is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 1 );
port (
clk : std_logic;
reset, ce: std_logic;
opcode: IN std_logic_VECTOR(4 downto 0);
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout: OUT std_logic_VECTOR(0 downto 0));
end AESL_WP_DCmp;
architecture rtl of AESL_WP_DCmp is
-- Predicate values:
constant DCMP_FALSE : std_logic_vector(3 downto 0) := "0000"; -- Always false (always folded)
constant DCMP_OEQ : std_logic_vector(3 downto 0) := "0001"; -- True if ordered and equal
constant DCMP_OGT : std_logic_vector(3 downto 0) := "0010"; -- True if ordered and greater than
constant DCMP_OGE : std_logic_vector(3 downto 0) := "0011"; -- True if ordered and greater than or equal
constant DCMP_OLT : std_logic_vector(3 downto 0) := "0100"; -- True if ordered and less than
constant DCMP_OLE : std_logic_vector(3 downto 0) := "0101"; -- True if ordered and less than or equal
constant DCMP_ONE : std_logic_vector(3 downto 0) := "0110"; -- True if ordered and operands are unequal
constant DCMP_ORD : std_logic_vector(3 downto 0) := "0111"; -- True if ordered (no nans)
constant DCMP_UNO : std_logic_vector(3 downto 0) := "1000"; -- True if unordered: isnan(X) | isnan(Y)
constant DCMP_UEQ : std_logic_vector(3 downto 0) := "1001"; -- True if unordered or equal
constant DCMP_UGT : std_logic_vector(3 downto 0) := "1010"; -- True if unordered or greater than
constant DCMP_UGE : std_logic_vector(3 downto 0) := "1011"; -- True if unordered, greater than, or equal
constant DCMP_ULT : std_logic_vector(3 downto 0) := "1100"; -- True if unordered or less than
constant DCMP_ULE : std_logic_vector(3 downto 0) := "1101"; -- True if unordered, less than, or equal
constant DCMP_UNE : std_logic_vector(3 downto 0) := "1110"; -- True if unordered or not equal
constant DCMP_TRUE : std_logic_vector(3 downto 0) := "1111"; -- Always true (always folded)
signal ordered : boolean;
signal dout_tmp : std_logic_vector(0 downto 0);
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(0 downto 0);
signal dout_buff : buff_type;
begin
ordered <= ((not Isnan(to_float(din0, 11, 52))) and (not Isnan(to_float(din1, 11, 52))));
proc_dout_tmp : process(opcode, din0, din1, ordered)
begin
case (opcode(3 downto 0)) is
when DCMP_FALSE => dout_tmp <= "0";
when DCMP_OEQ =>
if (ordered and eq(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_OGT =>
if (ordered and gt(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_OGE =>
if (ordered and ge(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_OLT =>
if (ordered and lt(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_OLE =>
if (ordered and le(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_ONE =>
if (ordered and ne(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_ORD =>
if (ordered) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UNO =>
if (not ordered) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UEQ =>
if ((not ordered) or eq(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UGT =>
if ((not ordered) or gt(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UGE =>
if ((not ordered) or ge(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_ULT =>
if ((not ordered) or lt(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_ULE =>
if ((not ordered) or le(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UNE =>
if ((not ordered) or ne(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_TRUE => dout_tmp <= "1";
when others => dout_tmp <= "0";
end case;
end process;
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision to int32
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_pkg.all;
entity AESL_WP_SPToSI is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SPToSI;
architecture rtl of AESL_WP_SPToSI is
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_buff : buff_type;
signal dout_tmp : std_logic_vector(dout_WIDTH-1 downto 0);
begin
dout_tmp <= CONV_STD_LOGIC_VECTOR(to_integer(to_float(din0, 8, 23), round_zero, true), dout_WIDTH);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision to int32
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DPToSI is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_DPToSI;
architecture rtl of AESL_WP_DPToSI is
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_buff : buff_type;
signal dout_tmp : std_logic_vector(dout_WIDTH - 1 downto 0);
begin
dout_tmp <= CONV_STD_LOGIC_VECTOR(to_integer(to_float(din0, 11, 52), round_zero, true), dout_WIDTH);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Int32 to single precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.AESL_FPSIM_UTIL.all;
entity AESL_WP_SIToSP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SIToSP;
architecture rtl of AESL_WP_SIToSP is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
--dout_tmp <= to_float(CONV_INTEGER(signed(din0)), 8, 23);
dout_tmp <= to_float(esl_conv_real(SIGNED(din0)), 8, 23);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Int32 to double precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.AESL_FPSIM_UTIL.all;
entity AESL_WP_SIToDP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 64 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SIToDP;
architecture rtl of AESL_WP_SIToDP is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
--dout_tmp <= to_float(conv_integer(SIGNED(din0)), 11, 52);
dout_tmp <= to_float(esl_conv_real(SIGNED(din0)), 11, 52);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision to uint32
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_pkg.all;
entity AESL_WP_SPToUI is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SPToUI;
architecture rtl of AESL_WP_SPToUI is
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_buff : buff_type;
signal dout_tmp : std_logic_vector(dout_WIDTH-1 downto 0);
begin
dout_tmp <= CONV_STD_LOGIC_VECTOR(to_integer(to_float(din0, 8, 23), round_zero, true), dout_WIDTH);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision to uint32
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DPToUI is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_DPToUI;
architecture rtl of AESL_WP_DPToUI is
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_buff : buff_type;
signal dout_tmp : std_logic_vector(dout_WIDTH - 1 downto 0);
begin
dout_tmp <= CONV_STD_LOGIC_VECTOR(to_integer(to_float(din0, 11, 52), round_zero, true), dout_WIDTH);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Int32 to single precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.AESL_FPSIM_UTIL.all;
entity AESL_WP_UIToSP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_UIToSP;
architecture rtl of AESL_WP_UIToSP is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= to_float(esl_conv_real(UNSIGNED(din0)), 8, 23);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- uInt32 to double precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.AESL_FPSIM_UTIL.all;
entity AESL_WP_UIToDP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 64 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_UIToDP;
architecture rtl of AESL_WP_UIToDP is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= to_float(esl_conv_real(UNSIGNED(din0)), 11, 52);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- single to double precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_SPToDP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 64 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SPToDP;
architecture rtl of AESL_WP_SPToDP is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= to_float(to_real(to_float(din0, 8, 23)), 11, 52);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- double to single precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_DPToSP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_DPToSP;
architecture rtl of AESL_WP_DPToSP is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= to_float(to_real(to_float(din0, 11, 52)), 8, 23);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
| bsd-3-clause | b1cf20de691943fb11e26253493ee20e | 0.501918 | 3.631576 | false | false | false | false |
Hyvok/HardHeat | src/pwr_sequencer.vhd | 1 | 3,690 | library ieee;
library work;
use ieee.std_logic_1164.all;
use work.utils_pkg.all;
use work.status_t_pkg.all;
entity pwr_sequencer is
generic
(
LEVELS_N : positive
);
port
(
clk : in std_logic;
reset : in std_logic;
start_in : in std_logic;
fail_in : in std_logic_vector(LEVELS_N - 1 downto 0);
en_out : out std_logic_vector(LEVELS_N - 1 downto 0);
status_out : out status_t(LEVELS_N - 1 downto 0);
main_pwr_en_out : out std_logic;
main_pwr_fail_out : out std_logic
);
end entity;
architecture rtl of pwr_sequencer is
begin
pwr_sequencer_p: process(clk, reset)
type state_t is (idle, sequencing, power_on, power_fail);
variable state : state_t;
variable level_num : natural;
variable fail_states : std_logic_vector(LEVELS_N - 1 downto 0);
variable status : status_t(status_out'range);
variable last_start_state : std_logic;
begin
if reset = '1' then
state := idle;
level_num := 0;
fail_states := (others => '0');
status := (others => (others => '0'));
last_start_state := '0';
en_out <= (others => '0');
status_out <= (others => (others => '0'));
main_pwr_en_out <= '0';
main_pwr_fail_out <= '0';
elsif rising_edge(clk) then
if state = idle then
level_num := 0;
en_out <= (others => '0');
status := (others => (others => '0'));
status_out <= (others => (others => '0'));
-- Start power sequence with a rising edge
if not last_start_state = start_in and start_in = '1' then
state := sequencing;
main_pwr_fail_out <= '0';
end if;
elsif state = sequencing then
-- Enable sequencing level
en_out(level_num) <= '1';
-- Enable according status output (for LED etc.)
status(level_num)(0) := '1';
status_out <= status;
-- Wait for fail output to clear
if fail_in(level_num) = '0' then
status(level_num) := shift_left_vec(status(level_num), 1);
status_out <= status;
level_num := level_num + 1;
-- Sequencing done
if level_num = status_out'length then
state := power_on;
main_pwr_en_out <= '1';
end if;
end if;
elsif state = power_on then
-- Detect rising edges on fail inputs
for i in 0 to fail_in'high loop
if not fail_in(i) = fail_states(i) and fail_in(i) = '1' then
status(i) := "100";
status_out <= status;
state := power_fail;
main_pwr_en_out <= '0';
main_pwr_fail_out <= '1';
end if;
end loop;
elsif state = power_fail then
-- Restart sequencing
if not last_start_state = start_in and start_in = '0' then
state := idle;
end if;
end if;
fail_states := fail_in;
last_start_state := start_in;
end if;
end process;
end;
| mit | 70966a260fc94a7014ece2558ff5ecac | 0.439295 | 4.256055 | false | false | false | false |
albayaty/Video-Game-Engine | EDK/VGA/40x30/vga_ip_core.vhd | 1 | 22,878 | -- ==============================================
-- Copyright © 2014 Ali M. Al-Bayaty
--
-- Video-Game-Engine is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- any later version.
--
-- Video-Game-Engine is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- ==============================================
--
-- Video Game Engine Project
-- ( EDK: VGA 40x30 Resolution, IP Core VHDL )
--
-- MSEE student: Ali M. Al-Bayaty
-- EE659: System-On-Chip
-- Personal website: <http://albayaty.github.io/>
-- Source code link: <https://github.com/albayaty/Video-Game-Engine.git>
--
-- ==============================================
--
------------------------------------------------------------------------------
-- vga_ip_core.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: vga_ip_core.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Wed Oct 19 14:01:59 2011 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library vga_ip_core_v1_00_a;
use vga_ip_core_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity vga_ip_core is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 1;
C_FAMILY : string := "virtex6"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
hsync: out std_logic;
vsync: out std_logic;
rgb: out std_logic_vector(0 to 2);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity vga_ip_core;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of vga_ip_core is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 7;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity vga_ip_core_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
hsync => hsync,
vsync => vsync,
rgb => rgb,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
| gpl-3.0 | bc184af9edd53660ae5b16e504eb1bcb | 0.457053 | 4.441274 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/xbip_addsub_v3_0_vh_rfs.vhd | 1 | 33,878 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hBYGbflJoyvRX6Rx/dhw5k9IhQWv7c5e6HyrmxcE+vpiFRLnC8ElVmg+4ovx/VoiZEDJwa1UER45
ACHOZBV4VQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fPryT5Gi5ZF67e7IOIMemKr4oIH4WCbT/kxRUBQ3vb5jHg8QBkld6FH4isxK7BbJj2GX3vopEvUb
hOl5MbH3RIczUbgBVu361FlJToEcMXlOJQElKo+3SHancGry46MuUanxUbSbxZT2VKQ1CqT88/9e
o3otHYMcAJvl9x74Fpo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1ktH8tgIJWhWDUlwGnblsJ1pyFat6OusRzj9H8F3aWfUKx4+YmjsoTsVj1Re0tyRtV712RSVkNab
IAzD2JOoQedP4LLZsDVGBiGeVQDEkLld2KO2J/syt1GzZUqp3NQLwA27EnJ5M9RymoxiOtqSRlVR
F+v13+j/gG9Wx0cVRQ0=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WkfkZ4FCKskM//V+B0HRG+DuH8JcsplensMpplWtamUx77vfx6zm5xoBdJ8GREISIBW6sAN7wxYC
qGiLOPx3SzuYpDUm3tm3twOg9YrQCGhgScKORoq3vZfUauOuMDCkpxFIiaRQsO+42iIqk0kAbric
/MR4S4IIWfsFe4jpLzzd8BGzVlPgbU8QCx2aIDNCV46TvyZ79+fNAAsWH4CllHhWOcfW/w8gw4R7
O7VzjSBk2KBnHy6JNNLhxbFqyiqffdelkbXw9Xrt1SSHApE1bPTFwRnmNc9oP9Rdts8ngWGull1h
9gSrmqWrQ+rSRBphWhPIwcCJBJy9SWctdbNWXQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
seMVhfO1n9ZRqHjMXn4oVjPsaAjfSPMiIfG47PvKvTShCrkzQx/7cO/U3CV9EsQDtim38uMF4W9A
VDZHA4rC6WzU0iMVq94wglqKbSLNkjNjbRxnMCVz/GONOgZQip4fZIiTXQsGmQWUseKwNCsHtAHI
bkw/E0UOUb8fWF/8g25K3baqF6f8bi8kMsZANC4KOLkbi3wRUSQoiSfBL4hxPB3Pz5yoew+cr02J
iKLev29sDyWZwzkyZxyCrc3juYvJClGs0RUMXZlOyOl51TAPwUIvAet05Eqyom856Edi395m82KU
lUl+pxHCk/AaSxrCSX4lN8cglq5C5P+3xqg6ow==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
la38UsgK3ZGaKI/o18GIW7LilR/6toMpfQaVc+01qVKt78u9USKWsXqSxm88VVtKXiBqWtSNjLJc
lgWUGX+VG75OCAGtpJYoIVJXtzebVtKgNLue481XYP//1X58OocrEqe9xBurQeEVKebrVQNzdP8w
UeW2FBwyuaibYf8SrVK1Egl47DQQA2Va84g1F+gIQPG6w4lt/lvmiq4dFHV9rm49nou3LFZ0DRzA
m3pVXJgwt414Zy7Po87fqu75ftMrklPxwfYQBrGVIi8clovZ92VJOU89lvCzYpG6dUZQzXrglB9s
qBLyZbO1IQGxLy/rOxCyykcq5fJmtnec3wgU+Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944)
`protect data_block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`protect end_protected
| gpl-3.0 | 104e6bce26207e0630997c02a79352a1 | 0.945215 | 1.85755 | false | false | false | false |
kacaperek/serdescrc16 | crc16_tb.vhd | 1 | 2,659 | ----------------------------------------------------------------------------------
-- Company: PWr
-- Engineer: Kacper Witkowski
-- Module Name: Test Bench
-- Project Name: Nadajnik i odbiornik szeregowy z kontrolą poprawności przesyłu CRC16
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY serdes_tb IS
END serdes_tb;
ARCHITECTURE behavior OF serdes_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT serdes
PORT(
we : IN std_logic_vector(7 downto 0);
clk : IN std_logic;
reset : IN std_logic;
wy : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal we : std_logic_vector(7 downto 0) := (others => '0');
signal clk : std_logic := '0';
signal reset : std_logic := '0';
--Outputs
signal wy : std_logic_vector(7 downto 0);
-- Clock period definitions
constant clk_period : time := 5 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: serdes PORT MAP (
we => we,
clk => clk,
reset => reset,
wy => wy
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
wait for clk_period;
we <= "01111110";
wait for clk_period;
reset <= '1';
wait for clk_period*8;
we <= "10001010";
wait for clk_period*8;
we <= "11101011";
wait for clk_period*8;
we <= "10001010";
wait for clk_period*8;
we <= "11101011";
wait for clk_period*8;
we <= "10101011";
wait for clk_period*8;
we <= "10001010";
wait for clk_period*8;
we <= "11101011";
wait for clk_period*8;
we <= "01101011";
wait for clk_period*8;
we <= "10001010";
wait for clk_period*8;
we <= "11101011";
wait for clk_period*8;
we <= "10001010";
wait for clk_period*8;
we <= "11101011";
wait for clk_period*8;
we <= "10101011";
wait for clk_period*8;
we <= "10001010";
wait for clk_period*8;
we <= "11101011";
wait for clk_period*8;
we <= "01101011";
wait for clk_period*10;
we <= "01111110";
wait for clk_period*20;
we <= "11101011";
wait for clk_period*8;
we <= "10101011";
wait for clk_period*8;
we <= "11100011";
wait for clk_period*8;
we <= "10001010";
wait for clk_period*80;
assert false severity failure;
end process;
END;
| gpl-2.0 | 8f0f1fda348f58d144782ac92961be4e | 0.53238 | 3.584345 | false | false | false | false |
albayaty/Video-Game-Engine | EDK/VGA/40x30/main.vhd | 1 | 3,988 | -- ==============================================
-- Copyright © 2014 Ali M. Al-Bayaty
--
-- Video-Game-Engine is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- any later version.
--
-- Video-Game-Engine is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- ==============================================
--
-- Video Game Engine Project
-- ( EDK: VGA 40x30 Resolution, Main VHDL )
--
-- MSEE student: Ali M. Al-Bayaty
-- EE659: System-On-Chip
-- Personal website: <http://albayaty.github.io/>
-- Source code link: <https://github.com/albayaty/Video-Game-Engine.git>
--
-- ==============================================
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
entity vga is
port (
clk: in std_logic;
hsync, vsync: out std_logic;
rgb: out std_logic_vector(2 downto 0);
we: in std_logic;
add_bus1: in std_logic_vector(10 downto 0);
add_bus2: in std_logic_vector(10 downto 0);
add_bus3: in std_logic_vector(10 downto 0);
data_bus1: in std_logic_vector(4 downto 0);
data_bus2: in std_logic_vector(4 downto 0);
data_bus3: in std_logic_vector(3 downto 0)
);
end vga;
architecture Behavioral of vga is
signal rgb_reg: std_logic_vector(2 downto 0);
signal video_on: std_logic;
signal pixel_x, pixel_y : std_logic_vector(9 downto 0);
-- Foreground Tile-map : 40x30
Type tile_map is array(0 to 1199) of std_logic_vector(4 downto 0);
signal fore_map : tile_map; --(RAM 1)
-- Background Tile-map : 40x30
signal back_map : tile_map; --(RAM 2)
-- Sprites-map of 64x32
Type sprites_map is array(0 to 2047) of std_logic_vector(3 downto 0);
signal sprites : sprites_map; -- (RAM 3)
component vgatimehelper
port (
clk, reset : in std_logic;
hsync, vsync : out std_logic;
video_on, p_tick : out std_logic;
pixel_x, pixel_y : out std_logic_vector(9 downto 0));
end component;
signal index, index1, index2: integer;
signal reset: std_logic := '0';
begin
-- instantiate VGA sync circuit
vga_unit: vgatimehelper
port map(clk=>clk, reset=>reset, hsync=>hsync,
vsync=>vsync, video_on=>video_on,
p_tick=>open, pixel_x=>pixel_x, pixel_y=>pixel_y);
-- Creating and writing RAM 1:
ram1: process
begin
if rising_edge(clk) then
if( we = '1' ) then
fore_map(conv_integer(add_bus1)) <= data_bus1;
end if;
end if;
end process;
-- Creating and writing RAM 2:
ram2: process
begin
if rising_edge(clk) then
if( we = '1' ) then
back_map(conv_integer(add_bus2)) <= data_bus2;
end if;
end if;
end process;
-- Creating and writing RAM 3:
ram3: process
begin
if rising_edge(clk) then
if( we = '1' ) then
sprites(conv_integer(add_bus3)) <= data_bus3;
end if;
end if;
end process;
-- Draw on the LCD screen:
-- Conversion from 640x480 scale to 40x30 scale:
index <= conv_integer(pixel_y(9 downto 4))*40 + conv_integer(pixel_x(9 downto 4));
index1 <= (conv_integer(pixel_y(3 downto 1)))*8 + (conv_integer(pixel_x(3 downto 1))) +
(conv_integer(fore_map(index))*64);
index2 <= (conv_integer(pixel_y(3 downto 1)))*8 + (conv_integer(pixel_x(3 downto 1))) +
(conv_integer(back_map(index))*64);
rgb_reg <= sprites(index1)(2 downto 0) when sprites(index1)(3) = '0' else sprites(index2)(2 downto 0);
rgb <= rgb_reg when video_on='1' else "000";
end Behavioral;
| gpl-3.0 | a6e8a5a86c6bd9e8c9c0077f94dd38ab | 0.629546 | 3.151779 | false | false | false | false |
aylons/concordic | hdl/modules/cordic_vectoring/cordic_vectoring_slv.vhd | 1 | 5,122 | -------------------------------------------------------------------------------
-- Title : Vectoring-mode cordic, slv version
-- Project :
-------------------------------------------------------------------------------
-- File : cordic_vectoring_slv.vhd
-- Author : aylons <aylons@LNLS190>
-- Company :
-- Created : 2014-05-13
-- Last update: 2014-09-20
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: This is a top-block for vectoring mode using concordic,
-- constrained standard_logic_vector version.
-------------------------------------------------------------------------------
-- This file is part of Concordic.
--
-- Concordic is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- Concordic is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- Copyright (c) 2014 Aylons Hazzud
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2014-05-13 1.0 aylons Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
-------------------------------------------------------------------------------
entity cordic_vectoring_slv is
generic (
g_stages : natural := 20;
g_width : natural := 32
);
port (
x_i : in std_logic_vector(g_width-1 downto 0) := (others => '0');
y_i : in std_logic_vector(g_width-1 downto 0) := (others => '0');
clk_i : in std_logic;
ce_i : in std_logic;
valid_i : in std_logic;
rst_i : in std_logic;
mag_o : out std_logic_vector(g_width-1 downto 0) := (others => '0');
phase_o : out std_logic_vector(g_width-1 downto 0) := (others => '0');
valid_o : out std_logic
);
end entity cordic_vectoring_slv;
-------------------------------------------------------------------------------
architecture str of cordic_vectoring_slv is
signal adjusted_x : signed(g_width-1 downto 0) := (others => '0');
signal adjusted_y : signed(g_width-1 downto 0) := (others => '0');
signal adjusted_z : signed(g_width-1 downto 0) := (others => '0');
signal mag_temp : signed(g_width-1 downto 0) := (others => '0');
signal phase_temp : signed(g_width-1 downto 0) := (others => '0');
signal y_temp : signed(g_width-1 downto 0) := (others => '0');
signal valid_temp : std_logic := '0';
component inversion_stage is
generic (
g_mode : string);
port (
x_i : in signed;
y_i : in signed;
z_i : in signed;
clk_i : in std_logic;
ce_i : in std_logic;
valid_i : in std_logic;
rst_i : in std_logic;
x_o : out signed;
y_o : out signed;
z_o : out signed;
valid_o : out std_logic);
end component inversion_stage;
component cordic_core is
generic (
g_stages : natural;
g_mode : string;
g_bit_growth : natural);
port (
x_i : in signed;
y_i : in signed;
z_i : in signed;
clk_i : in std_logic;
ce_i : in std_logic;
valid_i : in std_logic;
rst_i : in std_logic;
x_o : out signed;
y_o : out signed;
z_o : out signed;
valid_o : out std_logic);
end component cordic_core;
begin -- architecture str
cmp_inversion : inversion_stage
generic map (
g_mode => "rect_to_polar")
port map (
x_i => signed(x_i),
y_i => signed(y_i),
z_i => (g_width-1 downto 0 => '0'),
clk_i => clk_i,
ce_i => ce_i,
rst_i => rst_i,
valid_i => valid_i,
x_o => adjusted_x,
y_o => adjusted_y,
z_o => adjusted_z,
valid_o => valid_temp);
cmp_core : cordic_core
generic map (
g_stages => g_stages,
g_mode => "rect_to_polar",
g_bit_growth => natural(ceil(log2(real(g_stages)))))
port map (
x_i => adjusted_x,
y_i => adjusted_y,
z_i => adjusted_z,
clk_i => clk_i,
ce_i => ce_i,
rst_i => rst_i,
valid_i => valid_temp,
x_o => mag_temp,
y_o => y_temp,
z_o => phase_temp,
valid_o => valid_o);
mag_o <= std_logic_vector(mag_temp);
phase_o <= std_logic_vector(phase_temp);
end architecture str;
-------------------------------------------------------------------------------
| gpl-3.0 | 27343a4cf8be89b33ece1df49f2019f2 | 0.482038 | 3.658571 | false | false | false | false |
summershrimp/VHDLClock | Counter60.vhd | 1 | 946 | Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
Entity Counter60 is
Port(
h:out std_logic_vector(2 downto 0);
l:out std_logic_vector(3 downto 0);
co:out std_logic;
en:in std_logic;
clk:in std_logic;
rst:in std_logic
);
End Entity Counter60;
Architecture ArchCounter60 of Counter60 is
Begin
Process(clk, rst)
Variable tlow:std_logic_vector(3 downto 0);
Variable thigh:std_logic_vector(2 downto 0);
Begin
If rst = '1' then
tlow := (Others => '0' );
thigh := (Others => '0' );
Elsif clk'event and clk='1' Then
co<='0';
If en = '1' Then
If tlow < 10 Then
tlow := tlow + 1;
End If;
If tlow = 10 Then
thigh := thigh + 1;
tlow := (Others => '0' );
End If;
If thigh = 6 Then
thigh := (Others => '0');
co<='1';
End If;
h<=thigh;
l<=tlow;
End If;
End If;
End Process;
End Architecture;
| gpl-2.0 | 37ae8d5ff0c519ee1fe1bf85a02f2983 | 0.573996 | 2.774194 | false | false | false | false |
sudov/options-accel | final_design/verilog/ieee_FP_pkg/aesl_fp_wrapper.vhd | 1 | 69,144 | -- Version: release . Copyright (C) 2011 XILINX, Inc.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package AESL_FPSIM_UTIL is
function esl_conv_real(lv : UNSIGNED) return real;
function esl_conv_real(lv : SIGNED) return real;
end package;
package body AESL_FPSIM_UTIL is
function esl_conv_real(lv : UNSIGNED) return real is
variable ret : real;
variable power : integer;
variable msb : integer;
variable lv2 : UNSIGNED(lv'length - 1 downto 0);
begin
msb := lv'length - 1;
power := 2 ** 16;
ret := 0.0;
lv2 := lv;
while msb >= 16 loop
ret := ret * real(power);
ret := ret + real(to_integer(lv2(msb downto (msb - 15))));
msb := msb - 16;
end loop;
power := 2 ** (msb + 1);
ret := ret * real(power);
ret := ret + real(to_integer(lv2(msb downto 0)));
return ret;
end function;
function esl_conv_real(lv : SIGNED) return real is
variable ret : real;
variable sig : real;
variable msb : integer;
variable lv2 : UNSIGNED(lv'length - 1 downto 0);
begin
msb := lv'length - 1;
ret := 0.0;
if lv(msb) = '0' then
sig := 1.0;
lv2 := UNSIGNED(lv);
else
sig := -1.0;
lv2 := UNSIGNED(not STD_LOGIC_VECTOR(lv));
lv2 := lv2 + 1;
end if;
ret := esl_conv_real(lv2);
ret := ret * sig;
return ret;
end function;
end package body;
-- --------------------------------------------------------------------
-- Title : float_alg_pkg.vhd
-- These are the algorithemic functions. In this package you will find
-- routines for doing complex arithmetic and basic Trig functions. These
-- functions are not optomized, and are placed here as examples. In the
-- future, a new complex number format will be used and placed into the
-- floating point packages. The names of the routines have been changed
-- from "fp_*" to "fpalg_*" so that there will be no name space violations.
-- Last Modified: $Date: 2011/01/26 16:06:55 $
-- RCS ID: $Id: float_alg_pkg.vhdl,v 2.0 2011/01/26 16:06:55 l435385 Exp l435385 $
--
-- Created for VHDL-200X-ft, David Bishop ([email protected])
-- ---------------------------------------------------------------------------
library ieee, ieee_proposed;
use ieee.std_logic_1164.all;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.fixed_pkg.all;
use ieee_proposed.float_pkg.all;
package float_alg_pkg is
-- This differed constant will tell you if the package body is synthesizable
-- or implimented as real numbers.
constant fpalgsynth_or_real : BOOLEAN; -- differed constant
-- Used to generate the constants.
type gen_number_type is (pi, half_pi, e, log2x, onef, mone, twof);
-- returns ln(arg)
function ln (
arg : float; -- floating point input
constant round_style : round_type := float_round_style;
constant guard : NATURAL := float_guard_bits;
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize;
constant iterations : NATURAL := 0)
return float;
end package float_alg_pkg;
library ieee;
use ieee.math_real.all;
package body float_alg_pkg is
-- Set to "true" if synthesizable, "false" if done with real numbers
constant fpalgsynth_or_real : BOOLEAN := false; -- differed constant
function ln (
arg : float; -- floating point input
constant round_style : round_type := float_round_style;
constant guard : NATURAL := float_guard_bits;
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize;
constant iterations : NATURAL := 0)
return float is
constant fraction_width : NATURAL := -arg'low; -- length of FP output fraction
constant exponent_width : NATURAL := arg'high; -- length of FP output exponent
variable arg_real, result : REAL; -- Real versions
variable fpresult : float (arg'range);
variable fptype : valid_fpstate;
begin
fptype := Classfp(arg, check_error);
classcase : case fptype is
when isx =>
fpresult := (others => 'X');
when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when neg_normal | neg_denormal | neg_inf => -- log (neg)
-- Return quiet NAN, IEEE754-1985-7.1,5*
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_inf => -- log (inf), return infinity
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_zero | neg_zero => -- log (0), return negative infinity
fpresult := neg_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
when others =>
arg_real := to_real (arg => arg,
denormalize => denormalize);
result := log (arg_real);
fpresult := to_float (arg => result,
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize);
end case classcase;
return fpresult;
end function ln;
end package body float_alg_pkg;
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FAdd is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FAdd;
architecture rtl of AESL_WP_FAdd is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= add(to_float(din0, 8, 23), to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Sub.
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FSub is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FSub;
architecture rtl of AESL_WP_FSub is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= subtract(to_float(din0, 8, 23), to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision AddSub.
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FAddFSub is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
opcode: std_logic_vector(1 downto 0);
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FAddFSub;
architecture rtl of AESL_WP_FAddFSub is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
proc_dout_tmp : process(din0, din1, opcode)
begin
if (opcode(0) = '0') then
dout_tmp <= add(to_float(din0, 8, 23), to_float(din1, 8, 23));
else
dout_tmp <= subtract(to_float(din0, 8, 23), to_float(din1, 8, 23));
end if;
end process;
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Mul
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FMul is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FMul;
architecture rtl of AESL_WP_FMul is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= multiply(to_float(din0, 8, 23), to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Div
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FDiv is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FDiv;
architecture rtl of AESL_WP_FDiv is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= divide(to_float(din0, 8, 23), to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Sqrt
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FSqrt is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FSqrt;
architecture rtl of AESL_WP_FSqrt is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= sqrt(to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision RSqrt
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FRSqrt is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FRSqrt;
architecture rtl of AESL_WP_FRSqrt is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= reciprocal(sqrt(to_float(din1, 8, 23)));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Recip
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FRecip is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FRecip;
architecture rtl of AESL_WP_FRecip is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= reciprocal(to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Log
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.float_alg_pkg.all;
entity AESL_WP_FLog is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_FLog;
architecture rtl of AESL_WP_FLog is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= ln(to_float(din1, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Double precision ADD
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DAdd is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DAdd;
architecture rtl of AESL_WP_DAdd is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= add(to_float(din0, 11, 52), to_float(din1, 11, 52));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Sub
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DSub is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DSub;
architecture rtl of AESL_WP_DSub is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= subtract(to_float(din0, 11, 52), to_float(din1, 11, 52));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision AddSub
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DAddDSub is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
opcode : std_logic_vector(1 downto 0);
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DAddDSub;
architecture rtl of AESL_WP_DAddDSub is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
proc_dout_tmp : process(din0, din1, opcode)
begin
if (opcode(0) = '0') then
dout_tmp <= add(to_float(din0, 11, 52), to_float(din1, 11, 52));
else
dout_tmp <= subtract(to_float(din0, 11, 52), to_float(din1, 11, 52));
end if;
end process;
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Mul
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DMul is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DMul;
architecture rtl of AESL_WP_DMul is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= multiply(to_float(din0, 11, 52), to_float(din1, 11, 52));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Div
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DDiv is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DDiv;
architecture rtl of AESL_WP_DDiv is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= divide(to_float(din0, 11, 52), to_float(din1, 11, 52));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Sqrt
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_DSqrt is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DSqrt;
architecture rtl of AESL_WP_DSqrt is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= sqrt(to_float(din1, 11, 52), round_nearest, 10);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision RSqrt
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_DRSqrt is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DRSqrt;
architecture rtl of AESL_WP_DRSqrt is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= reciprocal(sqrt(to_float(din1, 11, 52)), round_nearest, 10);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Recip
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_DRecip is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DRecip;
architecture rtl of AESL_WP_DRecip is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= reciprocal(to_float(din1, 11, 52), round_nearest, 10);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Log
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_alg_pkg.all;
entity AESL_WP_DLog is
generic (
NUM_STAGE : INTEGER := 13;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 64);
port (
clk, reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0));
end AESL_WP_DLog;
architecture rtl of AESL_WP_DLog is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= ln(to_float(din1, 11, 52), round_nearest, 10);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision Cmp (Comparator)
-------------------------------------------------------------------------------
-- Predicate values:
-- FCMP_FALSE = 0, ///< 0 0 0 0 Always false (always folded)
-- FCMP_OEQ = 1, ///< 0 0 0 1 True if ordered and equal
-- FCMP_OGT = 2, ///< 0 0 1 0 True if ordered and greater than
-- FCMP_OGE = 3, ///< 0 0 1 1 True if ordered and greater than or equal
-- FCMP_OLT = 4, ///< 0 1 0 0 True if ordered and less than
-- FCMP_OLE = 5, ///< 0 1 0 1 True if ordered and less than or equal
-- FCMP_ONE = 6, ///< 0 1 1 0 True if ordered and operands are unequal
-- FCMP_ORD = 7, ///< 0 1 1 1 True if ordered (no nans)
-- FCMP_UNO = 8, ///< 1 0 0 0 True if unordered: isnan(X) | isnan(Y)
-- FCMP_UEQ = 9, ///< 1 0 0 1 True if unordered or equal
-- FCMP_UGT =10, ///< 1 0 1 0 True if unordered or greater than
-- FCMP_UGE =11, ///< 1 0 1 1 True if unordered, greater than, or equal
-- FCMP_ULT =12, ///< 1 1 0 0 True if unordered or less than
-- FCMP_ULE =13, ///< 1 1 0 1 True if unordered, less than, or equal
-- FCMP_UNE =14, ///< 1 1 1 0 True if unordered or not equal
-- FCMP_TRUE =15, ///< 1 1 1 1 Always true (always folded)
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_FCmp is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
din1_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 1 );
port (
clk : std_logic;
reset, ce: std_logic;
opcode: IN std_logic_VECTOR(4 downto 0);
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout: OUT std_logic_VECTOR(0 downto 0));
end AESL_WP_FCmp;
architecture rtl of AESL_WP_FCmp is
-- Predicate values:
constant FCMP_FALSE : std_logic_vector(3 downto 0) := "0000"; -- Always false (always folded)
constant FCMP_OEQ : std_logic_vector(3 downto 0) := "0001"; -- True if ordered and equal
constant FCMP_OGT : std_logic_vector(3 downto 0) := "0010"; -- True if ordered and greater than
constant FCMP_OGE : std_logic_vector(3 downto 0) := "0011"; -- True if ordered and greater than or equal
constant FCMP_OLT : std_logic_vector(3 downto 0) := "0100"; -- True if ordered and less than
constant FCMP_OLE : std_logic_vector(3 downto 0) := "0101"; -- True if ordered and less than or equal
constant FCMP_ONE : std_logic_vector(3 downto 0) := "0110"; -- True if ordered and operands are unequal
constant FCMP_ORD : std_logic_vector(3 downto 0) := "0111"; -- True if ordered (no nans)
constant FCMP_UNO : std_logic_vector(3 downto 0) := "1000"; -- True if unordered: isnan(X) | isnan(Y)
constant FCMP_UEQ : std_logic_vector(3 downto 0) := "1001"; -- True if unordered or equal
constant FCMP_UGT : std_logic_vector(3 downto 0) := "1010"; -- True if unordered or greater than
constant FCMP_UGE : std_logic_vector(3 downto 0) := "1011"; -- True if unordered, greater than, or equal
constant FCMP_ULT : std_logic_vector(3 downto 0) := "1100"; -- True if unordered or less than
constant FCMP_ULE : std_logic_vector(3 downto 0) := "1101"; -- True if unordered, less than, or equal
constant FCMP_UNE : std_logic_vector(3 downto 0) := "1110"; -- True if unordered or not equal
constant FCMP_TRUE : std_logic_vector(3 downto 0) := "1111"; -- Always true (always folded)
signal ordered : boolean;
signal dout_tmp : std_logic_vector(0 downto 0);
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(0 downto 0);
signal dout_buff : buff_type;
begin
ordered <= ((not Isnan(to_float(din0, 8, 23))) and (not Isnan(to_float(din1, 8, 23))));
proc_dout_tmp : process(opcode, din0, din1, ordered)
begin
case (opcode(3 downto 0)) is
when FCMP_FALSE => dout_tmp <= "0";
when FCMP_OEQ =>
if (ordered and eq(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_OGT =>
if (ordered and gt(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_OGE =>
if (ordered and ge(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_OLT =>
if (ordered and lt(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_OLE =>
if (ordered and le(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_ONE =>
if (ordered and ne(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_ORD =>
if (ordered) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UNO =>
if (not ordered) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UEQ =>
if ((not ordered) or eq(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UGT =>
if ((not ordered) or gt(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UGE =>
if ((not ordered) or ge(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_ULT =>
if ((not ordered) or lt(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_ULE =>
if ((not ordered) or le(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_UNE =>
if ((not ordered) or ne(to_float(din0, 8, 23), to_float(din1, 8, 23))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when FCMP_TRUE => dout_tmp <= "1";
when others => dout_tmp <= "0";
end case;
end process;
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision Cmp (Comparator)
-------------------------------------------------------------------------------
-- Predicate values:
-- FCMP_FALSE = 0, ///< 0 0 0 0 Always false (always folded)
-- FCMP_OEQ = 1, ///< 0 0 0 1 True if ordered and equal
-- FCMP_OGT = 2, ///< 0 0 1 0 True if ordered and greater than
-- FCMP_OGE = 3, ///< 0 0 1 1 True if ordered and greater than or equal
-- FCMP_OLT = 4, ///< 0 1 0 0 True if ordered and less than
-- FCMP_OLE = 5, ///< 0 1 0 1 True if ordered and less than or equal
-- FCMP_ONE = 6, ///< 0 1 1 0 True if ordered and operands are unequal
-- FCMP_ORD = 7, ///< 0 1 1 1 True if ordered (no nans)
-- FCMP_UNO = 8, ///< 1 0 0 0 True if unordered: isnan(X) | isnan(Y)
-- FCMP_UEQ = 9, ///< 1 0 0 1 True if unordered or equal
-- FCMP_UGT =10, ///< 1 0 1 0 True if unordered or greater than
-- FCMP_UGE =11, ///< 1 0 1 1 True if unordered, greater than, or equal
-- FCMP_ULT =12, ///< 1 1 0 0 True if unordered or less than
-- FCMP_ULE =13, ///< 1 1 0 1 True if unordered, less than, or equal
-- FCMP_UNE =14, ///< 1 1 1 0 True if unordered or not equal
-- FCMP_TRUE =15, ///< 1 1 1 1 Always true (always folded)
Library IEEE;
use IEEE.std_logic_1164.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DCmp is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 64;
din1_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 1 );
port (
clk : std_logic;
reset, ce: std_logic;
opcode: IN std_logic_VECTOR(4 downto 0);
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout: OUT std_logic_VECTOR(0 downto 0));
end AESL_WP_DCmp;
architecture rtl of AESL_WP_DCmp is
-- Predicate values:
constant DCMP_FALSE : std_logic_vector(3 downto 0) := "0000"; -- Always false (always folded)
constant DCMP_OEQ : std_logic_vector(3 downto 0) := "0001"; -- True if ordered and equal
constant DCMP_OGT : std_logic_vector(3 downto 0) := "0010"; -- True if ordered and greater than
constant DCMP_OGE : std_logic_vector(3 downto 0) := "0011"; -- True if ordered and greater than or equal
constant DCMP_OLT : std_logic_vector(3 downto 0) := "0100"; -- True if ordered and less than
constant DCMP_OLE : std_logic_vector(3 downto 0) := "0101"; -- True if ordered and less than or equal
constant DCMP_ONE : std_logic_vector(3 downto 0) := "0110"; -- True if ordered and operands are unequal
constant DCMP_ORD : std_logic_vector(3 downto 0) := "0111"; -- True if ordered (no nans)
constant DCMP_UNO : std_logic_vector(3 downto 0) := "1000"; -- True if unordered: isnan(X) | isnan(Y)
constant DCMP_UEQ : std_logic_vector(3 downto 0) := "1001"; -- True if unordered or equal
constant DCMP_UGT : std_logic_vector(3 downto 0) := "1010"; -- True if unordered or greater than
constant DCMP_UGE : std_logic_vector(3 downto 0) := "1011"; -- True if unordered, greater than, or equal
constant DCMP_ULT : std_logic_vector(3 downto 0) := "1100"; -- True if unordered or less than
constant DCMP_ULE : std_logic_vector(3 downto 0) := "1101"; -- True if unordered, less than, or equal
constant DCMP_UNE : std_logic_vector(3 downto 0) := "1110"; -- True if unordered or not equal
constant DCMP_TRUE : std_logic_vector(3 downto 0) := "1111"; -- Always true (always folded)
signal ordered : boolean;
signal dout_tmp : std_logic_vector(0 downto 0);
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(0 downto 0);
signal dout_buff : buff_type;
begin
ordered <= ((not Isnan(to_float(din0, 11, 52))) and (not Isnan(to_float(din1, 11, 52))));
proc_dout_tmp : process(opcode, din0, din1, ordered)
begin
case (opcode(3 downto 0)) is
when DCMP_FALSE => dout_tmp <= "0";
when DCMP_OEQ =>
if (ordered and eq(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_OGT =>
if (ordered and gt(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_OGE =>
if (ordered and ge(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_OLT =>
if (ordered and lt(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_OLE =>
if (ordered and le(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_ONE =>
if (ordered and ne(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_ORD =>
if (ordered) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UNO =>
if (not ordered) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UEQ =>
if ((not ordered) or eq(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UGT =>
if ((not ordered) or gt(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UGE =>
if ((not ordered) or ge(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_ULT =>
if ((not ordered) or lt(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_ULE =>
if ((not ordered) or le(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_UNE =>
if ((not ordered) or ne(to_float(din0, 11, 52), to_float(din1, 11, 52))) then
dout_tmp <= "1";
else
dout_tmp <= "0";
end if;
when DCMP_TRUE => dout_tmp <= "1";
when others => dout_tmp <= "0";
end case;
end process;
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision to int32
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_pkg.all;
entity AESL_WP_SPToSI is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SPToSI;
architecture rtl of AESL_WP_SPToSI is
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_buff : buff_type;
signal dout_tmp : std_logic_vector(dout_WIDTH-1 downto 0);
begin
dout_tmp <= std_logic_vector(resize(to_signed(to_float(din0, 8, 23), 32, round_zero, true), dout_WIDTH));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision to int32
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DPToSI is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_DPToSI;
architecture rtl of AESL_WP_DPToSI is
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_buff : buff_type;
signal dout_tmp : std_logic_vector(dout_WIDTH - 1 downto 0);
begin
dout_tmp <= std_logic_vector(resize(to_signed(to_float(din0, 11, 52), 64, round_zero, true), dout_WIDTH));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Int32 to single precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.AESL_FPSIM_UTIL.all;
entity AESL_WP_SIToSP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce : std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SIToSP;
architecture rtl of AESL_WP_SIToSP is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= to_float(esl_conv_real(SIGNED(din0)), 8, 23);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Int32 to double precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.AESL_FPSIM_UTIL.all;
entity AESL_WP_SIToDP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 64 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SIToDP;
architecture rtl of AESL_WP_SIToDP is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= to_float(esl_conv_real(SIGNED(din0)), 11, 52);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Single precision to uint32
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_pkg.all;
entity AESL_WP_SPToUI is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SPToUI;
architecture rtl of AESL_WP_SPToUI is
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_buff : buff_type;
signal dout_tmp : std_logic_vector(dout_WIDTH-1 downto 0);
begin
dout_tmp <= std_logic_vector(resize(to_unsigned(to_float(din0, 8, 23), 32, round_zero, true), dout_WIDTH));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Double precision to uint32
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.float_pkg.all;
entity AESL_WP_DPToUI is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_DPToUI;
architecture rtl of AESL_WP_DPToUI is
type buff_type is array (0 to NUM_STAGE - 2) of std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_buff : buff_type;
signal dout_tmp : std_logic_vector(dout_WIDTH - 1 downto 0);
begin
dout_tmp <= std_logic_vector(resize(to_unsigned(to_float(din0, 11, 52), 64, round_zero, true), dout_WIDTH));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= dout_buff(NUM_STAGE - 2);
else
dout <= dout_tmp;
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- Int32 to single precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.AESL_FPSIM_UTIL.all;
entity AESL_WP_UIToSP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_UIToSP;
architecture rtl of AESL_WP_UIToSP is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
dout_tmp <= to_float(esl_conv_real(UNSIGNED(din0)), 8, 23);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- uInt32 to double precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.AESL_FPSIM_UTIL.all;
entity AESL_WP_UIToDP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 64 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_UIToDP;
architecture rtl of AESL_WP_UIToDP is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= to_float(esl_conv_real(UNSIGNED(din0)), 11, 52);
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- single to double precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_SPToDP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 32;
dout_WIDTH : INTEGER := 64 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_SPToDP;
architecture rtl of AESL_WP_SPToDP is
type buff_type is array (0 to NUM_STAGE - 2) of float64;
signal dout_buff : buff_type;
signal dout_tmp : float64;
begin
dout_tmp <= to_float64(to_float(din0, 8, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
-------------------------------------------------------------------------------
-- double to single precision
-------------------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library work;
use work.all;
Library ieee_proposed;
use ieee_proposed.float_pkg.all;
use ieee_proposed.fixed_float_types.all;
entity AESL_WP_DPToSP is
generic (
NUM_STAGE : INTEGER := 12;
din0_WIDTH : INTEGER := 64;
dout_WIDTH : INTEGER := 32 );
port (
clk : std_logic;
reset, ce: std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0) );
end AESL_WP_DPToSP;
architecture rtl of AESL_WP_DPToSP is
type buff_type is array (0 to NUM_STAGE - 2) of float32;
signal dout_buff : buff_type;
signal dout_tmp : float32;
begin
-- NOTE:
-- the following line returns incorrect result(inf) when din0 is a 'denomalized number'
-- dout_tmp <= to_float32(to_float(din0, 11, 52));
dout_tmp <= to_float32(resize(resize(to_float(din0, 11, 52), 10, 23), 9, 23));
proc_dout : process(dout_tmp, dout_buff)
begin
if (NUM_STAGE > 1) then
dout <= to_slv(dout_buff(NUM_STAGE - 2));
else
dout <= to_slv(dout_tmp);
end if;
end process;
proc_buff : process(clk)
variable i: integer;
begin
if (clk'event and clk = '1') then
if (NUM_STAGE > 1 and ce = '1') then
for i in 0 to NUM_STAGE - 2 loop
if (i = 0) then
dout_buff(i) <= dout_tmp;
else
dout_buff(i) <= dout_buff(i - 1);
end if;
end loop;
end if;
end if;
end process;
end rtl;
| apache-2.0 | ce70b40e1618b3b11c4d5648cdc05885 | 0.507058 | 3.668895 | false | false | false | false |
upci/upci | Projeto/controller.vhd | 1 | 4,865 | ---- Controller --------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
USE work.processor_functions.all;
------------------------------------------------------------------------------------------------------------------
ENTITY controller IS
PORT (clk, nrst: IN std_logic;
CONTROL_bus: INOUT std_logic_vector(n-1 DOWNTO 0);
state_7seg: OUT std_logic_vector(0 TO 7);
-- IR
IR_opcode: IN opcode;
IR_load: OUT std_logic;
IR_valid: OUT std_logic;
-- PC
PC_inc: OUT std_logic;
PC_load: OUT std_logic;
PC_valid: OUT std_logic;
-- Memory
MDR_load: OUT std_logic;
MAR_load: OUT std_logic;
MEM_valid: OUT std_logic;
MEM_en: OUT std_logic;
MEM_rw: OUT std_logic;
-- ALU
ALU_zero: IN std_logic;
ALU_valid: OUT std_logic;
ALU_slt: IN std_logic;
ALU_enable: OUT std_logic;
ALU_cmd: OUT std_logic_vector(3 DOWNTO 0);
-- IO
IODR_load: OUT STD_LOGIC;
IOAR_load: OUT STD_LOGIC;
IO_valid: OUT STD_LOGIC;
IO_en: OUT STD_LOGIC;
IO_rw: OUT STD_LOGIC;
-- WAKE
WAKE_signal: IN STD_LOGIC;
waiting: OUT STD_LOGIC);
END ENTITY controller;
------------------------------------------------------------------------------------------------------------------
ARCHITECTURE RTL OF controller IS
TYPE states IS (s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, s10);
SIGNAL current_state, next_state: states;
SIGNAL BRANCH_trigger: std_logic;
SIGNAL state_vector: STD_LOGIC_VECTOR(3 DOWNTO 0);
COMPONENT bcd_to_7seg IS
PORT (bcd: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
en: IN std_logic;
output: OUT STD_LOGIC_VECTOR (0 TO 7));
END COMPONENT;
BEGIN
-- Converte o estado atual para um std_logic_vector com sua posicao na lista
state_vector <= std_logic_vector(to_unsigned(states'pos(current_state), 4));
-- Gera a visualizacao 7seg
state7seg: bcd_to_7seg PORT MAP(state_vector, seg_en, state_7seg);
-- Indicador de se o branch deve ser aceito
BRANCH_trigger <= '1' WHEN ((IR_opcode = BZERO AND ALU_zero = '1') OR (IR_opcode = BLESS AND ALU_slt = '1') OR (IR_opcode = BGREATER AND ALU_zero = '0' AND ALU_slt = '0')) ELSE '0';
-- Esta em waiting?
waiting <= '1' WHEN current_state = s10 ELSE '0';
-- Processo que gerencia a transicao do current_state para o next_state
-- e a configuracao de reset
state_sequence: PROCESS (clk, nrst) BEGIN
IF nrst = '0' THEN -- reset assincrono
current_state <= s0;
ELSE
IF rising_edge(clk) THEN -- mudanca de estado eh sincrona
current_state <= next_state;
END IF;
END IF;
END PROCESS state_sequence;
-- espera a mudanca de estado ou opcode
-- processo que de fato mudam os sinais de controle conforme a transicao
state_machine: PROCESS ( current_state, IR_opcode ) IS
BEGIN
-- Reset all the control SIGNALs
IR_load <= '0';
IR_valid <= '0';
PC_inc <= '0';
PC_load <= '0';
PC_valid <= '0';
MDR_load <= '0';
MAR_load <= '0';
MEM_valid <= '0';
MEM_en <= '0';
MEM_rw <= '0';
ALU_valid <= '0';
ALU_enable <= '0';
ALU_cmd <= "0000";
IODR_load <= '0';
IOAR_load <= '0';
IO_valid <= '0';
IO_en <= '0';
IO_rw <= '0';
CASE current_state IS
WHEN s0 =>
MAR_load <= '1';
PC_valid <= '1';
PC_inc <= '1';
next_state <= s1;
WHEN s1 =>
MEM_en <='1';
next_state <= s2;
WHEN s2 =>
MEM_valid <= '1';
IR_load <= '1';
next_state <= s3;
WHEN s3 =>
IR_valid <= '1';
MAR_load <= '1';
IOAR_load <= '1';
IF (IR_opcode = INC) THEN
next_state <= s7;
ELSIF (IR_opcode = JUMP) THEN
next_state <= s9;
ELSIF (IR_opcode = BZERO OR IR_opcode = BGREATER OR IR_opcode = BLESS) THEN
next_state <= s8;
ELSIF (IR_opcode = NOP) THEN
next_state <= s0;
ELSIF (IR_opcode = WAITT) THEN
next_state <= s10;
ELSIF (IR_opcode = STORE) THEN
next_state <= s4;
ELSE
next_state <= s6;
END IF;
WHEN s4 =>
ALU_valid <= '1';
MDR_load <= '1';
IODR_load <= '1';
next_state <= s5;
WHEN s5 =>
MEM_en <= '1';
MEM_rw <= '1';
IO_en <= '1';
IO_rw <= '1';
next_state <= s0;
WHEN s6 =>
MEM_en <= '1';
IO_en <= '1';
next_state <= s7;
WHEN s7 =>
MEM_valid <= '1';
IO_valid <= '1';
ALU_enable <= '1';
ALU_cmd <= cmdDecode(IR_opcode);
next_state <= s0;
WHEN s8 =>
IF (BRANCH_trigger = '1') THEN
next_state <= s9;
ELSE
next_state <= s0;
END IF;
WHEN s9 =>
PC_load <= '1';
IR_valid <= '1';
next_state <= s0;
WHEN s10 =>
IF (WAKE_signal = '0') THEN
next_state <= s0;
END IF;
END CASE;
END PROCESS state_machine;
END ARCHITECTURE;
------------------------------------------------------------------------------------------------------------------ | gpl-2.0 | c1faa0914ef16b236baef6f8a0cbae1d | 0.531661 | 2.933655 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/clk_div.vhd | 3 | 1,020 | ----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity clk_div is
generic (MAXD: natural:=5);
port(
clk: in std_logic;
reset: in std_logic;
div: in integer range 0 to MAXD;
div_clk: out std_logic
);
end clk_div;
architecture Behavioral of clk_div is
begin
process(clk,reset)
variable M: integer range 0 to MAXD;
begin
if reset='1' then --reset clock divider
M := 0;
div_clk <= '0';
elsif(rising_edge(clk)) then -- generate a pulse when the counter = (the division magnitude -1)
if M=div-1 then
div_clk <= '1';
M := 0;
else
M := M +1 ;
div_clk <= '0';
end if;
end if;
end process;
end Behavioral;
| mit | d2130a833739e2889f790eae6bd5a29e | 0.565686 | 3.566434 | false | false | false | false |
kjellhar/ArtixPi | spi_test/src/hdl/spi_slave_old.vhd | 1 | 5,579 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06/16/2016 04:17:04 AM
-- Design Name:
-- Module Name: spi_slave - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity spi_slave is
Generic (
N : positive := 8;
CPOL : std_logic := '0';
CPHA : std_logic := '0'
);
Port ( clk : in STD_LOGIC;
-- External SPI signals
spi_ss_n : in STD_LOGIC;
spi_clk : in STD_LOGIC;
spi_mosi : in STD_LOGIC;
spi_miso : out STD_LOGIC;
-- Internal data signals
di : out STD_LOGIC_VECTOR (N-1 downto 0); -- Data received from SPI
do : in STD_LOGIC_VECTOR (N-1 downto 0); -- Data to be transmitted over SPI
di_valid : out std_logic; -- High for one clock cycle to indicate a new word is present
do_wren : in std_logic; -- Write a data word to the transmit register
do_wrack : out std_logic); -- High for one clock cycle when the transmission starts.
-- The next data word can be written as soon as this signal goes low.
end spi_slave;
architecture Behavioral of spi_slave is
-- constants to control FlipFlop synthesis
constant CAPTURE_EDGE : std_logic := (CPOL xnor CPHA);
constant CHANGE_EDGE : std_logic := (CPOL xor CPHA);
type spi_state_t is (
IDLE,
INIT_TRANSACTION,
SHIFTING_DATA,
WORD_COMPLETE
);
signal spi_state : spi_state_t := IDLE;
signal spi_state_next : spi_state_t;
signal spi_clk_buf : std_logic := CPOL;
signal spi_capture_edge : std_logic;
signal spi_change_edge : std_logic;
signal di_buf : std_logic;
signal di_reg : std_logic_vector(N-1 downto 0);
signal do_reg : std_logic_vector(N-1 downto 0);
signal do_i : std_logic_vector(N-1 downto 0);
signal bit_counter : integer range 0 to N-1 := 0;
begin
-- state register
process
begin
wait until rising_edge (clk);
if spi_ss_n='1' then
spi_state <= IDLE;
else
spi_state <= spi_state_next;
end if;
end process;
-- Next state logic
process (
spi_state,
spi_ss_n,
bit_counter)
begin
spi_state_next <= spi_state;
case (spi_state) is
when IDLE =>
if spi_ss_n='0' then
spi_state_next <= INIT_TRANSACTION;
end if;
when INIT_TRANSACTION =>
spi_state_next <= SHIFTING_DATA;
when SHIFTING_DATA =>
if bit_counter=N-1 then
spi_state_next <= WORD_COMPLETE;
end if;
when WORD_COMPLETE =>
if bit_counter = 0 then
spi_state_next <= INIT_TRANSACTION;
end if;
when others =>
spi_state_next <= IDLE;
end case;
end process;
-- SPI clock edge detector
process
begin
wait until rising_edge(clk);
spi_clk_buf <= spi_clk;
if (spi_clk_buf= not spi_clk) and spi_clk=CAPTURE_EDGE then
spi_capture_edge <= '1';
else
spi_capture_edge <= '0';
end if;
if (spi_clk_buf= not spi_clk) and spi_clk=CHANGE_EDGE then
spi_change_edge <= '1';
else
spi_change_edge <= '0';
end if;
end process;
-- Input shift register
process
begin
wait until rising_edge(clk);
di_buf <= spi_mosi;
if spi_capture_edge='1' then
di_reg <= di_reg(N-2 downto 0) & di_buf;
bit_counter <= bit_counter + 1;
end if;
end process;
-- output received data word
process
begin
wait until rising_edge(clk);
di_valid <= '0';
if spi_state=WORD_COMPLETE and bit_counter=0 then
di <= di_reg;
di_valid <= '1';
end if;
end process;
-- get data word for tx
process
begin
wait until rising_edge(clk);
if do_wren='1' then
do_i <= do;
end if;
end process;
-- output shift register
process
begin
wait until rising_edge(clk);
do_wrack <= '0';
if spi_state = IDLE then
do_reg <= X"00";
elsif spi_state = INIT_TRANSACTION then
do_reg <= do_i;
do_wrack <= '1';
elsif spi_change_edge='1' and bit_counter /= 0 then
do_reg <= do_reg(N-2 downto 0) & '0';
end if;
end process;
spi_miso <= do_reg(7);
end Behavioral;
| gpl-3.0 | 11286bfeeafa6ca8b94ab5ca585b55a8 | 0.495788 | 4.210566 | false | false | false | false |
aylons/concordic | hdl/modules/cordic_core/cordic_core.vhd | 1 | 6,935 | -------------------------------------------------------------------------------
-- Title : Configurable Cordic core
-- Project :
-------------------------------------------------------------------------------
-- File : cordic_core.vhd
-- Author : Aylons <aylons@aylons-yoga2>
-- Company :
-- Created : 2014-05-03
-- Last update: 2015-03-06
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: This CORDIC allow configuration of its number of stages and
-- accepts any bus size for its inputs and ouputs. The calculation to be done
-- is defined by a generic value, and there's no need for external codes due to
-- any parameter change.
-------------------------------------------------------------------------------
-- This file is part of Concordic.
--
-- Concordic is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- Concordic is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- Copyright (c) 2014 Aylons Hazzud
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2014-05-03 1.0 aylons Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
-------------------------------------------------------------------------------
entity cordic_core is
generic (
g_stages : natural := 20;
g_bit_growth : natural := 2;
g_mode : string := "rect_to_polar"
);
-- x represents the x axis in rectangular coordinates or amplitude in polar
-- y represents the y axis in rectangular coordinates
-- z represents phase in polar coordinates
port (
x_i : in signed;
y_i : in signed;
z_i : in signed;
clk_i : in std_logic;
ce_i : in std_logic;
rst_i : in std_logic;
valid_i : in std_logic;
x_o : out signed;
y_o : out signed;
z_o : out signed;
valid_o : out std_logic
);
end entity cordic_core;
-------------------------------------------------------------------------------
architecture str of cordic_core is
constant c_width : natural := x_i'length + g_bit_growth + 2;
type wiring is array (0 to g_stages) of signed(c_width-1 downto 0);
type control_wiring is array (0 to g_stages) of boolean;
type z_wiring is array (0 to g_stages) of signed(x_i'length-1 downto 0);
signal x_inter : wiring := (others => (others => '0'));
signal y_inter : wiring := (others => (others => '0'));
signal z_inter : z_wiring := (others => (others => '0'));
signal x_shifted : wiring := (others => (others => '0'));
signal y_shifted : wiring := (others => (others => '0'));
signal control_x : control_wiring := (others => false);
signal control_y : control_wiring := (others => false);
component addsub is
port (
a_i : in signed;
b_i : in signed;
sub_i : in boolean;
clk_i : in std_logic;
ce_i : in std_logic;
rst_i : in std_logic;
result_o : out signed;
positive_o : out boolean;
negative_o : out boolean);
end component addsub;
component pipeline is
generic (
g_width : natural;
g_depth : natural);
port (
data_i : in std_logic_vector(g_width-1 downto 0);
clk_i : in std_logic;
ce_i : in std_logic;
data_o : out std_logic_vector(g_width-1 downto 0));
end component pipeline;
function stage_constant(mode, stage, width : natural) return signed is
variable const_vector : signed(width-1 downto 0) := (others => '0');
begin
-- Each iteration must sum or subtract arctg(1/(2^(stage-1)))
-- Only works for cordics up to 32 bits. Wider constants require
-- pre-generated tables, due to limitations in most VHDL tool's
const_vector := to_signed(integer(arctan(2.0**(real(1-stage)))/(MATH_2_PI)*(2.0**real(width))), width);
return const_vector;
end function;
begin -- architecture str
--TODO: for now, it only generates a rect_to_polar CORDIC. Adapt so we can
--generate other algorithms while reusing as much code as possible, so it
--will be easy to maintain and evolve - hardware is already hard enough.
x_inter(0) <= resize(x_i, x_i'length+2) & (g_bit_growth-1 downto 0 => '0');
y_inter(0) <= resize(y_i, y_i'length+2) & (g_bit_growth-1 downto 0 => '0');
z_inter(0) <= z_i; -- left aligned
control_x(0) <= y_i(y_i'left) = '1';
control_y(0) <= y_i(y_i'left) = '0';
cmp_valid_pipe : pipeline
generic map (
g_width => 1,
g_depth => g_stages)
port map (
data_i(0) => valid_i,
clk_i => clk_i,
ce_i => ce_i,
data_o(0) => valid_o);
CORE_STAGES : for stage in 1 to g_stages generate
--control_x(stage) <= y_inter(stage-1) < 0;
--control_y(stage) <= y_inter(stage-1) > 0;
x_shifted(stage) <= shift_right(x_inter(stage-1), stage-1);
y_shifted(stage) <= shift_right(y_inter(stage-1), stage-1);
cmp_x_stage : addsub
port map(
a_i => x_inter(stage-1),
b_i => y_shifted(stage),
sub_i => control_x(stage-1),
clk_i => clk_i,
ce_i => ce_i,
rst_i => rst_i,
result_o => x_inter(stage),
positive_o => open,
negative_o => open);
cmp_y_stage : addsub
port map(
a_i => y_inter(stage-1),
b_i => x_shifted(stage),
sub_i => control_y(stage-1),
clk_i => clk_i,
ce_i => ce_i,
rst_i => rst_i,
result_o => y_inter(stage),
positive_o => control_y(stage),
negative_o => control_x(stage));
cmp_z_stage : addsub
port map (
a_i => z_inter(stage-1),
b_i => stage_constant(1, stage, x_i'length),
sub_i => control_x(stage-1),
clk_i => clk_i,
ce_i => ce_i,
rst_i => rst_i,
result_o => z_inter(stage),
positive_o => open,
negative_o => open);
end generate;
--TODO: Round the output
x_o <= x_inter(g_stages)(c_width-1 downto g_bit_growth+2);
y_o <= y_inter(g_stages)(c_width-1 downto g_bit_growth+2);
z_o <= z_inter(g_stages);
end architecture str;
| gpl-3.0 | f8c02c9cc2681105055c33e49d5fe790 | 0.532084 | 3.569223 | false | false | false | false |
samrose3/trex-runner | DisplayDiver.vhd | 1 | 1,488 | ----------------------------------------------------------------------------------
-- Description: Driver for 4-digit 7-segment display.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.std_logic_UNSIGNED.ALL;
entity SegmentDriver is
Port ( disp1 : in std_logic_vector (6 downto 0);
disp2 : in std_logic_vector (6 downto 0);
disp3 : in std_logic_vector (6 downto 0);
disp4 : in std_logic_vector (6 downto 0);
clk : in std_logic;
display_seg : out std_logic_vector (6 downto 0);
display_ena : out std_logic_vector (3 downto 0));
end SegmentDriver;
architecture Behavioral of SegmentDriver is
signal cnt : std_logic_vector (1 downto 0);
begin
process (clk) begin
if (rising_edge(clk)) then
cnt <= cnt + 1;
if (cnt = "11") then
cnt <= "00";
end if;
case (cnt) is
when "00" =>
display_seg <= disp1;
display_ena <= "1110";
when "01" =>
display_seg <= disp2;
display_ena <= "1101";
when "10" =>
display_seg <= disp3;
display_ena <= "1011";
when "11" =>
display_seg <= disp4;
display_ena <= "0111";
when others =>
display_seg <= (others => '0');
display_ena <= "0000";
end case;
end if;
end process;
end Behavioral; | mit | 28aac14103be926402ae67bf814e5a19 | 0.471774 | 4.043478 | false | false | false | false |
aylons/concordic | hdl/modules/cordic_core/addsub.vhd | 1 | 3,341 | -------------------------------------------------------------------------------
-- Title : Dynamic adder/subtractor
-- Project :
-------------------------------------------------------------------------------
-- File : addsub.vhd
-- Author : Aylons <[email protected]>
-- Company :
-- Created : 2014-05-03
-- Last update: 2014-07-21
-- Platform :
-- Standard : VHDL'93/02/08
-------------------------------------------------------------------------------
-- Description: Depening on sub_i, result_o may be a_i + b_i or a_i - b_i.
-- The three widths must all be the same.
-------------------------------------------------------------------------------
-- This file is part of Concordic.
--
-- Concordic is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- Concordic is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- Copyright (c) 2014
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2014-05-03 1.0 aylons Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity addsub is
port (
a_i : in signed;
b_i : in signed;
sub_i : in boolean;
clk_i : in std_logic;
ce_i : in std_logic;
rst_i : in std_logic;
result_o : out signed;
positive_o : out boolean;
negative_o : out boolean
);
end entity addsub;
-------------------------------------------------------------------------------
architecture str of addsub is
constant width : natural := a_i'length;
signal a_1, b_1 : signed(width-1 downto 0) := (others => '0');
signal mux_result : signed (width-1 downto 0) := (others => '0');
begin -- architecture str
assert a_i'length = b_i'length
report "a_i and b_i have different widths"
severity error;
assert a_i'length = result_o'length
report "invalid result_o width"
severity error;
process(clk_i) is
variable result : signed(width-1 downto 0) := (others => '0');
begin
if rising_edge(clk_i) then
if rst_i = '1' then
result_o <= (width-1 downto 0 => '0');
positive_o <= true;
negative_o <= false;
else
if ce_i = '1' then
result := mux_result;
positive_o <= result(result'left) = '0';
negative_o <= result(result'left) = '1';
result_o <= result;
end if;
end if;
end if;
end process;
mux_result <= a_i + b_i when (not sub_i) else a_i - b_i;
end architecture str;
-------------------------------------------------------------------------------
| gpl-3.0 | 38fd7824076bc0b00af4bb8a9a21038a | 0.4783 | 4.245235 | false | false | false | false |
JeremySavonet/Eurobot-2017-Moon-Village | software/HPS_FPGA_LED/fpga/spi_master.vhd | 1 | 8,992 | --------------------------------------------------------------------------------
--
-- FileName: spi_master.vhd
-- Dependencies: none
-- Design Software: Quartus II Version 9.0 Build 132 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 7/23/2010 Scott Larson
-- Initial Public Release
-- Version 1.1 4/11/2013 Scott Larson
-- Corrected ModelSim simulation error (explicitly reset clk_toggles signal)
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY spi_master IS
GENERIC(
slaves : INTEGER := 4; --number of spi slaves
d_width : INTEGER := 2); --data bus width
PORT(
clock : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --asynchronous reset
enable : IN STD_LOGIC; --initiate transaction
cpol : IN STD_LOGIC; --spi clock polarity
cpha : IN STD_LOGIC; --spi clock phase
cont : IN STD_LOGIC; --continuous mode command
clk_div : IN INTEGER; --system clock cycles per 1/2 period of sclk
addr : IN INTEGER; --address of slave
tx_data : IN STD_LOGIC_VECTOR(d_width-1 DOWNTO 0); --data to transmit
miso : IN STD_LOGIC; --master in, slave out
sclk : BUFFER STD_LOGIC; --spi clock
ss_n : BUFFER STD_LOGIC_VECTOR(slaves-1 DOWNTO 0); --slave select
mosi : OUT STD_LOGIC; --master out, slave in
busy : OUT STD_LOGIC; --busy / data ready signal
rx_data : OUT STD_LOGIC_VECTOR(d_width-1 DOWNTO 0)); --data received
END spi_master;
ARCHITECTURE logic OF spi_master IS
TYPE machine IS(ready, execute); --state machine data type
SIGNAL state : machine; --current state
SIGNAL slave : INTEGER; --slave selected for current transaction
SIGNAL clk_ratio : INTEGER; --current clk_div
SIGNAL count : INTEGER; --counter to trigger sclk from system clock
SIGNAL clk_toggles : INTEGER RANGE 0 TO d_width*2 + 1; --count spi clock toggles
SIGNAL assert_data : STD_LOGIC; --'1' is tx sclk toggle, '0' is rx sclk toggle
SIGNAL continue : STD_LOGIC; --flag to continue transaction
SIGNAL rx_buffer : STD_LOGIC_VECTOR(d_width-1 DOWNTO 0); --receive data buffer
SIGNAL tx_buffer : STD_LOGIC_VECTOR(d_width-1 DOWNTO 0); --transmit data buffer
SIGNAL last_bit_rx : INTEGER RANGE 0 TO d_width*2; --last rx data bit location
BEGIN
PROCESS(clock, reset_n)
BEGIN
IF(reset_n = '0') THEN --reset system
busy <= '1'; --set busy signal
ss_n <= (OTHERS => '1'); --deassert all slave select lines
mosi <= '0'; --set master out to high impedance
rx_data <= (OTHERS => '0'); --clear receive data port
state <= ready; --go to ready state when reset is exited
ELSIF(clock'EVENT AND clock = '1') THEN
CASE state IS --state machine
WHEN ready =>
busy <= '0'; --clock out not busy signal
ss_n <= (OTHERS => '1'); --set all slave select outputs high
mosi <= '0'; --set mosi output high impedance
continue <= '0'; --clear continue flag
--user input to initiate transaction
IF(enable = '1') THEN
busy <= '1'; --set busy signal
IF(addr < slaves) THEN --check for valid slave address
slave <= addr; --clock in current slave selection if valid
ELSE
slave <= 0; --set to first slave if not valid
END IF;
IF(clk_div = 0) THEN --check for valid spi speed
clk_ratio <= 1; --set to maximum speed if zero
count <= 1; --initiate system-to-spi clock counter
ELSE
clk_ratio <= clk_div; --set to input selection if valid
count <= clk_div; --initiate system-to-spi clock counter
END IF;
sclk <= cpol; --set spi clock polarity
assert_data <= NOT cpha; --set spi clock phase
tx_buffer <= tx_data; --clock in data for transmit into buffer
clk_toggles <= 0; --initiate clock toggle counter
last_bit_rx <= d_width*2 + conv_integer(cpha) - 1; --set last rx data bit
state <= execute; --proceed to execute state
ELSE
state <= ready; --remain in ready state
END IF;
WHEN execute =>
busy <= '1'; --set busy signal
ss_n(slave) <= '0'; --set proper slave select output
--system clock to sclk ratio is met
IF(count = clk_ratio) THEN
count <= 1; --reset system-to-spi clock counter
assert_data <= NOT assert_data; --switch transmit/receive indicator
IF(clk_toggles = d_width*2 + 1) THEN
clk_toggles <= 0; --reset spi clock toggles counter
ELSE
clk_toggles <= clk_toggles + 1; --increment spi clock toggles counter
END IF;
--spi clock toggle needed
IF(clk_toggles <= d_width*2 AND ss_n(slave) = '0') THEN
sclk <= NOT sclk; --toggle spi clock
END IF;
--receive spi clock toggle
IF(assert_data = '0' AND clk_toggles < last_bit_rx + 1 AND ss_n(slave) = '0') THEN
rx_buffer <= rx_buffer(d_width-2 DOWNTO 0) & miso; --shift in received bit
END IF;
--transmit spi clock toggle
IF(assert_data = '1' AND clk_toggles < last_bit_rx) THEN
mosi <= tx_buffer(d_width-1); --clock out data bit
tx_buffer <= tx_buffer(d_width-2 DOWNTO 0) & '0'; --shift data transmit buffer
END IF;
--last data receive, but continue
IF(clk_toggles = last_bit_rx AND cont = '1') THEN
tx_buffer <= tx_data; --reload transmit buffer
clk_toggles <= last_bit_rx - d_width*2 + 1; --reset spi clock toggle counter
continue <= '1'; --set continue flag
END IF;
--normal end of transaction, but continue
IF(continue = '1') THEN
continue <= '0'; --clear continue flag
busy <= '0'; --clock out signal that first receive data is ready
rx_data <= rx_buffer; --clock out received data to output port
END IF;
--end of transaction
IF((clk_toggles = d_width*2 + 1) AND cont = '0') THEN
busy <= '0'; --clock out not busy signal
ss_n <= (OTHERS => '1'); --set all slave selects high
mosi <= '0'; --set mosi output high impedance
rx_data <= rx_buffer; --clock out received data to output port
state <= ready; --return to ready state
ELSE --not end of transaction
state <= execute; --remain in execute state
END IF;
ELSE --system clock to sclk ratio not met
count <= count + 1; --increment counter
state <= execute; --remain in execute state
END IF;
END CASE;
END IF;
END PROCESS;
END logic;
| gpl-3.0 | f977e674360c390ea05b4afe22fcc7a0 | 0.498888 | 4.625514 | false | false | false | false |
Hyvok/HardHeat | quartus/altera_pll_top.vhd | 1 | 17,629 | -- megafunction wizard: %Altera PLL v15.0%
-- GENERATION: XML
-- altera_pll_top.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity altera_pll_top is
port (
refclk : in std_logic := '0'; -- refclk.clk
rst : in std_logic := '0'; -- reset.reset
outclk_0 : out std_logic; -- outclk0.clk
locked : out std_logic -- locked.export
);
end entity altera_pll_top;
architecture rtl of altera_pll_top is
component altera_pll_top_0002 is
port (
refclk : in std_logic := 'X'; -- clk
rst : in std_logic := 'X'; -- reset
outclk_0 : out std_logic; -- clk
locked : out std_logic -- export
);
end component altera_pll_top_0002;
begin
altera_pll_top_inst : component altera_pll_top_0002
port map (
refclk => refclk, -- refclk.clk
rst => rst, -- reset.reset
outclk_0 => outclk_0, -- outclk0.clk
locked => locked -- locked.export
);
end architecture rtl; -- of altera_pll_top
-- Retrieval info: <?xml version="1.0"?>
--<!--
-- Generated by Altera MegaWizard Launcher Utility version 1.0
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
-- ************************************************************
-- Copyright (C) 1991-2015 Altera Corporation
-- Any megafunction design, and related net list (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only to
-- program PLD devices (but not masked PLD devices) from Altera. Any other
-- use of such megafunction design, net list, support information, device
-- programming or simulation file, or any other related documentation or
-- information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to
-- the intellectual property, including patents, copyrights, trademarks,
-- trade secrets, or maskworks, embodied in any such megafunction design,
-- net list, support information, device programming or simulation file, or
-- any other related documentation or information provided by Altera or a
-- megafunction partner, remains with Altera, the megafunction partner, or
-- their respective licensors. No other licenses, including any licenses
-- needed under any third party's intellectual property, are provided herein.
---->
-- Retrieval info: <instance entity-name="altera_pll" version="15.0" >
-- Retrieval info: <generic name="debug_print_output" value="false" />
-- Retrieval info: <generic name="debug_use_rbc_taf_method" value="false" />
-- Retrieval info: <generic name="device_family" value="Cyclone V" />
-- Retrieval info: <generic name="device" value="Unknown" />
-- Retrieval info: <generic name="gui_device_speed_grade" value="1" />
-- Retrieval info: <generic name="gui_pll_mode" value="Integer-N PLL" />
-- Retrieval info: <generic name="gui_reference_clock_frequency" value="50.0" />
-- Retrieval info: <generic name="gui_channel_spacing" value="0.0" />
-- Retrieval info: <generic name="gui_operation_mode" value="direct" />
-- Retrieval info: <generic name="gui_feedback_clock" value="Global Clock" />
-- Retrieval info: <generic name="gui_fractional_cout" value="32" />
-- Retrieval info: <generic name="gui_dsm_out_sel" value="1st_order" />
-- Retrieval info: <generic name="gui_use_locked" value="true" />
-- Retrieval info: <generic name="gui_en_adv_params" value="false" />
-- Retrieval info: <generic name="gui_number_of_clocks" value="1" />
-- Retrieval info: <generic name="gui_multiply_factor" value="1" />
-- Retrieval info: <generic name="gui_frac_multiply_factor" value="1" />
-- Retrieval info: <generic name="gui_divide_factor_n" value="1" />
-- Retrieval info: <generic name="gui_cascade_counter0" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency0" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c0" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency0" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units0" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift0" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg0" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift0" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle0" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter1" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency1" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c1" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency1" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units1" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift1" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg1" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift1" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle1" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter2" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency2" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c2" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency2" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units2" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift2" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg2" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift2" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle2" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter3" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency3" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c3" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency3" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units3" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift3" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg3" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift3" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle3" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter4" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency4" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c4" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency4" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units4" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift4" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg4" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift4" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle4" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter5" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency5" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c5" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency5" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units5" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift5" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg5" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift5" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle5" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter6" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency6" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c6" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency6" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units6" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift6" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg6" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift6" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle6" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter7" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency7" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c7" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency7" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units7" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift7" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg7" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift7" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle7" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter8" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency8" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c8" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency8" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units8" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift8" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg8" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift8" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle8" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter9" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency9" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c9" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency9" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units9" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift9" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg9" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift9" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle9" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter10" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency10" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c10" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency10" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units10" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift10" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg10" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift10" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle10" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter11" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency11" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c11" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency11" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units11" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift11" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg11" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift11" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle11" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter12" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency12" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c12" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency12" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units12" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift12" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg12" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift12" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle12" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter13" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency13" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c13" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency13" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units13" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift13" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg13" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift13" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle13" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter14" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency14" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c14" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency14" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units14" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift14" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg14" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift14" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle14" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter15" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency15" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c15" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency15" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units15" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift15" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg15" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift15" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle15" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter16" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency16" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c16" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency16" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units16" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift16" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg16" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift16" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle16" value="50" />
-- Retrieval info: <generic name="gui_cascade_counter17" value="false" />
-- Retrieval info: <generic name="gui_output_clock_frequency17" value="100.0" />
-- Retrieval info: <generic name="gui_divide_factor_c17" value="1" />
-- Retrieval info: <generic name="gui_actual_output_clock_frequency17" value="0 MHz" />
-- Retrieval info: <generic name="gui_ps_units17" value="ps" />
-- Retrieval info: <generic name="gui_phase_shift17" value="0" />
-- Retrieval info: <generic name="gui_phase_shift_deg17" value="0.0" />
-- Retrieval info: <generic name="gui_actual_phase_shift17" value="0" />
-- Retrieval info: <generic name="gui_duty_cycle17" value="50" />
-- Retrieval info: <generic name="gui_pll_auto_reset" value="Off" />
-- Retrieval info: <generic name="gui_pll_bandwidth_preset" value="Auto" />
-- Retrieval info: <generic name="gui_en_reconf" value="false" />
-- Retrieval info: <generic name="gui_en_dps_ports" value="false" />
-- Retrieval info: <generic name="gui_en_phout_ports" value="false" />
-- Retrieval info: <generic name="gui_phout_division" value="1" />
-- Retrieval info: <generic name="gui_mif_generate" value="false" />
-- Retrieval info: <generic name="gui_enable_mif_dps" value="false" />
-- Retrieval info: <generic name="gui_dps_cntr" value="C0" />
-- Retrieval info: <generic name="gui_dps_num" value="1" />
-- Retrieval info: <generic name="gui_dps_dir" value="Positive" />
-- Retrieval info: <generic name="gui_refclk_switch" value="false" />
-- Retrieval info: <generic name="gui_refclk1_frequency" value="100.0" />
-- Retrieval info: <generic name="gui_switchover_mode" value="Automatic Switchover" />
-- Retrieval info: <generic name="gui_switchover_delay" value="0" />
-- Retrieval info: <generic name="gui_active_clk" value="false" />
-- Retrieval info: <generic name="gui_clk_bad" value="false" />
-- Retrieval info: <generic name="gui_enable_cascade_out" value="false" />
-- Retrieval info: <generic name="gui_cascade_outclk_index" value="0" />
-- Retrieval info: <generic name="gui_enable_cascade_in" value="false" />
-- Retrieval info: <generic name="gui_pll_cascading_mode" value="Create an adjpllin signal to connect with an upstream PLL" />
-- Retrieval info: </instance>
-- IPFS_FILES : altera_pll_top.vho
-- RELATED_FILES: altera_pll_top.vhd, altera_pll_top_0002.v
| mit | 5f6ba931a5b8d54b38e2e1989e339efd | 0.68444 | 3.08415 | false | false | false | false |
zhlinh/vhdl_course | Assignment/IMG_LSB/LSB_INSERT.vhd | 1 | 3,295 | --LSB_INSERT entity
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE WORK.MYTYPE.ALL;
ENTITY LSB_INSERT IS
PORT(ENABLE: IN STD_LOGIC;
CLK: IN STD_LOGIC;
RESET: IN STD_LOGIC;
Y_IN: IN COLOR;
U_IN: IN COLOR;
V_IN: IN COLOR;
A_COL: IN COLOR;
A_ROW: IN COLOR;
B_COL: IN COLOR;
B_ROW: IN COLOR;
C_COL: IN COLOR;
C_ROW: IN COLOR;
FIXED_Y_OUT: OUT COLOR;
U_OUT: OUT COLOR;
V_OUT: OUT COLOR);
END ENTITY LSB_INSERT;
ARCHITECTURE ART1 OF LSB_INSERT IS
CONSTANT SEQ1: STD_LOGIC_VECTOR(0 TO 4):="01101";
CONSTANT SEQ2: STD_LOGIC_VECTOR(0 TO 4):="10101";
CONSTANT SEQ3: STD_LOGIC_VECTOR(0 TO 4):="11001";
SIGNAL AA: INTEGER RANGE 0 TO 65535;
SIGNAL BB: INTEGER RANGE 0 TO 65535;
SIGNAL CC: INTEGER RANGE 0 TO 65535;
BEGIN
COMPUTE: PROCESS(A_ROW,A_COL,B_ROW,B_COL,C_ROW,C_COL)
BEGIN
AA<=256*A_ROW+A_COL+1;
BB<=256*B_ROW+B_COL+1;
CC<=256*C_ROW+C_COL+1;
END PROCESS;
CLOCK: PROCESS(CLK,RESET,ENABLE)
VARIABLE FIXED_Y_REM: COLOR;
VARIABLE GREY_REM: STD_LOGIC_VECTOR(7 DOWNTO 0);
VARIABLE SEQ1_I: INTEGER RANGE 0 TO 9;
VARIABLE SEQ2_I: INTEGER RANGE 0 TO 9;
VARIABLE SEQ3_I: INTEGER RANGE 0 TO 9;
--COUNT的范围是256*256
VARIABLE COUNT: INTEGER RANGE 0 TO 65536;
BEGIN
IF(RESET='1' OR ENABLE='0') THEN
COUNT:=0;
SEQ1_I:=0;
SEQ2_I:=0;
SEQ3_I:=0;
FIXED_Y_OUT<=0;
U_OUT<=0;
V_OUT<=0;
ELSIF(COUNT=65536) THEN
FIXED_Y_OUT<=0;
U_OUT<=0;
V_OUT<=0;
ELSIF(CLK'EVENT AND CLK='1') THEN
IF( (COUNT>=AA) AND (COUNT<=AA+SEQ1'HIGH)) THEN
-- 取出灰度的8 bit 数据
GREY_REM:= CONV_STD_LOGIC_VECTOR(Y_IN, 8);
-- 更改 LSB位
GREY_REM(0):= SEQ1(SEQ1_I);
SEQ1_I:=SEQ1_I+1;
-- 将更改输出
FIXED_Y_REM:= CONV_INTEGER(UNSIGNED(GREY_REM));
FIXED_Y_OUT<= FIXED_Y_REM;
ELSIF( (COUNT>=BB) AND (COUNT<=BB+SEQ2'HIGH)) THEN
-- 取出灰度的8 bit 数据
GREY_REM:= CONV_STD_LOGIC_VECTOR(Y_IN, 8);
-- 更改 LSB位
GREY_REM(0):= SEQ2(SEQ2_I);
SEQ2_I:=SEQ2_I+1;
-- 将更改输出
FIXED_Y_REM:= CONV_INTEGER(UNSIGNED(GREY_REM));
FIXED_Y_OUT<= FIXED_Y_REM;
ELSIF( (COUNT>=CC) AND (COUNT<=CC+SEQ3'HIGH)) THEN
-- 取出灰度的8 bit 数据
GREY_REM:= CONV_STD_LOGIC_VECTOR(Y_IN, 8);
-- 更改 LSB位
GREY_REM(0):= SEQ3(SEQ3_I);
SEQ3_I:=SEQ3_I+1;
-- 将更改输出
FIXED_Y_REM:= CONV_INTEGER(UNSIGNED(GREY_REM));
FIXED_Y_OUT<= FIXED_Y_REM;
ELSE
FIXED_Y_OUT<=Y_IN;
END IF;
U_OUT<=U_IN;
V_OUT<=V_IN;
COUNT:=COUNT+1;
END IF;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | 63bedde83d1f1d2fd36572913dfea4c3 | 0.493901 | 3.226034 | false | false | false | false |
suoto/hdlcc | .ci/test_support/test_project/another_library/foo.vhd | 1 | 1,185 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library basic_library;
entity foo is
generic (
DIVIDER_A : integer := 10;
DIVIDER_B : integer := 20
);
port (
rst_a, clk_in_a : in std_logic;
clk_out_a : out std_logic;
rst_b, clk_in_b : in std_logic;
clk_out_b : out std_logic
);
end foo;
architecture foo of foo is
-- A signal declaration that generates a warning
signal neat_signal : std_logic_vector(DIVIDER_A + DIVIDER_B - 1 downto 0) := (others => '0');
begin
clk_div_a : entity basic_library.clock_divider
generic map (
DIVIDER => DIVIDER_A
)
port map (
reset => rst_a,
clk_input => clk_in_a,
clk_output => clk_out_a
);
clk_div_b : entity basic_library.clock_divider
generic map (
DIVIDER => DIVIDER_B
)
port map (
reset => rst_b,
clk_input => clk_in_b,
clk_output => clk_out_b
);
-----------------------------
-- Asynchronous asignments --
-----------------------------
end foo;
| gpl-3.0 | 6551619ce9d80dbba4a0cd1e09a18713 | 0.490295 | 3.785942 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/i2c_master.vhd | 2 | 19,620 | ----------------------------------------------------------------------------------
--This is adapted from this code: https://eewiki.net/pages/viewpage.action?pageId=10125324
<<<<<<< HEAD
--modification: now sends 3 I2C packets with only a single ena signal pulse:
--write: [device id | register address | value]
--read: [device id |w| register address |device id|r| value]
--Also had to modify it to do the correct read sequence
--fixed the scl stretch bug
=======
--modification: now sends 3 I2C packets with only a single ena signal pulse. [device id | register address | value]
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
--by: Jie (Jack) Zhang MWL-MIT
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity i2c_master is
generic (
<<<<<<< HEAD
input_clk : integer := 20_000_000; --input clock speed from user logic in Hz
bus_clk : integer := 200_000); --speed the i2c bus (scl) will run at in Hz
port (
clk : in std_logic; --system clock
reset : in std_logic; --active high reset
ena : in std_logic; --latch in command
devid : in std_logic_vector(6 downto 0); --device id of target slave
addr : in std_logic_vector(7 downto 0);
rw : in std_logic; --'0' is write, '1' is read
data_wr : in std_logic_vector(7 downto 0); --data to write to slave
busy : out std_logic; --indicates transaction in progress
data_rd : out std_logic_vector(7 downto 0); --data read from slave
ack_error : buffer std_logic; --flag if improper acknowledge from slave
rd_from_remote : in std_logic;
sda : inout std_logic; --serial data output of i2c bus
scl : inout std_logic); --serial clock output of i2c bus
=======
input_clk : integer := 50_000_000; --input clock speed from user logic in Hz
bus_clk : integer := 500_000); --speed the i2c bus (scl) will run at in Hz
port (
clk : in std_logic; --system clock
reset : in std_logic; --active high reset
ena : in std_logic; --latch in command
devid : in std_logic_vector(6 downto 0); --device id of target slave
addr : in std_logic_vector(7 downto 0);
rw : in std_logic; --'0' is write, '1' is read
data_wr : in std_logic_vector(7 downto 0); --data to write to slave
busy : out std_logic; --indicates transaction in progress
data_rd : out std_logic_vector(7 downto 0); --data read from slave
ack_error : buffer std_logic; --flag if improper acknowledge from slave
sda : inout std_logic; --serial data output of i2c bus
scl : inout std_logic); --serial clock output of i2c bus
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
end i2c_master;
architecture Behavioral of i2c_master is
constant divider : integer := (input_clk/bus_clk)/4; --number of clocks in 1/4 cycle of scl
type machine is(ready, start, command, slv_ack1, wr, rd, slv_ack2, mstr_ack, stop); --needed states
<<<<<<< HEAD
signal state : machine; --state machine
signal data_clk : std_logic; --data clock for sda
signal data_clk_prev : std_logic; --data clock during previous system clock
signal scl_clk : std_logic; --constantly running internal scl
signal scl_ena : std_logic := '0'; --enables internal scl to output
signal sda_int : std_logic := '1'; --internal sda
signal sda_ena_n : std_logic; --enables internal sda to output
signal devid_rw : std_logic_vector(7 downto 0); --latched in device id and read/write
signal regaddr : std_logic_vector(7 downto 0);
signal data_tx : std_logic_vector(7 downto 0); --latched in data to write to slave
signal data_rx : std_logic_vector(7 downto 0); --data received from slave
signal bit_cnt : integer range 0 to 7 := 7; --tracks bit number in transaction
signal stretch : std_logic := '0'; --identifies if slave is stretching scl
signal datacnt : std_logic := '0';
signal rd_cnt : std_logic := '0';
signal scl_cnt : unsigned(6 downto 0);
begin
--generate the timing for the bus clock (scl_clk) and the data clock (data_clk)
process (clk, reset, scl)
=======
signal state : machine; --state machine
signal data_clk : std_logic; --data clock for sda
signal data_clk_prev : std_logic; --data clock during previous system clock
signal scl_clk : std_logic; --constantly running internal scl
signal scl_ena : std_logic := '0'; --enables internal scl to output
signal sda_int : std_logic := '1'; --internal sda
signal sda_ena_n : std_logic; --enables internal sda to output
signal devid_rw : std_logic_vector(7 downto 0); --latched in device id and read/write
signal regaddr : std_logic_vector(7 downto 0);
signal data_tx : std_logic_vector(7 downto 0); --latched in data to write to slave
signal data_rx : std_logic_vector(7 downto 0); --data received from slave
signal bit_cnt : integer range 0 to 7 := 7; --tracks bit number in transaction
signal stretch : std_logic := '0'; --identifies if slave is stretching scl
signal datacnt : std_logic := '0';
--signal count_unsigned : unsigned(10 downto 0);
begin
--generate the timing for the bus clock (scl_clk) and the data clock (data_clk)
process (clk, reset)
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
variable count : integer range 0 to divider * 4; --timing for clock generation
begin
--count_unsigned <= to_unsigned(count, 11);
if (reset = '1') then --reset asserted
stretch <= '0';
count := 0;
elsif (rising_edge(clk)) then
data_clk_prev <= data_clk; --store previous value of data clock
if (count = divider * 4 - 1) then --end of timing cycle
count := 0; --reset timer
elsif (stretch = '0') then --clock stretching from slave not detected
count := count + 1; --continue clock generation timing
end if;
case count is
when 0 to divider - 1 => --first 1/4 cycle of clocking
<<<<<<< HEAD
scl_clk <= '0';
data_clk <= '0';
when divider to divider * 2 - 1 => --second 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '1';
when divider * 2 to divider * 3 - 1 => --third 1/4 cycle of clocking
scl_clk <= '1'; --release scl
if count = (divider * 2 + 1) then
if (scl = '0') then --detect if slave is stretching clock
stretch <= '1';
else
stretch <= '0';
end if;
end if;
data_clk <= '1';
when others => --last 1/4 cycle of clocking
scl_clk <= '1';
data_clk <= '0';
end case;
end if;
scl_cnt <= to_unsigned(count, 7);
end process;
--state machine and writing to sda during scl low (data_clk rising edge)
process (clk, reset, datacnt, rd_cnt, bit_cnt, rd_from_remote)
begin
if (reset = '1') then --reset asserted
state <= ready; --return to initial state
busy <= '1'; --indicate not available
scl_ena <= '0'; --sets scl high impedance
sda_int <= '1'; --sets sda high impedance
ack_error <= '0'; --clear acknowledge error flag
bit_cnt <= 7; --restarts data bit counter
data_rd <= "00000000"; --clear data read port
datacnt <= '0';
rd_cnt <= '0';
=======
scl_clk <= '0';
data_clk <= '0';
when divider to divider * 2 - 1 => --second 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '1';
when divider * 2 to divider * 3 - 1 => --third 1/4 cycle of clocking
scl_clk <= '1'; --release scl
if (scl = '0') then --detect if slave is stretching clock
stretch <= '1';
else
stretch <= '0';
end if;
data_clk <= '1';
when others => --last 1/4 cycle of clocking
scl_clk <= '1';
data_clk <= '0';
end case;
end if;
end process;
--state machine and writing to sda during scl low (data_clk rising edge)
process (clk, reset, datacnt)
begin
if (reset = '1') then --reset asserted
state <= ready; --return to initial state
busy <= '1'; --indicate not available
scl_ena <= '0'; --sets scl high impedance
sda_int <= '1'; --sets sda high impedance
ack_error <= '0'; --clear acknowledge error flag
bit_cnt <= 7; --restarts data bit counter
data_rd <= "00000000"; --clear data read port
datacnt <= '0';
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
elsif (rising_edge(clk)) then
if (data_clk = '1' and data_clk_prev = '0') then --data clock rising edge
case state is
when ready => --idle state
if (ena = '1') then --transaction requested
<<<<<<< HEAD
busy <= '1'; --flag busy
devid_rw <= devid & rw; --collect requested slave device id and command
data_tx <= data_wr; --collect requested data to write
regaddr <= addr;
state <= start; --go to start bit
else --remain idle
busy <= '0'; --unflag busy
state <= ready; --remain idle
end if;
datacnt <= '0'; --reset data counter
rd_cnt <= '0'; --set the ready counter
when start => --start bit of transaction
busy <= '1'; --resume busy if continuous mode
sda_int <= devid_rw(bit_cnt); --set first device id bit to bus
state <= command; --go to command
when command => --device id and command byte of transaction
if rd_from_remote = '0' then
if (bit_cnt = 0) then --command transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
elsif (bit_cnt = 1) then
if devid_rw(0) = '0' then
sda_int <= devid_rw(bit_cnt - 1);
else
if rd_cnt = '0' then
sda_int <= '0';
else
sda_int <= '1';
end if;
end if;
bit_cnt <= bit_cnt - 1;
state <= command;
else --next clock cycle of command state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= command; --continue with command
sda_int <= devid_rw(bit_cnt - 1); --write device id/command bit to bus
end if;
else
if (bit_cnt = 0) then --command transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
elsif (bit_cnt = 1) then
if devid_rw(0) = '0' then
sda_int <= devid_rw(bit_cnt - 1);
state <= command;
bit_cnt <= bit_cnt - 1;
else
if rd_cnt = '0' then
sda_int <= '1';
bit_cnt <= bit_cnt - 1;
state <= command;
else
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
end if;
end if;
else --next clock cycle of command state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= command; --continue with command
sda_int <= devid_rw(bit_cnt - 1); --write device id/command bit to bus
end if;
=======
busy <= '1'; --flag busy
devid_rw <= devid & rw; --collect requested slave device id and command
data_tx <= data_wr; --collect requested data to write
regaddr <= addr;
state <= start; --go to start bit
else --remain idle
busy <= '0'; --unflag busy
state <= ready; --remain idle
end if;
datacnt <= '0'; --reset data counter
when start => --start bit of transaction
busy <= '1'; --resume busy if continuous mode
sda_int <= devid_rw(bit_cnt); --set first device id bit to bus
state <= command; --go to command
when command => --device id and command byte of transaction
if (bit_cnt = 0) then --command transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
else --next clock cycle of command state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= devid_rw(bit_cnt - 1); --write device id/command bit to bus
state <= command; --continue with command
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
end if;
when slv_ack1 => --slave acknowledge bit (command)
if (devid_rw(0) = '0') then --write command
sda_int <= regaddr(bit_cnt); --write first bit of data
<<<<<<< HEAD
state <= wr; --go to write byte
else --read command
if rd_cnt = '0' then
sda_int <= regaddr(bit_cnt); --write first bit of data
state <= wr; --go to write byte
rd_cnt <= '1';
else
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
end if;
=======
state <= wr; --go to write byte
else --read command
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
end if;
when wr => --write byte of transaction
busy <= '1'; --resume busy if continuous mode
if (bit_cnt = 0) then --write byte transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
<<<<<<< HEAD
state <= slv_ack2; --go to slave acknowledge (write)
=======
state <= slv_ack2; --go to slave acknowledge (write)
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
else --next clock cycle of write state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
if datacnt = '0' then
sda_int <= regaddr(bit_cnt - 1); --write next bit to bus
else
sda_int <= data_tx(bit_cnt - 1); --write next bit to bus
end if;
state <= wr; --continue writing
end if;
when rd => --read byte of transaction
busy <= '1'; --resume busy if continuous mode
if (bit_cnt = 0) then --read byte receive finished
if (ena = '1' and devid_rw = devid & rw) then --continuing with another read at same device id
sda_int <= '0'; --acknowledge the byte has been received
else --stopping or continuing with a write
sda_int <= '1'; --send a no-acknowledge (before stop or repeated start)
end if;
bit_cnt <= 7; --reset bit counter for "byte" states
data_rd <= data_rx; --output received data
<<<<<<< HEAD
state <= mstr_ack; --go to master acknowledge
else --next clock cycle of read state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= rd; --continue reading
end if;
when slv_ack2 => --slave acknowledge bit (write)
if rw = '0' then --write
if (datacnt = '0') then --continue transaction
busy <= '1'; --continue is accepted
--devid_rw <= devid & rw; --collect requested slave device id and command
sda_int <= data_tx(bit_cnt); --write first bit of data
state <= wr; --go to write byte
datacnt <= '1';
else --complete transaction
state <= stop; --go to stop bit
end if;
else
state <= start;
devid_rw <= devid & rw;
bit_cnt <= 7;
end if;
when mstr_ack => --master acknowledge bit after a read
if (ena = '1') then --continue transaction
busy <= '0'; --continue is accepted and data received is available on bus
devid_rw <= devid & rw; --collect requested slave device id and command
data_tx <= data_wr; --collect requested data to write
if (devid_rw = devid & rw) then --continue transaction with another read
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
else --continue transaction with a write or new slave
state <= start; --repeated start
end if;
=======
state <= mstr_ack; --go to master acknowledge
else --next clock cycle of read state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= rd; --continue reading
end if;
when slv_ack2 => --slave acknowledge bit (write)
if (datacnt = '0') then --continue transaction
busy <= '1'; --continue is accepted
--devid_rw <= devid & rw; --collect requested slave device id and command
sda_int <= data_tx(bit_cnt); --write first bit of data
state <= wr; --go to write byte
datacnt <= '1';
else --complete transaction
state <= stop; --go to stop bit
end if;
when mstr_ack => --master acknowledge bit after a read
if (ena = '1') then --continue transaction
busy <= '0'; --continue is accepted and data received is available on bus
devid_rw <= devid & rw; --collect requested slave device id and command
data_tx <= data_wr; --collect requested data to write
if (devid_rw = devid & rw) then --continue transaction with another read
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
else --continue transaction with a write or new slave
state <= start; --repeated start
end if;
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
else --complete transaction
state <= stop; --go to stop bit
end if;
when stop => --stop bit of transaction
<<<<<<< HEAD
busy <= '0'; --unflag busy
state <= ready; --go to idle state
end case;
=======
busy <= '0'; --unflag busy
state <= ready; --go to idle state
end case;
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
elsif (data_clk = '0' and data_clk_prev = '1') then --data clock falling edge
case state is
when start =>
if (scl_ena = '0') then --starting new transaction
<<<<<<< HEAD
scl_ena <= '1'; --enable scl output
=======
scl_ena <= '1'; --enable scl output
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
ack_error <= '0'; --reset acknowledge error output
end if;
when slv_ack1 => --receiving slave acknowledge (command)
if (sda /= '0' or ack_error = '1') then --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
end if;
when rd => --receiving slave data
data_rx(bit_cnt) <= sda; --receive current slave data bit
when slv_ack2 => --receiving slave acknowledge (write)
if (sda /= '0' or ack_error = '1') then --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
end if;
when stop =>
scl_ena <= '0'; --disable scl
when others =>
null;
end case;
end if;
end if;
<<<<<<< HEAD
end process;
=======
end process;
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
--set sda output
with state select
sda_ena_n <= data_clk_prev when start, --generate start condition
not data_clk_prev when stop, --generate stop condition
<<<<<<< HEAD
sda_int when others; --set to internal sda signal
=======
sda_int when others; --set to internal sda signal
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
--set scl and sda outputs
scl <= '0' when (scl_ena = '1' and scl_clk = '0') else 'Z';
sda <= '0' when sda_ena_n = '0' else 'Z';
end Behavioral; | mit | 22d9e036ab505cc34ac05f3256168ad5 | 0.592151 | 3.451795 | false | false | false | false |
zhlinh/vhdl_course | Assignment/IMG_LSB/CHOOSE.vhd | 1 | 1,776 | --CHOOSE entity
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CHOOSE IS
PORT(RESET: IN STD_LOGIC;
CLK: IN STD_LOGIC;
SEL: IN STD_LOGIC_VECTOR(1 DOWNTO 0);
TO_TRANS: OUT STD_LOGIC;
TO_INSERT: OUT STD_LOGIC;
TO_DETECT: OUT STD_LOGIC;
TO_HIDE: OUT STD_LOGIC;
TO_UNHIDE: OUT STD_LOGIC);
END ENTITY CHOOSE;
ARCHITECTURE ART1 OF CHOOSE IS
BEGIN
PROCESS(SEL,RESET)
BEGIN
IF(RESET='1') THEN
--TO_TRANS连接的是RGB2YUV,因为隐藏/解隐藏信息直接利用RGB
TO_TRANS<= '0';
TO_INSERT<= '0';
TO_DETECT<= '0';
TO_HIDE<= '0';
TO_UNHIDE<= '0';
ELSE
IF(SEL="00") THEN
TO_TRANS<= '1';
TO_INSERT<= '1';
TO_DETECT<= '0';
TO_HIDE<= '0';
TO_UNHIDE<= '0';
ELSIF(SEL="01") THEN
TO_TRANS<= '1';
TO_INSERT<= '0';
TO_DETECT<= '1';
TO_HIDE<= '0';
TO_UNHIDE<= '0';
ELSIF(SEL="10") THEN
TO_TRANS<= '0';
TO_INSERT<= '0';
TO_DETECT<= '0';
TO_HIDE<= '1';
TO_UNHIDE<= '0';
ELSIF(SEL="11") THEN
TO_TRANS<= '0';
TO_INSERT<= '0';
TO_DETECT<= '0';
TO_HIDE<= '0';
TO_UNHIDE<= '1';
ELSE
TO_TRANS<= '0';
TO_INSERT<= '0';
TO_DETECT<= '0';
TO_HIDE<= '0';
TO_UNHIDE<= '0';
END IF;
END IF;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | 0ea61d94ec79ce9d72649325aa83e9e4 | 0.401837 | 3.555102 | false | false | false | false |
summershrimp/VHDLClock | Timer.vhd | 1 | 1,185 | Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
Entity Timer is
Port(
clk, seth, setm: in std_logic;
ssig: out std_logic;
hsigh, msigh: out std_logic_vector(2 downto 0);
hsigl, msigl: out std_logic_vector(3 downto 0)
);
End Entity;
Architecture ArchTimer of Timer is
Component Counter60
Port(
h:out std_logic_vector(2 downto 0);
l:out std_logic_vector(3 downto 0);
co:out std_logic;
en:in std_logic;
clk:in std_logic;
rst:in std_logic
);
End Component Counter60;
Component Counter24
Port(
h:out std_logic_vector(2 downto 0);
l:out std_logic_vector(3 downto 0);
co:out std_logic;
en:in std_logic;
clk:in std_logic;
rst:in std_logic
);
End Component Counter24;
Signal hclk, mclk, hco, mco, srst, en, gnd: std_logic;
Begin
en <= '1';
gnd <= '0';
Sec:Counter60
Port Map(co=> mco, en=>en, clk=>clk, rst=>srst );
Min:Counter60
Port Map(msigh, msigl, hco, en, mclk , gnd);
Hor:Counter24
Port Map(h=>hsigh, l=>hsigl, en=>en, clk=>hclk, rst=>gnd );
ssig <= clk;
hclk<= seth or hco;
mclk<= setm or mco;
srst<= seth or setm;
End Architecture;
| gpl-2.0 | 66daf41af5a731a1d80ff94bc5a39c40 | 0.636287 | 2.615894 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/c_addsub_v12_0_vh_rfs.vhd | 1 | 402,790 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fgcHMpDB8YeTXYpoTsmWZ+EpGtmp4ttE4rhLnGC39GZlQ/zJoTz5jsPoaLlJ4UWaOu8wsqCjidiH
ybd7UHh7Iw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ce9yJwkNvACWlmAFVwVRYhOdCIZZzBisSiZXBvx+NUYf+lxZv1vyZmKRIRYhYQFaRqRT7XkpC1Ec
t+dZjN7MGpN15tip1+lCNk6nbQTIhD1RPmDELP6pl456iOpiQ/ZN6oyRQX4m6uADI4VCap54SPA7
xDAZ2Ihv8VRQzQqKu2s=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yzmtZb9/BZ4O/Gzgyl5TFGS/WtQ6cUQXqy/uOVfW7wlrbPwEqT6tWciKofSv+CyJbJIVPzK8DKFI
WQJqQsaQvwHoiKvvvszZkqgvpH3DwEqS4ynnWHOJwHB19GcoOlPWiy5xKdCVGPEiN2a3E1iFT033
EH5wjBAeJPtvdeyOkLI=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CczA2H+PI5anFc9KY7UKsIXFVNBdxrwuLyvm1R1kZm0IbddJ4LwKIbI7KyLLLLbAYBBP7wxcLmqe
F9t3HL4MVpwq4k/EpCH4FZDSvMDxPR4bzVAo6o1yJxQXLW3+NZAmPCzXZqtSSPYLsiDU+W9GQY7+
1HWJeXGRV4YcEBFMrw/6x47O9rznZwcpvN7ClnZOjFMV6qkda3BftQcnNwgtp2N+BsKgboXbAHKV
zExUhY74xU/ifF/nfy2HapVTvKKx6cjozDkWbYKX6BcnoM4cdYRte+0OO03Cf6J1WNNEdLgK4TLC
xfJwAliutWUOQcBc87pWvMgPIGLJ8vlUAFdzRw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XUGyGZ3f6ddj79v8VJz6tFeEU1K7Q7eXpxBZjIxiM9EM6yeIzUyNcfTtjPmDnlZ+RUHPbdJfKXo/
vqrH8/IP17g81z0rmYCYrrLWAiXXZVnF33Sby5XqXm99P3kbjtJRUqQHubpP9lxi/BwNEM2b+PmB
MKEcRy3QhkDyijUSVDevumkng5ROIwALCPIoFsnDOvu87+q48wG4+JVioc576FSFqZ3zKH+xln5a
0tGbwFbo8dlg6OZgf95UN2jhgacGA/kl7qJB8Iq/75yAi5qhWYQjCPA9zQ2g0VZuIoCqrY5Pz1/d
5FX25JIJkfhGP0833RpKbY6Iszo7lIM1Sha3sw==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sY1OhSYlEri9JAkBv9M84SAJcAs2KDpaHeB2dfqS3s74ysd3frt6CyyFk6hNda0+culDUsAjRUVs
x11BMQ4Oo7lonjFlIt6y+8rL2UDn4GB+aEZFgEeAaV6hVtqs+l5mrUc4tqz0ovbu6bKxjVkhrpr9
3LZPe0AWv46dj3Mj7RdMEOaFBpgrDS7uox/mmIiEy3WXfqMlwahcqdRCOkGRbxZDtQPSXfHTdMia
rbflvAE/8GSkcXysduuffU6QTMADbxxBxy1skDfog0aCf/7pXE/cMgAqJn0d/nI9LN6/w/OjZCaV
itzFnyqX9yNrnz11rwDQtSNe9wLD5gUpgOeQrQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 296032)
`protect data_block
9A40ATusYWFjYizG/N7KJoMXxBwsAFDRQEFRGzpyNeUknDol+LVvoU/GjM63eSlfNUZIzke2mxke
0vnKhUau/ZvPVNAA03GmEjiSwffpGRbWdIgj0vSPfSFbmsrsp9zMNqoHIQS44mZTvtMK4/M6CJxO
SFSX3Esu3Q/brpuR3Ry2G4Z6h6kOqKV33xphO0Z05Xo1jSXKs1dt+YOUXJfqQbpLqPPnFdelrMa1
L+7go2Lxxkd2WiBPck3x7n8mGLxCdxs3hGP4nMkRPbwNGVIbqBQp4HMr5yNwK1lequ5Boa/4n8uf
trczVSL2byea/KrnL+ZCupVW8RpEPbYrtajmbeVchOUNEnIZAfa4DQT6Zx6DvS8xolpCMR78AMij
b+C9Vdbu3/7t97qb4bmepDClt8AKnM3y9QfACquTcY+kcWAJ94ymBFVHSdZoCYzAikSUQO1BJdwA
rTIS9Gy+Jn4kwQIB2DIeN1BHE9dPKd243y8XxbhFBDc3tCYztk09pXn0htLLikupbvOopmKTZadn
w9Y71EdcZFe/qPZY0aawJH3Uci6B98SBSonLwQf4fbsfm9OrP23i5udqE87MAqXceIwvqCNXhRX/
NPb0S0fIgp7UGDcKY1TcD7WZ8dPEVIcQkIR8EvruLZZ2wmr7UomsT4KK3zzTX6lLqf6djrbbCCMR
yad4LCXNM+KQy8VHHhnuz9MUb56qubhsfEY94M6HcOla3RctPUcC+aWOiMA4z4cbg3YDTalTNSRa
I9hH09tphUaOdWn7OHmMMrDZ+UMfFFRUxC6h3doI0M1SKqwUAZe7c7xBTky1rSODxNm+rFCD7AjB
KcFmhgzZyUktu1J8opAadLochO76CAFlfy5zbB1XW76WBXA80Pnblqzb6ukejsnfVnxufMIh9e5r
eck5VsE55KQgaiajEDlEssX7BSyjPlEwwQqQWkTjVN6jhWiyzAo7MHjdkN74vrC0W8SVPEBMXjwI
D2OK+RL/G6xcmPNi4lqKz+MiLYotfd4H4G/QeptBO0Cv/2B6eW6zT0QuuN+B8VtNL340U+y1xG5d
j1oqkdCFVTrkkZqBZSGj5hXV0Iw7foeJPPDPQfMQg7mAouEORbz8wZ1wLM9AKZyp4l+PCbtlZRwi
Uz1loFK4zi+I6Qii8IQWDVdNmWrrruJQem7gYI137Prs0wTAgkR4ujbP/sssx0WeF64yeX4aJ2oD
igusfi8T79e2qSA98jgN9j9fZjoaN7zXXLCFmd84paVk/JbdIOPMJfCUYJr3cq5iBPVAp7nSBFbe
bIX7T49Dnr8MGo5ctAtVcCloHBq0aUj+g3YWKr09mqv/KKU7wvw1Dgo/8rMYkKLMx91Z6rJNmqlU
LOOagjUIHj5meK5Z/It/sZTXmMmmiS3zbJBy1ubGA5XmHGHh2pwItcy8U9rEYnyhFWBXbuVlpq04
3Tgdd5wDtku+u8dXegMm7DWL7pPybFmfmG44XUz91uC4DEdk+bU4cUdn6g20Ig8OGSHCXowUYRbm
VcsVmqb+iYBIRPnr7QVlL3wWELvO1ugaG4mc7x53GQ5k+o5HVtJhAnsEN3sp8TLfCKWatrpFVddu
mLwTkKPq4/SyPVLzCRQRZpwireaAAynjXVXOuNDXCq8TDlhKE9HBTgUr9N/BrVjgi4WSqZlR4/yY
q4f0WvYZhf2ozMUFRvz5qL2lnOj2iM0ySZ2AE7ToNvQ9l+BRuBVnCiFBkfLphYvcvAd1o84logNt
17XIbjnxLLKtIzR7C20t/kohlo7qQBBU3pSkhCl+cqJiyia6qrV/LI+N0kjcPVg5wvNixOqX60St
kzeB5t/4QO/JF7fZ9tVlp0ycsWpA+fEs8JbtCdDIsv4+b5GGmmRSGVhhk1yNPafsXLtyIGoiJSGu
FhSXK304g3ZiO5ORQK0Mb5b2Ubhxd3Z9W+5DuMD3LDEd+VDHCUc9zF5id4NQkORP5+RQ50dou1Yc
xEdc2ZNnM8+xcuJIwWbnhEbWbQtrtoxjcKDHjBOI8fi7ifGUMj8d8+9wA4kosAGa8XTkC9qYKLW7
2WbmsjRTNwDlkPBTYQQ9PI1aOZaoqjaiWvYBQD05la3MzXM15tGq8zSVweTM5umwQHIRZlktK4Ok
8TtkCLRTvjjhnkwre8c1QFOoCiOU5vS13L/b9O+J169vt8V45gx4ObjPnDWsSh9XDnUmoYhFdJ9o
BP10rvOp3zOc/QkwW56iLSGRDF/UhMEEpOt+ug39lx/YDUasIRamNRCy9m5FMJUSBYEM1tyEsbRV
9QTJITo79hKlo18ci2YMbXTdVC8XKlnLDK4lDhY++oGC2CZbzPm0LggFYqwjv+WIM4APUMqoKpGh
eDaNYUx50ztLqvGs9FJ6ZUaNer38wDGHZquGzYzGQkYB8mRzIT+wL4arHYqLmzyXY81NFOrneLXo
AI/XvyD/XUzlFBZrV7D2y1baDiONGNVs/xIylx01/WA0Hc4RXdn0omml7hXF1gxziyG1k7cghoum
ScdBzt2VK20WU+tg+dGegrjHi1iiJ4JWCIMNFwbMdv2kYTpeN/GblvlLPwqeuhzmIKYyro2o199w
sdOj3L0GxO6pR35BszSrU04u0gSJmiSG43Bgie4/WEklLEyrvENlLfJ7bsI44+loJwNP8tbH1t9l
qMgIdUTQUOegOsHct2t9mVBwiqcmsDyDiuX+RL0PXm2jvZdJAqu8aiJLb+RbHogtolILSeLYBMV1
PGhxdhyXSQWySsEPYETv8he9rq4V2+ZmtP3pq8TjUKBgRzqqQeiHNmnw3/8hPrqFBQiYSlUV23/a
ckETB9nboNC5fikk575AjVBnqSfe0jTrvsECIbp0a92HqI0qCaP1yut7kMK3FFoOj3tNNaD6Toby
gxaY7Q8usvJmLBBUOC8ns3oyqCqU7YMkCOXBotoy+UmwQOPlLYrEwpiT4enHjZPJb3RvYzKIDBqi
WS77kF+aJi+L7DRTfGR3V5ksGEXNIxcdDefGOC5xFSKTXfOi01QyGGFXqv0G7HO4wJQigVUy+8w7
tMItgB1vcjZ2wJWhwKRC9ABdhzj2AJfINqRveF1YFC4gdMmIUhPk8agpr96oJQvtIoJi76GYRlHx
3SCqUtJaKL8odI4wrkZkx6BPI0Ko8bgDyFUaWV9Z580qBXVUK+qejVkBEzxoETfj+MAVR+mwn+k4
0h8hjTtELYjBAvm4Ya5Tw5UfJqbyCRnEfy4yjQczoT2cSZ8a1hEqpBbQiM8IPpdrXUj4CZGNLUcd
ihKg+JHK/LisOCW/d7hfVPsEVzzlxvv6OCOV0GaPTLxJyA8MKmuKZJBXbmez4SH6qfNa9bx+w8c6
xMIkt1yr6pqC/FTRT2IAJrLrhm5SxstAx3/7hDXuFwplRRNOH/bNN8WvBxOMSlb5NEzxU/Bb7KIn
gg8TAU9bPT/BLPCtGQy/ralYL3irVV6hc4ckoEqyU99cZN92a1SMhF4u9AIZwRdAASBej4ZdkImw
00XoSw3YkW/2LESeWdDOb4ewS7FzsVU8YHZZ5+cqyvcJPU5s3f+m9oL3+kliFrmgo1/bFgK/Ln/R
nUrNcyelvZf6P1KuT/bniJqjfTSS6XESFbrrpDI3PUTtUPdE83yF5jGs3LO8FVG7u1AlOBgow8Ye
DuJ9inbMMyIXtiGtEKVrOaj/DMw5A60/XOSQ03ytosx/BHVuWj97LkGiXew+gpyz3GCQvbqwlsMi
XWLK0RyzJUh1+pav3sbrq2HJ9XJfJN7W7lstDrJEGAudACkmrSpbkYLG/D39HaPhd5H2xCfYMAhk
ujg91iyLQbNgSZ9a0Af5ZDmUFys8vvbb9tEzFtKPHUI4QERSry8GaTrHu7mvSQPluboNCo14Btlc
kJvcLdLNMLQ7osSSguh7YqcbvUfiRxblS9HqZIbfifjzBFnZfgysyTvih8McQSVkrv/kkxrK2Kxb
FtR65ta7xLrdSlirUuV27efESilEY2IbmbtcXx/w972JgueLeQAqf4pfl9yPEEBO+2FE0sFYMGLJ
auru59dDx4RExRtNQSyfOPDzn0+FJqOp1C48OWMdL3EfWhLWyzGHqILMZ+ZF3x4NY6VAb53bUxjp
lLCYnypPxaQGLZoOjJOAdBYBEbgJ5qV14ARIlpjhqW3o6TJ0HC7qkoy5fnJRBy/ifqAOaoXb2IQQ
5eTJBCnV14Rl9T3oNrpH6TsHZJh9nhHmU4LVyC7UyphIMHj0A6m+XqGB1iAeIaCZykwVXlXyUJ6t
4wW6cYKdn/SOMF0QLEyC77GgO6MR8MzGmM2BpK+3gSFJ4dryyc8sWSo2+EpIVC22LkOM/OpEoCe8
vXt0rqq1vw5HlhEY+Cp3v0TsotCAzpPAhQLc4x6VqEabI7Ddn/A2AZVfcYl/NlzC13cRaTa3eC1o
waZaxvEBzRYG2zj6k9JPY5K+yH8+jtvjJvRejcQNf9mAvlLG9HGKNtZhhgIQ6HIHogz8ITCRDA6K
cnaYVPOhj0GhTF0Qwg99TdJOVknuKSYJRn6o4mK5J4p4ODiU2zmvwfSAvJa6q0yRJkDkFYWZbQ3m
/Wfm1+wNMCYOHRy3Hl42gbNRTjP1WDz1E6sim4JtaEnpY4C9lbSw3tgdleEoo/A2XNbVIVh6Xarg
n9Dpnwu6z+bcmpVNkcOPneXmlDT9JHTA66Tq+0Ikh40dhMiiRgWEPek0oX3CDd4EY+bkTFtcILG2
SscPzIFW+xSt11TXa/iXn+4TcqlWtgQ7P6/woROo4ctVpoRsP59JavYF9ihTOVIg766XivzbxNro
YuH7/VyyaywaB3CLVrQHsBcMq0Ery4v1gaannDwa/VuIlXFuCVQwtQO5rnNMbzXuGUGKWl8syo1T
mpMHMIxAGBhUPuhKnUsCCDAbqkbzDPoHQSaXtA4sLtgapjRrbEBjOyE2bzwUGfwAgEJroE2nY/qq
Lqku0n59h6yPfbZrptscNWQbz9/iPg5jK1Vu3r87uvhwuF/Iry/+XpenwOoyM6D1WAFCQ8lfJi5H
IM8tfQzRdwTedtQLcZ1wBopvEulRr3YwVEI9DMZa6NKEyfkdi1IznXoiFHiyLIaiieTnWveBlqbz
fg0bWPez+dwmA2uLCoPpOnY2k1Pv8DKBEjNwzLjn+j3C1MvGkvVCGUrt787XCQH+dhaInKPpD1FM
Gnq8sOGNLT4/POOfG1ESD+VzUSjRUwXVwK7gce3gm9krrWrSrQUjvnsngnPEAVLgoxT879i60Kvx
ouaz1sjTU5wInYMX4yrMNvinFFyZu3c/pGqbtpd8Svn0JAbWhxjh8lK9ygsFbbfoz+o1Esa5ezx3
3yxc7jyM1Wo23qPXumST1WpNI7umCl5W8JUKiugwjsDduTzgfk2BXrvUuIZHN7nJBS9Y/Ey+FUEs
oTQcZoZetDVp2+8cSqA/VLU9M6UcoDJdS7dhuHmtwBCg3pvmHlhTV0+tRXdGGmYB4s8Y9KJKCSZq
ROQukwiQqKeCxO7UgT6gVIwQ7oDqd+C31IUzORyuR85tRBmDx0uRC810xrBFd3vfTR7+Sf+2ouSt
7Pp/O5R/vw8yO+p7dA9GHaLRRRWDJ7u5uj19Lygp4Pzb4W/4ISmYWI/jm2voUmul5nl2oGFGMBbh
e6Ca0O6Xg0ENbSbU/08UV/nPKDcEDpH8yZ/3m3WPlT59HKOru/A6DOxQxuw1wdbNd/vd7Un6kWNs
xSnIZRGDWUp5q3fF5qiWNHqDmvN+xZ/Bimr2oRD/efEL9ZBFVs92wnEFMF04XDZ8nZr41BMbhWpG
SVobIRBK6LtOfU6T//aiDbGsKTm3uGYRO2qLAmDOWaOtSqb+TH1KCWEhMK0YigQNjX5vKvzJnB2z
4172AEBo6+m9QH5HaFJWlXM/s7payt81yfO2fmP8QlnAtoHCsmWAyaTiCer/8aXP1VR7bBpin87i
tBr5k897qxHicredKz4gLqHlvzUzRHdVah3OAe/o0TzJVyXHrfQ/qX253qCPZv4EJfkg+sAqAPSY
1vhK+H2iKs6A+MX3XK8IG/aruh3KAM7RsKd5pvfiPwjTre6ldC8skyOplQz9yvr2GW/KJTVxER0D
QaZm9bBJFc3mX4VHE4J7q4zg4I4clpOcKX/HbQFyO/bd6WE4koMxZW3UR1BWzxVd3ivIUAE0zD6I
UK2yBBHUI/n6UIStZq6YTI5wp52ksr5UaTySmzlgQAC+4eLwigJkmcz1F3zlj+zOS7gKs2nGiKI7
tYdzDWfN567FKkuM3p7rm6w4pof0hFhtayVG/fqwxFOQo77YTXDd/oIaiLHiCv/ERcPUjXKgdFfk
dQrYLpwk1RfKo9/XZWjL50dvDbIuHQPF/BV88F4IsJ/NEuhiqltZfIZ+c/fq1SFbW/FdakXbFzja
hC9clOZyMrV2Aef6pNgsLDSa758lrTVieV1y7mTVmxxBxI+IsQCdLKKFnQdmvUYYZbcYeFaHEL5g
g3j8q1H8qqjOhcuk0l3qInDCh4ffYPIT7O82D8Dk1wNI/D489cBVsI2nKDpnwhyCC6XyWxApjCpN
O3NauWMm4/dJDzC/4m//gosX5RFqckqNUxjpqDVRI+rFoAhU8uVW9sSXkcL3z2oO1Sucs7ASo6QY
dv3n860ert+Z+EcDpcfr4gc65r/hULHha9tpx5qPm8I5ITiZ/XWh5P925UPlcDN21jmKsqnCwwmP
bgKmt0YAZ/OpbreLhy8C+11JkEIUM4e3lCEtTP22FukeNXTDvdoSEbMLtybUO600yfYgYEBpxlI2
WM4fMDqm7XIVriZ1sIi1tqFCAaTGTlIxT5r2oq8TinJ6PTA2wY0PEH5BBp37vyjl1l7/dDiEOnuy
g7K1TLQLz7m70NEdujPc6/InRLg0mevMfZY5AyPT/2dAI7E3hsZVLIBHUn56KqqST6QPiWIE5DbP
IcRdZAXwnk28Tm71dfmA5+JE6z/hymM+uGPj1gJpatt720/1fmnBus5Lzy2RbqLgAdIfFwzZ+gzH
jKCoH1QebSJS19fYnUSUTLZc1xwIwFHBvivDUxkDrzaW5T6dkVZzmhCw06+1kaJjYU0eNdQ+8M2K
UsabJ4pjlfaG2O4Km7JJf9dJMIKXES7VYGA+PZbh+OkfgT307iRiUxJ1rc7TdGBMkiGgxP/84B3r
1po3CzbmJ8tb9yx5lu4tNwZDDzybIgvvOWnW57LI4sT9M8ESRqZV+SL/Qm7/a5JHuIYgI57P8PWi
yL8mqzRsjUlBXBUH+eFGqKek6OLxTbPkrycTHg+uKkht6ouq01Z8B974zZjolA6GQp8vbGcIDem7
Fp71nA381mQRw4/zZsnwYcCKDjp0QTKuvDHqwa5O4lwW8e79UTpLqHFurMBSECd23SHXx+5Bjsgq
KqkRnVCZzTNRAIkfzobEQ6BAcOedg5bYfwvb+sEF8K+POqHZiottGsnxsnRORXpjcrsKw5IvwrXz
Fl3MBJehF+OfTKr+IM72XmDWeqmIpDhqYzcZbwk61bZNAp7Z69xW9DA2JDeSmf6hf8Dc5n6+f/Xe
igQmB3LHVlMg9V9F/SOTD56/zfWO/8a72ajzWgNCggs4lOwVh4oAB+s5vrqjxmA4lqKKJeNSVMde
MlGKFb8zzU7enBadaqfMKJg7C0/BDRIP4Fzrj/dMHgjZaO7bHC6rQM8HSBKPBipJmIGUlaz/JJ1e
lGiKbl8V65xymtz+nC4AhtO6Dk2py/aiLrJTgo3pGPLlFk+OtzHrc3l3+2ugktaqEJEVcAnRCrg5
CtO6mDiHrXdiPyBIAf7E3gM0w0+y9NSDqWEctNvltL3JkSmy1u2TjqxgzumEqI8Qt6ernnsi3oEd
7wkDIRRVaMCe7BkQsojNUfp2VI/nE+6XzmdChvtXoq1VpgvTRGXW3jhxpsSw1Ok9gO2ez+6KQRFB
bvKofbqcOZKMPWC6thL0qgisaA0SOi1RUW3DsRuSC2imSnvwiKw4gzgpxLaqobBy1g2F/VweQO3C
KJMjJ3A0AhhTUsE0re9i8sqvkDOxJCN+KCXyspUGlujziE//iq7qdNoxt0uH7+Uhrfd4O5qtIhxU
taH5NxyLYKIUyrfHwCVC5NjYuyplsDfefpJcqR3TjbOk6i3GMa8bULIlsbImhqHZVlKPqrJlxTAa
8sPwHZJwBs4OwqZvrPrvQKzbpaydUiv2FUGH2/UU/auE5lq6bcSQlzHwY1TJGOvuTK5636CGMpAG
iTI+zuRVZfBoXsoWUL82B1hY2v12im9APEQ5XO6EItQFtX31yTQtUQnysaOrECQQe+S0/vkY8lzy
MeyxIPCoKsoUxKLWmAuxLn+mpM7+B6jKv0p2XXXwgtImWDS7CkeDagxoslpCVwKhK5UttaAx70L7
4e32qwNu1DExh/VW8/dqRmkIh3wacgKFx2r3csqyfUM0mzrz8yXjEq8FIZuZ19/VptjGm+1kn9qi
N9zYiKfG8uXZ2fSwNL7qIS/schIw6rgsxLTdF8sRzAck6MpIDCAVUM2xXAZyvtCqBACCkAHXRw7s
KCh3XN5yb/JI2KVKhfbhj3Yh18jTNKmeXoWBvqu0CNtSxn/8M4fUpMDhH3mniYGlxYdVCYpVKGm2
IG6NIqprP9AwIWRe5UBovNkXj8VlurnPrLEX1qUrsBCLGYFoVsYRuUaNmm8PBqLPLNodsAwBVyVQ
4qPvtm9iVi540Nv9wYEJntIej3c8z9c+HAcFbzW5zQHaOBEkKveQGUaBchqmASyeiSSPLGYnT4SZ
Hgc4kQ3WGnndCEfgfn/8XF7bOx1500ed/Q0dPf5ZbZZEaSENyEmLqZzPrhjrRR54bOSY8VdKOSlA
8aD88ZYQgzzuJJRSUmGl0F63KAgOmxeNDslzvNz6/MJW2KVPqf3m2ijg96fbwbyZFg9fk2M5LeBb
03wzBLAMqT5y7tBEjLvscFrq8jUKy89FRE5MsdjITHGiEJ0cq6RKPZWbT9iEqH+1LcLAhfDmDUOp
4uscwFMM5lsG/YPUaIQuUOXNEdERtLfB0okQjpt0N28Z3PkvE+1y4G3jIyXbWnecm9bIMlf1STya
fKu7UB4kXbq2fdEokyivUgfu8JIMl91026tsX51tnEwpXUEjpr94p+e42+i/9VwG4tDfHYewwq4z
qCw1Y48MF2lUaAhyxaC7ynlkJeR/j7GTZsWdRK6Yfq7n6HiSLP4qNdOk7Zmvnostoq4zEiFxYLmd
+2VhfIMujWnxUcfBtRtgcTskywiM3HrxT2FL5Ldo2pEyGYDLUVj5NOrJ1EoV5QJJZkOVOwlzQE7V
H4zy07QDf5qd7OtOXxnuKkFnxMFUum+lT4+pUx6GcRYq9wQCOgTGBlsgCP1D6gVluP8e+1HH40nO
EBgTv8suIJs0WMLqrC/6OCRchpWsyYAER98R3TIgHfIG3RapEXqR2wZJFYqCr7Fyg0WzHHMowHZt
UOgSDWzlTegTJKGpO4cUZhu3N/3nXWU2nKSM7CkjPL1FonTNGw8C2hal5ig8x7GnOi+e75iVUi+D
XgEEKn6nokI4ZCIsCXsi7q9Fzuvt6hmlHm+UsHzM3ZREcPwURgMMfHB4qwPdQoICtqFW9cvdyeU3
mSCfWvIIRk7rdDXZtUDCTu6X+na7deEDJqg2W2X3KGPZSwkC7XaW6A4li9j8LiB7kxXq+xL0iaA/
dtc+757Pyv8CvwTN+qfNJuWFjy0AtKFygOKeKkbyIOHYQFt202XFl+C2KLwwgh8wDrklxpbDxZVD
t6QZ7CTULqGEl39VdQBPdfRSSki1MNiySksrVXr+JUfa64yOc8/C3v+ndAoFpDvzoSWXomqMWhzc
x+02stJg38bPMYZxYM3UCyeWeXZflQK2isBzKU/HAYNsQKs6hXH5qwBe/pVTarUjYCMJR/beUcjn
PsV7xH59ftcn1T/H8oPrtbucXa0b+uG0tyyrzdb0vWMwi/Lk28nIDa1H54ZO4xF7qgrlQNUMQt6J
O/VUUoXuG5joGj20r4/BO5MNoXB3j4vY91qY1DUPEGj8eEQXbj7DYattDfrrB6jDXtghQryk+ON2
fNWrJpT36ucVw8Fx0l4+sgwrhaZcNGJ7vcx5NRIUO6ch7qAtqJj/JyhBNRW7O8D5UE9MlvKT+uab
lH6RvXQ+Gnlzkhw9lvbgH6yAb3PNMbDmbOitRxoDuvOTU8GCq6rSWU9OsIbwCIEEJ4gmCJ+XhZfy
k3ZxscXk8Po3iiNPLo6CY4uX1qwzQnpJDiR6hc0KF7AVhsXf5/lPKpSsDbwgJ2thM1aYybViDZex
kaxF42JJQhVsE+FBDHk1GkAiOxFNIRVzDGwq29vIl0xkAyJsLpfPOwcVpGGoj1YkDs1xSYQChYAi
EOgqxEY97+eXB5bpY0m0KVFZnGMUr5HIAr75KOKHDk+Q0AcSfeNUxI7qT/YPgJdej/Ss0tUOhHfK
RF3yUcB8p3PJMBahC+hzv2oqOuBzb8UjvPe5s6JDOzlWG1N4qmgfzrtWuIorHLqy1cjhugAAwG1/
7/F7pypUkP3m91BEGaDXaeFeFV31ou+Sp8Db0WBx5O06nfdklzee4MzcjUSKhhSYZS4cEO6UiWt0
tHVJMX5gsE3964SKJ9gWf14mLc0u1klyB0xNkH8NNdFNja3d4IEGG/1Vi+FT2omqZpHvkMjYzv1O
Rl/nKL4ptgmbsZPACA//25R2h3eLr6gqKVCWMoIcqqiumG+AYQwdMMkmh6rypfXEEpcI0GJjKh4m
QJa6AzV+8u6Yukf+nDc8Yn9XBEY7NnsuBvvyVc9X+cNTeXaQXKwedL+Q61nWD4GN1vUxeEWx3LSi
WoJglauO5IW+eHk68r4JQKnouAhHhWwFyscg86G2Jt71LkNc9CGP5g5s5Nd+7KuQTE93vKww7Uaw
7KDQmWnqhXkQP8Pik525c/0QORsmeW8eq+AwPaVpzTUvDy0/w3OQbkZzyUHrnEDXqvaK3yKvwPEp
pNk6BtrfHbrkUAX2UJeItnmU7tBeW6JkpAuMVF1dgn2DqvjgN3l5Qpyr5YLVcoGT05BhoDwCAV+o
pFbe/0Nuhm4521Q8FJhIJx3fdg34NhMU8MuORpVNzD6i9B2Anop9PuOiyNquOqubveOfvrYKtzcZ
zhwwapgDKoOxrEqJuHuCb42Sd+qPPska5gMSHveeR9tVPHyBM+aMqsecYfqa7RHirwiQTB3stJTK
jx429G/K1WKC40ixgWWeVJ8JgDHCU7wGzmXNSqFuBnmop4KIkbrc2GzOEdExYdVQU/wzT2KFxS7h
g9Vr5+WPgakhpx9x9cpzSTS7QTyY9i/p3db42J86BJ1MCuYqXvIUht7l7uVdDiMOrttq2wME+DKZ
31JuewVNmb+sBDYhvMjI7rMhApV4bcAsNfxdIzkb9kkdSfNcANoyyqVlR2+A/jSwQV1i5gUpu0rD
DJXolf2CkIRw3vp1ezo8MT2nHDYTDbMalIsv9Bo/oEg3OghJi0T+uxzyy+99MJ28bkzNvmng/OGg
VlCEiabxPo6fWkE2oWHkSa6Lw8pagyrw/ffHk/mNf9jtfZyPFZTga+veG6Acirw02SYESzDg0VsG
SXn9xDUcYfMq8ucF0Bg4+54MmQQn9NVKLi/ppiJG7D9cdgluCNNbOGmbCdZiO6K3aNGTtRBVGucr
9Mk54m/Z/kGP/PPPpFTPbbK2ZveCp2ozfUKDXIHA0qGAkxtCuzEIGcpV+IhxR1T2YE4DJCT+YpXE
DMMBslmOTMJYXin1Z1HTLWjq9wRLqbovzzd3/YJLXXxJFTwu8FzTYHdYGI2mwrSe5oCiy1KL3WMb
dpzYTBhKSjpc+9ODsGHdzMre8Ld9Sm7biq1oVtDtBtkxYb1Zz1r4jo/0nQyx0ks0Lg9tPtoixrDc
afybnbu7r9u3U7DJEWfL8mV4irE5cya0hfdhTMDC7VYWQmmVVe4BCqZP1JNpZgfkTu3NxLUgoD9K
fsrAj7PgwwYgLDImLste2M4bsWw+Js4HPLtkqKpSCm4/hvthX7zawwVzMf0ozKwepl4A7TzNo5yQ
YG5EoqehL8IS2wPW7MCrikThs6eo9MfFFfG6MX989pnKRkeIkr55yWtq4g2hzoBWXOMN9TSw7KKS
MUqGqv00D0qW0TJuyvrOjm4jzV5JFZuVn8q96kgKjN2HtI26p4P+aTJMGyvu+SIPb0ldI8kbRCqh
eMeyukJfjTxjWjGyfuMK3vGKmwwygEZxd8Uf4cTvV/VyP+WmIIGE/PJu6+N4nvDJsTG9zd0oE7mT
4JP9VvtxZpOHX90dgIpfMEhkXTsXoHhtPJRWQi1FKhRWvwWKeThcLmY8/os/DJg3L5GR90KH21Bt
MTYYLyh/zFaNjsyF/kJlFHyZ6u4vj/2YpIGGaHDYgXMTMLmR9bCMm2Fbiy/ldydYCLiTbjp4Kn3f
ANUeBR+ktwq39pfT7CL1Q1DdcoNgvuos26/rk6YQ78olZTPFvszTMSam3G6PS3EpfeQ1sqsfEzF7
+ZH4+rIBDXcov8fWE2rZAqThgOyecxB1R+YQqCkktgW6rcVxBLvwK8kIRodptD0+UXQhAox17VYC
t4bcyZwedxEoutac0UQrum+Gmw82DJZaeMf5oBLwCBl/UXHzcd4c6fRqOR7Inf7VC2380Qoaghl3
215sdRdP8M/NrnpOJfkbOeU2opSih1Ay3zSYE7xYWSIKj63v/70qQFyzEMgWQBTSOlE6bFQJgK0F
JAkumIDYHv4WeeO6SEW6zT+PA8urVfS1N/miEIsdVR4GR1A78KfZX11kpooevkpyo7yjVPFriFlB
YxLQlJ8DnVFowmST/7W4gqGHhlYTe5+Ls9W7jRnEb3XurccWI4NGtgOID69EP313qXCFuT37+OpF
NpfW5fsOp2bG4LuASJ6yARvqWIGw4dXIeRRONP2zBObfQK9ydgr+DP23eKZHdkD8zcaE7U4R7fci
kxgCtiT61TzbtLQHLNRuwFiSI0BYndTkcoHjILzHdsePt5LOTwPYXrKkJmhI37u/XzXiYDHzi70P
pBxJ7bAuEo4UDQ+qqyY7Ginw0kmDoWXq0QEbuIHYGQftv3e8nDpprBi24F2uWpv+4yl6F33vOxI9
GKc/ld5r06GR+8IO3TowxgtsXjedifFWayindaG+x255fqFKBn+/BvjY4gBsi+OlMvHGMHIjlwZo
Bn6a1HDs1iWitAdHhUO49nrCUMEg4P1DTLyegw+umew9T4ZDSa+gxtB51MC2drT5u3bSv+q89xcy
L9Qh/EMch7DRUoapKcn1j8y44PMzrNqQSpoo8LK6XRFiFpSw6Dxo8+ivZeOK7MkZUpLyA3EDw5ac
dn7oX5907pApU0Pdg+VFfp01tTWp+pluOI1P0J4EYBqw28Kc6VDKerxwm3UlBShjd+VJT97mfxVz
ibE2kDALcdTYmZw5PZe3wwb7nbqHQzDzadJJTD4xeOlsdXm2D/zZUF1sw49i44RK6Xn82upcqgSH
JtgN2+2AJf7c2YHckWRa6Yq99RllaWhsKpZ4o6N2bMDTvZoMSZPqOdq3MzWgzWTSj9jV2AeYwWia
BK+4d46WPBtm3gj4H7Gfaud9uusiY8Q5SdQXT5x58hecc3xH7qtSa41uoy6JKHX7ioQk1y+NGtSW
7hqGylzGMyB33gfO/JpPA8z3XtwZk9F7U/YdC16j73uHuyom5PbcebX0fikejVypO2YzA8zX1LSJ
C64ZW6X4z4rTOhP7lhTB3yrWouPYh6qT3N9W/Ke6ils0Bh/UpJ/ZUyA2rElj+uZHKLNzeHRrYSF9
uniXufnntR90+2vByTqhMJXNSC69jW2Vww/czalNh1a5H8m3QX7UPrfs9vn1Gle+8pNqw4tDr030
3go1reU78KvbPlXLTrqOg2nFV8ZvSXX79AJHWclTonzvpXKisHymCU9DDcuanfJs0MGAOnQNUNo+
JZPhekv9ziakCjN/P0XRLVBi0Ie8qq09zbUO3LZlqu9H6PecZ/QbQ8o6KZ2As9tdJ95RiC4oP5oK
za1auOOg/IM+/9tB4jTpy/rERjUIK+yB0gzVW6nqyuDfp+nPfj5yB7PAv/fkVzYNm85JGSLjyeHt
2uAgZHmkRynWF5PI8dzerNBRd4NPbOY0gY/QdknFnVU5ytdLKEndAsZhqJAhsbKxNG4Gt6MvEgQG
vVV+hlYceGr4boqxXAB3lHdkTVCnvXiWwgQubcbtuTwC+w4gR8rKBpe5iXfW0OkxnqPuxI7Cn2bs
UdhaxKySteHq6RPW7Fq74yoWtXTQOhv7/MbjXcyK2GDaZ6wuDpv17j0oi4sSufdYVzo4SoZOZIIv
2gfDXyy+lXBXWExzPbLSAfPG8cEkj6ZygNPe3CRG/fC8TUeop+B38EJb1wYgyCMfLfP3oHe4X5/D
Jt1IspT+RtjtnznlCaAZL93pJCchNlohN/ZZZb9PgV3fjfcOPHZgKl14Z/p6sUJgtQu1soO9hEs+
9mS8sPVabcTgcJpSUDdG9XRLjBhlicLKBCBiXarllK2cgY+DX1Xn5Ku/+jdEMrmMif4RObgJuuC5
CyIiSPQTqIyTp9r8rpMbs36vZxbMPuWPZZnXaeqYVB8pdRtOeC0xD2Ox5NBCmUq2WJZvAboq45om
qrKE26ZawA4dsqcYNrhZ919H4f24tRFIntMicwYPgtCeB9zoYUi2v1kfQNKWulDpyLhFucPeiwuw
OV5OMgxFDuJIYQo0V8sf7HZfkCSchhxp7Y3wBPM+j1KSeAl+VKC7gZYM6Zr7N9CT/lmxN518Ekfm
U13Dy14IbwENtlztP3kSl8ZHYOSfhMLN6Ccp8aJjVfBnJo95ElknB1eQLN5Vhza89/OsQWj6jXO1
EFOSixrhG+QAQWlw2knJwExEjdCyz+CPKat1xLUsg40VAjLh0yFcMaq1L3dU4wh33bdvMmcoDgGE
0WxBtTa6b+OKxIChLQR5WjHEaHo2PMmku3I3QqGL9c54pZqVWV/NyFJP+vS6H7jQ7erTW5PijE9A
y3/jOwE8BqLAJOA6ZI2M1BP+nokVf3S5KHOKznNVBM/DmcbLjxuLYz0VTt63cmgWYlF7gBml0wKl
1wGH+CtVZ4vdvtW93aOx+Dbk6cGVMOef2ehQq+stIZawagX5j2DsIERSYw35azrkgJfcphMAVnb/
tdOtOaQ+WHmNaGlJ3VfS8IMf2umnVR7FUojGC8rx0B9nMgk/M3sXnD1FrUe48xfPewcmlsqh82oU
L9ZRVlS6q+69PKgtWPIPL3Y+S8IxOv3QVhdpAL95mNLyj6pfVLl0QUQsABRhvwFcRxwb1FJgdy77
+m5RcyJ7miHbj/YJ/9kqzFbY/j1TR/LJXPA9DDTtle0d1z+t7JUT7tUp6owm8KvmfpE3MQTt2tqs
VP+p7JA9j3DdNH+XUhUo/FrkkQ81v2r3AXYJCa9GtPVes/eoyT2/MbmiIUEjvtLJ67HMm7b7rzzX
mHxFQOoW4Dw0bRxyGqMab2MxQp+l9JF6SlU3PP1ucwUZZ5sTqyPCows07Pvv1UKh0KX8WJCmVQ6f
mhg+rDP/mwBhW4lztXJtfR7b4FDZvg3fRZXB5xIBlm40qtaazrgQGHamBFe0U8kWNte81cXKy6HY
4Dt35ErSj6w/3HGvMesuLJ9nBeSrKnRE4WQFf44IwTX3dCfEoTzj1+//8Ia0fKYk0hnJQQbO3IQi
/eOvxYtED+m7sv9gDW5s/h8CEigEY5GhacSUYUKz0Jfc5Hhyn3OR/8ywwNX5FlTvrRVdfXgrb7gt
vEBEYgnpWRHSUKZnWktArgcFa1e7Og5d9424DaKsggvV41XeAD+1AnMILCrZGI50wFuHcymxbi+x
X15TDwZkJsR3WMkCY9lk1sDKzPwJlszlMlDQ+0mUedlXnaP8NtPGma6evbr6/Kt41E2s0kSObl+Y
eGjvJa3MuBvRUVHZXKaRLrK/fRtMQpH+xLEEpIPrxSJOQxLPCXAHm0+kAEE4KTe6IO1MEmgEOuPL
CFhBmncE+kRGbkiyFzV3WLqSg+6V91pvw+aqvDEAMs6WZBD1/xadc17MIb4GxZ1uQ15Ok1WUD4MK
1KLtmrxj/QJhj9t7KmLsybQ5Lhq7nj+qtWTSfnT2rAIwogEqDoVSnIWnWTpEIH7Ru+TIL8E/oJLB
zQhztPb/X1Q1/7pBxUIk0oV62AnDsJr5Sbwmgg+McDG2OtCLFNVy8EqICZHKv/Rao4kTiA89NTxD
/hZy/1zTh4kiu8fQT6V4u5m5Z7e62AjE9343oK237gGB4/No/xBkq1lFtuw2GXOufPwBgce0NhYX
Z0ds3WNX1Gd+yVCNKCQSuMD1O85/aKl6/1Fz0c1yVE6tOYwyh6YyH7zJSjBZKTghNUiiLd0AkD1g
FI/qGhQzBgLDT320Ge8e0sIKjUKmHGu3nWWicQ67XBph6E6pFy8pkcpLWc/j3N6iakKSv1YxobBK
XA97OpUstv/cwfE2Jbw8yhuEy6Ja3407RKX2VkFHVJLVr7evcRrU0buaEp80SaiG1MTZq3EGFPwc
ePV7Kn80a8D8ahrUW4iQBCDXMZH2ZOHMRBSSaiRBMScr3zxRtmZIqQBgEJIkQ2XaFdhf6iehRxp3
x5nuw27RSMbj/aqswvuHGucHwTAqh6IqC/2q7fz7dllw7TQeMVg0VmHWFW9aWwPdGqQGAAkYH0qz
obnhzfESsTIz88tDfYnwcd2b+m9ZTRw3bZxLM8ZaT7lBLfUMNMuQjTYs/o49GnZ4/4HNxvcI+aMg
D5s/nat1T0RVZnFwN8Y72rd5JVvc9qDesh/fa3hBfrmh5LIRbetIvxK8i12RIvrdb1oAOHCILYyt
nftvSu5RONx/+2tpK9FPFlwva4Rg/O8nj3k1WyL85C0iuWZ02YexKYslRuVXHrhPMgkOkp3QcMps
EL9OQ2DbBAAJ6hjHEq29fWQPORdRi8GCAhoFk0eAeIeyj6nAUHYfSbbzK7D9bG3cT7868SWV+g8i
tctrmjZHfdUzQiwUi/jJm2r7I2KAXFEltTBFcRlUkAfRQoWSGgbQ0TelEmbbOJFE8KIbeojUq/Xq
Ga7JWQzd4zixyAau2dpOFkwUHdIQUQf22qhDxdScxNPQFhijAeTq/+xoKI35lixensY2sVGWyKxj
rsO5Vxx9Yil4aDW97hqR7CCMtvsy60b5p+lH4GaEgI5REhZpnF62TMWkqyMtXkBUaOLn7Hqw/KE+
eiJuza3q+qXvAOUM3aUH5Edg991HK/VEVJ5qlVJnQjaLi75fSQDhPIMQRbK/fn6fWdQtncWsEa9k
anmZvsKIKttRtaIZApFD0xe3n0go7En4TO/WrnsBHlqVB9oXSnbQIDxhymSP89YuzCQ/RVdMMXY9
vNNVsbdkkeD8TaYCGH6IMTdeE5FG6QI1J3dbea6vjfoYcPzooaboc0O+JymEIRfU4ERoVQoKPJ1J
Vl+ZIJlJ0e/0xBfZauK2FELirg8PVHco+6YCqzzfSlg4JV95IqLRr37Prjyj8c1rCwPvaGX+JJfw
G6gZ+DzEiEiu8hTj5nEUTRR8ycAr9Z5nzfyFJyC95vJlBRuN+4CpKnvcwtdvd6oiM2rnXlGC7rKV
QDFXMhLHFHqvZZkXb3mAw/lGRCPhcLUT8UUVp1Qh8rO9M7atRFo1sC8GdfcBPhPQWC0pGzAyRqga
aLQtgScOazJpIeRH0DRTrRZfgU17K/QGxDm8HgYnGv+KD7I0GikWS+GgJb/MjXsiwEf5RIyVlnpq
I7PhUMTSMriL9A5Djqz9eHOybfxe64YjyKg2A/C77O1JML/TMpg2ZwbG4I3CGAYBFalxdvxhWLop
sF7Zc82ej3QaqcQebyN7oLHsUL7zoigwLpjEr6OcSgUIaPnqPDLVTkeYou50WQSH+ybvbECiDbHI
EdIWRMdaJiot3/EarM87/3mtt6RX1/5ynPQCDQgjws5thw3O05IxBAvuaItGfGQYY1mQKXTTqD2V
yljvz5fdf593cOf1Nm2pKBTlHKSfanWoYLBq/l8PXpsgPoOUGgDSplbacn3L4ez+k46n0sR4Rmsy
B/oyEaEairrYMroNPVuwszXMIo7sKPR7iOIkzFL4cwpm0sLFW0FeVxwb/xlzR+39SPju5hm1R4mm
3oNIYT8//vc5V/MQvv82sd6wHKkYMXZOcZ6TIiROyyX0u1Tt6DWRrdnMsogaOtiL1ukPLXH3GYfU
o8zei78WEdvJxAhufG4mznDFAK6SxphjJQ+GZKgDvqo5xu6e+xZSyA1c90Ktf9VgAS/qaojwSsbW
APgQqB0sa3nKzXJmNcgbGRqjXbxLgII5byYo+fTm0zQDop4TefXlu5x3YRzUvGo6p2D72odpqQ+p
SmX8gB4PkLZvZpvHvuSHfVrw+DmICwucZUID5hN98pMYDh+9YScOFhQ10OboMxSMOg9F82LTm/tB
7pQWM/2pZRl6b5EZXY5bnO4Hyvweo8Exqul4j/DF9EGwCvUuMbb0vzwJGcifId3xyYh795rmb1BV
uqDaQa9kHwPcKwstt5DJ7ItBuIgrlfJFRbXOJ4Y8ZCvqfESs562w7jIeYe9m3t4UmI/sUZopjfNe
lmE8PjT/jZ5bnSc6CpvWUvwzIqZzyHt78hTJR/5W4tel5sta/BORkaKi1vCgjeOdgc2R9hxyTMHz
BszQV2F+RB8TMbrkahT0Lr0mVhugQ/Z3hbuV0f1UEIzFVdnGUBP7ZGl9auAa6PJ45IAxLZ2OcYGm
Ygx7v5Cz2iSlT0MwNikl+bpIEpKpAmk3//OWbfRlPwRDo7zR4/duaAT9p0nL7mWLL1h/c3uKiW11
iR0/SGA92qBDdhzkJxtwnVDX59DxVTJRARnGJAVZ3kXuVnWFYQGXBPL6cRtzrFnO64SGX53cLE6e
4jt1BWpo8L3U32CI3lpIZHJpoCQn55AI+Comr0Ezkp1+x75oSiwPbwByhPvWZMHclYox0OnHMM43
ZvT5BTY5YHlzBxOPgejvZ525rkb1MPSosK/+TLcM51SDrKK0pfSQGMOpRHk58R/FxLNW5LBCTE+n
rQQg3jRf6WJVdinmiuRHg2++JZkf7m9U9WcVqCkqAe3KsHbeDSJAfk7wtazR1YXk6uSZey/tqgmG
iqn0NIN7jQIttxp4VyBPa1rQhmifb6mYslOnXrQS/GXWqGHR5MOf0sE8idTYZ1WrNONWqHSeYOkh
BTK0qA2Lhul6s7alEZhJH5/cSYXwdA5RND+aEGGhKjL8JnwUz3/KZEGYcx91kqtABS8YDhludBCF
EWbZyceMpjNV80fUvHkeCgcyGyyZ46U2uEZR/G63rg2ir1QAX/MiKJq6uLWQnJNCuL9/ztO/heYx
RXwCBOF8aqRCbknOUItZWjvnlobSPQtvJnskApDMcJXCRBidVoGlq6MgvF/uODgGg/Wqf2TVl9J1
swag1nXKeX3HZNZq7nbOcQZff1awpL4nVtGy9DAvDG8uU22jUKK3Unjwqhx74zgbmwJTrbI9+1ux
UD6CPFP9WCihUO151EjW05zGQOFlk89SqSG47GEHkLz3woxJ/rRbOmx/cnIsOkROME2wR2WQsnan
ikP/tT0m0Ipf48GTZxj/fp4Jj+ywe3VX/3R9YdTLpkDbV+ccUAGrjvdu7NezzDraOk5UbF7FEnlu
ypo5v9wtxDEmEPpc+Vf6Y/F3SbqUx5rTMeFq54PiHyeSl3oJJDcant5soqgc9NEIRIFYu1krjGU5
CaH/5BfJOlXLXZ5/kvwcFPKNqcJVMZARegfBLZrj9odxbfKcS1AWKmQWmgkWmO78mDCEhhemn5vI
PgNxJERTEwFTRYJlI1m9Mlr2p15TjsbLMEc+YmehhoSK8v6ADcyySzcRED3F++rnD27JdaOsVb+H
oMvU0oUz+9qNEXP62ZwK5qH63tZmH210t77GY6pLHLlqtBWDZJrCwZduTMCk82ih+WxsEB165li+
o15CMWGAqtFjIsWxGilIOyhe4qwggeryu4mQNoD11g5b+GuvdBcV+OoQi6p2OosjuzYmeisHDLWq
OXmaQ89cvWU/+EpGYycEC3K/InI9mQ8VbdgrvhFMsHYaGZbacow3Pm9ovKIKBouE1Q/ATkhCsf7r
ic4fNxkzUj3Q9p+/xbGRc2du30x6LvaUR5qOQr7OGdDn2vrKrCkxmCpkJRoonAJiw2/vPVWsecoA
jqZRnuBEFpXkbQMfistkH1rEpKKk/8luqNMRkgKBg6mvGa6nYy+r+QmeUOoQiaUHF4g91gN5O/Is
VAFx3Rkxv1iBfxb63MHd4h44k8FKRST0ypy+OgA0IdHEr9ZvswUlL52lThbS1LajMEYi0USOXw0c
DY9M9Ldb7re/fTe5AoYfewAiobmfKPUVT9+OrOGGyvIqFFCzRjMqMM6cv3vG9Fq+y9ipiPYMb9jM
mSExAIsyXFCx6Ah1y/1ncqXiGXN4id1pjOEj1PzapvkwMH+LTKEygwrK1SowroqWO9CTqeTYW2sU
MIzD5rTRtghKJJb9hh7bQ+Gw7q3tNWvpIFZVAkdgYUmr6mDaUvVymMH++BTtCGpbP9TOohPnYnPM
M0ISkfgYzlvUDsnMy2bI7Z0RTIuTNT5tosVnBAh51TuoaHU/x0w1+mYBcHYDRkh5n6EG7HOF0J9P
3aMYkV/ha1vSJzOqxR/DvNR5rL1VdYtLuvVSZokjff3yh1Bcv/2sD3DTXkxGDS4OTgQFIyaS6m1L
PP+kiwVymoGKK7I98+WhQUtzRNzx2nxG+mZYkK2/0ne/VJ73i2+xnJevgr0U4szx/P46IR/OUW7g
BmywqVvDJnX0XRz1+oJ5ye93Xczw3PJSX8fdVg5B0bVjuynbfXHJOM2kmy8nbUMa+2lVOSOd5CyT
D+TA7MsXMj2TLLf6ciRmVD9+Vrv3l/hgy2+dosO8M/dgvo7vt+iIXaPEWp83vlXgsl20bEBsK6ra
UVC2U9/IK953uEI42KuwmVQYuG7WBzi+3NnxiZNGrhe+qNIpr473oDYdG2iALAG0/UONVvdnAjvb
GNy9Mi1ggadaYOVrTLYKGCBEice8lmHn+bLTeOD8vegCdAYC/D8dgOaf2BgQ4+l+Jt1w2K9SisaS
065H7uArbusWm0n9hjdvOb5osdzLzzcbBssVSOR1pOjHxyw+S6h7L/w/YpJ9SkIe/SeHVNlnuU2X
7xeJ4wLKWzcnyYfQ8/i67B1cZfijojiH0I+bqUXB8c9ZXDZYO5BCyFLqJ/ik5LM4skBPE6RZdLYH
lhJ2XFyzKxuIVpkXwkMTB2O/BEZoBJJekoS1ZmtLTadK8WVKnVp3mE0Y8FwgTLqQWW3pILBLsJb7
MOBxr7KT+yHINuseliwBK8f+iHcgcz3Z23iqTSSuZFWIDKOlniwhdW1yOaMcq7Z6yZ2kPrmUctdn
3dEavOeZ7viNuqi4Gojg5KVoomyGuzbaL1cM+a3hgoVXogPW68WDJjO3JLKzOJVigP8ZOCoRRM5m
66XGGjcDjHL1Iwh8Osxo+j1J/qnmBqEBPOnSMFweIZ55HR1E2yqJL/7C9UAlV8vo3IURj78+KLMh
JirDTT9GYxHGd3/Flb4gAAsUHo0+UjI75rMEXUIx25dyAeXPeEUjgaPwWebRKPeWTcmVr3WfOFbx
YgIRVohBB+5LJMq0ygDjsiKQNQBrJ46sDgdR3TlRWRfl6edJnKaLhLjx1+EElvYlx2K0/ILUY5em
YdNHfA3DQCYYlSiYQzGz7eRavElVpsqh2M5HKIRHPFmvhotAsbec7lkeRNmoj+siRX3PWevXFVG5
3YeUKd8qIfR7yblLNRrCNVqjd1gPRFBBGXmxjyZ1DIucTUawD8mjv+SHVG9EEQtVuGfhU6v3ULey
xO8NbNJXbU1TrbI1dmCngINq9g4dPYqdk0CgBBGzlKvZ7AUnMA+0wsOSIC0qXBLDHHLDzTebyzCn
y7+oa9Ka19xFga4fJFHndzXUrbBqEPcetxjnMSq5hlMSV0p8kwT1ARjxg6mTZKtnVbOJe0YoV6RO
aD0s2rUQIQ2b90EVmwENm7lHQxjobfpSWcoJdCg3J4UQVfOIyqZavp7l+V4G9xhbUpUj/kggLdCu
vPpbCyN5Io2ya8mMUQ/tk9peehJ3qj9XWRU/dFswPZB9vr3S7DJ1wgwG9DSbmyCpgUPSwDK+5d02
ojumpII3h7rLOdxvfZKmEmWax8b8X4X0UrUtKZFRKl4os0YFdlvf4CgSaD5Rxzl/44JvWJI5kbIn
filIIpuR3kGQxKcMBtvia+xJyoTkv5A8LDwzkHXlS2vbUi4e1sqtqm0fKg+vX6429kGIPHaG1N2f
llsGban7PZxKAMKl0zXZ84JWiBWBuwVYVj+5QVWH/X+ZbpQL/0NCiBFPsKhztIFH5HBUpXUHR2Xu
PSGlp8vLhwOYx6J1jbyvVxcK4tsoX155NKKJlY1leIHGej2NZgstMV2iPGekRRnWex/wCszPonbX
yuBFM7Sy2tb3Lovw75ht6ALOvFuDtAkC8KrnDZYQ3WKCupFtWC9UctUFF81T1nP1zcY42fCwhPiK
DjtRs9MQhON50nK85fTFdXFpRkyan10RZOSjzu8s5Igos3ewINnOVTrGDq/isBCJ6wItywtOTj8E
wa3cjsLhwfA7M8+IkbnhsV/TAyOq3aIsSxBB9O+sBXz5KZT899hnRDtZHRwcWjvKepPFcSLv99F0
XI6xkIKaXVbkdanfzlWloOXPkTjl+iamB+IzSo01DbYB9lVRhuPVQBz9GnS7hmUWrgPipcWRQKwG
VjMVYp3N04scp+3s7X8Lt1O7lEfT98Sg4BNrR7fSxi30HtkYLzFd57+q3kwOVCo03V8pubTxhsDj
OcYnzz5Cs5Sy+KFw8n8q4AseNpCgYWYwRZFZAuTQ6uz5cUECoXi1VzTcsEeVqv3oCeejz69ALibw
N67tatpUjLwiwRXa26Z/R9OonUAsGuDk/ZD79vf3iqmypyozZBvWYqf1YHXt+7IKpGF8wHsnGR45
PUQAGs0cXfyf/Gz6Jsm/4L9E4WEga1tE2Pg6NPWlW7mRma4AH+hPSwnQsV7FbelmGp/Gw+/uObXD
SmXk2qRQ1bXF1wQiKuZN9aWjZmHD8fdRQORlE0s6tAC0xZ4rcnnuTRlAQ7gFGwCDYNWml1aJAR/e
Xgp3yQby4HcfDxDWnE6D5rAj58hhdgfauDE/cuH5YRcYkprgCbTBXgX3ULv4rl+++HGlNg98CVt2
JH8VS4cE+Ps0KLq8TB+D6ViA9/q9M2ch17f1rv4hV/H5xIX+sb+oD3So8ZBcNyhxFeeAAVicFA60
swgT94/d7B9rRv0lgh4ai4CcMl6ae+6hoIdJoKTlpLYfdS1Y8nlXObGkJszcDuYIgORAweH95CAY
52ldijlrsnVnG9BRW2ggubKOI47jIpp8H99+5+yJmQbMmvV+CVItoOZG37vFgq/0xl1G4ZLAIuzt
1qcQI1hWJG/Zc3RNa70bC0qUJTk/u5oMjpvP7NP8Edv6O/NSiBrGim3bEJZwb+atIPJFmvvJbDwp
J+RKeuJsRQG9JCq7scx4xbzoMoY6I3s3Sp60+2YRoz/qx/mtmtyyveuYY1BQwZVZluFxvBhGh40Z
Aom4pfkbC+nbxQwoZOFImbFkhwNAPuVvHJAkZr/vPMANQfAfYoOFV0lSkwufEuJ4MXTO33lqlMnL
+zvLZSPWzn8budcivBW6CtqJpZ1MVKk+b7cuBqU2XtQkQwth0QRqgaGG695Mewz9iTbTmQ7tmolG
WwTDR5dnNYN4xKOv53rfUZHhQ4sHRqcO86Dhy6ZRAsqJqhOveAMFekRBb6UyBfwzjQboTe7dhs/n
6yCy/G326Ns3Erj8B0jtFH6nOhhQ5ql4C25mxeBnLhqBaVSyN5d618mXpwKbnLDNxewfMpTF4cS+
J35KWalbQzWQRp3nOiESil+aTw0P8wKD95JE72Uz1K9G8d0IdBGrNuDy37HHN6Q/4tsuBHETexXo
yzv+ZWW2ifT24og4Zigi0Iq7yooH0OfR/E+qWe2+QQDRGHWl5If7E6cr/dkaeEvQw65TWmQFzXfX
gQSzwHuUD15JoVdQ1VdAcO08jamHqc1NVgEAFjOW5CW/7ndlHINA5/BrpgjtkFMcWc5gJlUKAAh1
tca6B+pR2kt6w3IH0tn+f+bE0N2UOyXPLnRA+61K+3ja76k0T28gk+sDFR3W3wIoDhOrzWD+QRhp
mY4rk7n+F0leD34woD0lzgZ7phHqYr1wR0X4vbzEALHyij9/fTn+iUA3n6p526ViPfvFRikc8f7J
1DAzDP53CQtxIUf47JLSP2ZD73zU3iTY7++zRuwYNiHByDHrS65co7OTQscZ3bYOjnpyew8ueOSt
08fDiJRJYYr6cavKJu2zSioyBKOzJvlmOrcVGyeWMmtLfndJLwN1pRwbE9VmNZtwLz7S53sqSnWb
KqaIMd1W7ZkzMPHnOZdWAUwyO1MVgY/014ibOqvgOLwzEzaXVSD8e9JLhjPYnTT7RrqQAgJOEycv
rO4tPmade61uK106Jm/RaNKdv5PJ5N3okL6DKzRAlTc3iy46ykKpnT0HVr1qn3mSYtnP21v7Iv3t
/QD2zap7dOFmJB5kSEdArSftYkQo24MNm7+IGHgFCX/Qy5Vg1qzP9AlSmS808r8+GyTVPykAqPoP
mhnT1GVMVVorasqKi9DigDb9j4T6RFdCxQyOCXY2YS+uVKEtpYXQUhvHvoZvvinHnte+JeLUoPi2
68zlCPMEDj0xKYq8jGLsnnB24Kz+zBKfb7AdK7YXfIssCWIYzmhszHn2d3xKebANTqFsMdCM//cW
KarH5cpMcM50HF7oH3C+9uSH8goRmCIxV0U/mjYNLdgXUGymtgpqCn6E4YmGQblyOSauQals/oWi
XryWWgcDlIkmRrKVE5Miz5fKYLumwPEkKBbyOQmMu494Akmu3wLzFzk4wyKMs2Zp9sqLxiCHaheO
e5neZ8NPQlCKFppqnJD85oYaP1Q+qo450rEjGFkdyz0xKxLLhSFhMWKYq+oBZcdncxDi1Nl8VB7D
yV3mzUEiAEBRZXKctB0/vDLGMp4UF/CoMQ1VoP2NJ0zM5EJWG7rpFZaJOTHIusTiyC8knUUzS2fr
D1+BtLEPoHZleEa5nOhcVYHCQJHpeJlMnZZz3Q8IA6OxZNKWqYG/DEsjtWvK82iZpvGi4KhZUupd
d0z9r/2iExGowcXzpqHBZjh7ALTtKY9s1u9ZM52IVH54hDsZUMSaguEj+TPXhTt0CHPrTVWrIozO
C9iEXPU1yR810aFivemBFGjL2NSQFAVi8gF8orH8GUs09uXBjRgRYhKE5/jX/htxjaFPTIMjZFFI
cBUrPWVkmUObSpVWJbpVGHQOKhIQEG7LIcmZkJy54qXZaS5aQGDFkCbmqbq1Z+uOfo4HK5psCVdX
tJojiqI26KWZnDzqZiwBUhS/gBw9/0bqAGWQYSgZpyLSa6ACIJu+R4ChBXqLdzr3beP97rE4Ythl
yjgCAJQgNWp6F4ghzM7QKecyS8oMbh76CJ2n+w93O73my8izuDlrIEkCwUuj0pW0lzs3GxeCNioP
XwKHhG65pQ8JmAm9S1YVfkp/yQwXbK2BrbVUnHAimTwKIWcQA3g3Aom2YqAelzaw45+l4FSGMJuE
l4m6uXmN7k2IgSkHR6E7Mfpc9LZmDv4Mpd4ysLFL/wU5tuglQNCAcISKjLE/U1J2DTjqOqZ/uRAu
EB/vcpfi0OgUkgbm7OjlXqDXf5oIDzRHygGMhxN1PGzRciZR7vvPkNm5pkorR4I+2N6KDkhasjTH
Habo60tQzoHXURJEoQhNgQ4KzzCIGvVhoHJjS3GLDhyq0MR7+IadJmVU4EChUVrjUaAfBQzgSp/+
BcmWOxIG7BnJ29bGmlpY4vVP2ZgUnOGyR8tMf+H8YdcN4txazkhlEgb50dppbAbDz4aFXlx0L8N6
0i/RPWVBWQor1sies0CgWrgdfyzfoZ96NB3/vU7P2F3xaH08mvMOsCeKnhMtArYBZ171H3HpwbO1
gKYLkKukaPDY2HTGTWU/0zo63pEeeYrbv3NmOdNuPYAW723J/gdrk4wnXCsZUorKVqsBBnYOFAxm
3Yrp2pJFSk9KfQ5K+I4FD4gZ/vljt48a8uTHJk22IXqBG/7PsCQ3S4tbeQDZFVz8VVnOfoAw0Ogi
mZPeNJXUtlm38MUs8wq7NcaFZ/mPv9ABW9vjSgE3H7JIaO0l++iEbeU6ilQi/zSx56UXfLLzE9+x
UjBzIGqg5TvgHqys5ptQAoyUl3rv20BYXck7ucJQpfmOznlvdmQWE7Q89Z2dHKmTJUrHmJLCwEbj
O6z4syqvGugp4IZQ/LjDVnVnJnmSqd6PKRvg/LBcXcXypzBYd5Zu3djp3qvKEiTI0DkzDaYw5xv2
ylfU7FX93NBzv9+BcBjWlh25RKHx5XcJQskuDM2RALmx88zduW6N3Gbml4OrmQtmpcDyYgwJuv+M
2wFGPQmVU7NtjdLaKG1PenCIrtug17fEkRLjecX6jXHiEEACIB2ViEqmIMMqK5RcMd1nB7b8xXHy
ceVxGbllAgOiMTq1iA7tz8GtYnheoDRcgbOjp3zA/ZI4dxYPIl0Zyi4jibjUQFJhaUJBPRRNYgWz
QqY57kS6xKiUlwFIcj83DX4mvNgwHjDwIKa0Unvt2r22GbiFY+EomfIcNSU+R5hlgEdQaXCChZuP
x+mK7Yk5gmSDt6JK0tyVhI1zus28FzeqnkWHjt22ZQVIhHKn+mdf+Mx8+DBK1CQwXxnkqWXr80AW
G2S6O4j2utxZwPrOHCx34lbxnGToBV7FyejZzTB4fPGn4T7sqqTc64Za8O0yUTszJC7cZ5A6KiEi
vm9FtBzJj/jzrHk6bFMiQBdyhE16IFm8v2VkndKF0eWWowCOMIs8NEz7WDGyiu+S+Apux+XaF2H9
IC1i3CZtqdOjfmqdmziEXaboV9i9dqRi1N400IYKc4DiAnOcI6DC2QEpoZuHcH5Jun+UX+UdFl90
+Ij2ORwU4RzlmgptJGTiOPH77Doqxx/hJmUkCU6NV+DCYEBvnzCjWDSUrorLqygWA2NTGudWCFdn
h5DRwTmdZfaytY+DjRCzxH8Cn9OWDEckeNOFF76xl+7ZnM8gk79BEdqHG0mvrb+eNDkeQzE9Wcf7
19qx0rxjm7fSdDdXer6qKpmD2z/eY1YsNJuuE1Jrdklg0roU0i7EQ0TkAVfEp4pv2yFepWqtA461
0AvjFSdE0sYgd7q8ndFW99xd2kBlrN8oyWp9YB+hywU/FyD6ZDG0k/9A9xXf9XHS9r0Q6EF/3ZQM
fUpZUOVaP4TnYxzO8gYiCJN0q5PAV5b3hZb/5igVgdr0HffG/nWO4oMJLM1svH47C95dRcS2jbdX
8IpAx8A956HSPDwJ9qStfFsDOLdicFDsJPHuGqidzkzb6qh/q5hoK58rCyIMn85+JfFmkC7V7yy0
b3KK8JhPf3oAzywIOKhctxJUghm+PeTmehWkmKJWkMzpFKjY85q+/XKpVvz0w95KkeWIhO+o6FBS
Zsg+NTNBjIAwqRc1cvs43kB8R+xgTJbpXT+UHsD/uc2WOgL7QtvjoLabG3tS/vh3g6p/KjwaiKIZ
akq0rxbUSlE1G/gD1SQ1+/rnuYEo59L8d63QBL1utRwh1jlE6JFLs83pVgT6rTA5PCb6sCW7xINs
jLrKBNvkxvcXdWTQk+tPX6KyozUlogmq4D2hKg9g2xtoCa0IFr6eDqtInEiPWI0WXUw7l23AzqPF
s4MjGCWLH8dP6/Dxybqo/7EKfCKOaNKyQiQKR2BcWfXgokHf2kOpWxD8I3cRLdVwPEaPik7YLgus
qxoNkIb/wou7idCevLYvWgbt0om0zQQNhKtVZsCmqxQY19gto8+swTUcPQBM0ZFKuKtvwzDsAKgb
amJpELa/XTt/cpb7RPAILBy1THKWe6+p3Mpoh6cuEuCa5QTZ0blNEm368QIoCqBkJFRVNYISqbCi
5RbJgsEXTG3EvGhJiACpHJ8t4rsG1GOBl/olSDN8+gk3MkCdHsVdphz/WufdpORhAYgYKrBzeWAX
ShBwE9IkgMmp3fa8MX2Nlkl71tQlJ8kmMf6C1if+JUzhKqbsGFs4+6lAfOPysmIZFrFOGwdkyTFG
kBMjYjlknyAJyysyUPU092+2eE+GyqfPsVFlEZFQ+wljZh9vZzu1rk5q2L9gMvKnn3gNzfhXSb42
wmhjffmTmuNW4v0xRPhQOKZ1T3cskCRFl4Pem8immeIkuR+vfpd3K/1LjRK3ZxnPnicdjF3XRKBf
pxpUbeO7ANBfM0dAGJa5v3/KGjbWP8h+Qhz7PoJQcjm+JseiN9ogk4bwMObxRJP9ZOVXoJFKYTVq
KcRH7ieymz6n6celd8VAV3eMDHOfFG6AhP2MIhOWL3xVcd71ltLIXCVZbMeWXhUJC/N5tOvdkn1e
i18GfOoW7BY0gIkzQyxdurrtjDgpl7Q/YqgpIsL+84FKyHbUifJwopgw+P89oACBH7IAkNl++ufM
kwMfDrwp/TWnrMSYQakUMhEPdYr8nWWf//7XdNlO3oQjnRwWq5RfPXsclOEsJ3S2zE/NQUlgNN5f
hcPYlzjJ3Vpuc5AaDTO83GHgWdCSxx+bQ0w06x4ZkPYzEpZabv78W1p4VhqzPiDqOpuhO5JAdey7
awErsBD8OI+NvgI2bJs1y6J1jDN7RnHT9JfztNblrHT7eQfguRg5ihAxJXVoqRf8/DKydvZYkaet
mYfFAaGzlfRDerABHa2060FIZLZIJcB/+Ck+GYny0MzVDIsHxKgVP+2pdyYmsoKHjZG5qjbPwd4X
I3s6J+1XfGWEUuFz7gI7QNjfsRCkRYz96z8/v5ubS6196ZhnX0exhGpDiDGDrTSRmq9K5+SDUg9P
JF4H0gIUaCwkknqZctuMjd4d72DeI9Kf/BLAQ2LbdlFbe03cfN78hK5kXF2SAaS3az6FRxXeg/aN
RFEf5KqSYo1bSqxVaKgW4T52oVTXqMOZk9O7h1UIv9ZHFzqJ8ogQvcsnA4FQCsbKIqUQFMVyZ/8i
sZoTfV4kTYjtIpM1LnquEm6oWz6/Mfz3yuVcWiZ5FPCQMQCBmQIEX9XuDrcf8OKw1jjyjuO0EwJ6
jtxxk7q+DYFh5qTLKmA2Cxnfa9arj8sJAbbuIsxmm6h7Or2CCaScgYhxStsvuQkrSHymKhSWMIou
LQlmDBohdBKc9U55z4CZ42h4oKU6Qmvqzvj9koQbeqVE1t1mO4PVQqKtJK4tCHn+nhhdYwkATVs+
9hUOrc6XFC6xkkvHRzOKgdfoAh4lx0fzfujFHv966o4aF+NeH9G6CpZPt1zy94aCWpGFMyXeqCxt
4xnW7f0NE5K30Q0iepv0p6/b+bKvyOXuMBiNdqx1KhbhWd0LjjybNQ+yP+umb11RccTqdLrSKLbY
bHM7JHv1jFE0yG0i6CpQAkEu96GoaVp1pN+lJGvp9QuGDRAyxdQjg6HJNp2vPD+0C766kfZoUOcM
uJgp/foCacYiXSYJkf7X29EEIT5G6ErOp748/7zaBg2HB2f49sKaWK52H3lGD8bKVhkX+T4BRMy8
TiQY5q6XkbUo4/S7cC6HGCECnahL0QHCzmjfob3rcIqjzWI6xAZJWgqWfO6//MIkAClr9BhBmd/W
YhM7zP4P+KrfAuDKnWgBsgO3lsgH7Ao4BVL2AjunY5Vl2o77hHdIkMAe69ZZCGMN5y9siCJb4/+n
RovjFhHuNEmhBpQZTST80pHusTCMx2sXFK7ztfoCb6LmAxXU1pSpLK+dPzE/yaKW/FNp/ug2bqem
9DlvSH59fHqAvAe60E8oOKxSIwyF6qJwJ7/cja2QzfbcePo+JxO+eyJgVWP5bgWyAtA5pBIc9zbK
+idwn1GH0wY/e8LIaTy96hvkn3X/wUW2wm2qtBPv+jLdoW1/pCcn68TnG462GqLBxt/cl6t9OYZN
A08BzoWpwKsegsEQqkQgESrqfgH/ERdu1WLyfm4FT9wJdHMnYaxdw1rSMz5Es3ajZhI+pfHxRtDZ
WY0LkyyEghF27lNbwFFJegOsYoQ3a9tMWIhRB/kxGXSxBh9shBQAOYc+J/s6yYCBXQM4yOAN7Pf0
BP5hBs8+lj37B7fAXkr0rgiYascw/HpbN5LSWfMZwBpZ2lZtWhvGSJOaaPKkuLPlvVLgvUTPtg5C
hYDwBuO13EFko6uRWBCsg3z7x5UqUQxBRiNLzp0HA/UWsXRuKvRJE8XP19p1w8nncF63jygpsCjj
w3vGVnTEovXoSuPDaiGJimFEjF45Si6PFNINkM00lrbquKUnZHBrEmIu9ijdyvuMi5QCLScLPp01
f85Kyp90JE10PbQpr+mBIDfWILOsA7JB0vbMTnoozI/ZJliHXBjTISb/Rq+tIeR2FPZFZXps4uxT
HlA6OOwDVuwZ9CSf7jPXD+3OV+v8IEgOVy66bhBc08L/I1dznsBoblkIy9fPZBR0xNdgqTavsAgM
syFgeiFzEw4ZdJkaCiesl/lH4ugBMfyIKGfNmO2DZeND/spLQMv8gSeohsj71YfkTHgB2/eUDyte
TrXNQPr4B7dsHJgGFK78SjPuzNaQSc4N5e/9rOkQDiNaJt2zPWioNNS3fS+Eb1OCIoZ9bOov5Zkm
Q96R/N7efVuqWMTHFJ+W+EsXi1ZjMcsBABZayRKSIwvcUFqs08oMEmPSizlFS7iTfMW25BW65U5F
L49fBaWoKhiklj2q5gzgdE4gKNFHW6vfbhGcilwy6hjrtQt0nIwNygM4+USiNkUqzaZ5cqADI+y4
VF86Y3ra/N8Pv+0njuKUjwDV+bZ8jk9jPtD87VOlBQt5vCZ2kVXI0R6hjMoRQV1Y7QQRxT8S0gvD
vIucBjcYJHzRcJt725syrMBCiVTXAOQKbBc0B4Gi175mINNWG5GQKZoiqzH8tfgQbUgWtRMpNO1N
nU0z47etGpuP7KbU8jZGLYPsWVMEY8awkx/Ya10qDJNuyrLLEEz4QMaFHlu+x20HMM4hLQY+tcBk
gLmuxzAUn9hkv/nplnpGoF37DOc5ocNMKyNrxs002WkWtdSA25zdoevS8tHa1NBYDGbABhaUG7Nx
bsTuYUkZgm4sYBGubcPj/0Njqx3J/CikZE456A2960RcVgfRWNDlibrJsWGQxl5hAwbBCzMySRc5
8bNNGmWWlYxRkk7Ra4gitvXvvAHZPI/3B4h6vE7Gv0Ay10hjucnYk9upqWIHHLwlj6TM+ReSIe3j
Yy3RNSs12NSDCtzqDEwEVAE+Pbj5NjuLrouXz8Wyxt6Vlqv2E0VsqOWNXPoLQudXvwBCqHWM0XyZ
VlgohrQuTwjR0Du2tMMLIPj4JlXgyOZhf/Ha9W5kNoIbgmRHASTIseJPLzNFe5Ssfbhvg1izEmLi
sfLcjpd6OxiRH/fRBoT7l0wWpqBZYGbTWi1BHqGrRsX+3Ry3fAVF8Qh9y8CXlZ4u0+i5w99btgIf
DTLu0zPWr8970nPXC855jbJ8+Dy9UO89SQ/5mjpB6QSYQzn75KS7VUAfbruWlGr0zKWoxM738IeR
sXYuI4o+U7inlQbdRKX1rWE5ynfHCd1WJ/9amLsANCXjiqyaSa1BikttG2vh0RISd+jHNZrxpTwj
yzNCtHWwx3xCa4LMd7+ACPohrt1oG96Qtr0l2Xee0FkPRVabpsXxNim3KQ7hOxl72XW6o4RES38t
L+XO5qu/7RgOYd2Zys1pq0uqQXpd0z5FpqZzGZZeXV7wceFGvEOWmvTS6SNEewwLT6uU7xVULTYN
cAaHjA1XGflM6p6VrmAJMwSq0pbvzY9xUhuljEbiHl40NXoGd4Gzphrknf3wQk/Y2+Z2LM3OpAr+
TJlqBDj5sBKyD/cWQrmWnyKe0lRlh8aSvKydo4Se+BHXD9oHQ/9pBrydDsyZbIE+eR8HpFWY90FA
qRKc/RHODk9z5cphDlPVFnQJgsHbXjnp/OTqCazmvSlwx+kWzviEJJFPQ6xtptdcw9n3b1H+K8W5
1K8MXDs2NPDrJi6CGUglcoAt5W1JQimDV+fiWbsbH3FGuTCXEzAcHG8Dk+RtLyuAUg+HYOWUSmmO
Lt/ONF8i9JqD+mwDEDBugDmdotBQKts7RGSrpeEV8Nb54tdbZXLAZMUPMqgxyRT0Y4MypooU3wiU
JdxVYQxLGZdjVSPUeuP7awJtipLMSK27RtPwesQ8rAV9zzFnZkUTNkAnpCQr29nvDPV9Sixi+15M
mbLcb6V7X+B82kghDXxgj1hzag3oAaiO+KH5Xi+K8UExr86VsI/a3iFAIisYsGjslu3NkVg781uM
msOU94jOsE2KDAhJ0pSISBa3n4p5jTkDzidxmqYya17EBvB7ppaUe4mREAg/pbBAOx6kmVKOiZkI
+gwmF+2yUi569fEYfBJVTl56zCf9ueemYQQNrdwyabuKJAcRGGZn4BkOQg8yR8t7mwa4A6P7c8oz
a08FyugdcTvcJTIVrZH2mmiQS/obr6QstuoFoKl+5WOa4jeWYecRHxSDEt+gfDfUw57dySsI/g2u
N/7HwcR9Lm8AwYmP1qWmnc3Ey1gs0TYXmYWLYJO7oblJCbUPe/gU3PW6RY8UYxZPZiic3Em0vUhX
1qNY4HHMSBV7P+630Jh1Jj6Gy9T/Ge5e5t/zG1Iy/L6dzYmidnSKJnu7zty1Ei3j5anQBXyqQEJy
0NDff2GBbRr7MLC0fYTLgWVLECXf42QYtAiEq62FrO2rcy1jVHwLx4QRiV43/jnatcCz27AENZ9+
N+XzW3U/zWaCa+QL5rsOoPQIlcqpwNBAS6qFHUBHb4xijstUSwg1/7mi1aGlCuKcfNWOm3OaaT0w
+Lh76kk8MwShbsCUMd6QcDz2HIeE4joUPaeoYTt2HQQwa7xD32+N/djnC4qWS97Dgg4E7eFR/r9E
H+laUrLsFlgAfDtm+141YIzrP2UY6gVj8Cor/fBGRcVmNTPmEDusF5b14Ik/9HuTyBNWSxS9F18B
YR5WqbqG8d7s+64/0AVVkiNLTIQqDWtfrIZFCOh7R5babDuwpEiTtR5G9RZ1+JKbE9Xsd2fs4guG
K4S9rQ76NGwRikhzJOGrzkeHExFdRHi0Kbu9aNoDDYvFgWOft8j8CY8np639F+jNRBTVJo8CVVII
ycl8IE1kTM6wsDpG0In1HZo1GzgOYW2z+SoO9XK4E+gf0iPjWVK5wc4SppX0vSXLvS42YYud/WQf
/zINVsRvGK/3M+/L2W/NGB52sbM9zq/y3E67HG/IBPAhvNxS5ETWgwQWSQMmyBhmbk4JCPmygS4+
JR2SmoWk7errKXkF5ahUccVmI4iC8EbAAOZKl8vSPFuRDh823a2q/5DFQ2SkGgAJrauz/iBQpDFd
n+Y0LHWvQjafGWXQxQvNJhmuuo1ykAPRzJXj9aL/UUYgJayof1Ld2OyeOyECipPGNdPqQ9AH3J19
SQFo85YMbBiyrFVhLtRnCH7g3mDSUlMJMJnHemJFCgj8be3qxQJGRc8M79z1sgmdC9KfAY2YBKw0
gvXZdZhkp34isvLkR+F3utGcVMcF0HaitO6MpqfeSmpCO7cDTTnGpLSgsEGM0gSonIzn/2dgViq6
YExFRdxaf5VK312uv1MAiakoj/sQptFP5luIBkptAhZ8GwgbA3LLZjfyEU839Ifxyvzoei78+y6Z
uY/sG1RXlsP6v9hwaiKjHpEJXohWo1JureG1gowU/bACoUoSt/2rLEh8B/JnsAa2VJXx6rZyH4nG
dIgwub91pur09Jij/b8QYw858BVP2oYXpXmRXIMb0NYPhyNEzY0lHGS9j5QzlCtS99FH8qTvs9Ft
Dmviu/PkF64IVfaCn6Ny5XPCspaG2586oi+FxRT8+BhYIgQc/rp6lBzKkpI6KYJd8MR6uHEu8cTB
rUd/yu43oqEiOoLK40331/UZi8BLI34MwGaMWIkX+wW3XN0q4QEfO2BTSx+RkbOETI8Pf0YgxSvY
/vcMuhjX6dGZ8L1tN8zv+YDjKRQBkjL5L6WfROw0pj5JN8OTdChNKxi0bhkZrwi9NiFNSjaZn0dp
nDAAza2w+AWL9dAM24fkem9MZsgCMUz5yE+5egTgyO8ww4E2PhYxKeifJzISCjA8ShzSvVhfADlg
GjYlpnnZKavw3XR9XOijHyTP/tmF9ClpW1RIbpGiBpGqOaGv+JxKsM6iFj3YHJDkejaowwslu2lZ
aLnLLSIpXX0tfE45IHI4r+Vb9FCUKyzmBzYUHDB/g0RHm9uYnwNPIw3ckKpotYjFCi6g+reHMGgp
twtp9YFpDXrhM4tyn4VODuekxd1aV2RbSeJX6WGQROHQUP8kYJet2AE4cXJtuFqzzYp/oV3geEdI
nGJgFKqq7FiEGBoU33+YZfLVu2rjyhpqwq/HLVUrCXNq6lwxQm3lglT7wZ7D2YHqSumr5W2xK6J0
jnlo1L/dTzD+z0T8StOazDttYzO9KmHvptQcKclhfTygUcbikPV+HGn1Salks08e5+lQ1De0zh3g
RZAqgZVOIqvXHCYpzt/EotnSe580LEf4FQfXK6yDDBAnx9Ao/ccMcembzlgJ2D3TgUiKPudFMUOl
8ytFQF+LDTY5ZOOchN0hK0WnVgzPJiZ0NprL70ZMb4Iblq5NhFbFpCmkJcdkxLIhhlBhcXpf8Jwd
UJwzVQoEMq9VgWXkBzOhPJhses7SNka+cyJgb/eafFKs5BgrKALKsd9ktK0E6us8dQqHH3AD4Bi7
uTe0p2qRFwVLEdlcsPQMnRvwCZI1KN0RrDBDXN5m06UQgO3GBswk2QWWSPmPNWBKZ8Pr9EtYk6s8
cBZg2vNPOPeIeHIniov49YGYasKLo3nBgOKTD1S8nTKPEvQChevEsDbrt5HqFfhrK4goaoxzyC99
/4MMSzWeC1ts52IUyweA5ZQX1AvyDprJxsGsVB9IEm4ZNWISI71wzez3K5SNMHSjT+Npe2m/YnDg
JGBDpXdsmQVtfqNM+4gySRuXL3lx8T+gXV8mO1irClXEDAH4BePUYmMt28VvfpYznS/coucDKqLw
Qr6IJ6jcW+0h4Xw5cXVndXTJ9xkARGZ7qH71dBcXS1xn5IZgfE/OSe7mP7AqMAR0ilnSQ+m8YbUj
YZFPxAnWEzSEo2Sg0+v3CdCMC7uJ2Qn4brezTAmvVXMWFy4b7tjD5eeD/NZ7WDQe6cpu8zhRbai+
MgC1YRZjjzvqd0pAFAOxUl8uVvAfOnU4KF8Bwr8qFuuAf4mXw82I/HxQvateYzxUR0oQMPHYl7PH
qRneTtJR7Nkp33EA78P5nF0bWaWD4YBnu35qFv6J7uJVq2rStnidTVIfRRmNpwkrkZ8zDGCl9y8x
TuWQLs2/YkQf0LT/QW/n2sgKPIgZwlh4Ruc9zPtjOBTyUMss58ewSJ/vCU9ec0MFEq06UsAeGSSM
xHXdZJtzCPjTTsHOn/keMsneJe9K/VKfOiQDDg/MULjD4/dkF+3t+a80LZP/a4VZCFmcDHIsVQzN
/4SSmX+00ArlfQiGgI3WG2xjCBt92oDgQT9KVEt5SgfYrCBKaq6+VQl+Ih1vKDuR5nnZUPTdc+/N
P5DIsekVvSi4MoVWjIe0cwJztrGEP/cmF8cPNbVGDs1sZrmrn3RtaVNCHFXBRfObwndSD6GHDGmr
yNhwYJvtTiiOfSSM9jiLmBFmn+xrnydIc++b6/qrgSznVYsRy6qsMjIDjjsEzidgJe0VfJdRV0E1
0tKHEYGHIVYJMi3ruX8VsiJSZzKXNLFpfG8T428qls7ke55MmMhhgn0QjgbeJXULYR6ajVJzvBS/
73buLDXbGTJ4oudtNRVGugEmsiY1taZ00eUGF5KTQE5vz1s24V1iINaNB/No1+Sj8Z2/EH7lGtya
ow/RVtxyM/2MnEU4LcL4upzc5iiyGvYbzNwzIBhmDvv9GdCI/qthoituS6sWaPy86r8Dtn/M77/+
+XCkMzd7N29wMqqz9WQT/3bEH3x3CC6M3mq86MD6VSAmYKeMEA4CS16BLb9mT29ZUn5KL/tvFfft
Gx6AqXO8o9MZ5O0QHiai8UG3LqBqfkrCxE7L4rNQ4E1xFeR4gdhAeuDMlieEmBxMk3yHRrVbCaXV
wzYVJQl4Xi8Qf9SZfTYXiwtNXLt+8RQFsuu1ax5v3R5AQVj4tASj0dDb9JI5z23xQOn5KAAFXG8L
LtRJV/CVJYTmPcY+CupkniecjzT51fRkceGjmYtj17Q6TCMRmOPPSl3B/grV2heB3w8oU7iJD7zb
UsWN9SxVjupn+7LP4VcsPLPqEqRk40AYC75VfT6k2yEddRjSq4uroE217DoJlBt9N2zIyqqxinVg
7Ei//HXcOQhf098r0Ru8N8/GnKk0wDiyRCKTYUiRfaNFettImxgrMDkLadGVARVjH03I5QZ5Ly75
zmP1f2R7ugb/v5HJqfNh+VHBPaBoAAQ/0B5cfXVEAYBo73seKPfPKYaRuZEiBJfLsrkcec1vWNBS
wI7AfNRMWJnfZ4S15+Q3Lj8k7W52lwHA/jL3hOgg3saULXVit6obgM++hEsAjK7qUgm2gm+zWH4E
3Zizx23240s8pBRj3N1tjkDgFkelD7VukO8+y7FE8+R+hFNhRVcnVGffEpU64Yc9VLq74vCtf3mW
ZdpKMRWTElBWmX3Wk1L++wIg30b4DojDC8o5kg372DgpCJhQmxlSAS+2ivJIzWZW8NH6wfewEqyi
pvfvbRDPOU3IcBpxWND1kfmvDXQOY6R/oqvDFxTgAS2tZNENnLcICDN872z2nXG1JI7Gp+Cn7bMc
d+znauZMaAw4vKQ/wRl5YHihPb1xzcOG9yliGj8FkO5rLZBnbO2NSMw3k79IYAreJCbWGINJkNkj
tWJJXMlkPlelLxeRrs1YoRRPzLyQ24fC20lvYpyS0BcG1SdHNZJ59yYSlHuhGGXN5fUfXLYc5CAI
xdE1U8d3nlQ8W50b2tAGl7ZSsV+JEe5yyfQprCL9X3M5wMDd5BFfYzPGVKjYh64jCLh+YMxuWDro
7GeBNWy+ojtqQJb3YaLxmFbK3EQHj8PxW/dGKOoyNud5/RNDF383k3AYZXZnQyD1QXqOYN8Lo90e
8uhdeV6lVdaGbRhJ+4RkbHD7sngO8/dzrCrEqj+1pM3MhY1ab7cQJKTAa6NclylQa94taEirRrds
VNbvD8tvpfsPVFtF4LZS0s6fNb0E21H5gRre/5yB+aU6Vkdhk5HctXuGFSBl8/E+8pMQe/Zk0Zx/
RvL+ZhIR1JB9IP6giYjJJpg3/0ovw0UDkucKHEDnnzMwBKE6MiDExWyqp008p+/syOjuwE3DXms1
rXZGDnZn5/jKx/ExltYURWUmR7KXrZvbhT/X0wHwsFFV74jM1JkqL2JCKdYbHDcWiFn/xqzylSY2
Zhso2SMcg3j4ksFJlVsA+RC2k6j+/lpI60MR4TilwO2Wv8rn/3OJqsW/eP/L7BvTJo2TOA/qaP2y
tMQRiAiyA5A87vnf+F7O5zc8/eEmVPYmlR8ZXEyPLB69FyneKwUPPb9rkDSuF3RauzCL5NEbEYSD
nKXhP/aJ5BCVatLPOTgw84wF5gFo/5GRk5tZr/0SzlvExNV77/Fvk9+XsM+I/JgGE6Kvu4Mk8bUd
kuaGOjq6+FrCpNK6YSteZ9fWLGrTf0A4JgtMihoOWvt+Ucrvk2q0voAaCF6mZ95/Uxhb7gitJWLd
Lu8Ag01jG3z7qlxWXxBeSE07/vOoRP5j1C9OvwggotqeX0hbHAaDxnirgPfgQjLXCkftCNqEC2SO
65lc+XKA0SPEgMEQP8Uyf9LrJxx6i70wLyt9IyBPJ1v0dL7WEpTM7iRDYSRksF/mIbGmRiSv/XrW
ayEhFM7ggwryVBF3Ap5Nuj8qfYQfoY4IcrUh0zmUjU0es7OGZpKI2NaZODd5RBnlwnnrSorD64Wf
2H8CB0F6DqSFhYYnSBED9A1L5+9rERuKRp/uw4NSh1umYmCrQUw5zQfq+Yer62rov1ORc3YvQ30B
KyU9j6p3BKw6asv2i7Pi/Td/go8IFw60MGxr/SZ0fpWMsYmyLaoYWQuApGojGuWZPhiI9iS56TWr
+YoC1VA3iO1xSuGXnVXaxkAZ/2aoIz1u495BXFgL2CS+53JsJ+DmyXMcX2Z4Ek3fIKAur5VkMRsP
CKHJ7ck5b89ZhhJ+amyMgKnzzKCQUbV0mry3GZkVkoHWR1xzBva+LfmRb5FPw10qPmuLge4z8miU
GPphLVl9CsJBHQuAXitCo9AsM9SooXiHzY0NCPKQLqtewFFMkh39LIIiuHuRc61m6FoFTxgPwdYa
hzR49VlUHj1s2vUivehKQG1LSYkEDxBVq7XBSmquvp6RSTK4Vi4RWi4jAdRzBiHRNRaL2v2CqJYS
slfHnMrhIW/wy+ecxh0QxoY08ogp63rqrVYduc7fdw/m8oiksSeGgy9FgUejMO5bRjoEN4IQXh31
rZAIeZhIEw+tm2fcZ/laYhvBI8uH6gCdn7QZnlhFeGbsIa8WRjYAmDyzA2/1ehJgMTaHoVfCFKGz
OOeEfOtXsPh4Z2gOGc4UtODKKjpW1CHtP4Ig9IC2M0huVSM/xE76KwV8LtF8z5RNlrdMZG9kkeEk
XUOccyO9+72FZDWNwEWflZRuL/EstuEOBzs4xW5c/gyqnPQ8jVHxHgPW5IfFOHPgnHJPutBCnvll
Nm7hGm+W+WeRUwB07tPgedyh8K106q5ErFb5N4I46L/OfX0r2OxTOIukWTmsPCOhelemBmnG/Uhu
kneLX9pd3Fy51357WKczL2TlMXNcqP6xmTa8kgbaVTyqEMzWR+pbZHrET5SvLddAV2qTH3wKzFil
lEsGFizu8KhhHEFXNSwFYJ+TiwMpnQSjTg3RA1z6oDEYUWE/P43KlEGfVoBro8l+zarroWmWIVNY
UvHX7p26jkksE9MUCbPUJOqGIUW2tWkLRegT6tZ221uF2+wwPmda+crvs8EoDeUSt5RUgSA//ZTc
vwBdgz+JqjGU0soSY437kIMRSw3nkvPwURwwnXeMepdGw4xMIjrDl1OKPZQ8u31dmyFbE1Apv9ht
6Z2pcv0EhalYdo66zrFAaNvNb37XqgqSz5B1I5P8g1aG86zJVBiJJzQdP3Z8m7UNeJ9hp+DN/hKL
T/FqwzIZoXy5F6YEs2o56fPRe6n5dgCpRawnfgc+gTPwfay/I+zEBGuvvql13D6a18FNK0ceesAe
03ypXurAfhasHJGrLi7Ct6NOlEZ6Ndeb7eLMt8CXOMQYdUd9nkK6gBq84WImqYWWBIEu+wpAPBlr
V1p/8nPWll2EZDHc8v6IbZSBR+kwpaQc+HLIarJl/+A6AJRK9LAkxBeHcpzRn7PwRf9FXOyZ9f83
qnmULDWK1+uFAkKeOcK4Vy02a5PzsBzgBzkQXS8gRp2SXu0aXY8gnY/f/mqE4hfqNYHk8xilRxuM
7qlb9cUJkXzu38jsH959avTR9V/hPw4QY8Uy4PtNt236xNxF/u3oSBLPExdwQxW/1pJ0CyupzA2b
3bOCiidCMLKHgqfCa39m4TVI81JdCJntyNhWi3t2wmmJjb5rWL0J/7atBuqVSP3qruDkuOiA+VER
DxEvUJ1kF7qIQEAS7ETXAiF0BRTIhclHkA3S+3LhpxVY+dmWlllGuQVN1r3SdcTtRg78Iq9MwN0o
qfQEQubmvzawF/pSaj74b7puI3Jhs9YfWSbA6YghYAfCQ7E56dppOCYTmMDs08ZOj4odqeEXVHIP
/JqqjxCFCMmgZ3RChANarGNONR/wUp0AFnwg5v7La/+UTixJXHYVxRMR1ylQDBNplJlTeiNgQh8u
dpPOw8YSU5HEB9qBEzfoqPTp6x9p6P74S4i2UU084xjQrR/BgnbGpUFvvHYD+tvbV6Ci/t2MyU8D
y+M1e1bUAzzlZbpx9c1OtB8fyk/kdqxjgIF3Tt/CnPxA9RsWkRgqkkS7hSOo1uzXY501moNLv1pk
D4P+TQmxqjFcU+8MLLnuG2sZ8YmheF3Nu+/VydZ2nK71vOrzyMDMsJDbNmhqHAy4PbiV6YB2nyJo
gpmzJmaB29R5/nMRITciIdzAnjO1VpSR9xi8StesbCXr8IRrOYNvgRtiOxesMkhmNkJLhEgZTBKq
HdjnOtxoVSnhvTUX5v09rso35vjpG7y98TwnkQNw07FuXVA+IsVx8pHD3xmrjjYife/jXK7OQx10
u38JM6mYhrO6Hs5k67Dvtw2x+9/5hWg3c1IKAyq56ElfU6fG/4SDyW1xO5Gh2fCQfCkBKap3P0rh
g7JIvu+Oa4SInVGZcp6mx7oGurtcDVEAeUQjDYtRsdpIx4SKsPldtItcxVeo6xQCme4VuThqt0GP
xRw9P7P9JR8/uJ5rmwSDhAyfbItJgP86lT9m0EF0Ylkz9NzEb3UjGSINu0ZYULMlka8zRjB3TsCo
YSqxRv1HZT8a08PPQQu9XaN/+yieth/9Dr5x5GWmcHagVO5Ks8oem0eIloiAAEtNPiSfq8MKksjj
txNfNoJ2xQLVBE9Fo1+Z407UnMUmCQRJAHXKo6NbDsBzAN5Sqk6/DvztLafHh5La0FWm8nf41Wjc
vMBtAPqTgQYT5vNOKhvpG8i6N4XMkv9XxFP7xDnsi+v9ZLFE41OXBCo9q3tU7HY/Tm4fWEhDzFcI
NJkcbK6KxFgJsVi9v2l+rWvJnkR/5UOr+kwwH3OItbrFBmfq4D5f8SUPNV/Dmt4l+Ly9jiYfKzak
tl2+j4t9SH5Yn3MUcmtBzGYJIKM54XO0mCGsfPQO0P0mRRg+UhqceZHqulHIFkk2TbInLqcjdFpj
llyEPM3GfWU48V2b/csY6bxfhZ64kzCzOz+2RVB6lLmhzZOvC/hjBOwXWUV8bwS2/5mcoi+KqTSH
p2cf2Dqb7NL8Ks/ROlziiAeQOww2bQgmNfX4gYXfBKLSKRhXy7On4G30jWeB8z4VW4wmVH0DgbdE
lLQTyQ00EsKTQkCEevorP+fHaqM1MW69EBVtoRPvySCFdJxMShk87vbpDkLidMAj6aypQi5JQMee
tooPkzAuSUkSU4LqGpyaRY7nMGaBuCG9p994KMh+say3VqlsbrtLDCSWjc7nW30+2CUPcrtALPch
xd2hvI44G7gLjM5hF2VfeuY1a/E6SAhlQCS9FO8XlPEHWMdU+yWdpPPRWQY8bQta5xnU1oxljiVn
NIpfApTVVf1Va/ihLkOX6uTjahqWZcVbaQ/oVLqPHJkHwIXt4K+pTTpN1owwpDsFT6RBApb7JsoB
GJjJ0K6NMGXxNhVR+Q5JeDZr6OI5yGumGLowA4l+JpyW3Ow2ky54oH4vPYBdKyuYbQAYmw5l9qQE
yPYH2HO2U5U/RDxM9Il8vghRPSsvc45h7lkExMoSQtEYltQYOuuN7QqRiqdYnq5rLPKXRD4Fa8Y1
VYE6LZBMWZyI59afsiZPV6eNJ8HD2jya5hVp/JSAlf8CNQZFvtKTrKWvMNAMwsMDHOsYLuW+yHQa
NEB4YtvRWJp241a9y8AJqz8v3Ro2YQ8D9BERybXEHuJMHEdhBRlPc+SrKAte1iAvwhotOfPoQ9tj
OdXeuU0GzTKHbCj/yU+YGrWDTkvs1n7SUUd6hIR2d+FhqluzqNSQJMk+Jl5Y5wjBUGikUML1rqLc
TyW02lLQjWC5YGqi/2bebbWmokggxTMT/y6x5VH+TwkKL7vmfySSGYCDMMlb5SSM/5iCsJbUuMbB
Ge6gNdintVRDZ4iLFtMV0Y1T7Nev/P5LHh7guaAO4pHQOUwEclWj/MaDfdlWYkdtIgKgcNiU3uHx
5Er0Ii+UreU5SGEvj6PT4zCQPyxtdSv1zp4U0Hw9LtpkeAN9qs62Csd2H5HbT0GIFGz/l/x4yg46
l5GcZjBI0QdMtTlhuDG0ZMVObbwYXeuUgdt4TlPfMjo18VtsZ7LXceXXg2AZ1GLwc1Ga4uyY6XgW
MQwk7BGET1N7Wni1TGgAhgDpibbxkyAJHPv2mbVOvqSZX+OVeY/zEsLW6/QQ3tmObMlK9uftVNxz
SVwxu38sJCIZM+jMNlEgPgN1gSOQBBtO2wGOc78LbsotBrI1Dz9cef0JheUSRiYHv+607Hgbnbwl
9QCzGRj0fUCzYfzA0JjONvinXasficLCfd6XqHcsQhfXi92z7aIcSFxApclkmUvKxrltkhn22Bud
TREYmr9Vmo1CMWZmD/NTnrVXLmgAuGDZ04mNhSoDQ6EpQR91jOV3Id3J5MATaClcIkqJIqDLcNfP
h0/JS7W1kUjCrgZQROP67RivhD3hh+yMSkF1fiCiHXKgVHu0UfYt/LbeO3CjM//kd1gD9OTkPxRu
241cEtlC75zG15m1Kz9P5M0VTFrT7ytCW+6XSfDdyqd6CwUA6gafnsLWPelmmMdS1uP+E0m1/HTV
HnH+KWiUu6lvSPkBp1oKnKqafETjgOs46PmsA6F+W1uHVVcYh3lxlPdI6LhlJcChYdGFNfO1KvyU
IBNk9deGookOu+kSw2XMwIC/MSgt5QpLyjsPTY/yNEsCCoXENfdOzYbqWhan5gE02P+BtGngOhdT
A59wftKHX9es+yVWJsUqxfiJXiygVjwGDd/KxsvTaQCDusiDEWQJk11fEkfAEaX7bw71+Xfe1gP2
PnJqIMv8IKzXC9Q6mjM57r0W0EkAl/pK7FVIdU2+RanRr8PJ8ezspf/bfT6ndqPvAh/aZgPt2ZwE
dWuv/dSLFPVrjeIRxnbQrW43JOwdlq+wcW6asAb05TeHglgmATimxb7yPiNRaHqtAxgpJbNkwOqO
IhsOvNK4udvkfpeE20jdJQeuzvCfbPT6yzg6bA60XOGYfvkmjYuOg1qxhJbGGat/Ww6Oq2Uf/6qf
OcwVNE5ZOyyxYXxcLE9F99dVeUwPp/SlTNTuj1YaovBIhgHG/Vm4mbzh8jNQZeEL1/6u6NZi0Knh
mSYEpkBQmXAjc6sXy0edQqd39116X0LLJVKJqiP5+rnyNnZIR+OGusEs73mg8cpnQ6se2V1wBYK1
YOIBOYW2q8OQ4LVtefKu67sieDg9/X1Lh7sWNzL3MxJ+ptfWan9YKUDOU/peviIP6VIorCuKfXvT
3VNrSrXiC9wBmzjjtNQuU+Wbrr96qMCztmnDgi/ld8hJsHNEfV1h/rLh6vtOh/io85pQEUjD1DAy
u3aFn74umvlNJZWVwAfqHiUoS1dzwKVkDNjIg3NG5hgLzPqMIqa67j6JNqD1EaXHT8d/EWxy43MU
qhuNTg61XCQFwnpsSJEcCdTzfNm4ttPZYSTT1kGUA7uRwV/EkEktFevaJxfES0r/b5TmhLJtSGal
e8pTiucnqknJRLzpONYtSIcbn7QxX+RmQcP7pPIHFF5T4+A/C6OB6qvibDhCYgBqV3bRN1MY+7zQ
ASknDz0tJN8SgxywjUZk6HLL6N5CvF3ee1/Pb3NbkFoJ5pYce23dll4YHCAXSB46/O913MyPdRB8
AqbY8DB8x6HAhEuzFmR/5gAdPUdvXYUks5FHnu7vz2NrJ0rFtB4AFNNKU94dEx4L14HPK73/RFsV
D3aJj89jzy5/WSpD8CDbg3TUzxPjgX8Jc6SHPTATIzahqqTDqOBwz+G1jIY74MjG6IYFmLqMAW5q
M3a6gnH45FxvLp8oTbv6SeF4bL5rg/uT54W2B6iRaoJGsVw0xk9AHNsq5bo07qeLRdsx1c+lQLvO
OKngKejpNysurwMgmwYWjUnvcuzooxkaGCMGYF/vYgsuoK3FW0z7qgzolRFIgFzL4CAZ55gNH9JP
jKtzbfhJNO5ax+0YiBuYlU8YvrzCLyIOjayWDNIQCU1V0KqZVmppvkO7DeXozVdFm6S242Nyk8OJ
TOmu4SL6rYgNo6CidG2BD/XSB0n/gfsOhnKqog7AyZfqbuCL6sJZXi1wAbB3c+HZI9fqIf2S311P
bCdVFq7hvzZUlzJHqv1uDvFMOVN0K3rWXTuJKhYzNlOgI5kApR99N+wjtmflxENDgjiHNi1L/TuG
11QExA1hutB+o4KaTQ3YopAxWVKrMzatdLRND9SQbiu06sk4p+TIv92ck4YT6wtD0iszB8QK7s8b
0X3krAwqAGDSkJKRgSsSFjHeNERVP5KwQEgP9uIA4zvi11NJ37qvbFwx26Bv4/i5HlMRxiO3jloZ
NtyDnQ2QR05XhfZOZYdd88aGhhsqLSMx454cZhpqgxerMY4pqjTYUn8UugzOgHHb+0YdHmrWErIy
BgBWqNzbICVgY0hV/gfg23FaIaMrg4hn2T1TMQtyCZRlImviQ0oaqPs3vBkWZAg+w4xyulXA3pds
nUbXxMFo+Xi9pASD38f3vlMS4JKt6TyB+92pG0biNrDDSAKqbZX4DHSfZhsBFqhBaZRrhmE+i1Qs
GLr5CZoTvNymqIURHp7jEkTxuzqTQS5szvLdGjDex14+nUeQi4/b8dNPU7Pnk0Og8Zi3qZq2IuK0
gWlykvX3btBv6jUcKfw6NRbOypLd58/cLH5ED9Iw+QbLQYaQYReeYMi01Xqd3pzJgvKDSFOHpQOH
4SBHw3v41anMXzXT4SVWi3MtJCkDdUw+uoP6gsLvRy3CgrLCV+yM/ICJ2RHt4eJ71q3hW3goxEGB
eFr5Yk5iOr0CF08qpSdYPFyPpwIJzw2b/1YjwHV/ACgSmTONEdWkMcfspvV7IQczX7HsRGp5Oo6F
+zE7Lob0WwlXeGYkYV0XTX36q9C3UMHraziO5e2MDm96fb6/tlkT8mpEXj1Cghr8KwHAXQmawPOf
xJLFboqlX4ILB77OLFEPF517ph2/CM9eYu2aiKlbQx+h+r+MXjAAaQpgDD9RxX3xxxgXFmVUDRaU
VdNLwvwXf1baHT5B/0KVr+ZtYJX86Ov6Lz6E+I2Hb0iJjDr5grJvRAu76X2odcQbVAk+b8f+PYQC
IR3YDL2bmCVFAaB8DWQoSpIMqoZxSWgmj/iJTuYFQpN55jaRAClr09LkHGXXbygQ0do+Ia07uWnC
FrDknMhyV0ZpgXUDsMp9O4UxI5fzMzAvyDzfhPHijo4xQqaWY7fqV7MilRo2F8dJVFSq17RTmlpn
j/j5bESEgVS9VfZUR53ib5NFxlE6rBT9iWxSHfaQRkER9bviusfU7SmYSfH7T8cL/TcIFxCcj+gN
nNo7zqse4jVxRglC6TnQpS+mJgLszJOisrMP/xPr+68mJcc7RzrHijomvzZ6DzQ51cnf9Ho1+2cs
Z5pCrGb/9jGXY0GNBox/4gLRqECbQQ6bB7S0S1NT5A+6B9GCgc2UdqCLv9k4dwKDjGsdHq8Q/jVD
mXEguHwrylEiveEzp+n2Bj/2PsxezpDDLuW99Or55cVDOnBCT6jusKeq0rr2Gma2k3FVLmEzVlU6
o17aos8LlcqFI8rD2VDTl2X3BwXvB7iP2GSNxSajW2YsisIHwHdDuIBPEkU0TlVhMzCLhorMaDZM
X1DRf5SCunzyjrEObmHIsi6nOApbATGmW8W4UV1WDkCXPUGGA6ZJWRAEPldgNYXR3oBA5SdC89Ww
c9UOgd7Yl1zHTqoousxZw9675UMvpPsyeTBfDb4RNn3Z3BC9zr7d6Ra7SD0MJN7p38GLAMjfJ4mn
F8rd3yCvOqKhleItu7AFFifRbWSewVATpQsmyIHYOKGCeJ3d9LbLF2zxcjzkfngkAB4RGePk9f/E
fKDUQ+uNXFvawBBKj2OKiBZ9qZ/N7bCPKTZhD4i12QbZ21Mz9CYfMOfPAnOznVVqUODQP8sGLR+v
TxivXpcfjcoBEjC0nu/Wlmx9MGfnY/7RlwZQZfXWhwMr0yHwEIL5N6JHkBJvxbRUU6E46byAyhJ+
JxUvOB2FebihWigkS023i9GoKKKdHjUSUIDu94/2FFlo9ByEBpOorQPGk/FbFl+8UY65NE+dF5qW
J9TViAn0FZpkTaPhuEAFaZ1n5owZe4B0NQngU3TgBsSmvmUooOw5D7rJuBBGHY8k6nTNGfRcOrog
ydnjBRd7o/jPyd8FXtbUaQuwHxMM6NtqH64es3h4/YA2gX81U5XrChNRQPnO1krlWItq8hv3mtB0
AnaLHpzOHhcJM0V/u5NzaHKD+aCXFUtBbQ/Gr2ofgzplXEB9YVRkFrWfScj0gXjo8466WN4txRa8
81x53WGJU708tlFCm/Yc9N2XZqkuguh4iviK0oqMp8hldlvoeCcm93LeK/v7OXTQMXdnTmoERT9U
r31yhqQUGw1+Ay2JUY+//oe7OgXj2uFQYwszSIGtLBREjGR9FuBDd2LygIVEIZQY3oWdvIujQyxu
3VgIrmttTurvTv9MUwBrowro0HBS043ok8Oo/yM9plrKIa2KkFqWalqhQPHwuvTJXDawIdgGxzxV
DW1vWTBGHRMPpQfMpB5ZyS8JDW0rJYh4uKEiymxotaqrA1qr5DlXhIff0K9E2G+nYmP0+jtl1LIE
3S64wW/VIFZdZNQH7zs5RxAZoacBgdIZIqp2ZQKjOSV7LcKizdWBGL05KYQvN4NsmwaIFZvqj/KU
FayPJI9K08TMbOwJtZPTfyi72h9Q4i8BPGuo8lzBda6K+JpKHlLLGldhyPKtlSqTjzeAvU/Ip6As
+1ahUdTkLRBQXeWLsLvMTPF1b29b6oLBUyWAgZLs/Ne3Xvq1kZcX6Y7fCuLoi75N5zGaNF8a8dqI
Wf7WLGYZPSV9jsifsrBhGBAMlKH6aSnEcgEcXSgPrYMWuopWwOjyGEJ7CZgnimlIVUEKz/cixPSG
5lEaFEn7n6lhSpE8EgdR52BX2R5XOp3dw815F0zAjGQKFMDMAuJ3zfb4HpJLLBF2q9LXYSIQMj7g
5gCmmbyYemH9rJU/jzFZwZwCeVs3PgT/qIDmuF9sZ9bA8Q5httoTTLbqXaEx/CL+UF6zfT76u1bB
RlXIwHeefrqUX+n6vgOR+IbPj2mEPaguD2NPZz4fbaDF1V83eYdOI6jarzcAl7/ICNWGDyDlz/MJ
6c2eILVIpvCQJpYGdrqkEAIwtovayIXaTxznI2HxhJTyQ4diLOjeVku5M9e6PAO651xKXE8TaoUv
rYgSv1ODiqUVDKhM1Qq4OhvFIVv6VEyQxhYQgIm34l56YDoT6koPvsx4Igo/KmEf43bixy+2m7Uz
O1fpv548iHQaDcW3TdcMj+ge3agNb7TSJbXsTBDDvJqoEnF2rEppChMS7AaCOml0+gBzpmmuQ1FX
ioAzbGauXNaLTbATyyGROYdT9MB5w/BmLsgRPT/DTRlZR6zg6v8Bf1oo/HGbzkb1b3wT5DC5U7rb
QN+gJI/Ozfzmqkjn4g4C/Wpb8mKc62f5y47nJZ36IQtRdFRyVE7HzH7ZQYPMLvJvj98YdUA0T8tn
nBk09u9KN/lRm8OMf9kycYOf801M/qPJPiKcToyNfuY5gxOO3btKnIoYx7pDMMvEBepqspjwDN1X
pU5BdTvwnehWIYmueCiC/2mPrUju+zGNJifKfuEqjoJywKdDpNgfJxaFt+qzOoySs/M304EcUOXo
5E6cihHX7Ov7uRkYK/2BVU/sTor5rNdOLt9b7oPC7LI4mKXEFF8CMSmj9JGTtBjNKmNIT5CQwtWA
a8FanlQwFlW9Y66vvPsj4ER3x8QqB7pEubm4FAAHdtyjprFbsL0/rhUlzTawMFMD5Lhk6HNtTD02
ZeL6sAxkNA78d7t+yv0Q8AJUyJrYiiQJTN+nqEOrGr1lGTK/UC7Pj6vpCZ45gpHvDQkt/g0o3P5V
OlpM7GaTPFx5XAdu1XbSP69+HBAFH9o54zYoqfYZ0I1GmovNdMm4XVc+HJwjUazjkkrJA4te8dpK
8QaKEZGV1LFrj+wg73huL/fqxtSqWLqmsMe4/s4z/HnwQXzYkYPtsm9wH0T+oFMn1UdbHmOnucC9
cMqyEn2hvKHXkKDhVcpFkqUb1+FaJZm51kKM2y3xj2M2Mm+nXUInwMlHKwcwxnr6j8gdTw9Q8jSo
da73Yu+ThDnda6CNQuOvo0Xv3iY24MswgBur7Euy8KYqGtRcskWRlomj95BucIB4zSIrBsidNnD7
xMtkPxNR3EGngdL4iAAhbVmxKvXNuLY7VF9qIsYlFU17nSgtsMg+fH3hTkK5nDOZHCsMzFHPBCTa
HPbjJEdZQ/eypcFfEhipm00UdPF3Mcq8ET83DjcbRfQB62GI+bFxnT3KOm74RCGIBsrRspH9TMJS
LSNUElfoA8Z75rbbQ7qnE6mdrxPjutFZfYqNP/+7U89x5nEj4P/5DyhtJBzzQ+8IUKlQA590Q4Gx
aYMdMHhThABSsclLtn089ysEHnr/PKT+efLwje98DpQDHdTO5PJClkPtbg/3R/aFkYuYUIgSz26V
JErnKLugUNHZtieKKz8Q0PkbGAV8KcO3XnUIRLUZt1ztSkBQiMbKwohWDOjqRjRoEDWT77y/IDJt
MbgFIgeQX8Vg5aU9wxe/1Q3Rx2jBAucz1g8vyP/RGH6rVCY7aZrY4PMLHJ+nFSSA+mD/IOnGtrDR
5EUAzbh8d5pUQikpqTP77sDxpkZ0zINFGbD5LVwh8AWr9w7P7O37srd0gjhgZcWwc2oESwbioswa
YPvJRwifVbyksIBq4RAWlpUq8J2u1iDiC4w8ziwf7Mdrc0AwkFAFKHrzsrE+oS2fPGV1939gT8Ih
OqYFwzMazKg+r53cz9YvMXVWZC2HkiOr5tujA/NKKFYg6F7ZAQIhKEJWCO++I6DhyskYoIp6rNMN
WBJbU16LW4JCOt/PBFOwcS9NVoziBVBGOM72WeMi1+lKofeqZAcacclAg707bQJlifaw2xLbAkQ4
eSOx+HxCvrVyZMyP5zdiDt0KYDJbyd48g5k59jCWa3RKBhOhZjsjNSgSSjn8uFACgcbyLp8f3yyh
ukZFrExbgup39Wz9wqY5jyqF5iZuCL+zqPOy3Tcsn4zw+EBo7JhYgdeA7RgH1+kHPyfI+Td0QMw3
jcfJU4uCzB2OgQGznA8U6ahjL0DGZvxG8KWndsKtipYhyGbOnfwI7YZf02VlDQFTjhx+pBCo1gxL
Y8eR7lLSQJhiQyuybqMA3DcU5jIR2pHSfLP2+V3igkubSoa55f6pgIKpoFGz53btMZlrpIwROBKU
kqLnrwEqwwTAdNe8ibzUSxAb+Cp9lB8zJNkWsTVnWfF2DUrGwhzSReNAdaxPyFX8juFxukihm0UU
RPjIEP/P18sALfNxvc9WTb8u1CVlO6knTTpNc6DLc/sW4LSCcgvTuP1Jo9wut90Gb8a+yhfm1qtx
P72rRwuKFLQkx3Ql/H8AWWLkN1uX08HR2Cojqy7eAsESV4cMlN6PwYR4mxy0ji+UelhE+OdUaiVD
kYf/AoQHscrJoUskSRzHLvHkHY2E5FhVTSoeav3jJInXlh0sVXdQU1IShwsh7PNBUDrXqulvx++5
09bzOJK2Cd/IjSgJE+FmADNiPKuszqFAtCXCKeftcBQ5PSczWZuNdk7xv4XYbHSvsukmwbqmXmKz
Ngw/I2ozWrHqNVTtjCBS6EA13xpRXMtLiYBJlb1HLjakNmbSXY+ngmRemmtKzbXWqNgK42hJ1ZjL
tocsKV2tkoNgozJUIhIpMsEjDg4LJts5MIWRYm+viItyKW0sR4N3oTs0BSYBy4Vno9KxMB/3NH83
Fxe4RSgS3XVqSU4+e//LkCMgR33kdqNfqF+1WWfz31yCZybRTmss6xXQi8dt+EqgkIHm2r+tnMqw
dw7R6QNPAjdsWdHVUsIShX2ga4YiamaPNszfsM6WX3qrtaFNDfWyQq7KjLc+nlg5Xi5+miEifW/E
qcMEOz8Vr8TX4EsZp8Hu1sch0uDs6wmW4h7IYY3bV6BRugqf2H9A+7nbA2nLZxy7uz12H0Eyr9Vb
5OOVBQwxh6WDNWMspHgJar+sZBmzJJfg6cwvjA7Hl8hAkEdUFa8OMonVj7AGSH4nRiE2+GkOkXGb
xg6Xna4HIzgP/EixZMR7NpKcsd7mqfGBS8OspPQGZEDqP28JwLERQEvqjDy9TFnt60jrelO+I6P+
P+xZrRDpuD3MsdNxSxmt3PJDlYo0bu0hAKfaDgZ+E+5eL8+GJ0EToI/FdlMAD7EZfX7UtksC1ZfB
102b1Mm1wL0c9RDxc9RqYheJNskIPqyjUNuCdXsCw9nYo9b15nP4gcLHAyYB6Nfpd9bn435T1nZE
K0UmdHQhgOUjm6mNnqsOfmwcI6UjCOU7aTbLASBxpsX0qvGbjGA5Fok7Wg5r+9hLh/7zVpBdX8Ir
9i9iVBzbe7lP/3Zc7qDkJDDI18ilpkt1o+0KW7Li8sakKIGmtanbCKzUYRN6Nmj9Skus+RqnpowH
DYBS0BgMCJ0q4aTTiVbUWE4Ewi6uA3OUzk1bY0b9bXCCPc/YcCqBVKohu1zPtmSaTDH7aNQ3eTcu
2urMsbNEbpiFAz3jvU+tdQwKdLj9a2sRd7GRSYyuHxWBZVfGurtd/ty6DWva7EzXDrb85aJwLknM
A6IGU4/w9oYYCSePmZ6T8cQWF/sMyYJbRPNBVMHi54fiNFvBJNvqCvLMAlScFB8/HIrw1jBmtTiD
d6S7Z8s1YQtchas6yESwDC8aN1+HPkOm0ElYndSAahUurHfscu/EP4CepLskbUFMscXkzZJgdrHK
8fwKcF2X3wDfakKcQdQBGgQYiCAgk0fAHzDSkGOQQKIhFT0gRaqfh+VHBS9o5hUp0XL+5Ku4g0py
PKyohQ99DDkeOI4h4S4gqVvPgpOiaF0Yq091ky1GLLJDNn6v86ca2y794bTDnHU7/60eIObGgybF
WIGSPRWLDa+9raf7qCrRWfddbkSkL5rdYijHtj4DiLr56EjqPwLpAD19EhtYl8BoolRBh+M3jomU
7pdISeFLpdOzT636XjBABfYGgg9UzLs3/fsV7WltEpw9r4ZUD2uaTiEINrOMssUQeXZuuoP7/sG1
dfyQ5Cpzg0QcxzT/sF8Y/cVSO+k2f5DtSYt3kwmBqrb08wPbCEgOg7Xj7JKn/981zSdxhOy+W4q4
f+QOw4NGdU5RuIBtAcDWgBw3uAogEsUz1w1N673oXg65pxW5ZgBtZzKl9do7t5upvBd3YPS8KFPS
BOVtGCzaEnh3DN7sKbmz3ORGwDCRH5eSAPsYsRH+qT1GCpuRUAMNdIhpDHCMeFJr0XlhnppDsX5G
/iRVfCQvlP9/8o4WEYCCbY6JFDZtHt4SgVlOgebeocd3PF55J6dySPJBBZSj29oSd4ccEAb90+mF
TS9LYw+YQNka12fSG8TLjoWmO2yDv8sLQSXfq6PViqbc3T9aAov5lvxJpqghHfMRLfAiUMRbPNr5
inu4czwSXwp/Wiiw5u5RcLZ9VWlnCf6UOfbX/gLOEw6SvB2G3qSUdSEcguVpYA/340uoBZ/grOol
XpqlcDHjusuI70V10m8uvfl4/GWxEH/pk/E5+lZVhT23cw2iQUYORl1VPUiS6nEzqk/v8V43714u
/bbxuHK5SzAaCSW4uzUFn1lYdoXt17y+8e8uuASBVQeng9j/RNBk+85HYRBg8GuF1zbEh7iQy4Kz
Bq7/2Z4jICDG+M91BRQfGEnxV2uA+QnIWMWZfU63zpyfwEjO4QlprNRKyZO2+KV8WOjLKkuVMscm
5YjgJf3RmEkzXR1kUHty1XrX78LI8tKhc5YQnt1dYfqTqQqDQNjnK/e9ALZxorrEl54aU4wdOAHA
9n5TNq6+TkvAx1E7JV2lzASG9NcS8yDF6lTZRIcTmtQKm3DiCfdJWfkGA5KLzavw2WwN007tbKRK
3SJHR9O7MPYVkn/fXyvLhTFbAbqY+vQ2mrYcWf6TjNIKPtAOb+2BeWcddIUeb8yytyC+nPtz3R2s
UK9h5dOu9982cuz6G9tKB4k+HxcOmaFxjkBBHo8+LdiYiZ9AMUxYzzoKKwreVYVLHgfTagL0pPde
mB7LDf2kdPyInoVzNh2Csuo/QuHYT1P5eMBzlc0UTX2XmL8gHrzstAHK4Zjs9uJ5UmIXnkEHtnUs
SZmApnWDj35rCun2IT/eaQ8AkcFRgkDTo8OPhwHt7W8//uL/lsQyTgdSF5uyzhqvbaPynhlenwtj
7tNLB7tDBG99cId2sWTcLb6ST5xApAnT9pNWy2IhWs0M76KMwQWomORCXJaBzgfhS8dE+wbbOtqd
FRAwSK7xLiqkKiB4qXC9GvAkRnRqjMry6AqbD6BEN+/qaMslswpVMbrVyiDCh4nQV1hvo7FgTe0D
E5Ur4OL+aTqyyHKJVEyccOq5QfqpGHgV188KFnbynevO4+iN9BEvkqOysKuVA9fdZpwahpbP/rMH
/zkyx9JmQlhLLqR57PVXqbMEKIG28vxWX3EyxVYis/Aqe0PZRQzIFE7WOvzb5JD8e8in8IGQoRkC
FakkKaGqhM95MOxwbLqTd1ElR60uwIJ5xk1hdYNmHiZZHgWiFoJ8G/d6GrB+qghzlUSd1lJ63QaT
xDp1X+Modo+PhUzQMS1LeZjwGzg2YrWEsDpapS9F/6sFdWbgimg/CEp+BTvdzKTDSqeEYPbrD5Vy
WmQYl4a6USudd5WlWr+Z0Uvy9KYGUhBdxgHtI39EnrYcV+cbxcIei6+OcOdjwv6O3Yp2hDABfUHd
tWdrMf7VMqgmYSGuST+ate+LkmhAwGqIjrLSWo7FxLv2qGrqPXcgrDCNe8r9OT1dNnrwNtDAi9gl
xRu1iN7PQXxgY9mV30Bm/ViEf9tYl0G/aLOLbLAn+4cVULpMwmfRMSRsRRBGKyqzEKRXWyPBmKD6
pN8VN6x2kSDybHZzLjoaeaJN9kUD7/RWtX81K0YxOIk646yKyJhMFnvfLP24cWEWUgTP7WptkxMs
vymmH+zHnlopygJFgvmshtfios7e2wLxDy3yc0qgy4jAYeD7kBoiZKSDwVn9Ng1q3vK1na1Eq+O/
E28Whi4kg0DagQKPPbiRADZMGH+AxuZ0MweQ5JWfsZJ8n9KopzVJYPXyzfegr6kSnSiDwlCzqjqQ
PZoda5N3KnqwCrKqjRs2XRAHlPKhToMKGhDzrXSA16xZCHbuhrStSbXwX7Y5AUouasTpN/UUmGKi
CchJdgeZC1/1tj+hX+6BbFJsIRZnFFo11BfmRyuNlGhwvHkQZaDzMUxsU31vCwd1bPJkSi08spZ2
qP/Yo3W61UtXJvXlj3nDLOirg1ZwMVKsziOUeLavOdX0DWb55MyZrYemNbDR+FkBKgNqqyw6Z5IF
vcM29AH8LhR17a8qIgz/UUtTORVP2ymNJ6+797ZYMH64NC6im5rD/RWwFS9i+J1pBuiillGnBVc6
VFNQcyi2ew7FbPoGU9LnM5nMg9IjBQjyXXFBTSyHmGVGVk42fEZW0jJVHSi64eT+V1mmaeFXzEiB
lRZr+46R9JrDAHcYP34Wrqakrl6D+S/HlAnmrR9Em+YAdifSEPR8NbK/7Le0lVLnjXB8XOZR9Hjr
AtfsU/4aTjXZPr413sXKdN7OvlLQybb3G9VtuxBsC9hk2tna/73jga5YiYPH5y8BMXZG8yLqQOiM
OoDiJU/l+yWIEEKsiDsjULUvs/eXcrkTVu7H0ZUBPAel6mIK1xKM55dFGU67IAYNDhsyv6iFZiRO
1nMCF+qs/shPNv8rttYQPN06t2pBwgvUHYOZakrQ5BOFAjPFnEa8m73VRUQz8o/Ha9ZhVJAx6Vul
JafSYfYQcqzGXolirHVmCROmQr2Ect1Vmw4GV9YaFcZsklFymRT3GHwdgYvJkZUfACbdeEHx5nY7
MqBguGkppKBdhJgb0TH9P3zBkYIqVfZ8wO3wSyyvMhQpkRuTpxz560zLTgysHDHzBmpNBS7kJZxq
5FJPg0Y9IazBUZPd/GseGKKb0qeUQgEm5VzpfurrUl0qO7eJXh1hgbXimRmDpoX7LPt58peJZnlX
Z6vZryYMxoN5AQc5vPME1gMhq2KNVGOr8bUpsHTG0bl+6a9f6Ug/cZgleoEhImIiFpvh/QksNgxS
4nk7pQUBWQ84HwYpPIxK809c3PExwL0ny+R2CdeLv27QIr0HsbpxlOLe3J9dIPatgw6Q0Rxn2Zl3
E0IXEAuYNUmNktdyFO5NM2OflMeVO2sG74Pjq8jCr5+G+h8vnuvjBai/lI0GGmdHdO0xIebOOtpP
qDRmNZQYKNzJARhiawcUoeHU4cCtP21+vm9LrdVkMdPTinfZiYbNn6rKoD3lfYN7CTlZGHTWWo6k
HQq+9Ia3Gf4bGbL1EX7g835kUE03L0jSDgJTplyqTxDAvVnU2ye880hyfz6SamrDe1hr/oLOJW65
i21lQqo0a38hEg4XdWmFfUny5cWjStQ5dYpnV1+YsDET/1XqNbtyuuWAz86Ivlb8f/rQml6UxUTa
QwwGvdBrJMubm5F9qkZEWiWSTDEM+K50b+Iy5nM/ucRpCmSYjf0VUt1H7zAvTGh3duVx0mUd6edQ
jC4nD4y1sj8s7m8gF2pZ0xgm0IIeaufdztUg+ZTvFwLQsjVHuAZ6TUbpJ1MccfJxabGbPlQU1IRE
7VMnbIOyh4Nd5+jw4HlMx4u4Sn+UElSjrAJgX0XauLTmfPGWEYoK6hMm6QTMcxzu9Im+87i+4bhX
CElEPuO48kKZ1xNBi4OKNhjOI8MRhuoWY261CMwKtco5qIwqBE/SsiWjwMxSeojiLHxXv4MCnA+j
h+bZXIzMp5OY9MHW3GsjbpyYTKz9BCn6L8IKN2rBwj5vRx26jcEyINPSqG2wIjx3QAOciaer7hqh
5kfWcnDGmtd45umgyHLLepMzn5TAZJdCGDL85BYNlFB/qrhyUHbZdv1ZkVfEH91zF7pq7ObVAI2M
eotEgP2EAPQxvovPW7onVlGd3NxlMfL6YDCSKKFWnaMeZznvAGOXjWXwfa3d0yUQHpQs5AhJFu1m
ocFUJhyCL+0e5mQwmrhQyBvnOk45RXfR4ByF81PiY8K76QVV2xoxoQoazyeKPbgguf4STtP2ZGUk
mX/5lQsMjn7hTjd7Knbxrt/TLa6KLy35nHnKSz3Rr/EDMjPS4sDJJaLilApUYbCeOBY1dS30JMNc
h+sXuyd0J6/Q6YNMldhw+qmExj4PGPCvtPoook8hjUOwGJ+tUd1b1v6QpPqSI/ED8tbS6xLN6nFY
JKsQMmInoUdw4ZQGwe87+NjNEGXa1rcGpD2GpOQ2nxbCth3hV6B+6XV13eWRvzOOUVxkz7w91YEc
tR+Ezn4Umbky9rERMBhqJHtPBqKhh1c6mbXKLERk/tOiFZr6232IPRE3PeiOwgXYIsFwZS3gw5cn
aOye8IgH1W5R+g2D1yzNriBURT62KamQT9+Vy/tBeSsrahDFTkIIGxn/sPgmCs2pM8BPro3sS6US
g9zOZqb3FPS+DZDRivFpSzmxz+LEQfBUbDQ0g6qiRwSs6CAuasyZH0CuTR9KZiQCrFQM0L+aJ4SL
+kHPuVb/JMIDH9YNzDn4IjW2U+SqHii9WHx6l1DHx1LiSi3f3+1xVFe33AOhyAZ3iOn+ahZNogT9
y9j2PI6tpwqxsCJcJURcxtLZELOXGhzkcde5JRptoC1oKBnt2yeJjQcIeQ7Ko9tVEb7XHCDx4tPh
HOLfbgA6KJ9ElQtlIRJc4RlBWUmk+c69DzOTkaQIp7FAgLd5y5OQ7ZKy4iQVrtCyRuQXhcys+PyH
/uSLL5kOAXewQ7WbI/BMQRisj8rSQ/gcxN44nOtuSmyI7ynaLg8jms4OwRAIoyNHQyaHypvXokOw
u6XjSP+tVELXp/8pLSRlusSVuFcGDIDEwkAscRje/hQx/6NH3Kw7N8KIywaCK673alQhYq2ATHkv
9t0PTKu64J/gWgBN2FpASXKBK7WzDmY3pXTy6do8DQu2rnOGeLZQRdJoZBDT1LT9bAxoehy7Pif4
KgGZn5Tr4At7NvGyVvKTsPxnHoTiDGxKMsOAwmi8q+2Fb9JeCHVYQ0eR6dx64BeRtCEqwWEg5tO3
tr0bdsvBKmcujDkK2FG+4HghPk9qN3teTAT5Bv2Zcvmju2B9QKp77ETjyqwwotNAwvA0alr19Q6T
QE1pqa5htxy+l9/WVQXol1sBkH7rMUhIMdSzQ8q/7dNKNrzS3m3W5s4jww+sbVkqenFehZvPylh0
7RBr3A2GQ1EHVCatSSarVrMF5k6L5xHfrs0jTozs0Eiyqv4J7eFtKidMXYVBkIuQYY9HQy3TWKmA
Jw7LfPyTKk603Q0hQIzRbxVG8DkDYd2l2yUQgBCvS7OvnL5br85u3SQwRaDhlrtaTS+wMTeYjuvI
KyuOhH0DngUbKtllkmJzOJuu6NJLWnLh0HMRgO4MZ6vlza88fM+q5GfcJy5QUiyreCW6iK5vIdsR
/rkS/4UqZGCTlNneqYkmbPcrmV0Gy0pebpR2QNI7rs6rQ4Wq9EN6Ow3QjQgzOtKcXJZIrIMrOHI9
hoIsBn+Lyq9YZdp0Xg8F3OeGsSvn4bTXp5zPyqY/rnecLel8qGbCvz7L97uDRBfknK7MSZ9eOfk3
0C7YIcWXDXiIEt7NHq73yVcIHPTFnXrR8ZMw7nby3ikaT8UiUsktg8lVGN1nwcdhyr8kLCZYwUa/
Q/aDQbgQmSDnabDibPaSsVnhU91lGOPvJ0zrylbQpPqPxPdEnpLdAlVQNzkpZ1WVRpGOtgjmrnzN
gDZBV4UI10laA9+3jEkPK8nMDABDTWulFxmJsm1qA4DJnhdVAelcflOQTFtTj8Sow3/DvbZtDsX8
ueD7NcVdk0Q+2qAJZxbdSbytOrfJAyIDcecie5mVOYxoPv92pPooUlk/4nqmJ/l7xOSJaU9XwXWY
ZDXO5ieCZxvYzOnsoQTgo+FogLnAy9HfXFgPx307X9RBoFvlnRxaAS4FZI0h4x77TNawoEPvB0Hr
J+KPrcYoJ0S1efH6sotfue4BnZIZ9WqcY2Zg0wFuLZz3x6XVsIH3EKs2++trb/bPCpdrTtTaDemD
KTxWLyuXOTEYnQWtSkNo6sBIXkZTF7q7VHuQipqZDK87Hkp3137Zcbr40C6Rc6nzJkMcniDPBxK9
w6R7CRLyGipC+NibC71huyaryxR+FFj32Zu1R9uePl6h0q7CLWpzge3BvaTm7EdwZHJqt//ch5d7
pgv0cSTmjwiTgc2hOdQaPTfJR5QL2mglqLI3+2SBEhuKlF5/6RHkSIxSF/8XIEXcqEOkK3LkDC9d
E3LvkooWzOFiVDrq4+F/SWnKjv64kfYeHBgfIv1vbRnT/p5Rad6w6efaTNbhbWIXMfofF/bJ7uZ1
nzPwV7DGY7sMbDm2ISbJYpeEZXJ/FpTGEqyhogSJFMOcJ7/kUDoK+AcXog4JPrO+z4JP0A0d1K4U
qEipHuFy8CkpY0Ox54am1wohT9Wq6I6b6QBmPWD19nMCtqE5cmtdSD87wIJesciO5xFw09ZmI6Y3
c0Bxrn25pQ6w5BBpWaX22NvYM9YB+tnjOzzVt2YBJ9xM2SoXxoVZer5oaZkAO7nLRTxx+z67MDuU
NqUsHw+7Vo06HX96c6ay/hPpPMiZFTkSoBo2O6v+npQbfhMlAQJ0X3PXE38RnqaTlK2ex5zC3iR+
i/DWoAhDxjbd1sYveoPjLY0LzXTO388H4Z6ZyTDIInxBAFCROVJ1eidMa5WgwVHYjG75wU/JPwlo
WGUji7+1F52ppkz23qbG1OOvB27rv6kaemYX/Lswf7PBLy75SzXvy9yJtA6eFMVgX7OlMRVQS2Ie
hLUxu3p3DxQ+SsYxtrv2uDpHH1Nhou+T9mty53ObvJ7XSzKxlXzguk4PaGqwftCiLaktCiZbgN/W
4y5ufRJOXq2H7n+bE+1MwyicD3fKCYUBX+RmorjMqDrng6xUCnHVxMmt2nWYP3/ZHCzpulynCEZK
qA3vC6gIEH9IVDfUquwJ0Awirx8HmRHSMEWPe/noFdWX5BUIIneW6fIMa8+fmoM5nZLCAEZRYKcq
lqz8SX/WHSjylpck8d+xq2b4QUXbEnEZspBL5VQt9Ulo/h9eIBciLPiUYqlrNvNnzc9BZsBRr+bB
dUh5wG1folMMNUngkqJEzOe9KTFvjI8aILMgEqlLKFBIuDMH3yf21vz4rUSE5axxPA7OrK/ca34Z
4yhLMQOIt7Nf4rr6E7LpRtKFkbY7ZZpibbPp4dViIScrdg2xorO9OzFQl5wIcegOwtvbfgXckivA
1N1OW5OaS5vsR6v5ZAL2x63v3lj7NxJM789USbpCsbyorW9BhgWigh85GR/7asK/FpsbIqJAbLu2
7p4FxQ7K2ubiUf6DzoPgReAUxsVMW22WIu5bR4JHFGLxUUGZWjUMJGqhegxFFCX+YWNhgU5FHGts
Fesg1oKkyC0G2MpAVOpm0dAwaVXCckTGZz6BFQlElHH1I0UBOMQ4jul4agxg28Uh3usFJixZC3Qz
mJoTdHnnslmBq1uR/I+3/RZzpZszcVRfofAxgcnXzaFJuiYOCDpDbvNkRpXbytPukG5rCinVgd8p
H7P0Ol+x1Pk9fHA5msD2v7X5Nb7NA1sPpD4Gy7+kSdojWQ9E7yHeg3l7b18aUsh0BLuTMEmirRSP
3EVyhbvzCHgl10Ta/mKebZXEGQYVGFuM+Va9O65P9+a+l6T2aM7OzgI7ArDMSOKVk/P2bDlqHeTA
LiYpNG/IoIBNWhE9TMCjleFVC2YgD1nK8phXCxhHM3zrmw7d3mQg6cUSUj6JuX8nKeix/VXnC5cc
NdLHXcTRvLv+zmBY3ZeDXa7WhZ5CZcvytNdDdsAVCBv3xh+IsqSlCv48OnY0Vaeb1mUtXdUmMa/4
5NA9For0qaYdvn9Ek6k9ciRskc0ArfYzYq5UOkphsSA3lZ8sV3JjywOJcix5seqHeb0x5wI2Dmcx
JUqFoYgK34scRVDWqsHSbpN8CCg8DVOppJRj3XJO3zFgCTWJdpcm/m9K+3U+4WjhkiVdeCpkiTiR
6ytN3jMsQkdGYHYNv7Xaj5fDpaUm7Yx5rsXW9/JUaPh6DJlGNwD04bw4VnFcRQXnxryUkmzVvz/S
L4KF6D156abmrxzoegEUSNIzcpVGY7zxme3SHUt8c57WcaT6CTYz6z6qPwXPW+KtH6mEJ1vrkLZh
asdVM6SLfv9srJLMoV2m0aofoBoMLprdr72qXOmBz4RvuZiXlExBBPWZugznJ9er+4cPBJIKZDuj
gViM87rOGjegp7AqnWqX8fWnxogJ5VNTr470pwz5S7JdWVqDQraXZloJciSvdSIVRqwf87D8PTpz
MOfILzRvnpQ9+GGjYlq32DgDRi3zwN6Lf4ZCO6+m0taHbMjhYQp8DCf7VIQTpzKemOjXkpvgiwyr
GNjHI4HiOKykcK8ghf7kIIg33ewcxbh8lEwLLE5Shv99vB8MF2NMnkO7CHpu/XBjTSJiMyn9xS8K
pBRSIU5cCSbVYR0S9yuRFqNQ5RXsXuEFIX4AIH/8+IE5U5ovWGCfrpovpdVt7hGYo7u4ipmC9wjZ
Itp9pO/QYs/XBk4m4f0UtxWUOLzUcG/MahBWQHIgbkDWgGVFZByH/heSwf9SbGbGvBSXKapIH0oW
tQwWj40uxf7cxkaVmRHwWkhOn1qWv9wEQwodQzzDQ+kcuTGRxI+11SgOjFUdQcohIu9T1yVsUMFQ
lurSI/I+0iA6rV7TevzPffMIigu/eUykNZHq+Vom3rD0ZT8Ru6pm8yci4Hn+RwbHohf69iG6tkAf
UmW5buSRB47k/JXhd+/zKQjILt9IW/7lIoKGE8ZHPTve4hqBSHpS5v8EbRfFmAPN7tq7KfU66IFg
FknByH5RlJ72wnoQUKH5JYFPwUn8+7nuUa1GsL7p6VLaYL8GXiC1xX2T0V+pnk2iuJ47YKSbaSgx
r8KI/OHh1mZXA5oizVmypHyr5WdIqD35mEL6PNedsmfQ8XEjgtGm0gIEhPDnu17LYOsjpPQDbofq
vgBhXL4TVwCU3RxyE+u2ETFb0gHQJpEikYi7dgUMzUHCr1QALoquqh82nwLifShSsTLGQ8y+fS60
YSE0Vyn75OTdOL2BHjKMrimEDlLThg3aeXaB9GTUvG6nD4MnfZyUCfx2aVXiATzNjDzNiCJfdewX
WCFbmEEY6rZx5s1ky+RZobPl9O4uU28yzK3J1QUhsFzO8DXqAsQMAlxKD/M5tvJtSdzcwXmeL4D3
DG1+0V99EuF1I+QADEqPHJfkgxJrH6Wn4++ggzww71YK5FNM2R4a1ykmPMJ+EHqu2+ZSA7NVaORF
ou9d3I3t6yC2wmCvq3wybWykw4FDqcmUkoglTkGb7tB47u4Y4YJfyV5/C9JTycaohtwtb4tDuM54
Td1UeY987CaaJkoc669JJdQAch4jOYeNJrsg8YCf/n+TRSW/rlt7wOXj4V/XBgvzmpMbmd3AbPpk
D3s82Tt80ab0aNntcuCjEzQHsWnFelSGMoZq11unqS1ltlqkfvDtmEVn6Hux6+4UykhXvMhdEakE
aVI79uoBBuMBgKu0J0i18I48k9/Ffu80D+XGh/j0iXA4vRz2b5FGsSW/fM2YmzkU703pg4aeAYqe
aBiMaBRmNzREq+rDE3rb3LIWZrKlvoRKlqUexNcj19L5+rXu54YXE9hXihHkeCQ+SpjkpCNx8eyH
/CyOWPguInsgaiggca082WsC6xEtexYaQ4cXpWsPjcPIxvKLC5K8xttk3Sh+MB2mYc94DKSOVjYN
YEj4IYmyp8GyUeiOeAjaBvLpzyCQGcG1AZKlzc7W82bzyYhQq3G8pHmnZiCfFrTYF+fpY5bphddc
KNd4tY4uGSMctOsa3mxsGsqmhvinGIqLQ9kubjkk7yuXz7KooMi5Y7T0JuDAB5N2r0v2lSPpxvH+
6OtX/OSM1xkw4wYBoqOiKupzpppkGMyvKeKYWKZFeV5V+Ik1qudTBc4YTvOAUjv7FbObu2F4LAOq
Ff/Bk3b8hNCYYUyp9yVYYegDMpc2PUhp6SUoCBxckmILmW94EEbit/29nlLL3wEoKeIlR3nGOPEx
DOduXcy8DXBi3+MYGKFq8KY4QUoszOT1YxfvnyZLnd0VxoPoT9a1EO7Z0M0XuCihL/iPoG1V5+bs
77twL/NhykSW+1W6crk0f6HZHZ8KCP5eiwghsAp4Hz4ReDgEbNyIWFATyuYCmm5z/I2pyndXp7mX
xnaylXHqLL86YOGSQNf5BNNsUHtrthZgsOG36USQ6/NA/7TPfjWdk4yEAFAVZYq4Uo1Rqr9FPH7T
A/FM0vVL/QsmBcd9qBgaNRvP/rImx82zLTEEO+UjZDGW6YtDF0G79uhLCm1DGMbTN2X9VBiodh1J
NWo4NI5JG52TKtSCbi6fZ5h8st2r+RPUBJLVkF4howMIOopLmlMBhtTWQSb54eqHkg8XBLb9JEwM
jtyqI3Aqzf+Jj1syZf3cJ3srRgVPnZ1XZ4T9nqz6NjeED3/594LcPuVtrY5zW0WNoLtdWQz9D6cF
zcozenK9lNDof7Uue0biQtMiXHeJkIjuXyUsYVHIo5HCYCH+xS+VtMl2jrc533vgv1LmterCDa8J
XrvxYKxk2Sc+TvOZmIJrvbLkI3Ss+MPednns3rSAhOahfPX1GxdMOCx9iEIiR3Lzw4JvXD2J8sEv
3O0d7S3bz+7Vdd/rmykSkVCZUIEurbiFGD0vZxOwVaWmdrnIltRK6lvnkkmhsGYoWZzFjUA8yUfW
3xvnvmcSe8AzhfjO/+k2vs2zUgWsMut+sfo/Fl74D/691y0rvyAaWGVt/xGkLpjfKSny8CtMJ+HU
0r8qGYTQW6uArhtNSGHH/lhFuGuYkdOKGTLEyn3Wq8EpwIS33zD+ocjokt32cIIGa6GNMg05dGT1
dyChC+oEaHdG0VCq29v9OtxQhlu3I6u0RzIj+EAmveXvHQWDPDNCmt0Un1ltDg9oH0rT4GKTTXvQ
sugh+QZO153UuliUWaS3rAJ6ApM9tglhwmVgjQTawqdIV8JOhm8/JTDP5YKwLj1SqJSXpV0S9Vc4
ob00YG1V1ybrXgUOySyn3SsC2Muzwijd50nyNJvuzBn6yRxM4sA9boxFh33+Y8pgak3Z/jmFKN1B
8X1NsRGKLPJnPBfBBORiMifP4MyjpKbWxdSE9Wj05au6D9ixs3pqZePsS69UWxjD/PqHM2YgwPBR
COMCAfnVpDQqEaNhm733LxD+hXuwxBCLyX4T1SbHcGbJf8uJXhAXK283U5M9fx59ouOq5ef6v/ez
fasSZeusv1qhrCOnhUzfAWFiUxuTRUbGMre7Xq+/tH2xmF5jccUNVi2U0B7MnBi0xO84WI6x4RG/
Zg894+yLnvBwDTc8FHjdsoXgQksvN/r9bGzgbZ8qdhHCdc+bbxe1xHoNi5WVyyc9yvbuqrFr6jL+
7dJv+FImOiHHGoxetMq82ODGIppDy1z+U88KvqHkATzEn7ji4am+gGi0YsVIOOXJKtlrmWsedFgJ
8aFMqF383cnno3YggfQAwIGJdlyjA38mlyssoD1G9ZVy6B9fj9UpRWY8ll7UTCBG/UaLSgf3beps
WlEk+OXiwNi6TfH8HTaHTp8Dns9e8omCQiHrP0VH0Qrp+u26I+0c1UxSytgFTK3TTSmc557RCW6M
lXfguA7Cyb27lq8ZWeMSUxozZ3qkK8MZ2cMuWdVnregM1rbCkXzOVToZiU9E9H4zbR9uJPsEer59
wINXxfuw+G384KfNAFqkcwf5qn02PjlKJRYYAhWNzV1Ky4MoEcP55WT7LMZOI/NBqUxP8zUGlL+L
/2zyS77b+TXI6GpTUXQ9A1oUORGoOC/hZw7xOQd7hNnHz2zAE2gRa5mJY1YvfLV7zEDOielgA/2K
teKmHOpNJ+5QDDHQ1/msDVAFjHBvzlNZB+fz89LFcIYPbrvalgZ3vwi3Y3+lvYil187s6isV1Vpw
DSYq2Z/cUtMmOdLXarX8icI3RlpZ8LuO1B9cqkWpxJo0W5kNOO+mfi8tr2BYbyxd1h/9m8qSlcmG
ov/Z6skA/z2AYzUvBXfK18exQ+OS2oAsP5tyckNu84atS2BSuD4fOas3zRoIqP6utCiSUEMHJHfa
7A8twkgwfi0KQPOzWJ6Umsv1i8muxvmeL262aUtGgeAUf9aBbihFhFT2gPNnACydZUujYnKVqEGa
5+uDIm51PbkMEm38oOTEABf5F5SPwt5Y4oLdMYnRzs57+KTjcfQCmTxG4xB8c2QCb/JwU8/SOtBa
FbBKvGPW2CrRCDwg2cSY9rtr7rP6gTF6c1h11cOdhWuXbzBQoiqQwoq4ZOdRwa7tejbfNNLgevWo
5wAU/ik5TgkIupZA/VGGXMdBXaLp3NrGluVxUUOULrWZ4ebai8XhK0Xh/usKdbaCwn9mLjF0pKC3
iejL2L0YN8+zK7/vWd63HwBYFGfmNA4Di+Obi05G7p0DF65yFQcFfpPbQjLUg7Ab4tYqA9I1ayDc
0/l6pAZgF5Lhx+dlY90U7nritc7cWrEPBKBm+kGAyu1jcZ1IO7Z6d1pwTJWXiw1RyFxyc4bYQ/KC
Sf72rs86e40wfkdtHmbjGg/85W4ANRFdoOdnGDbBH37/a8B0Wn5tH11do174ODIk+noETZA3HSb2
9gJmVrJBs1xr8gQyaVJnii7YvL+0+nop2Y1kC9RxIDld818SXR2NzHWRhacR52dCpyXZ/HDpIWgW
eT7Gn/cueTkGbUp8AMtXe4E3I9zrvXLvaaCInm/ElhqzuZNR8fvBtQG5mg++2ygrMzVHv8Wc2OPZ
IIODKii7LscYVKZnwOeM/6ziiZIV3N3fbKXIQBRDLF6l2UhHcXVol/VDALyoKHG+HuzBeYZbB2W6
zk7IMEizL+v8HLSUS8hL6f/ImZQKqz93Mk5dQMvBQOsS0onuuc0xG2A/kr5+OSitbUTP5q8X9U88
UCGO5Nfg21nuKfWPmhROwOBamJ68jPHGqCirNV73QC29/3RJkTX/Pkc4a/w6N6bj7IKTNUB1yar/
hkNYcmCPEadRJ57+tbEI4XjyYEi80IHMZzeM4m3ytP88csy6k4jIDexkoWmyINVhEZD828CzL/Cj
ijR38Igqzyor8DL0udiKEkL/3Uxk4z3WfbkHNF/ga3L45zqexYAngnbNB6AMsx8Z2FW8aCq23B3e
PV5t8I+vnGPmuvM6x3W7P28j0ZyTQfrpqes0WbucKmHXz87T0bmHiBDmMdELfzUI2r5j/uSeibpL
poyeZDMEBBuG1m2lkLMvt2gmijekikWk9zzgl8+FDsM2FTew5ruPiDqqYA3Vd4uq0lvObL7zmIIx
xKRbIkmfhJRCp4Rff4TMLyYn6GTLJbgyzlUWnonk7gjZ/yBOeL/JuOrv7/u1qoeHN6HYqSzqVEEz
eD+3iCH0j3OHPuYs0JNRaTmkghJySU5KOj+3Kr9T9NU3lewOzlJjXERDBPLZBMl9v3cVjFWhE5bD
VrRffcDwXEHAPPYXKljD79VdEkADMuPHGMkhM/iud1U3ychFUjIvLGXBAy7Ox63oORYPjKOkjKFp
ypUD6ztZWhpckDZNLndr2jpiFRpdY1lN69v3umoUgpYaJNYunHyXK7pqLWIgsSDLmgtW3oxtL6g0
GlodF54DJGx6UIry41iZcQIFga6kWoHBaPJwBuR4Dm/VE/a66hNORzKIavgH5azs4+pWDjsj4oHp
F4xqI1MWUge/tHwoguA2BTIOnYXjaxPDu0RdgCWMEMhbSft3CRq3nqa/Oto9fBhuaa+gbmTtbsiy
fIDKRkvAUespZMFZ+Bp9UPSX5DBRJBIgRnTbhSs+JjBZysSa4H4gl2HiNzOS/w5DV4euJEKuS7yt
lDfuoV5xahzQtD444NKohTLpy9kjkfkouHBlwrfDDtATfCQOwXi2z+r5gSY07LS/rRLyEMRQED0G
xewkkFFkpFPKEoNzxsL/7lPoRpsNVaSenadt90wEESD5Oa8Tw168dMg/laALHNO/+KZVBxUPaIss
2nJfm5E6krQuIx3uApiwHXr0EDe1Y6WNPVGA8aD93wo6awh1wLNszHhfvRmkrO9KE10V5f6Vdqj9
Ct5ahcU92XHKDMrhlxZebJxHuvtfK2KzIe2xq6aFlIvBFET5DoKcB0/ux9dOmf4Ep+AzqXRFdvbt
Lw+na2Cha1R5950pRLq7E7qzAM0jMpQH9m7EYHM3/XB4MYCdRRP5Wc76wDxVA/0Ax1oXVliAlM6i
UrVv7uBUUjwqltTd4Tk+q0RLMWr6aXBHFrnIBXsvbP+tFZ8dxSBWmgno6bD/jsEucVNXe3HFmfDI
FN238IK2p6r1bPn78Vf1hPlY027+BcrZBkgvLLc9G5KLaeCz4GAIrtHEbEkxM1pEEtEuG0HyeY8y
oXgkB5b5Q2s6Y+rFsEWRy4GdAqb981CJR3kNGRy5Vv2xl2WC2WtAXNNx1rVEZlw7EwnIMF+tZnLP
0KgxImjb7xEHE5ZDxlAvbM0aQMDjgBn5PTUj4aJy5rWkePpZbaOWipbmm2GvTkCd7gp6ZxjJ21QI
PSSKtv4L67KGA5XsMj+ROm5j8hFnjcZ2j2am35sh4UGfg9nYvD6LCrLIHU/l046PVcpAtt/CTxY4
LSx0GJd+dKZ5rWUxClwxZkXMdEVAFr3otQ8gGDU9bOQYESikiLG0ZPkFzKxohNzkUeeWnLYAm3bL
RckIuXb/F/Lub6SpNvGt1jaSarQFHx4Pt2F4VI1lunlpv3Q3rntuuVzh4dY7qs5QL0Cl3wQCbZSK
kjKakiYo4WozIMDXtwc/C92vcUy5Dhp7Z+S+LqFWSglXqKONAvrJWhx9KpsccDyXTswPyg4Jk8KH
ReWDuW/8VRa+vNAIZ4/5JnQyHnoGyeqlWOM8pkDaqMOSoYx1uyY7ENztoOuBDyMHHP0mvVuXFi1v
/lxV2uNqUYSpC1YyIm0ulbsiJjQ2g5CdbuqhnEk73cot/BK4WCVgRlg9xcHblT+VZU0MSs0LEfy2
jef3Cy71uVJKXt4qF/xDzPyxQgrS4cVzWoN2ESNSF4O1vFxDkyNrs7tvGCNr4rbodjZIQqFZ62Ue
Ej//k1YQTevR8mVU6acxDjjLD6EXOC2LnRcWAO2dsfMquGE0/ALLlSKK73TxaQ4lkQeyazSCqYZ+
bL90yYartVBvR3MNrOE1IxhFCIDZD9McUMJJ8ar1ozxFw8f/anCBAU5NugXjjiNhFD13WHely6g9
MI5bNDeG0DdQIhDm8lI5OwzWHxukedqplJJNkXHT2jzaBrdXn/Z6rGApPcBCOGzlew1WGg4CFPsK
zFoW56FlTAQklKV3nKinHlk7qmYVzE7eyeoO5YpZfxnRoAZfqpGGduqcC51lYYCrIaCZZpWaw7is
3KJhkRgwLnjEe37Fbur4Pc5SDUfG5VPb7pxDvpgNBuJwl9neOpKy+936HrV+kgwQx1sbk37/4GTp
J13n75fqDehGs1lMlruMDrTrZQU70ibuhdUWCaJy1HGyBYch02G+whbGuKORycA857Bxc5FREY+Z
blS2oXcVMCp9x76Lu5HYEFwZ1JzUS4QI2feNjW5YzTVb0GynQOi2talvNct+WZixbY5PTXDtudbu
SxZl0zmPFOVH8KCo2KJmQTiXf/Ip62sxFBdQbsRoCPFuiYFb8nyE7RhqIMNfkYza4GHSg9ieQfp1
u+1AIzXjO0xmAp/A//gnBBx7elh44SM9J9GprGdr2eWMmWoTuGgSo4aih0ZoifkHjP3JnMH2gxZY
Y4wdGNPD+b4CcM6UcAxrpcShNF657VJbyaMQUTZF/EpKmpdL56z4WjMRiLhPzSDmYXxBL4l3Fxlp
95n8oDYr379rGfkydnossGjQAvWaDm+SQYYPSsRSttnI6/ASWbYgdJ1kFVa32W/MOngRQK4pROEC
5FMDVSC88YiN0XNNwljUwT20wXZXcPumfRnIHnDhQpZhw+A0+4JdoSpsvcvp5QUQ/u9dMHr0I+jQ
cJjwmjCWC1zDAQLlu58UTNWH2/WuqHI8q5UOEcB7naMX1Ajm3wTllmibvzLycb5Uro7VHrUDSnO0
uYipz0WrQKjUpNGsRdqxHTsLsuw8j1XW9io4CSRYGUdeFOoyzZ2+k/MtL/DSBep/HQ2OxyPtC+Ez
jFJ1dwmelljChbd90EqFBNDL0CK3+1F9cp8ostK+mhzwjptxJgnRcdfyvGci+4T4L3+y3oOyQrcd
pcnFWcbOvTtBgJ0YitntO0n3a/dYagYjGFc/k/NVeOhRjTknuturymPPZp/wT7kgaqF0cUim2w4k
FDaXc0nOvQtNuuCLqe7njVU+XtBYs9iDBVw1Aka3/A0QMZDikwVIrTkqDXsMH9vwBVGOlx25qilR
JgKDLdBuChF9kKVO4eHsh4j9ryIPF/Ncxxia9PYxZgQt8GlYGaOtiCpDy4Bl2Gg07wmEAaZzeOs7
l2LAe/KlRjL6m3rEsxRhkqQdYmlOyEAfhP3/Vu7YrrZYQG8gSs8cmP808hzxoqbs/Rfm8qYl9hyd
nlrZcswgX0Un+bmGnwvp6VqozJb9uRwrhLLXqohVTlZAH3jQIN6QZG2nF7oXqueuv0pO/8S45JIq
8GAl2WG06Zhj7F+xzEPA4nO8fdhNfeLSBeiT+zpLnAdODmkfebx+qZFVzC6q05lnY9fvHYThY/D3
3zEK/aITLkaLJP8u7pap9/oC8/nKmHKoGdNhdFCFkTP2C5gryT8y865p+rlo7zWaWsJo/z4Vg53X
NFProNrgFMOVBZtHLI+LupSxWRMmjU9BqxVpREz8iUu8jX1ZNMz+hk7jLRqXJP1gSHCaLa/NCIcV
P73MY/Ag0g15ENiSn4izZ8GTzy7iKWbMRAiOVnFzzxNZmxekX7/kukNcx0bhjjV5xoeeBBEfWZKf
LDG+HUOPNZcj+DpKrQC16oGqM38umnmi8Gw09ItaRXA9sEZZp/vXmfAnIZFQQAlaAJAE/dDDNE35
28ffoMbaCUmop25HsvytfM4iZYlS1tsu2BtWnTY89KX0qst9djvvh6xXKM2g1tPlyxJ5ilvH3Zef
wLfmvJ1ps83TzcXpBq8CuPMcOV8Q4wytOA01kIk8N0JPxTgiRG/g1HG+xLC0pQPN3lmW4b+cGyI8
+P8kAO/uouXKgt2Ov3nuIJnyfMYZH7htwxq+R9uWEw5nxzypO3DcalAisLwHUBlSH9L1PFpAITVm
dnlJet2jTrz27kaYbekEf8G8fesaKDfli+OK+Y+u1B5qGYJCVKNh3csvsLlz/pUnWkBnlvB/+vHy
ko/eIg1/JW9hCuw9GeN/8FzVjspuv0EqryouGC53nT9wOcJWuoSEwz7B0brgWPrajC8hB/dvYDId
Vo002QZJavpxLMxJWOqORGZsJbjTFm+q6rOsu3igvOQUevIyeiVgyxyrVbAKo7Oku6Bdqd2SyuEj
yxErZ1ImBS2PpM/sfdsRu70zhh9r0TVsLPNoJzagShu2HXTM5mYlL6HHedQ3FT2tW/BoovbVPuCp
PmUrfMkW/4xbnJkXMSjbEs4y9wFG+b1fq79wunBdwWKRHmWtsQOvC+71+ldAMlX3Lq8kkG/1fPoE
tcCKMrTs49pdJgLCKa1gjHCRtOtQP/Ec7OdXqg/yd43z0FZzgXDnJIbvAqR2Rbk1BD5UidZCnf7L
OcnDwq3LA/bvWWFou/d85uecuhXtJGv/L035Lg66CwEPzY/soUZvyN4E0qIfl+fpjYxra8GEBN8o
UWSEKTccbxrJeiVAr42OzVYMT1lidslxNWb9TdraSq0YbV2+PK3jsDTPpQ5t7YWV46qGUX3bqlkG
UcRw65s2cswIVSpGAf/RKHe04PMy06GI00yR8KrPh7J5F+LA5VlH7vojU8jUAnkaifpjwCWEQA9d
yHw1EzfjCF2gPyTqm11QOY1+8E53qZCdhggIZWYn9Xd7FEXOn30L51+qh+w1KAUXc89/q7Ro2Bpn
wwDthjmI6NaIw6OVr9eZlxBSnGNgdkC+z2Ppej6dJIad8XmEPxU5WZD7VdLvXgqiYNbqbUCZJZx9
ntDTADCtUc2iQG2X9o+6qCXL4QApcKGUXlwIfCmTfaPvqF1jPI+JJgOhsLsL9moyIzZHji9kT91a
LK+a/7a8DntUq+Inl+/E35uieC5Adq7+knb8b4YQ66wDrFTVq+mx1SHQzy1YzckIVws7d6f1L6nU
N8ifOa3sy+7RFxPX84ZlUdy2AM4bJAKGc+SySHEzhSkNzkquIlC39ekYAHN6raMdHtVhsB1dud6m
aYdrmAxc843RO/8qweESLqAFiGSRJRps33Gcxv74siJZHfnItgZn2XrZpU5A8RRm9uEclhrOotZY
eq6u6kQIme4cvkLdgssxjXJkl96zR0eoyooiHjuQcGWMu6f6TtYfAOFq7u8W5kFAYA4tKCPudnY/
xLpp757Df7hr42NYmiTr8UZsAEZfYXwo2YQh7PKohl1cyeHtAPG8TEUTOZEPLFKgi2chwf/6CfF4
Kne/FdbKKyKm+VYBa+kHXNhmnloJbFSf1sGiHjq5uLPk21ypNy8+AKG9kM0FLfhAju663p9k08mU
YgUuz0fF33GbjVk4m6ZpWc85esSBexc9UcN+myZCJ2eCemam8oOqUoTkEGlW6Mzz4415enC3h95B
9bkB5cttLNwN8YPkOFBGxZrxzmU30VOQUsPM9q3DKKFBF0b4rVk5WihJDVIXR0oVXlTqoatbJGvi
x+0mY+0Oi9Hm2cMKHNNuXrB788nwnY77diFcOn5IyKEfXZe8SYQ6xsEvRJsaQf/1cFCjMGL1IPKk
kGCYOMPZgqCtPuhwzsCJpdt/Hs9Smgu48sQVk+HaRzm6abYaDf9OnjhVzk8UX3qZ7a9ozj7iYGrH
7P+Ez2ZisHwwrCijvaffadeu0CNCtNZNw0xvUW40UtesMMjWq0duHv5LNQqDEQwNEOXCa8g1JYUA
o7oben0eMruYHVbB9in5CTdETdK/iQlx2lU1xgZrkDOIOb/DpU/ZqWjDMuKlw6kXLBRo0++RcvUe
k7LFacZUEkZdWlgKvkeFrWDu7bjQwoP9j31Vnq+2a2d8+3cho4R5l40qgtqq85bIu0tHFDtcWGky
A4F0ud/OhEjg+h6SAVf5fGqyIX3Ojc0yQq3gwIHVUcGfyko9QJzM6KCDc+DE6YKSSUdByUufr5NI
hn9BRApjr1ZozDQH2GsSFSzJM56Kl5b+HHLqV5cYisCVSiAjMd/4VZoAjp9zmFHqVD/xGPRXAnEY
XD+3SmWFoXGZXh91MGuO4zpLOgv58EFImObMg6HyPur9a/cR9C78zCUqn3DiYpahWs6wNQd7r48t
Vb/etIl3tP2aDmS+D0YMDpAsACXrzsI5+UZxNdg4+L5kgdpg3NwZq4pqKr+arh6spexwO7KGCZdr
xrg123c7FDESrsGZ64yHYmorl9HvfNY2BoHlAfsjhUk9pdB+/hwfAWVKM4PzG7HYOhjDVdeVK3p9
PFvQ2aMz3bec5DfEDKm/PGvFOkqDKSLX5AwPzJKc5yZtHeUgYnKp7ec51nJOvXp0Nw1c7E9jXHfD
KTXJZ70veCoBXaXzUJh8HY5KTbOCCId7//ZVk9Fh1zYEeNOklRA8+9tuvmh4WG8fYO6JRip9IH1W
HlMTbASvDM34tylU7cSVq5k/b3hgnQYeyxo85/WDvmH4OV8hSINtY0IrsV9OMmWYNJzRonN1IfAm
uXW8wOxRB/vcfCGfqvWybLxw6epN6oBPwlAMxEGCRgUrJqRTZvCPfM2Fwo8SNPSaYmeh3HgzTHAP
FsG4IDzPtL2FnVGZo0B/9F9wzwtk8UzX70CfSik0ZvNe+VToNKeHjyLwqNjKKlNjrbOtkB/B9VEl
EFeXs/4ROYmZwuNefQouYV29HoohQ5rZDp7oRt3rbjeLI4Yb+RQUJrLMZPRY6twwpVVJZ1WB55ch
mHtXfJO0grq6A91mnQx47vLTF6fSluet9F4XbGQ7PW/pT1P/nkEIR47yzKdb3Jk6Pi4/5Hdc2qXO
Qe+zF6goXslf6/eKGVUDbsHKTgXozLSpuPU90cDioaQk6/mSRqhgH1IV+MS5BYQgsdRiizoIM838
rCTRZEG/ct02tV3sRvzE44MVd2zNcDc1AbjfiVM7Vz19pVNomko0WoHu/6u6e/LM6a1mnRbM8S9t
WZotA17LuaT/RlORFAwKghnTQan6e5bsNjCcEk/1/Xn8VEo1jztZjDCmttszEAyMoaE5Q3BsaXRR
5kDpbLTgB45+r7jTOgf37q5m9Ff0N43urPn81+MCdmukxkg48blu/RjDjGrjWtvFMgEMWwUoZQNP
caosgDTT3MfpLkPlnuEXo6ZtrCA6qLhduQQVAOB05CqU7t+MzbK2xn4PGvU5ZrQmxJJBz0q2Dha7
n11uHR+7IbauUDEG2k4ZHdW5cqqaLgoLZEHcViUlpIiQeNay0urJxF2C2aNHeXQNCgdEO7e4P1j4
R7fyKZLtI+mTKeP4jvEO6NjJTTtqrTOihGyn62GgYFvkeN5B+3SPKS63thD7qeZurHQN6zgYtdWO
nwggEMCMfLyx3GkxLt5MJ9QQeLPgLHAg70Mrvp092ob5LoDsLGZn0w3BndzRZaBaanWPwJ/0RxDW
KCulWcLePk/fbqVYDv7amcBAqwle5RIrbYDqSmi9G1kpGlj40A9YlmARVUeGG1pbgQvkPizIgFgE
/7Uev0pwTLNTGNdGZq7L8kD+Wg6SF1iMxoXrjtTPUZUUDyZZ/ST+HsFwNad8qSZ00GgceiFLLKAe
1DwYjs7qa4UK+V36tJzL+nt52eTAjNhF8QUI/clPHueDzV9Bvc+j2UExtjfaPkV9UdMf7IsWkYZK
N9MB/2f1+2syFZuqWa5DgWYSRAoRe65VP6GHR/4nYz8NJ/SfaoSsAJOQHT9brS0Zi/gYPWCZdCCS
Sa8ozgOj/o0WIrUzR9fEKTZVyoL7dKCzlX+/R110BJxfaUikGuRoVTqWAIku1hjoJ9+c1ND8xgub
Ge3XEKguJzWn7CDcdh0F+Z9I3cPvBStL5+h9ZjVCNKZjaL0MN35QI2sZI5nUSw6M+yL4Ac/gy/tx
lvEBmdmTLNvd9XdPIyaYQD+eTGKhEqe7BJqIEIY8bJBd4xCrpD83TZTOHE+2r5T8V0dcCy/Z06d+
ELB3+nDMkYUeEpAAORXJUJDFgNeZnaSopAcZPKIPE2xb+iEyrqsjEClG2Q6jkuVhZ5nsnTLk5eim
qrT29JtEuyb92W7pwTZu7Sb1Jyti6OC5xwbpBh7YHeergoQn47v79g9M8cVtw+9odRTcKYFdqUWl
3Qhe4cnCdqZ8XbNvjsrQRy6xYetp0DlqGnsspctKZ+Jb9CTJ4t5TruDw95RPjUkeKEPTiZ9ea4lW
gmYkFxllFA6itvSgxz8cchGirQS8GJaZq9us+kH4dR5oXXrJpCl7cosn0oauVa62KyKtrzh/IoRC
vRxp6+wUMc1Qv5oJ3R59v+/R9anKYEr8vMzkob012zd1GW52neWC9w+GqeS5Q5swbs+CTsevTkOl
Ao99bGMHiDyKGTN/pjO1d7EcaNoSkPuBUstyXr13XekMRqEzUrOFksoZGACKlmsK0Y2RLm7O20gR
5wQ06zXcluiAwhOUIf9LsD/x4IV5QGfzpfgA/YJiX5J5AdHxTLxAaHkH9JYzY5aT2OnkGULfjivH
o0udTJdLvlvgTrXe4hoxtk+Q7PC5E4RluWgsuQ+kJL4eRGDPk2OPbrVhq4iBdjLg6g2bSDtcNBhW
KtRTVgpDUu1RZFUb4/F5+BOUI0p/EayK9R2o0+lnhW1gm1am9H7xGzT6DYQPHFjmGvflQ2lpuLLz
JmzM+s6bpYIdmmYRFfcVBUSbz/xViUVEDhUn3OiL06DkBAq4HvZVAMylfKh5JnKHM47zhgoHrCW7
T+2Mx8b+Px12Qvz+CQuGSODzCGhevEwcVoY+XhY3VGsj/zOUfLiFwpYtoz096zjNRpM/LuKuCOjn
4BMR0Ngja3hMroz4YMJzQRfyBL2GsZ7igY/2SWutcAr67L0g1APVfqAbocRKClvutoJrfVm972iu
As7Jnm6n+HJMItPTHPr5djmT/Zkx6cnSmmLHlrU+5V/2qtfzuBLRhA6pBMRwM3TO7hYLJaEzFMjO
Zf2qjiEkvMhDb7xThLr50Ldd474dbUz21dC1NFi1zQHi4vlXWmgKrb3V9yyashHvlcuRz/3+6n6i
xm7ejyZUJk+MVvBn1Xl2A9wtTSaxpAFkZrHL7dEFkOgprZZJaQTK+cAzTtrdDrC6OQdbZBMHr609
p+dml3G2gHqnCy+I/ICfg7y7qX1RqSXSGepW7kx3rEI5HogKEKFEgY2XJOE6ehW6P0e2VGCqal6l
cnVCME41gXbzyctxVac75nHn9UHzrd5qQz+dKyI3AChhC/72GT3jendI5bYJWOQ6Zo7AgipVuFUR
utDBIJTGYV2RKqSS3mQYUBe9ezcUrbLUmd/t1FSIQ7gWhfxvAeABTllfpUduXMLv+9lsvMw4igra
DoLsk2n4jjoaZeEorrA0mJNfz2Nd0IiZbkbYiYL+2cLGD8WhzsiNOLCcsa+XXDiLigNhbJV6q1t6
ILwohfhLilqdB/CAcWTR0PfCacQI6v9koTehShD4TjUEGLiT2d372bbW7eZwNkAfiCBb4k24pCF2
4xA4IgMgamG2bpOwmxxSHFTFd8N7yWbzrcFZo2tJ0hTT3BAziY81QHflDHq94UVpFnyn/4/Umekm
cBVJGwvR54kWK1mzH5Pqb+vIWFtOJPjD2yADU9U4y8VbLKNnqbXvDoyTKKRHyOemrSaEAzKW3bY1
1l1d7fYYpDL86e9LUeHIP3kD90NJocMwrXtEqPH4a32VPh7mBP38sjnvDak2BEvKG2io8PCAZ/sr
ZjdyCI4ZgwVBHxEVsEv1AklHTmJS9XxOMfn4NyHVvO5t048J0Tf+ifDb3y7Q5cXG4LF9lkmegY+K
f8d2jO/VZx8o7paJFL3bRISXKwYVzeRiLyhJ3dx6ayk6Pevp8tJqrgJYkkleK++in8MNq+KlfjT8
HbRU2Z1HnX/MLGsOJzFYmMYXZHf2TI9m5KBaGge7XgXh+WEtP/cXBJRBLhPhdB4wq2RJoR7lteqH
dqk0EPc/A4mqlq4i+iX80Z030RGooRWdO/0B8PDShJLN7thDkA8QRaqY22NvbpH5PmrNbH6qr7a7
UhqzhN9bShs7ss80Awl+jwT5SEW6GxS8EBvPQz7CsB6xu3qnETY83FSSHiCvCqXQJQ62BAlmOZpo
hAittPYbLNX2kwhCd1z/lhMU7c3Q67SDZBpfBeESssi465jIbjPUfZ+PHYd3s0SgmMW2p80D1NSJ
uBpeEaSrz2G53j1qjqqDGkONQ8hgg2rRFL/k+P6+gbVkdYk8OaQ7ybqzvkmnjJsdmi5F5sXtCwPO
nWwEUnqTOC7wlCjRjeOs/eRSRFjfWWXL36e9ZNvI0YvPSVRj5iip/ZTiNnU1e0/6WXrADikyhEXQ
HH9tLUkAv3SEu/UvC+cB5GRo7OMGn79fOPcKE5BVSH4X8YPczlHdpbvgTdbY3GO5jh9HwOp33+Uw
FqsQZBFugX+9crZZTEW3Dncii9uEmoZ56oYou18dCdOCQtNgoYWXa6fc2u2di7b57+dmIySuEMVY
PNCxTVGQzh1EUZ6p+kBL1X8wAO6Yz+FHuYLUTbuxnkSDp/WRScZzcjabFEpa9pszM+HT4+tbqWLL
AxlcEXCVBMbcibfWK/xPUYmJw4sOQlXL8NPm0X+O6baOTQ0vViWAzncp8PJ2AHtXHkirv/g0uXj1
grBBJKrPiHqQFDBTPw4BXmWKkEnx1sdIJ9MQNxFEUrxQxy/V3B7I6NbF+Xzmq4oMaJp91R6KDX2H
7Zrof4j4V+TkvmZHwbHIVcOyuVFX1CB4uG83VrI1/tjvwZ+vbgYLUW5dfCRFu+rMyLgPxeE8gyee
OofCuNpZSkTGLRxlMe5uPGDDJQ9vKMRTK4jSSlJDJN7AhiVSt6tWmkdGvQvTkwZIS6GLQAgjVKjf
gXY6zNwSAvSQm5y6Iy5tR6uLKWVjazwU+/pS/yjrz7c3qPf6wzBelBLKGrdenurOObKbtxXbVnRI
dNQa5sPBVORb2QP99dNjF+rFC3XVGqTxdlQI8vJUDKgQD50tFjO6E3ySFiL0UXONvUom5PgIA4QQ
eP2C8ATM4IW9JicnAn4ZjBrKzcxi77Pbh3GEhIqRb9FE8ulgBi83Jjt5MT0/OCtJOFC4pCKVsd+M
P6HFAc/glmHt3lGRP4EX8T9Oy7bLbTydNx7XOlaQHpnZqbvSDlERXkJK4lkMvMJLLymymIhvihQE
+5AjSK8lJfWhSobtrxD4RPNR0N4sUg6nxyvB2bXGFDfjEcpPEGPJgJo3YUz9fn+WOpQ6LBKUrO4a
SVSoBpbQncaa5yF0AZSQYVtbo46W/WhuINIWch+m5bvSGQkaLrsdC/5th2l0Z1iS9gy6DxSR8IQ2
eJqY/oC66GTN4OBncGBzJBSYiXa3PVLb/okhpc9ciKEC6AdU7VXxxV5lMeSEDSdSCnQL8vYgaB+Z
khN3rpWmYxqFFKgbUBJl4AtS+J8ovNCMlSEF/aNyQxmtdPRBt4umh2XXJxwGeIY1jkBZ1yYqa4UP
XmeSouP9GtWE9BgtnEH0L0gbsufpVwg9X3sRApjs8gmwGPvh5zP28DeDnv/FMpBqfosMnqU40Pge
3A0nkO0Z/nhGJffN8LDShNS1iQ+dstyXWqAglXyy6OL9Pjot8mQgrl+CfjU8vSFFKrCwk+miWmvw
rsOuTcaB1nrFQxJvBcQih7L5EbkkILdkvEqGLSPG1SFKbDdH6Ptfvp1KML1vS/G1V8R/IQIPfAAY
2lFFJbrKz+fIm7F4IjZsRLgUQDU+DKEKSrkFdomlU3FZ99MbIL31guOWYCdQx7KhRtsv2qqs+vPN
Skj4tuaeG95qw1ZnkQvx73sDRroNdGldLVkBQ+TeLE45bV+Zn9OqbwQXtBHuubo6bJ6M0SBS9wqy
pyQrzL8i9f6Jp7m14BoJZhPUssqUKA+dYXb0fJoELLiREqzTvlT+useqJjtF609a6CY+KM3Ub5k8
KY5dvfz5FGJAIvGH0WED09cya9+T1wTJ8deqExNlo2vaPsGzHzcoP6lhvADU9pndYO0YdNt5FS2t
2IIjb+CDmwXwQQFbwtVCP89OzfnNf1BT+ae24sjhnpHPohqdkwzuAlfRVicIQXuyi0V8IpHONuBr
7lnHDFOFSwvlQaCJbpWJXOtl0yM5Qs3R8wtE04pMTwpLMjzQYds4DUpj9hnFQ/XcGxx2jTSONsaq
xu1SxlyyrfHpWmDY2atIRXAGGiNZOuqh6zxoPHyUAji5kcBRLFNJhir7so1bRapx93eN4ZjK0qTB
38JbVF6Yq2CrRS7EhXx/dHOCoJdyE9OESS7w74SMU5hE82/psy3AzRXWWmOoUoaMi+Xq/R8Ysl0W
WwMTy1Emg/uXotBN+WQ9ymQUtGV8Ugz53rhGOu+ysJXffzzQn201CFPUWPsBEjfXdpUleSeiLnp3
0Pb4Tg+xeL1N+0stnwoPD+XAYiG5lFFiP36AOfynLiJkC7+STH1G7PHtaKdwX2BiZvR+QdyXxJ9k
G8t7rCC3oGett4MlhrNDRWibo/NndcyQr5WcurYnuU7/4npcggvunJPuFYTfFG8GlgjDYS2nt5+I
a9XBEUX9tdDc12ACt6t0TiegsOZ2IzynkKprLFuEoKL2WT+vDihQnwJ95fMZYjfHzSaA21GYoSrn
Tf1UsWuKqLDop7yRjd3SkrVr+kQy/gxArAImbPVzu9Hj0P8WZjri0+4sI1gWrEx6nAIANli2wOWh
qPrvIBhJ4aCC681D2/xQkitwiAkiyTXUtkrayRrLIOf2X3FLquaqPT+02z+xxKq8r27pxtWO+i9N
J1A3SYzyh6TgE63HMz5gsVVnNKSSVrEAbMGQ17e9/JUgO1RLFEgLE1/DGzCdBTZk1991EMEcyRg1
oS2E1j4GAW9xDou+h6GQEy26kGpWqvlF5AbL0KgrknmJsy9z0GK6L4FYUcCPKUw5fhv2+kJnQ4Vk
Qm32SIMlpEjdFiR9GDygOk3ZL0MdpMJHcJO1SjTipMHSBLkAay+m+kkr3WWmG+J0B/r1zswuAw1M
BRy6tJRHsMGbM/S9EcSEOB7YFx1OAg8hWMIBU1SGK+awx0pPXxI/SGg3Jb35i0OqtCRm0yLLxhxz
IgjKCuvojdb6kevKelu+tc5bu9rbv39JNS6GOwlE7/iyn3S66HQk109geR8bQIyKqUjYDVznxn44
fXTwzFDCMnWMA0bpqqWNnk7EbvdwuG2ktcWQA1tfqRBQ/VLNyRJeiooeauxqFlkWbAw6MzancXDZ
yBH2ir1d8eo6bRqDzbBnvBS9qX9SBHkboZSjUZvw0bi+CyqcUrAjj1HfqvN8ocrReDzRgaDQHP2y
tDnivXFRAi/Z3huqlPPSLOUtBAWqSmmeO+2MAUY0v8hOwiZhArx7f9fzIg7Hrr7F1svj+rZqLXY/
N/N21g4GpxGfTa9OP96rQCt2lptHvTDAznav30Oo2b+FluiQRT6SU9egup4QSNfOeeBqGZAQrZQD
rQ31PVDyrQTYe8ehI4fQgfG0jZLgi1LrT0br1+WAXaBCvDs1SGqRPcLQLMASG6RasC6qQkueo7OP
rKGYSYkdddBj0tlVSaBdGqBOQk6ND3wH4FRvmRNVaCWRduNOEBU4rUBQd6sG65XznAXG/LSOb7Fi
oWC2Uzayi52WZrt1YqsT17sZu5brSbq8vbOYKwlGZ92IylNl/9nslhMkzV5jryBnqeP9RK0hobuC
Dl7gDMujrJPT/p6tJj7RvOrdJ4v/sJhO/tWLzUQNBKbqrZ5SS1edG33/NhCA7WvS+RiJw1jDrRgG
aknqGjDs8LdUwFSaE7TAqPBBSLAvIba/CbLyDokKxzCo6UsUrCHYv27JtZbK8o+IU3Su4G6N5bm1
3RbnibfixwNUUUjphm1Gwb4mIn5BVr38/loYvuMqEMYfhWSKI5JTZqf3ucdhqEYCKH68OfXcC8Ot
mvBy3/J2TEZxDnOgiZyGYXRrRuJ2xZcyRSYGk6GsS6snvzr5f6pjHZrny2sXzbk0I8oUzcjayUqF
foF7nAxQRUbmYlLZvaWEom5nj6A0A8VcGkAu4zIPhp21ynn8RDIto4EDYudpgwZHlR82CW0MC8YY
SQ1e/eo3PKFmcB2O2vPUPIwGdrIZw5oBVaS7H5GYdKEAZFc6mUxY8apZSPne1in1sCFUXZOeQKha
+nvqpYR0fI3A/wxgQ51VmeVZt0E0yCgM4nsK72w2q8f4P4P6bDC+FOg5ej9wfRYS+hpQ7lE1wTir
kylvOQF+q6jU2EdmngoQy2l8sE/OUmvRpYIFLe5qsGJbVYBoCdZotjBjpS6JzEmuaQUObhI/4RLt
7CW0LcF3EniOCnPfGpo7hUNE2DImcVvhtXyA3i2gs3L9R8LPtg7FoYkcWiFHSOpME7Hd2kwFVKpC
vAHNPDmvAsbZGTQuAWahL0c/9aCYdOV91bMwb9A7ercGxnDt9rai1Lylbd69h9Tz1LYJvJmmQHV4
OCcdlFBGr5bj+p+SGYCYJzk8rPBr0+pk2SGHIleOdq7wBXZETEBVbHh3Fu0kAdLrjIzHpENqww1M
IrPwIc/sw6rL16wErPL0WohtfOfamx/2O0/DiWbvxNSpAxPAgo/UbvMWMIF1IkiONKiDu9rasyy/
BZpVArjL2maQyabZZfk0LA3v9lySfukoY8dkQ71C+nkBJ9LavZO9B6CaesbxKMTT1nOYYscwFWPL
Mp7+yErm2N0WmXYU/ClSNpM4dEq9Jtdf7Z/ezIM+PeDdH2ok8vu/xhdpCD4kxLbaGT4vtfFkEDeG
5cykpa7KTiBbanLU17Kr5eYe6TrGsleToPoueSq9tnqmIaSvYZW/QlHVj7VhSxd/CooYLfosCFFw
Eq3roJObTiQiZpwGOcPkYGRBxbiT5vr89JQnEa9fEsS8cqvi7w++gAcGHii2VOWlZT/JtRAVCc8e
2Ty81sMaAnVgOHuuSWHkB+gjH3My1Os1iW7eIGlTlWsXjCjdqNHZ50nsxvqmrv90DikU1oOhmR8l
OzzNMeVII3TSgTLNqb551w6Sq+oeQ8YIoVtcsnpEMqb48O7iO8XRy5UW/eg5f3kF6/+qFQA2Ln1S
3IA9XTXym1B3OdULR3IpqrdUAd89lV5btQ1ITsC7P2tyQT3Yw7BLQIL3Rt5Rvn5Vo+7hwNmF3FZW
KSkfnsF63aAcSITcMnmYd5/zI0DgY75c1B5+hfGCyc5gGkmOlFrOp9PWoZQcjgnR7/OyVx7O7E4a
JiRrd3psKbnbC0H9UlCVIqOS6th0+QSpu716dBMNim+73hsjNa0qMZwg+iad2ULTogYcLaYOxvmJ
wnZ28DOfnjW8bD/T6R0PEjtF3DN3+LY5o/hP+Oc/PaGjqRN+szgyRmMZR5tm/lSNkZx7jpHuBWPc
AeLkQXTvv1TUf0+1ajf/8c/phyOYmjc6ZVWBXPpvrNbegciufO44Q0477Lr6/zHvGItrv2keTWaS
0Eonk9OHYBd38OaupWs1hRqN8GMuL+ycx3mzzlhXmUsgfyh0X2hOz1ic0W9jbD39oSqJZ2TrOeBg
yMSmrnZqs5A3XQGX5MID+G8IhITuVeDEPGoVPBKtdpayBv60ccdAqhLVeSUjuq9zCzrYAo+20XBY
3AqT3VG9Ih4RGnrLkmvaRiVfxR7Sjt6HG8KgPuJ6peeySo+yCnM+J+isz3ljNFRBYLUIpq66HHnV
3+yJUJ5is9JiDbctDnZVKrQX8iWEvenr2sPPOdskvT6y9zCcwqya8OMZauo2iPQOfmy7Ay/tD+Pg
hfxGH3DP4GCFY0zsurCdl6I4odeKkASaZstFjgvBzv9vdr9F4J/pc1UEWQLfikZrLWdVXKPCQ/vC
ZpEzShwWuiF24nHGp9KlicDWROqqR+nG4tkJMQGtCxBqlBKz8qI+oRhZqRJxbfNBsiv9eb83B/br
wLto95+2MB8yGL8v6lVMfGiVaMuz6OzdxwXkioVMDLQZKuA4ZhgIiwj4nwvcN1zeEZHzbAU4NAhe
AkuHRVX9QldEVhImb9J3CN/JxHaeSKbT4yvCV8QobqQbMtmB6v//2YTkWHuukJEEWT7oelOcqLhP
kbGT69rU1a8ktMOy8qVTG8LNmFBOT9TE6PCHztUaDEEzI6phax9A1vW4HFCkgybgXtn+oxypppaL
ZWlYXees3rxQY5XSnpOncSWDsxtVYBY9Uskfw9dGn7AEtUi5nXN3v43IUcOVEhI9Ri6YQ/2HpMfQ
UVopS/LSekjAxjf8ijhevBd9SMWL6ELw70WuCx1gqsq15sT4GF0yToqLpVAdtLSOT1Mi+heHfSAD
YHCksBX94Rozo4StGgsSSgkAsyYixHmzPbJbeNhvkgZdRtKnnmCG37jOuZBDS+8W6FfEvzt7l6Zc
l5n4NEnknbSw3yxIz/fs6IPq7lJ4bFCAEU1FYvOaRng6LtcRGvph8EZLOxlH7S/1hWm8Fcxi4B3E
wFMM97bR59OUr8X5jxyJq1B3dlVegl6JoDH0AfeOwTSKHgWLjWrvi3LjfXPMf97GXhXVzCIKJr3k
+VkDwqtZT6q5b6V6ZhE9i9OJnh1W5Hj67MtGqLEsat+3XOuYv67SHwFaagkvVklFwrvED1Z0636e
2tdFqxSXQjR9oqcN6A8ZXQF2UdNIVTyl68pKv23I0s4ZuwgwdUqrxNDQLNjd7MR2NdXz2ffh0J3l
yp832gKiyuK5d78yhDtpCpCL8PBSXBwuOl8fbHVR6yCTI6HiiDDp/EapNJVsMlFdGI6Kv74rMB5J
p6QhWyJET5xeVDSbpEH5VwCo5uUr6hK9voH7wMyXlEQzbQffESxFjbChm4r+LTfB6civiroEdEMf
HSvPGZD+YwLKUVb91r2uu/jFF35k2pKCRlkHXhF+sz94E3E8LE1dKsqm/lRWrsm/z4lBLbX8bnQM
XV9jDNl8CUxyYkmYG5PEfn0HzSO4vXgzdICFPXdCGPAhfXTuOpUFS9ALmsSHoHBtKtt2ACIJACef
idA+FJ9mZKXhssIVbk9bo9yRHXjrim9qr5PnzyuwSNuT1Sap/wml11JPNVZXIb7xGCzmv5IqRG26
m+t47Wme9XOgbwYo0whbzY3L4pkRzASs9Ryva+crvNPnQ8cTrGcWYocd54N3PBkuyIOy3F2YgLDZ
LN2ISqhVq3D0mCQ4gKzMtKMvxsaNMjpoymPNtKljkY0ni4cywhDz3chwoKOoPnYhG0IVCMt1H9Zd
ii9Mm6DvGsJaRPcqccKzXim96RDnRrLoignjYJlN5t711QcdZkzJBLzPiSwjbU+aEyvYQNOiWajL
LiQin85UFKRCoTDXKHIF3r5l3+yD6M3bn5TdRwHLWsLf1HcbgH5eIAuT32c+P51bAwaFktTQ4hNf
vRIE/QJD0TMsgnYCnwFRus/rHIYOzgqCGD+oW/Tr5hC81LCA5pu4DbOkrY41b2e0LfbR016rMDnS
1vWO9hOWZPiKd1VmovFzfD3FMoGv7c2qkhLm0QtAqH8FUCkJ7MukhQDwUTEwxIHSJwmPVuMtgoSN
mpLR7PG74AoUxqVPyUxpUTdwfF5GCvHszH0znLgpdUI38GdgL4unzJD5TpZI2fCH5P8cAvMB5iop
l3tRupn6K//3PrgkiOIVdeRynu+slVtfqaPHyk1IKJxcLXo5EcCLB7knvp4bhlzH4N2+MHPCzCmE
9DBf6yRCnN58QcDUxTudwilMbXPUxxVKgqsKvj1J4pIJaw5BbONnPj7gukhB0xZJ+Fht4w3Sx7xb
Y3fdGvjOZ/CdIyeqymx9msuUMq/laNMUKeK/+RlHNOuG4OcoS3NrKiJxuVnB21CzxQ9kV3Aps9rm
vbf9mU+M/5ldcnyJFeGNO6J/zjcTP6UdHvqO3d+1J2yFlX3jqopVnsXjzKwt/J+GoJXaZ45kj97P
ePXjabCknk7moe4yFbekZQYaFdO+uFj2ZjoSKITRfPGkAjb+FJln8HnnksdKpL+QHZEK0wt5RFFo
OLEqqjoLcX328Z7R0FeZIPgBP1RIAJ7XmamuUpuIBOtHupRgluMi3+80ui+EfKaz4lu0Ys3l0LND
S0FE71MDaU8b0ez+T93jZIXhj4EVxKxDjOBfWQlhHCHTlCeopZOm1W/yOpZ+f1cOILvskn1vuwi8
+GgupfjYuPIRtZM6oSp8gQmHTkka/NIjas74bl0fVVc81vF+bRGfki12mvqaW95qCG8qBspqjVMK
+EMu4ZGYjXw5pWXyEwaWCouY4Yd290iCP835s4fa4QCwJDNDBs9wXQwsu1gVKGY5mJUTwvrLlE7v
XuRh1lNniomP2YbQSPBSzPAq1K7MYv3nD9LRTkKRCb4A4dpZbCmrv1Uf5XJZn3HLTMexWY/CXyYu
xKrEfQBGyL5CrSiZ8EMzgXkFtFpNNtjQBwqhoezk+sc9SVuH61VHOKjMExwrmMtt54oCJO+nlW9z
lF2WW9mEBIqQOI6ODh1lyUJYO+6Kn1J4cMlObElE6bumO5iB1CwdmmxXeKwDG7G4mOPpPRwbVtYx
fvW6pGHFsB2lAP3dcYmFI8ea0RwKhsyeF2RW8uKOJQb67RLm/71j8hbLrPpijeHYwLW27hQJhtqs
M0FHwQg1r/q9XE2xQO4++BAjbp+6bXM6W/XO0B1m+TULuMBgTxdJmmjLm3FslR7AbkU9bVbRBXiC
tpwKoGy6CGKvYBwmxNAQVSd8ogL1arb5s2RPZ+2FIeZZXBSrj4mc1kPOmruea+66u6T/JI+MV2zq
oy1iv3DU6H0z5WcfTQ++Yx41q4jpZF2lsRwulAcfbkD+6OvoTPoaC1WaeQ8kxAxMWTdNkcVSqYvG
QhV5uHpE58Vsxd/Lj4kS5p0zHyRthwJcquFEYjNVMNLZBg/9jLOpbv8T13w1wwMi67fh2E59cRZt
4M8qZwYFSSnYZtoZldZxmBYOvZKr01lRm5nbYyX2D3DQXiH6u2oBqbo/u9AnJ2/xUibS1r3VCeP6
+a48SOc3uvZIzfENFB9XoFsqRVRm1HC1HDNHkky0UMjqkpr3cnT920FzvZ4vNA3GlZI0iF1Yasxv
SyBQQkYhXz5ci3iZdr8SERflIuBWt0cpulvIsDzrk3RYtb7nDywZtslQjxXlAg+MQ5D+LhK1tqnU
JPI7P5aBEh+PamCpvtxcQSif71EWAt4cWHTxIJBbqjalvMj6YCiKOnVg2ufnaUJ10B4GD2M10hN2
RxKRAqC9y68xN1rmJwyyLthrsnGjzorypZ1k6zhjX68VXaQkvtufUNH7IffHXwf7hqmKl3blU0mt
HO3ttU0fcG+7UktluntaK4NYrh+ZsQQpqtBF3EEMpmLaqlCU9KdBmai9UEnqx4MkowKqGK5p1mTm
C1wm5+Lzy16CWfUOaooi8lwCZG+5F9DhS2yPybehUseL8MFr9OLl+cEqEml4xSX7mASueoSjlGQJ
u9Tmpku+us+1stXVJMt3gWnn/de8FOUqX0Vzw5TQAnYYVdvLOvEOFrF5SOwC6ssUxh5cGqb1s3D3
VFB+On/kEcpDJdd5RQ80Q7j3LgP2y6yo3SykRoX5oH+7IGG+lcUnzk1miM0pQsXcUBYZLKcL/C0z
yndoXBmXHWwJLV8JP27rAYx0kkvsA8QoUY8DxZT+cDvhzT8HkKeZtKoUaQrSDZA7XpPIQXtrQGob
N1zcpAXkJGM4gnGIuuoOXx8lfyJIu9iuT8wTL8RtA182bVf5KvXJNn0CxY7MrM7ea25gfCa4hJV1
BHLOEVjWl+NvhYDv0wWLd7gJgLqhiS+Untkfpm3RCWQwY1+4HiAtqS86tcymUdTWW5TgLxwMg0IF
+jdOh09TOXcN/agKsqugpOlx7jgwk7k3XhVHlO8+tScCm3lnudw0zr6GeU9XeFS2tTU11PLlhgqU
hvi75XvxD0+usP0pXSo9vRFRxfI8ugFDNg27JjgFwMbK/Pb8W7BcKPtwGwz7py+zkeErHNLMX9LE
SnAyoCYAHqhRmuNGl+d/llKqQKLs7ElNC71THo+Uzw395f56EBcO3DT6XV4CfeqguqDbYOlN9BgU
ke/1bsuLWMU2oEhndKSZANBWH2oo0UPfoPJS1fxse0adwbgBOIIrnsvOeng6kfeIF3og8KGf5W83
41aSkXR4oTJXRXS2SoHP1MYYZdrRx9Zkzz6kSXkuuckGIufCTil2iDs6IK2VTOfXHOQVpxnQwDWt
ZxeNhxlVmBsD9yqSWYL4HG0CYTCqwz3s/YbM/ngDeeoL+owTH/GM5vOVRg+Ltne3sAn8dwA7XsVl
Fv0BDVUJJLbEnsr2pytVwK6fkJMmdQwbWMC84VIzLeQ4gm15EYb23nxyjsXwfDFyjz4npQtC8d9z
bN1LWpgx3LRid4W6/1TrfSL/oAO75CqDV+tUAmyqd6qBV7sX09MOMUw+lM/webBXdelmPX7xo+zr
C5IQv++5/aK6CZOhAO33yitKwdJ7WeLpmM5PZbSCMSaxQOFw9LAS1jNgjvwPoXdTBuGxZu9bV8OT
j9xj2xS0B2uaF09fuD8009YluqQW+uQ+Y7FGg2q0eDUAqSl77CmugqXZIEazNKOSKjb7GOzkgykq
aV2mGJr9EjvHvCWGBsL1yIHvuuNZ4ToxiSmHMVxBEP9incJr+8iIVhqzFRsvhy+uS7GRFZEhAsd0
baQlvTGF8tjv0OO44pDzla8bxSAExG/lpb+dp8oBXs6syvKv6ouNPYGTYK9Ug/cd/2Ejc8Ll2RCa
ADzSBCD7SAg9G1fgVQZJ9Q5bHy368OBMvP2VTK7ifWLhQGx852fDn5CR1reXTH0ZgRGayB6amqhN
9LwBj9Mw0q1CHm0eFunFUxOHUd+tEJlF6hoUMkz6XHrH7Zz96IYiz3efgVVajyL6he7+dpw2US2d
dMBdWsho5R9megr4bseOSHBbT5Rf2C0DbIDd/N9qvZZJnsRwnlIttd8UoAq5kzvudwUlqga7XG8C
aDLuPS29NT5qEXW6HTT6p5vYSg79fppVSBJg6YSEi5bOqxJqaBLpYx+/KtnD33yVtVgiBNA+S7Ig
fYKWLC2FhNwt8mSdCJvZ2MHIuWLorvNtbT5Zge1L5usT5rqMokTMG5wncsLlKbeYBYJQWudRKMYL
nKb1hcjXgK2lTAJmOrbNmK6Rjxf2n8I2iD4sNI/s1GqjVrju/SWvjWiBZ6WUeUhg+Nm+gJCQOWln
A+YX8FKVa7mpbcExqkk4DMjVICBdW3E99KlB7u7PMNud034vqi1P7/tDnd/+W9e71i+vZDEfy8nX
/SVDeSE8Xn3HvyxZ6UGb6ZvUFOGESuMwuFuE97bzUea/czmGh2wb5YEtR3dzs8lbbchouDhpXrmB
nnJYCnOiM/dA7SYSvGdVKCpoWUn1+fUlvYV7ZolwfzBi/Q5ceAzAC7r91Zkn6OyTe2HphzVAMoMi
BDO0gUUkgYzVJcEM2guJLZszX5GRuc2X0cU0/F1/DQxMoMJ7RV10INDaoONV4yh6RN4tACIiYUaX
RqBRoEFIcBVYiUSA2tJRrNnRbaLB3Y6xj7O90vHRD7VPIXkQ4BLvt8MZ+Vu+RmNgjzUnEXDuzheF
5ZkyCdJJQsWWZsVMkeJ8At2xJ9/K5KijO4BiVopv589guVQRNddL50mrzNWGrIMfl/gLVx0/PTLa
c2kvUYmo75nqmrHmXu2PjOwFHSpWhZl9HCRS2HCv+gdsgmq2SROYJIE7eOKESmpBEDrMZNT83sb8
SxrpCpGcD/hZgriZh0ObuS7ryOQHdgRTQXm3DuASxWcqTHrwhorBQhXcEj/+mhxcuuYf8rwDTwhO
blYhsi3lKtYtzCMKDq2F7jaZ8aVixEMCje++o+Sm9TKJWp8vkXWU/1luxHqbEX8yhx2KemE138mH
kbZtdYNtvB7cjma2LDailtcc5SVkyvpkKY880qiCizWYZ3/lxOmFo2x11vxQ7olwSLQ5deKENLxJ
e5eypQbtkSGk3GVsfBOY5/kpG6wlA8EglwTpe/QD6s6N7PJUYbqtEliqqA9tONV2jGr8/GthR3ds
32q/pBiBRqsxANH4AdZx/Ij6QeIoyoqLWGKl40dFN2YG8idG2LXwK+XWAsr7gSCzKfF1RaPIdU1Y
vOZozYeBzN6bu79I9jXa+0Fo4J4+oxBlcxxkm4oI/fbzKXDiuiupmTXcVjM6CJcgpepDZ/HF6+vb
1KPeKPgfq/z+SPLSljV9E0CCHj9xu8tYzBrTeVOdAOtbpH/FIkwNyaa8UueU9ZcOrWy3HdGqdc98
R4BY2rd4kPFfsUNtzHnSOmSEYY3puPXmk16ZLZuliPGUGCChwoMzAPaBINU4uAwYBIg2ZujPo1AX
T+zVzEs6lz2G7sD41RRU0UcvYCY6UT2lEPKNkjy1pI8Xa9nx5fauUEL+rQvfWwdc62Nr3miUPL4S
et5ppVDiFZYtqj3brJz2SUm1H41/R7LyptkK5w9U4L6N0Ecsu957OW9xB4zwd2t//uuVT28x4QBE
cMhUxT7wUU3B5ZAbBDffmnECm4slOi7Nrwv2c34IS6IYaXntGT66qN4fVbSd/XJ5xdZqKmio7Uzy
OCl7zuLRuz50yd9d5s7nxksJf7jwpu2byCIsYXYoRYjsmxSo2a4v0pfJe9+Y0Fa/bqKjRpnv8B2X
dye1zmnh3wbgz6sj2/c6pwHrsYQpEUfmDGm8DuIUQ3+/lNloG/8/7O+0a/IU/H4mCkqWBJkq1vcC
agHtY5BpYMJmD3N7qkMPxQuYYt1nYHNFf4s41Gr7KpmQNZzHwjgTwqCmyUnQMJ7nVqAxdSrzYK2G
vxenioxUsDpWRJJgonNXwMg2MNjzFDUaaTXxk4RexLT3Z12kGfNEVfDeZdeQo1upcD6c3sxwFchW
Q72lsw5nPuRaixA9+2Be/OKu9GnWHqvX+dnRcyuQO2VkkqRWptZwDTr1rbiyzNAuQDXMjIgrEx+M
/EGFMr86tgJ4vxvxsmdFAWhsstMZ1uvaWKbTZ3hK0eTXTWkPvtlCuROEpaHQPRpPyEeFiRoqMvIV
jO0TKlPPAxj9P8tTGxw4jfJTpgP4U9ujlTMSJnPzE8iglMKZ9E7kdhSre0KO6lBO6hFgh9BNpDk9
E5XNSlqblSX1fEt/VBfoX2gc4NN5LAHFOzFaUeez/a+3mvNa/68Lbp9Eg0/T04JUO/dwNcoyqsvl
IAEatf8dDMSaQdUDy4rFGe7soPKvT3geomIzEJvAiAIize6YRrzPm0C5xoL1PQbDcvdjR6+N6HSs
aA1cH3rMqdD5aAVWWjPzOWW9hu4h8pytr84cc2l+pE6YFQvmx3CtnijAsvzMr0ywywEla39mYcr4
G5jHsrRaYvxbioG8q/4gpJYJzJ84NIs9dDDe7RtzaZjHNMO96XnFt7YaJOAzvspJSI2d+bv1fqEr
eot1xWL6YUdVjdCeWnJEmEW7kvYh15KbCnBCqLlYETDJZrokZOMqIYViP5Jj2hEJs+aPgmHfSz7l
BZdI30jt45CgnPtYW7V0yt33aZ00qnz/9YzqVU0ViQgQgaIQ1gamsexHUqf9vvcR1L4KO9MXwmrx
FziHswZsAVsH+cyf1IL77wFnvDacPulE1s4/hXSKLchAh575bsMyS3N+UqqlTvG8zbHWAQf07o04
coPkUGti+0AiOunhOMuts0Dh9619L8m4c/aDRaRa3seiqmgv32xKft74NG74sT91z2GGU3HOYOxT
usezBd2G7Ur1+sDCCaeLBcNpoPqEc2Mh6pp6Ocptf2okGKD4cdD0XOB6L7N0XKuXnOrx2bR7B8Tf
uxTcwAiHle02OpKoT5xHMOwVJZMKnRNEJlqnx0F6DbWKUqTeEdWS2H6Zj/dHpn0lpXEwce51uw8p
zU/0szncfskcpmLqsOYWDRDBB6g0h9vbaS62JPAXDn+wUZtBF2WOcbeCulXWqBf59YtyW/2B6S4F
TrH9Uh4dLa0fH7sW2DjQEYYBiz0Dn8yp/8gsha4FlqYnOOhFBEgh7bxsFj3Jbz8u4GuBi7Y8tLOB
XJGSDKTs0Y/3H9bD9hSwmpRCg7zF8BuXjxjG+YJueeATGDXDHv//bleWzVSQlHiW9BY6y3k4rw65
X5ZPu0L+LnxAtwyA3MRieSh7+kTtfEM1FPCq77ltiXZxowGkQqvritb4xVr0tAj5RtZbZNBnvi5W
ovCF08kVaAGmpY2ZASH40jg83mJ7138a11KGbcb/+up5b00QrxyN4Edgjau2xutPUe4A7cFNn+Qd
um1VJz1/jtHaqDkKSlfIE7vXKYiecENSJTGPYE+9wxrP0QDswCW/TAoDTJmk07yxYWQ+L0MuCLh0
GFs0zN0nk/eJWPq+pQFlHjOhmg1G2WGwIbaMj5Wj/XEenXMLsXntG5867h4j1duE32RYSYUhAj1O
wphz/d+Ioy/3dOvORMV2Z+sEkini5/g7qK9VsSxI2L590EuFz74O36jDMDkgCkDDaXuBKfQODJM1
1vKMouySHxPP0MgByPo30dQPbKZmTmqlOCC0lXATbOd83xWA33nQNtZpEh613RVuf0I71BpiveAq
q/vA7GQZYjifMsHlCEU9xd7+Qa7KSaHuLzMJmEohTuX9aLFmff0kQ1/PWVmsxO65+BY9/w+Y2GjV
5BJyALM9jcU0uoHKjuq9njH/l0kVcS0ATLGlsJbd/5urpZKkd+du7/mHUq2bSAjo9ftN9QHtETj6
QaIV5cSbsDTLgNXdaLFkTBa7+3pi/xF/9HQLVGc7dRYSelhvXSLs270vhLrugb4mWOWu2ucV8hFy
vN07JFGpjaABfrFK6OeyEtZJ5Ta5nMk46FLAHzYKjqQrWQ/GkjXDhj6m9GxV31B8m7z8EBgoSAYw
9qX1B6UN5R5S6BHmIptJ8afZz1vdiL7efYyhWyFKNKU1XOgUE7rEY3CYlWsx+ar24VA0vaE6BQZZ
oQsJ5QKHCxFefLGdI+qN4F1NtBD6ZbDQWpFAWPKUtDakG0YLf39qs7LS/rZeZMH+d9UYd3soOnul
BklL1zXdTrKMcMbtjEPAlq9EIkePHtKSCM8mdZV4vfnhgNw2KI065+ZD5fIat8melmmnHeTmnTm1
qP0E7vEOPZAFEgiIdRo6c4/djcWiqw2n0XU5QFu229xbt5v5WdxqRM2GrYzA/Vh5XixVkaNUmIYD
hmGYsB8NTeJu8bjAze4thkWH2yhmM4GhwQIsEr8FMB2W0POqDVxE7I8qKEkY9cnVaWzm3WgbyuGK
jywnecHCe/oc3rHBxEaDvgpXCjpTlVz1FLiOQqJf4GDP1k+JyWxFu+OAbdmQPGwm8z9duKNCYPLO
d0XcnnOBcrzDFrmH9WFWLUrFk7hNDJlJuplGsjLaB18+kLowLJRLkoiyv4W35XpktoeMPPqoLZFP
2H1Ir59knrg4nLfRO6m0QoX0rgvWdg+VFFt41efF/PvTMFv91n4pG/OqwPAXDdZgv6DHt1J04JI/
mj9agac0oP4bZG/T3CuUx2CzFwvdQoQKLoEKyNAEQZi7myjERO55euxwtwCx5qFb8xgIeiqWTqjK
JK+JiFCDhrN/64Hgp7FD5FXYD0WbJtGSm9JX55ea92tNSET42iydSrVYzUfnxpxikuQzJDY0/9HG
FP7eTVzdz2VktT0H0PVfoeGCoXim0z2SdPkQELlPLgVOjLu57eYPg4yDNF3lC7gc8gARk33V45/Y
bk/00qdXC2a/6QL6m1Y+gQ2xsdTp/mrDP7/Xh31EwSThMvQkGAUEYyoMGiUvR+mgqxeHRqESn5At
NsLN1+gU65V466rVzIdZlbEXhkZcRYa6I2ZSQKaAiHsmIIYespT8Fz/JYkLo8PahcYssao3H20Xf
LN+ldLxCg/r+EfbP48qYMsmfNcf4kUzlFIvchtUTmrcAQl35+zizzqEFfGj5NCTJirFYrDlnO/ba
0V9McIcWOLe2N6ymgPMRbt+u+WRifQIDggkZw91EYy1orTwl0iTtbQzwW8MIcxgPDUe7Ce9tEhN2
AJMDhJNWDmhCM2xToEb/GXkbCpqip9Dx3THgtb1BOaYtAFtL+N6lWKuldj3f4/t2vnnRst2/o86w
b5Y4yqkfAPaIPgR/X2fCfHrJVqCO/0PSOHfLWZ9hYRI0QbYM8NHxDQCIH6mL04xxgfj2RYPtb9mg
sIC7suZzm+su6X1eM1i4VS6Mdn3a6EOxrKKVI3qOMbuSYkx8x9IN5ItGRJDxWKcJmBkiZ7yttxkq
LyYhy5wbcLbCfQ0N8LRcIDfNbaNVq4rRhyJSXZkB1y2OrYsURLuZBDX7PbsoJg0i1dak8RocWSPm
yrCpaYSImbr+XSl/Fc3yQmqGxtE7n17G65zsUMcvornEH2VTh442xkjNHvc6qJ5qrmP80vbbqHNf
+ZtHyiGS1KIopE3N79N6slEvCiPOAicRsAGLA2o2Lk3evHEv+AVOJVUcVRXGbHM2eTWVzAwO1AjE
TGwH+sq9+4Ighjp3KAirGVYfePLiZ+dZUuGjIE2Kq4ptywpGdewWcf5//b5+ixzg9S6pSbQMCpDu
uUZvmYTwwDttfoXPSl/MGidgTYCFO8VxanTaAanKuE4WRsB0qe2TTIr6b05d8twtODHlLluUucwP
kWH6HPcedMx/fX2pjpOxKNmRxD6MMt214S3O7sqTx8QzrkfaEi6CoavD28EiUKeVonUkPqcf4Qhp
II+LIAMx85B82j1wQXrCO2swF+zMvbt/wyQfAYMJ63EuVdOtk59+kWpJH3T710XEpSWmOzPsONZ8
BoaA0lDVFjjv7HpBXy+b+j7jiv0OmvZ5gQIHl3N/zYBCH7CbR5+WVWC5ekrgIOiQRw1jotJ+Gjgd
IcUeBCFKOdtOES3EBL0lssdsdD7CLEDJbT8YqWsJsZg7Cik4ADBI2iCfFX7FbJbys7CrRKfpyeqO
nj6l5jN32Ip9U12QJmlZXvEpwhODGycuTaWH98gm5XYvGtXVsv8LTO/IxiA4T7vbSQijcDKX/lx9
pviIVetgI5pHJ6pM/V70be2LvTfU1E5JReKclu3nQ1xbXEoXU/6MgAjbX69Dhg2ZVpsm6u9O7v2x
rcnlCs3SrUs46sP3Nzr76Anh3eoSb/FbBFd1MLg1liGCSXKLMwfswmsY9RBxQWWmjUO+HX8nksXh
vNzO+v2tLDeEs7APWG3Lxfx5fiuERWo8sNq4DFPqPCK12plZnCaszL73apYzjBciyzT/wZ9o6Yr2
a7OGzlyYMoEjZV7l302PxDNojghSp8YXH//qRsvtlAlBX+Wxe1GRyONbHeG8JFRGGno9ejXH43vl
JdIKwiauwr0R7/ajSJO1+DmwIecgOsF0/+wEdbqBqwUlGOmi1j5c8DJDjcH1oxPSIy+2stQwCyxg
A7TE2w1gLp7e2HGmWRADPyMbjZE7qFhpLGyhSz7FqIOh3OegnTPqDB+t1oY6ikOJ4vW6n6PAKeQx
WpVMGk95VrGkSp4Nx1s8guhRPArxkN6myEygZbQl3qb3hpDFKG6KrK2GMnmeFMyVS7zxrtvPG+EL
nv3M8tlD+irx5MbIMTEyXKG4+4565rcT5tYsX0lggRzAAnJ2eXdbfvQ0hoHZIM6Knzpo4ANxz/c/
3ZaDlmg+L7PwKr7q63x74V4HY8qZqsoEU7K9gMK8arNQegTWaHDvaR0zoS4ahAM2VCaznGPaRmIG
8lpomLzgn3TWM/dkI81pBGT+2tXIA1eeQtsXoIkzoIlv5SQLbNpqAN3LcBD0//B0rGa9MVG2sSZK
n6/lq3C8Wwb/046P5A1epWgd2jyrEeDvqiGM+UErKJudFTFRdepGcC939XQrP4E7MoDO+SEurSTA
iDqfmpM/SkUItwJ4V7KGmcS4fcgX0yzqJcGBiPa0j4teHlPNf7DcED8YnBJrG8LG6yITgs3cb3+C
SHr+DzXmxhFD6318KrVcW7JA++8dF6/eJvYEgkD0VZcoBQZBBOvfWxZmSodpEv7xjXh1Sz7+upKu
PNtcG1GY5Y/76wwBiQC3NZyMQ1bAO7RLVGD4ESg1NQYmAiTU9MegpawSUDidHtNDDQZY8anXDdbH
VjYOFHlerzoROV0b055LjJFqHNgvzRU3XPMO135t3vyQySFb56/fmfeacG9dHF/s5rXEtfcR7BYq
TylqXHDRhqjfRw3JxaFwhSlNObKSmMfge7AwBXtYA/BmEE2q6+PHF/1tK7GyQMX9y/k9IMMWCk38
5ABRtIJj6n5i9m0P/JILEl3aQ8W53XJ0iHyED+OPpKA0Cn+GK7gFhmodzE4whZ3K+ZYjppQ5ukC4
bzxVJFmWN57oTkGkG1FoBjZ67SninqSv3JdWWqU0dblYOuWuQeRxC+19LWDnAkuJ3GKEUbCFcsCP
Ugh0nGx14HZDlgvrAY4fz5HXU4ETdf7R/V4sbeG3Q2FbMmkn3N+RMr/u1mpgYZ2ZMrpaCy8WGDj1
bfeYukgIXBRC6gsQtg5aR8UCa4qPGnDe+hbPJarFsSI/LTwC5l5SuRQFdcWuAOcmlx+ELmJKto4v
/netLCiHZOAgbu4+6/9SxLs6XUADTkDYswY1sjeQHTAuJfKUW2Pysm5s+qZ6sX8icy4wZOUZ8Shu
4CtzlYflqaiVo+SXIIK4Vdz5P9wsOmq5O/Nb4eGrK+MyczDbJaRy1A/gBJW7LryKC4Z26sTddDhE
CckOcr7YyzP9reM7eHT/XbgKIp82mh9aZDNPmG7X8dFamgqbZZMOL64w3qI1+NB6xatbs40W2NLv
rm5ovsjdkAplZ0aRK7UUSsVkY71eq1ZDPP9nc49497m072iLFLRy1EzOZ/WKzpcvW0L8IsnbYbhh
+8j8waBS01azsQ0BfItw127tCt5hmC6wVAqUHIoRKuekbgtisjLT50XIxYwY38x6Y/OqwC75YyD9
3S/xfsUk2L8VLRfUYxo5JYRZWyWFs+yOJgnBd5h/VcXrbSzr2huRrp4LfkZig22D8zn1gb2MmTtk
iYWy+RsAnhi6ouhx40dkwHyPdUKlCyBQF3EaE3k3vqsJoRGqJS5stgE8LWJIQqj5SfbfuVd6krja
46hW+bBKk/y+zmcSgYl3AJQ93uE9dnzOwOmgPl19UZoIpoIrqn0jA3EMa9Zzwk5gK9LEh+sHHk3U
I6rEi9lf7PrBM8sxYI75F/RnGVcYDVyuB+k7iPpjFHH2eqt0PDQsEEagaFvAy1+hup0iogfWyHCE
tcKfN8479jOwsZ6DIe8uNeQvM+yDv9CV5rvjT49NdLz7Q1rbbgLeIm0j4II36sMmi7ZK1mO16o85
fJAGT3H44etkX1auuvsj9UNzw0QfkEAmX/qol56xdU9I1YXR8+7QDiLtVrxgN598ynIMFW/KXpK9
ALQAoNAC1dc9VnzoKAFd7Y2NAaXm3/KiSKup+4+zqLqOoLVKLDImLGJrNWRPz46LiE658CMZbUfO
djUZ+OwpZb48YM4KSp4ay9Z+UmSR8sIVcXMvIszx1ntPnd+JiigFdp9NjoaIla+O6DaHgaOqsk4X
Z7wPJtv5M7v7ivHQH6Lmv22hEFG6DSRLDH6q387y4Olhwd4UpJwLqvalffQhyMLdqZLJFR2+35bu
3+FGVp8TPm0SuZCH04t2n/hHTCq5I/GXzqatI1msR53b92v5wUELsggrbafjqFILavxrJE9IOaA/
xQx1u/fnoWVxWcnCU0jk2VMU+qFpwmQaBNwsb9Z4osGFD7ZS9KpiYZBqG1MULOCZK2TNc0vBqKFd
tlzkW/2qYF5mxH2PSaUeIXvgEGtervkYoimvoGd1Lu63UO+ooY7/dNtDOqcUsbNNc0ZgUzSBjcyj
6aro1L0yAmgaXtGH0IpEELXznzLTrGqPVgrY2m7aqAvisL4Je/wEIbnWq5dg56SRBJczpUqNIcB0
i5HM4VinW+jh2de5teWWjfk8429W6eU2c1YFw80u5Sh2sj4XvQGdboBjP3L4H5C6wmU2OgRpX+4j
U0myxtPKG7utXO6tDpRE8PvRrQ1RaG3Tu0nFuMp2HZPWvMHS1yaIGz0iLCAnK8tcvv7y5lbiAkIJ
Ri+eyo1H5Pw9K5bksNXNkuZMp3tvTHwPfYpQsZMIPxn4FfxjTmI+zT6EztZ+75qEI5S4c4WOGM0p
GxmJKr9D6hEepyRNJsfBu0+jd2Y9LP8DUFnGvFFxQM+vlJ4PwNoRv4P4gp6FxzQK5isQDYVYhlxq
YITxFMD/0q4vCZzaMzMpov885b1WQKt6Q9s1hSQ2tHH9LmdHlKwfdXYA2pPUALnZOe7/hLzdshsM
wfwSB/3A8ZjVLuakfrVGBtMS3KrqrC1C6UWbvZSeiqp9OGiM19WoqqGSNcysRGT3+fWUJd/rruFn
rXPPVjjkKYykh2/N3mrJWnM9SfRyrrz6+Ed5RA1fuGVM/XWIEia/W+6E7pjSzskJ8ODMIzd4/G3p
rqAZeuZFL8JDvdQYk01aQ+ilWe2mBk6X6/9KIcaCre2tNd5+8EpnTMZjDvOkfIM0V4sFxpMKClp3
xALNQ4VGbNhc4QL0jWq2R/TrvgZkbs9rjJBes+dkMMt4jDxPqRFOKxKBLab2Jh2aviv+LJgP4ui8
PnFdYf+2EPW89OCIGch9nqugbh86dM4p929AIrMTCKXWa5W903z3SRFFiaL6YcaTtpJhtuOvc7Vh
igqNhgL4XuEjUrUJaC/i+juQ6eYc+gYAtQAHGDdNJRsf7LgSkX23kK3eNawg4R8wlJF1CdKiUKak
GDLgd7uY8NixEI920J8pNG7XKB0WXw3K3C7zWYY1uINwMr3VqO9WgivOBoEy84BLrV4fqFKF/RlH
PQmMRl5ZTxp/2sltQ+FNpzot+JSG/YOwaez82ibmlx0g1vUhQBN/O6Sc70nM72BwpR+ory+M4pY3
YI0511A32CQjDDihpZ9tX6b2bla69cnJwtt1dVNO7jv7zdErejpWZ2zn/6YNOyBUVakHtDeonomy
p5Soa+mcubT16AvDZI1R4lofmNH2QFXOC5gBp1G9ZNHzZ8i4FAKE16NHvJtWSTkbbWkkrNzuUPPy
ewjtyRVnwy3eXhzvLTU9ga1C44pJVjIhGl+j2eKfaQsir9Hu+ISauvWNvsFGucWB9qPqwka/w8Oy
yEFoe+aAq7xJ4QcI6HTGH0qN+k3tYhLZxkpE9yDiMnEapyIUiwi1CK9P5Gwb9/li2/+9p1Y7UtrZ
jNOZmlPOrGXOBsE7FRY1/yhkLHujXDc+mDXTV1D9M5f3jCpyyp26ZiygM6hyO/uhevWRKUsTEd4Y
mrmfB7ZtGDm+6HWdLzEzKBziMsbMe7+ktO5/vNtjILrmAur6vWm5iS16K20UwGKzEr2Tc6wau3sP
arIe5nAELDFqWr0hXvCVvAmOTLGRfu4NWmOLc5UFcw1WpngLF9caElYslwcLLNocLmV0B7TZ3Ewo
yIiuQ1jb334+RgHtegzWXldLkB/HXrZSIPmXHpfXl1ziISv2F1gIwL0rh/YGH/vf92J2fQ+ljjfc
EkZZjtU9n6rnHaTzcKG4it9fFWgFY0bg7ILEb6avaRsZkVu+QSsC5Lw7//nOFAxUtPe4B8vBZP0T
dIIh/QlgpPXru14s2VEwbsPmcrgEBfP6sDOmsjEC7oT6pbx3Ag1K+64ew0nNcuh9scTC8WvN44i9
TdpusR7YHNVa7S/LM4ecsb5czR/q7Su54eqebXa13i8WrfZwWeCQHtsqV/hheMhh3RKClJhJZaHS
V0JpAEs5dvrlBLKvX2RIpomyeFjOLWwqGSbgTagia+loEFYL1qRprFyorsav6xPhvqUYaqZE7cl7
37U3E93FBo4lv6qzmpe9UMnJO8JgtigFt5onq2eaAtkETHFgZCkfspv6lNrkjsbqJQ6Sr+HLHOLV
g3AQqqAdnkSBe+Qk4+FpA/qei0Z4KNSvBtHGTUqjKm3+bQyhGFTM+51QW1RhiVsDWS+NsWrL0akF
6N3tpoC4FifXWk4chao4IL2M6fTVu9yzt7Dz8VqWeAtwDPnBe4PkzK3vTw6FBhuOo8JWOO2G3lzX
ZUaUcZ51AeIy9cBeKcX9/udC1HtkAwJdGCWkI/joKew1q01kp5zjvrLiKZS7h5yqa+/aovyNKXFB
JpcAwH/WHh6uTUpQkwENp0x0UvScfkOV292KEO0+DVJVEfAsF+n4A9Zrbvuz5DvSued5TG3PT/vn
6IlTRqjQXzcRp0ZYOLpn0UXtD+kj7RWbaBNbfJQdoT046SR+1/lFZkIsYTupruD5otlKnjm52KCo
yUfVjKbFMGTIT90+PCXebVqflCbuX5q+gmPdqI+p4iVHDaTrT5g4X3122x/GaK5n8sB7f1HNFd7u
XkD1y4y/lQWiQQcAyreQDBgve5B83HVPqia2f0Sui3g/HWpGQ7/NpDx1tI6wDD4AWRzAHAKdlRvf
qiPqudmDY+DhKFq17ZhUj+N6WboUx9xbe/gnsf89dMn5WV3et+6RYixeQqLEi7h1Gdz/a+iei1yY
KEAk6uZe6y+nGnXGMhEZB8LG+U8wkdbuvyV86+VgiMoGEk1BSCKruwMdIdMdu9FrC5tIl18UfJex
Q6xqX7sE/NUarFXs1ttPt42hUTqspnUrNMIeoWuiMBaW7D5VVyrXG5Ley9StU5iZcVtB8ctrLR9I
ZQHy6T7qBzv1dEeADt+5Gba3pMTGwIn1uetmtO2IgTAlj/2CtrN1CWPaAtxBsH9ONvZhTAiaidvU
ATepMF+6i9Sd9ZXONx3bw5DFSTJ07v5xE75XJ50GYtxw7GIdtUpaaqTytkXq0xBgIjXrxSy9Pxv0
Lfgq4LbwQgRneYQFVzTnw7qlLRITEwYnaSzw1sLKCfNm4rwHYHc5unhyOtL63quOHJDeHIZxu63/
uHCtuB63Azke/cwDwKhOMYaSOs1BB9+QH7jAi/iRiKyUQP+AOKhvt2LgrRUOmj+iPAXMwxWMKH/q
T46leAPWgBMidKq0EDyABiM7RTvRhQIrj6yPIwZ66RvDAa2i7+F+cST6JvV25W+nP7HyudwBJdYN
hLVLBdGxSVhqRmdnG/Z9HR3mdYAsii47jjSuUsX63iotRotPNdC7nJ6NAbe8/NlwFT0QxI0UmU0G
cJri0r3rawsQMEWd7QakQmUGcf+mv5/9CbHiht78cqqCVnM9arEi0qSMosVpLCpV7EggJXOepfsp
E4QkgUe0wZxRq2z50tpaMTuqo129ZG8m05qCnxoXnkffL192cP2brHVbapN/PCEnWuuJ7ptrbUOu
wUOjCAaRxET1s2mE82u18smQOTXY66ycdPe/qFCAdfXru/OKytiP+ISC8PfnL5fSBO9Z4TXhyE4T
lbrqaLlKAGot7lsQGnc2S4RsAoWX/a/apcvFWGQWfn9V1+gm0OsTccw2jUEAlwPzW63M96717DWX
GuZ3aXebKoUb/uHYMn5yiZXLI3KVyQTgLjYM45ZIm8h6K+rpx0eMj7dLk1FY/rlaNtLapk3PAomd
hC5K2qPbl4Dd+hLknzuvBRua4hbm+bJh4HH6JjEZEFf+ggAqx8Z1jIMJtoLLSrTkCJpao7AKw+8C
1ENXMFcIWkr9OBP+SosR3k8R8vieBtHgNyNYr9SyTvwwwLRNAFYqRTyQiF8eri+R5hH9PILpU+P7
KKqWOjC3BIpyYonCUeCHYsn/Mnr9y/390JIhDWw2bVJHWmjkDOo+8kC1IdfX3CzI1lMHxQtAErkq
l39rjBDk27yevSwNOMJDX2+fkF+uIQPuAT28mpdNNkdj9nynwCwxjoDuI82IKPBV3wBlyFH+vA/D
ISqFIq2g4xdRu1leTlOmuTil0PHf2SdTevojTaMg030ckZf9wEaVLK4kfjTvpEcQXQ2BVJgGj8GM
xehnZf2AE/UEpaDbx5+EcbwCjjjVX99b5D3BFqt7yt/HI+FwZQxHNBiO0NdTSS4a16Pxogxvi/4i
NZY0FjtiKTA0hI9lZGE/bwoU00y4zpHpZjAQ4WzNuVWyQR8+OY1jGhZEwgGvkyy2ywGirGgxoOPi
vHd7rROkqWoKGoir8H/4QbIwW6DmTgeBYK3Y9aL4yCsMkccIVKbNsnPxcvHEm5uDcPtdDvVBJoNh
9S4IKWzAxoe/Afp6hcPhr1uUhtnx82ss7G08ZlHCk/3wqrOyFyMo/GVW9S5gyYaex+g56yBmY2y5
Tf5fMIRjx067M9UeJSkkVW+NCu/GoVfKBD9PZMG1f2X3GsxQa+PP0KGJgY1Ve43+yiqDk5DYW7lZ
i4Yuu3Eu5QUvbL1rbmpdVgCcG+GmgKIjAcsmyRkFPMLewwW8Te8LTD4p0U1mBOqa0VIeK0ufTFH9
SaTY4CLNOX12NG1nuqQjFtoftJvu6Svj0dDuvO0pWRkKv3700PIeuO2WjynM79WZ+uk8qikZhLwS
77wtDmhuRmn9B3M9oHynFV3KxQVIf7I/YTwt2yBfXT+/8DiJlBTdckiWyeKfOdpxFkfhDI59nB65
xcfXSVUOQOEBTRSZhdybvF/6uRCw1UmL18yD+CTmuFXwytTEPbpu5O0gUdLvV95UF/tWF7UmX1hu
EaI4r0Fxo0D9EX6Qeg9ucy48Aown9jxRPW+priDd00KTJdi0AYOFISoHeCbLagsluu1mEEbgGH36
6298ijG5PcNplMvhEGEyl4Edoh/4rBMuNS5tnXOFtQTy3XAnZrvHU7YSA71n3WvZMpGRIOULHqCT
rM+sukgZEdumkq5sDLLlLAY9m8YyUm3LxcSTd+x2MvqOwA1MJurPQZjUJt1/G+/Po0VF6x+RYNvB
JbpIepTaJJ2WdpwIpiqoymYhipcReQlT9iYvyCbeuYBpLBIp2d8x7Gck8KhXLXqB/VeR5qShnZ1o
WUJdUUaHvwNvaKbZ3DE9GeZ6ehx/J09jeN9F/WYRujeRs+tl+v3VByg2UgMz1oBogMQQCYoOvv24
VUoookvbwvnowEaxEaRRB2YYCeAD+o8lffmR1dBxEFoOtNhTa3affTNjcHoFYQYF12Lo0+QQPXGS
j11MN/zCOKIPabMDM41gZ8K8TXxIfteOPqTZIW7S9nq2D/WQLrICxlwf+rnJb4SGPXy4aKVokLKP
7ulMSdZDa9Sx55WwFfN38TzQmxyFx3C/uCxX6dOzqldtQXMz7+GccnxjmRztRYujHsxLABKNewXb
Qt4kchm2u1LmsrMoLAt4fgb1wQM8fIM/EjVrsDgsgd+Ed0DrmmtB+OXIAO5YG5Klj9er3wFvf4Le
eiL/4d/7YcpoirZXGMdVEbbfyihN9XT6vq1Rt42jQsDdLkxbn71X8h5eLiOk3Na9yJVitLXd0yuF
RDZUYgtMy+W6m0mTfITVO6XF/r4xV+HeNaXCn/u9armBW8cgD1l+ua+DZ4FaHjfcU1+RzQQaC6mi
dyxpRiR4WIWRff2Vlm7fLqeWosoFb+trWcoBNh3PydNR3U1qiWQFiSi//SGxuShJAXaaHacp3t9T
GnTHiZVE9b1eNnUlhv28VbfPd7bHdc/bYrnLpMEAc+lURUSUasJduIMq8Sl/OyMb3M8kB/LSFURF
vHT/TfVV/FKyhmwTWC50k6k/ZVuEfPvat9CsHy6nfnmj8u0/pFXxnkNCC4RopLXXzS2CQCJcO1kr
PDAV4sWs3Br+EKprcrbfdwCYVUbQWviVKVyS06N61/1sUM88OadhACvN3LLtz40cTP7qBh5xVkDj
vcPumWJ55mLfrsBkMq54aKsde1ath86xlRSCWqHvKpC4mJp9AkTe/DYX/SIL73F9i9iRUX0U2Iqq
RmzWUivnAliV0MYG6eIaMtSXGAa+rSKdvDq9yTR/9j5xsvFh+jWPVCweeTx38s3YQul+Qo9rwHEm
TbLf75BG3KwLmTZskas5cy/1WvdeBnv/1QA+AGBDiv0NKSM5zYFoFe4/1m15XUEoeGrTrOPCwRsg
54aIzBZ4FaYKjzFBPCas52B5zo3dSdv0whaFqnBHLtTKQqyiRlmEHLdfQrYy74ZqZSUx3eYKP8bA
VOKjxs7Z+BBxgEzOzngFGtjTb6u1xDCO6XmjE/uVAGbkIm9ImAmP1Kk/nGV0exZ6IUzCer+rpoN/
S3i6i4891oKMdbd8JsS/wNhlsoiQGbzxU8Bg/5WoHSoDi9O37tN8MZZdIF3TK1yfp1dueSmcrQWy
kPmnDkjLnKn9pFMqxapMSWvzuEz+AinBzdLecbx5YO8ysmbGuqQP2lmc4rNx9nTmttAjsKsTk88s
cCLlvtjl/d3q0TVqHHYBE2fzuJL4p5VPjaSiY/lgfhnLFJQ9axjRBabrf5EN15s1HWqMSiFwNaFQ
ZRhFMKtZzg9QYCakTdDXYmZXgFLhXvp8jmF3eQphxZotuiZ22w4ORn001fMdhFd6xhazEJ0un+MH
+HZzXECd1yNVNnSo0UYe1H38c8snS41LLByYX3HjNMuEvXQ1nE9lY/pPIMJaiO4+3k0i1yDfcRLA
V33Zn1XfzaTkNm15WRC9wsHNUlfOBTIkWIvbwhlMKrMPAM47i3kCrnlr3qqjHMYm6bxZqaRXuu52
vo7J0qRo+BXF7cN5dErScqPtoJVAGDw/z8xGwMrL7z5nHAHI9W2d9vdURzFT5j8nJ+BG0YM+LzpL
n/SSkbxl/j+FTJXp33uWHtoiWOmU1eMoSXRk9djxla5iFW1+T9zieqGErRJ6ByLNR/YLW4hUtCYs
VAU3lVVivkW3fcLNirQGW+4wwe41To7cdsxUv92cyS8fNzHaj2JZWxPesQxcfrLfeL/X+YMaP1QY
EiCY0By0DCUoRlwVFoYfTlfAh84gdjorf0e6aTo+HcrhcmQj71y+VscW03NlE+SGPw76zYmnkBQ/
tdundfLnwapGkB6L6i6bdo7zh4mwNqsjVqdZPQ6AF3clcKcGWh6rXgymFpda5Gdy6/LZx3GHozi4
8NwaY6WFt5oCoigQ+Y7seqXioEJmvrEM/PBsw4MUIJWLoQrnbV+p4pAht5/EuIzfpqUn4pay2sKn
l5qxRE/t45jfrhANeUk55CDC8Y/ThJA3BPWirTYGlFGd5oo0ftsBcg+d+lUD8iV40FbZIbx2zZSy
N+HYTOVrwg159NAQ6TZZ21a2FtgQtpxOvkq5PzdgZ11SLGD5/eMYTwW2DYQ/kjX+IM647mHG7v9v
dKWyWEO+Mt4ao3yAnNocZOYPhyX2zPpP24diXN8JIXqvqdaDZPjOKnSEGovSr4MqODyYOoiUqbii
W6emcmPtVmghpo1QwAJdatE+wV01sUgGlh/YJB/WrQIfdWOTeH2TpmZvsSLKpMgqNQa5yEuF9CUj
Wh4bSDPKqjI5kftQuLKUV2UGh8Mb9ZA15OUI88ML7BJX/+ulsY3XzSHpOvUq/xqALbXeknQCdtLz
45MFfkUFdF8cgb3LztLN9+jPP03O0FUe/4v654tyaxOVVMvzOEM6Y/rYGf4/gVwaUPB36MhVxnS3
s6aVLtI2xWW46vJjERFlrsbzegRBM5AIL4LwjkyMa00LUYKOk1XBksS5qnZM2rXs9YZcDYOZX5dW
0Y2NjjB0+r2RWzR9DBGgeDO3wmb0VrVqKBCooGUIUlnMOQpnOwRm1ZOUloZfIwm8gEJ5iF8M7dvo
Eerq3tNmZzBsZ/wpq64C99mNXlYSh8HBIa7xoy6K+bVza8lYOZBSvXn4N52Voj1lEssfCk6NGUmq
CKa+KjEvXVfl1QtvxXymbde+W86GLKUqR5mWcxUAFB4hwQdVOHwpARZJi7gbUrTKhXekocUa0L1d
EZIWr7nKnK/AqQyq1HpcsbGKPwnLOtpMCUcC4KVZrML2kBibTGTzZK16m4fPqAkvOankdPYO7H66
7bTvUiuZPkBitL083oXWs2Dd4JwJMfBtETd1NyuYLqTJA97U6gdRJ7iwhtwzXMxpLtN1EmVJiFd1
WGRFidy6z3i21oGZkxdAmZBa/5I29jQHeoKPHQJcVc1660MB98ZDATRisK4DP2hW+8q0ZEokMWk7
BEmZ6cUG2OOk552j4DOBoKx4gOR9Pbs2PQbBoniV5E9oLdQjG3PYCPSr99gCyuCqzclz75nffCwr
2PYH8Gal41vS2raWPFtp5LKkf3c/e9GGMb8ro7yrcdLjz3Bs8jAxWGikWJAzNmE7WOQ2wXydGem7
69CK9TUPyQm521z8WWc5nAGRw2T1F5keVgDA8WKjG1ALnpbTsOaf35qV19utt5ikq/MHOVVEXaET
KMyIzHDrR4Oy5+xtBjUeUTcSo2SQANiF3tWVg6ZfwBhdt60qCmkvoJCjD7o6psqdcxndjU7427fW
ksR3os0WCrGXhPugKbE2hEeVq3veJmvZqmN0lbkga2vxBfqgffMURZeqRlhM9X5uQYpWOK8XMBXe
/sgo8gwKCWMpsGMV6/eLaS7lMa0haeZStNrRMcDEjCL6oFjo/9akVmUpbiQk4Tp/oaFno6ZhBU+4
P919ZEUnXdYDoF69X68m08ViAMBeAyN/a7BVx9J3qu307vTDvUsDKm84Wl/Fe6sArrinQo1Av8+n
/Kg+gqYQvVAdOTj5R5ZrjPX5H/2/reWGRcytkOuUEoTqA8i69o8buI4IGPzgLc354FAkesO1hHDZ
9nb/6v8UrkKpYzZq2jNk48k0Jc+IHgezBmyMTTjoohcbw8M4a9DauSYsq3NJyRB0VsaKOagjb3Ti
TnR1lx+oivvdjTcZo0xPEiR+i1rOwBhsFCXqivmqO86mmKc4arMoz/ScxEbrCRa1vZgFVmJyxeyf
eeOe+hLKB0PsUjena7ABDUOYoIuaDIUmrnZZVGwp97AtsXDBtm6NpSSlmXJA2XoXtYmeLVlhISBZ
gy3t/TAyWviPHVVcfmVxJ9O9nigUFyo17dwN76g5yl0RPNIQyMoeg/jtI86UxnKQDE4hX2HzHgk+
NBD4Ercexae7NvbXgzx9rDKry4ycmAn2F492rtwMAJf4f7i0T34OK3GTAHTb5E8AzUmcHFhEt81X
J40XcDA+hUfHkdO7UxXaeWCOpQKfDFAFioehigL3/e2GFSkgMAHqM3u7MQXGMcbE4znnF35ZGzFC
glXJATnnmxwEqK8bvBblE099bMHmiR1RWi6tzWmRXgAS95Eikwv+8b2nUuUH2PXFhS5DxPtbrxX3
8+TE8uYoQKguXBWjDftG7KOYvfxH2PwW6Kiihat4JqbkDDk9eqjsJ1AV1ZryqKlThiNdj415EbWE
BX16+ogKhU9e5yaFC0DhZ/PXe+LNhTYO8X8P7tyE+Z/4W+MFJaFMt7W6TGOv/SZgFyKtpUwOyOPo
OvFXLeBJt03ymoSst/aZAXWqzJLaK7dmg2rvVyRZZ1btBPdDF61i6DRPIo6IfJnXT7rOqQwf7FvK
kJ9NUhD2kysQMmAk6LoF2Ee5p/ot6WmBJL2wkM6mQWb0bs1UadFcrDduQPlR3KRQx/T3kS/lIYcH
9OVIb/ABAFoU2KYUnbt+AL0w5lAgcHq3Sg2ymxAxEpTWCpq5RPjky8sM/eaxsPB63wQExMHg4Q4A
LNHkstArnJDSBZ/a2yHhmL4OwKRDtdt0H4RS+CyIY+hNR9PAYCHxx15V7kaKNrnrYt9HvHFVjPHZ
cMgbDzApbUVZ8oTTVTyUvSW3VXE31noD/TW+XFI93xcT9gHK9C/+b8DFp1sCEZL9VXhuQiXXsE+7
mjhWLE1YZ/1BWDTZEWrg1z5xa5mMjhOTNlduPylZ8hXxws3m4WdXCcAitlqdymoVoxUgE/PNA012
7z01nxm/kiz0BQwSD2zS27MQa8DXaF+5wbYVVhgncEVYgk8C9k+cNzwqx0A0ZtSmJiGkOzPvtfXC
D6g3tnpF3kXCTK1Q12GaeK3xf05T255rz+vnOfO1wHrjnziG1euxcHFJEB7UH9OKIw4n5rAFI5wU
UvF6HTWR4j2uyc0ulAPAKc5UQ61O2xUbeKyvVX4eBTurAeMR9bsFYgGU8QZ9/PUj+LJcjPEKyZd3
a08UF4ZHIzoSlrtJpEzc+9bUMwx4BTJqFMLTMVwOq7dXX4FFIpHlHK0KG/g3BxLoQjlUYlKAREHR
kmU8SxVDerWKavJPZR9TyR1GjaBdfa6mJhgpCUIrCxgRcOGurQFfPbkxYab5BY+q6HTGiAN2Gluv
IsztrMJ2NxAtAT+9h+tFMxPnuTupCDbV7JAeayGl92WwqIcs/i5MUop7Du1XsMw3CbdSizGAB8Nk
t84UenFwGEwwIe9LQ/AyP1o4oc9p8n+U61mHBTjDfgfsduuPhafEfUtYP+Hu3jsREWnKQJgpNpLI
geRqH8Iv0z9w75JUarMd59ZgxqvqGlkHunRz4Iwdag4BcfntxLUc72rxUjzYgaDq1AJ4BtriGGiP
nthpSEDlHVGrClkwuJy+jemMT77uvchrKEQBEbCDkFDTquTv/cYCNY9pHecCjy8BSYpKL3hMyZR+
eLd5ec6LNzQP1mZ5MBKcO/l0XrDzy4OE0RWepH+D7aciP6Yz/z9swMo8lOdOaFf8hJ+FU8tUgpNG
NMsCWyXoNe/YoNVzlliq0gJS427OeHP4BJMuOK8nwEhkfSAHR+yu6e+hGAu4rXOO62o7h4J7ao/D
sqvAQMBF2ztbMQeN2bqWihx/9voi478qtnpmN+aPrYXQ5XRpIQD3xeU6YyC0aNDFLRDMP3Xc3vIR
WT0CKUZ+1yv/7gOqNZ3CSZ/erpq2okD/wJaJd4QfMvL5mUs2l+yAdnszBlGcSOyvxUlk5BrZ1Fiv
maqJ+KXrGHL2z3XPEXK5f2X9j7hf8Uv3pSYUKwxpdbDg43ofij7FR6JRuZ0qB61h1MzDM8HNmHaX
OydemWqPWAH1qukutjWk8pI8LUhJlHKb4hn0XjQPs7Nh6MuPALs/7jcgdw9zXcr24/BbD2n1hpe4
hihCDwHY2ShbgI8yN/q92vKv717A9ggZRQpj/mZS4/Cp8XuLXTNhiApaQsUJZhBgjYiDCUQ9IIo4
JNPhmp9KWOFPTwpccawlsA+PTqDordSLmYes6gyMXAJJaI1EvI1F+x8yhevjwR8CAmsmgaWN4CyW
6OrufLTg0DSmn7xg6JwvGfkfYGCOdzHBF5ImZYStakwAM+soto1/AsAfkYhhaDvuy4kCdqo5tJ/E
++MHKTxc51a2FMaZLH8DVX6+G7l3tVPg8otxSBEffrTmlhvfrfvQH5BNYx6+ufoHDO6dl6uOh6T8
7zI/uC3/sKVRLhCdR6I8+TafqaLiYC3LrhKzw43wnVrj7vCQItnTELS7XhMEvfArIcwRbiDPLr4V
1TLK+ELXUhi26N5DLHdjvjEXsVUupSBPoaaie3b/rjiYXheMdVpmc1G/eeN6ZZ1HYaXQLDYPPfwg
DPigfOjuDmETiHDOX2JoPuVXEilvIvERzGQyDqDTfvsSQsg73Hr3Kygx+5RhpOYYwCwG5JtQqlmg
OwPYxlg20qLxtHjs0JUhkp/AS6HHKWzNiRetDleKllHxyCdh03YArtt71xqHvVSMZhR82by4LtCF
vB9IfYP7fFFpb3H863+8g3R2AzqmAbCNbcz2Ei/xJ6pdQY2I0qFDIc13+e6/AHNbLJAck3lvxz1E
B+p8xwUQHCvQ0r3LYY+r5gIk1rqtpV+lRWMNK+tBdtoQ5Qd3a+2Y69tSFuogvh5/VNtwFM5YWZ7J
10zS+D3X39O7RoXOVXUqaZ1AwSJ9RZydLZm3NjMjlqQrL2eNMxfYyR0vU8se6ee2XvKZpEK+gbLh
D0M6F6W31Kk+vzvv3bYCSFXvfI8NwmlJHRGjeoN/LqJXISG+TKh9ZyLeoERuPaj1CMqmr89CExF3
O6kIqkqsjXOTu6m4gLAjxEczBnW7HlN3LXTuyIzItBumxZjZFpnszimPeYdPMcMarWJhIs9tIiRL
AmLoxnozQYXaGQsSxajCwnQzowNZdNttrG9lzckPEYKJqD5pFfDVwti8mGiJyyt+vmHjIBkmrCDL
3c5afVvVzW5vgzzwgy1jfV/0REaHhB/QDvpR2akIw0H+aPJRXvKaftp3QVMjoPuN4/S+ekffF6we
iGxhaNBPgs73/JGts/Xxsj6ZoF5+He42dJehyA8WFULY6ChTZ17PpzUslqwjhkbDe3QKnnn8uNIh
B4t6sH3OUtNmNQLPoOg+CFA/IvhVUktSpFhXa5LjPSMQLq5MyZIWJnDCLguGLtzOSHDo8bfqD4pV
fjy4KeIQ94wbQhadbxkZFRpi9KbeGQcPY5L7vlHrV7VtAld2fozbPdo30mgofSmOi1l5Mo4retbG
2xy5xZ773zuUFzNpkUPfBntJ1S/QKI1hg4G3RG8smYk87yq+o6mCoYs44G4V5t9w6nSLJJG9pU8p
Vf2ravapu8T4TjhOZDo6tQlV+jjBFrsAVaFUPNN4ClRpDP5twp35DvaZNrP8+qlkljdyPh3fd3eO
jgpoSl2CaAgzNbgODb3Z+JH47BQ7dq1/uSEa1OWvOlP1QONcAMvJJeDTTFjvnk+/i5BiushO3lqt
nctbZH/fYXTRfG+XXuWxHC/A7d4wa09lW+x5q903uee1sanuGzHABI8qxpQ1cgUzkGgYYTSA2LqW
MQ4MSG41AHYLLFmyDG6ZhzZqQTBeegctM6KVntqQHWJqJ+xRYv0Htu2jK6NB7+61j9AGQA23+zA4
rspdAAO+zTAJTniy1wSAWxdjEdcbB+mQuluHicWf3GGswECluGEbVxMpnTa622uCFLIdp5gMlzDi
yCCf3TIAZM8ZJMPOYm7Ca2G3RRYyViki0zRfGgMcF/KdKlaH2TjWUjJ6mjsk+7YXQ7BqrAyUzohB
KmYS9CyxcMbpLsvt4O+W+vKhSOwDsRH8RQz21yZ50coPq7dIevpR8ijHYMUQP2m6BuNXIrZjuwJr
K03GdNSQA78W+/MzIjmUUwcDKH/ICeSQxiIXuZc0c7hOingBFSk83wJ5LZbNDHEkS7xRDnILJwV+
EeGCXqLLh94iEPpuV+V0ci892mJTRNBuBxGAVzJOTUM/ZLyb6UEX9n++LHBSLByZwewVQDjTBd2r
ewHsti0j7TCrrYNLHJs5jTKHQr631teW9SnQHUrwQPRorWpVP2fi5llajoQomFn3o+/d7dvzXcUe
Fnj7zE4z6tuQCQVxt50hQPANg3CqGhHV6ToZKJ+cXJU+mWsB7/2VkLnJpsLy2D8KPQRRZn+xuUxK
fes3910YV4pWW2oP/lufH7KU2vzJ6Vieo9GP3RvJ8NXRnAS4F5XSYTNAgoQC6JDjhU1qlpc92lTC
t97GT+LCyW9ibpDrV/T3Hb6Qt5rURV1+3iN9uhs/zxxoqQBB0dRmiYFxm7g2KlVnEU8dhFC8QKK3
NwOlFKWTzT7rqUp69LNIIvtiv4LDTOyY9eyv4BDvAY4y4ItnO3KKKfHk/Id8L0lIBjvqGp0DkeXr
igyakeNyI/3kbMNiL6gTZaNn8K+cjfXc48KjRTgOOwRsugeUJnAjqINwy7i0iIiNh6/KwpXLSxdC
c0HEevG5oPj13bPETmO4dL9sD8DbyuxJ2Vs2R+Md0HZfcU4d/JS8AJRCq6fBBn9DkQfoMLXytAmu
Uqmq5JZc+T+8H2hDzS+bSGII7jUzEuyQ1LusVRkxXlC8kjQnYdGjynXNPCAdXpQSlc0XkaQud2NU
iKJuptYEx/NK90X2WlGEUto2buXkrJKFRsxZeUxQmzdoCUbF81OyYQ4hgBtfeLwgPf3nurFKacyi
TR3sY/n1abzs1s0S4sgYYxsNl+aPOzFbOkVmqW5p9EONUEdkxut9e/O1eMNH9T4TxW5Fpmh8NoaX
VhJwHcx80neyy8MMPLz3O6DoRK5R8OQs5MveyVmcjTQeKaeEhmfbyog1AO8F3NgQAkHpTipKmmKD
RcsBx8V+9zca1y4LmpUJQUawV9X8xt4eUR0zrkeNp7BmuseCaVNNdETIU87ngPCanJTqDwYQv0Fp
oIueCQlNPePEjWVQGSAvAJa3+lGH8K9sSLgW3wkBvUo4wzg9bDlsXhiX84PuSGvNxORecSL5t/nM
Gmt8DCUSUr1El8A/DdEgjHgncr4u/0Xz6FxhS/yOokmKtniS7jj3knpQ7ycwLqlRq2Cuv9vfXrZl
tp18AV1JLk3ItirGDUZXlHXdFSGd6bWBI+Mt1Y5Jw7IAOODLtuQWXclqSSiaPhdrD3neitaky8/E
3p+iBR+jFhrIgKhsDrnba2ytj3w+Ir66xCN87mbWwWYRVjwp1RK1gQte9pTfIHrI2EIpXLM2H1yG
mHQ/0CTj21a9VkCfiOLxl1+haQE3DigFl+PsWkevqIU9tCEf0b629hX5YzJCTBm/ZrQztQJWjuSi
HaYV0LDQm20u+6gB2NiN8Kpobi5DsXnc47WzSuAsz1n47feVPg/RsNnPHl/Q/8sj/94sAwdLKhX+
UneaWyeZ4/ZVnQi3fOvapUL9hKUTxajPOYrEtlg50M3pyri6S9GAg6j2vdiDJwP/by7AUr4uy+Ku
ZJ4s0R/f1sTA6y8K7fu952gan1e3CuM7G6H3ol+E3G8VIay0qBNW1GfxebbG12ceggvNfk9Kv7Oz
C7hXQZuEIoe8xWs/0lMeDYhf8Bbwo2oHu/mP2HQ5fOgxsCj308uuIMDDPvkzOyjCJnmW3cWg9r8W
PwD7gUxnNtsm9E/fUOpdv2HnFi5WK4EDDVPnlPQp152l5xIwfGirGD2R1d4TrehAfjsYdNbHBm0F
bLgiwE/6HKLFu2qqDOwvCmrTY7lKUiHAON1q1Cj/VpYTDpDsn3SO7ozgKQzzuCbYaLeoXF8d04uj
zmX3lOQU65CNz58zhWcAXOD69vR8tfIfoLc+IkY/v00vPFDP8mR4vA9zjBnZfIq+Vh1x+rU7ncLJ
BIwq/4QX7hFi1wYU5c1tyjE2LE1hVDfGXcQOStl0ytCjbHTGsZQO4syRM060clb1Nv/Yiy3JZgdz
aq2dEDtHQh2KhiYCsQUXcrlXmt3NDRL1i3FU4x8bgLVtJUkTbCK7UHctB8SZJF0nZyLIvZoT9qMq
gNYhOuN5AVXnSbBIIZybjb4AsbdA5x8Lbz731y3MesxbGWkdD7b5qAIg7ddRFypD2hEDlWugNNjM
CHOT0boSa8kLpamzC1uCHyK6NLw3BYXiQZ5UgErAbe4FwXvYZ1S37QJ3exP/uDZFOn8mLIARERYj
MP9i1K3PplAMwEAQMP71XkzEsRhXNXFSm5LNmWPInTvQnW+Qspzi+fNJl9duKFrjSSismkv4SNDf
XDNG8zc5R4gzZ9kFJj1xZfnqUcmjEsnQYzIhITaP14btywanDrQRXO546hapn2NLJGrJmstqgvAZ
utJoc5q5+NA5K1fgEPIGoSM/dPq+GoyHb5CgSvNDzh3tGwtQ2xb3M8i1YFMeypWKSOLBqKAfOgH2
sf+4LZTkPxgcm2FaEmMYcum6EwPKsgadCBDP+ACjD8I+zxezPLcGIABPmFNBXyY3zJHFai0yl5im
jARHVPr83S+t+ZducKKW+lpcGZvxQvtFeJbKmo7go2yZVu5VuQ8YSGUnyUc332LraVfGo7KMkPPw
FzDyr+mjsk3sYk8BYVTqdIGKOWNasK7AchbzfmUPGImEMqrE69UyKFOHuN+/J6Iao6AXZfqI2NAQ
/QDc+1aSS/3w6vQ79Qoe70toKsxnfHvU350V3LKVqCsp1XqYC8y8d2yd9tzT2XJmXAJT4fogRV1B
hXZG8U7IbDfTwz2tjwdBVY/7xuXzaIRc4PeKGjStwvBXG+6Ihc3wnfPWvHbAEYzt5J5zzgXoRMTF
7KXD/dLE/jBfVLcoiGRJ7UdrnvqIU4+IF6R+2IwWYWFgJH4sn2HffRqTwE8gkpolelkFXB66lHFk
ElwLj26xjJvbkCAr71KaTFEu/IcSBYzxFhrOwShQ3kT4hlJMeibdmeTxWVCJkuNncg5e8i8gN/yG
FRisYZtCZJl0lvEJJQgaozPf98sPcnyz65DGdjUeO9iaJXoY3Tjt3WYAF/dhNPO1Edjl2NwZE/4u
X5ztJhuMaYJXWkfMAv/W1NzIyj46WoZt7UjTeSL7JZd4lCVCrqvMInGdI3C3UZU6hb8L3HN0EdpI
cQ92It7A7/R0hK/1WTbxQ8y6m6Sp77KFgXQX2pdXQSx6f5TSK+xbNfvgWi42FsTV934d0t7VoUwY
WSDfXRkcO0KaRO7Zaws9/0UZ+pLykW0T7z/4CGRwWec0CMDjpOtaLpsAXtISgtzAUS8SYl/LVbf7
MXcu16hNv9uLqsOIDY1mnHmghp/htYN4lNORYRN0z6jMxYxovoDICEevhGNh6EeVyIXf/nrALFtm
Z1+iULMocHwY4N+n8nsambWMCNfDENMaZWft8onjT74RVAy2wsnyCkUILJOdzdW4UvT1KwEzQLCf
L3sdN7cGqJZ4Q4GfsIN2N5AyW53TiKC84rgDE7RJXx2PMxJF+xSlSws1b++oia7zOY96ZzgFrCVY
2gl88XVZT+RJafhxAQcFDFFrzUYoKQpbwWH5mVjozPnT1rJsDY8Op6tGIstT5XEtUZEf7rQp+8+4
idSLMQ3+mALqA4ix8ZD4v7wDINftnhmlgM7HEhs+M9poO7npMio7NLcak2JgDTK4volvHJyHUg9C
D/4aPBqyqCoEXSLL1JWYUb2LXFdePFDi4a/imPlSuTEuGN/hx3HJSTnEAxvD1jFrnS63mEo6zRSL
UnlBDBhEXvnJYrmMf+fqHkndOGIS+8b+y+djj+VMB+wjt3gNllezVPlQYPrMVd7BE9fEMNaPlKBB
2cQWKRIOwJfprRILoA2qbOL0vaVGPw5wJuMngAfHIyt1al4/ooZAMj4CEfewL2KoeLZRhStM+y23
6vxwkfX50w6XinAnQqfynzE8lvApL04cjni8ja+8MkEoi/P+iAMB0aAHmrdOMzOGeczQmQlg5d65
ITmNQRIB/WLteroAjz2ysO/VOVMJ/AJywac0Nm84c2rzvdeXR1PYTfML/Fvwqfno2nOH+lPrdqrq
/flRUYwax2OM//tMMaa5mbXWfqemis7/jEH6P56GhgpIgdje0dXczzh5TzqqqZD+jD0rOWyRcV3d
meMZArEbnSmAupVaY6BMtUZkezpVD9esQxjyetcVwK2MVvfgVQ4eyYHeuduyjF6DOkjDiitUTO+I
LQu8ZWmH0WdFiKW+nzBz6zg4hble7/FhJy9MU4t9mhKU2HtIlihmBK2+3SQvmaGzBHjRNyuB4o9H
j3yAAyf64cLwkaekuCwD2EjCItshtPuGu5o2wDsULPWydKzBvIEZtde40YDDjOIqpDGWWM2f+9ul
u6ggoGIcGaZzhqmf3aXQWwS9kBPk7XBwV720KD1ephuJZLtSGncnCu+4jDryq9haI3+ll+VUXZvP
/35qy9l/gACl3hZkQIdhT7xn4Mzlg3QBNCQb/UEOsCKFyEJQ3u6401OUfKYVVsMhWeH/ldQOlbne
C1KkeQVXtReF6X5Xj0+yApgGvtU7ct0OGJG/8YkM3YQYNH3jiR06jSwpvi3oQadzMxA5Gr2fCdwH
8g7Ow/uQEdKIIfk6WBWgN37/hwwfQ1txq0bfNExt78nX4zKrvH7ZaE4FN8T5m3o+7nrFy/sbA7Tk
pbDFHWkUjZHL4CWWiEBxWbBfroeQEpJyvUqC35I4Ni7JNdqMtiZrhbhNoRbwxE7CbKK/ct0wJHwJ
i+csMlxQNXdsA9+SaBjL5er+rxi0N2Mwi+1wy+eWWPdAJPDikvd+TIKhPlkbghZP1jKMIKm2TYZj
/KLwWmTdaPmVabhvoqIbHfhmvhO638W2ipnZHD6Vyhb7Dr3kaMJIr2uFHa+zuZYY2GmK+Px04JXi
O5mruvLyZ7J32e6U1W9fQXXzck/acnFCFnSk3wwPsEWBd4i0MNYB6HJvvCdf/hbWd2wrzM97vbxU
uF26wTgXphjtG1aLu8GTqRbXdl9oQNfFOpLPguayeqj+xcqcfB1THIcUZKS0OBv0DnLKxX5CGANa
/uZijBOVZmEAa9N4XV5VyD+YHQd56FQL9uuhJRMBHNWPhb/pVJMOwZ0yzmGE1kzGeWJf1JAM45rW
gcAfVMNgg0zK9GAmOqavsoyUhzO5/1/wlxeA6YHLzdrjBW9HZLhKcK+Ll5TT4gaS1XxjtwvFNBMZ
WR3kNaNm+mgZ6Zf53RMJ5uidYdi8GrUmuR+LV2dwm4mnTJJ5eJuholdFbeZpwLjyTarN1uyoKG4p
nb+1GFUsrmkxfzMA2qdBKM7iiUa5vMU36uuAiG4JA+32jo+mqYh9Ulal5/V5wL6BLW4zCIugyp78
bKyvMIvQpyssGekeyREFN/S3++wCawPhMUdT1mJwHCP8tvZqTjjAV58wAOoRO9Kwz+I5JZAf/W36
2nkb6q8FzfFIhfJTVFgBeEUjwYzCgzWlpDXgtlB7OA0i++13eLW0eQ4CDP3M1pueksMx3NFD86hr
iEyAvutuoXZcukJhgcSLjuMCNJhrGimGTbFrI3t4tRilW1nbuQVM7OcJLFmRs7hfVADIsyHTMNms
AFQL8YZ3dsK+00L7AMMHpPkzAx3syoDWCbYHYAr8vGFc2IFtEUZ5o3ypNU7mSb8LJZeJrbxLV4we
/62jOnY6Ns9U2TW2HDeGqJ50QnQ9bSuCfcgczc/qfDyctFIRtauMDDaH7hBAR7AzjuxrhTU7xU+z
abPsyscdawXOeJmKVsLXCNwNiSUJHlLxr4L1DtqYd38IzBnrJcYsIqIO1z83048t9RCGtrvSGYOG
WvKMXDj2OggGfZVw6kz2KcKlnV0x0RNO3TWnsjHlLaYM2kZgfn0ajbGhb2I7O1GvNblxcrdL4zgs
sJN67Yw7Qnnb1bUcp2ad4uYz8SLmqYcWTHJRGYWgAxoPqD3XPjoi28U5bRVRZ2/BeDLkPm1mzCBQ
P2yxVr2EEXciSyGTkCh2cBHgMuNdkQzphdvazDJxYyNv6NWrUtA6Zv5NC+zKe7RSbQFaLh/780+0
4iTiAmyX2UniWdB492giOepkat7SWmRDf5eyumTCcAC86TIX48pDCLv+M6dGWDMMVSYWDUYJa7cp
LKp4TJ85clZir5oK7DWnWfn57NvebK1tnr0zFy7TayJIv1UO223+Ts35wVVAkCeTUiXrR/JZdbH6
X+WGb5q78BAmhesA004J1zT7cbGp4xh2mdD62iRgMTaTEYyuT73jR+b5XlpKb1gOZ5dJ9IxELFX4
PdeJTwO8+wMAEKVoYL9cow7wHCcb0peJKKfda6D5PhFCRKiRvWlETOcWhWg7Sj/OWgJpcRertvv6
HXdjNumMojnoIkLXXeVdFBxj08DoscOSbabLXzB06G8v2LpD3KP9JVipzOp7IA972/vXzK75oeJu
s5iWI4a68hn5oVuPoXryQDgb7Z8JmNwlLYeiFi0JQUpHOISnY4cAJPews+za9S2inkb3UcGpR7+h
f9lsNGcBMTu8dgBahxZZ3I/gWs/3LwQWpC5KNQEzMxuMvmDlHiNTSaSyAgNvVEPxBQcoBuyfE1zD
+yqcXm9CdrZaxze5MKkGDmjzRc6zbH3JUzxD1mDcGW5rG6ee73Lmy60JkUBPiXv2KKr9oaDiYbPV
gFKE6146cUUglp46R8SHwK2hKsy102HCQN50hSppaGoQDgnzOUSxgtorJrY7D+AlQAaHP7L3jJ/k
6TqEBVQFQrSrVvTbF4v3w4WxSXTYUczrsM11i8pw1PiknUR0hM0sfkrj0obI/eailRZ0DJTido5r
kbcRqnPVc08H0rjxnou/t5piLan+D/y0G3ueCyaJ6t4Zj5r/Bq2B6VUcR4y0uooOWTIKMFtCXVr3
urqTLSOXiRgnhwtYYr/O/iVzA8LBUyJSLszsRy2Pl3dfFSUTDT4dTZIC1i77Lbq6jEw3BWSmTaMK
9IOCu6Ix/Lw5Dff8o0/FGRZU0ykq2RqNBFNDICMnqnaWSeqS/L5Fg+KnCD5SKE54GAhTU1Xddxjj
XkOU7occTQmA6MXboP0tMlsad+Ih3Ldv4vA5cMCKeJKhr1UHq2TuSmWEfdPa92fTv1wlX1U9Y+qn
9sSZ57eVqRgSJwGshjCT0LGN1LhsDnsp0DQzcD5TGk5c3xoJjjnV2wel/f95wNKSxwg+ECNCBNR0
oEcl/cSN0SOZUHhQNi0C5vz8Ncv5Tl+s1+KCH3i/1FkVNqod+ae7eNH2yXQ43poTjpAluWqtrMTs
jI9d0vmeLzEf3cci0HFzdkvTEZWtUOnhHpp/iwLs5k/BHAYS0akHU0ZjBT66JGqry+WNlCnajiV8
Vk2C6q4i65/cshtGtQRxOSmfxiGQxjaeeGall7HN2VyF48eEtIxrmE7XDVdxJMiR7YA6TyV89RJ5
NB6uNBYCxNKy3cJjQtSIK+oHR7RsaNoWM20x7L2OYgxPxDxvVrRg/3VJXVOCBmC+U/DvFh/HMlov
H9ZdegfBbbJ0yrYgfRKmyEXhAE/UdMfdEakxQIUdabqqkS0WxPwJK7ivDBuZ9ggXHgE/MMvivDnI
9b/GXQfMl5mSRwhVtF5Yg55jYcnkG/4F34lOfKedCLf+0rQAEaLEn3PvJ2SkAgb48qNOy1jzc4fE
SGzAnEUJHDLDv/xWAJ7X1gCt+mPX8niw6DM5z1plDsAd6vjRueLBvJZuyPWma7lbirPnQEygjZZU
pVuBV4M0xmq1lkT2OkLA7rf+CWrLEGdUgJSBk43to7FP2l5Ky5XFr64f7nV9FnWFnR07EN7vXiF2
OYH3Y83FL1dTE+rQ0eCIW+FYdBbZDxHXz/F1/AGST3gvyYsb+sLXgEfOfhcHoQt1+jFF3olscYZa
ztcIi0wADu++Pd1/oJEChI6LJSBRbm/UdYGf2LlRV91f19JyifyUEBpPqxZyBCRerFPbliZ2nJ6z
0TmBVWeOD3sl9uS5N3ebq+PoOAzyozlIsiqIU2Hs0LgYTwHKtFQLtTQLrReJs75qoxincX0ejUDm
YobFZgaR1bLFtHe/ZmJHXQs6Ry8QCmttSw3IjLa0IVbqUp9GXGHYEk7BfH0k8DeGcajnpSmMy9Tc
+LXehDdzsvwcpM8IAe+k9kvtUOyBsl39WYJM9hUqZviA+wiLHnG58xmx0jd5UzZdpf7I65ikNRco
WDk7TXzsU1hSbWk86ehDElNGoO3jfVNSp+9NgBvn3LBqYMVaK5qupon3Vq1M/JnUI6yRqbhGw5fn
hSE78sY+NBCVdHymzYbTyp2HdweN+O91U85/mf2WbdzOtXbLzVrD2zVMZMdTO6Goh3uCDyEOt5jK
1IybROsw2XFQcNobHUJSKmPMxpIRW04sGhpy2eJ8B9EaI84B50GesBZg6uLviM4HfLUbJVXHffJ1
yA+35gvmsRDAIzstppapkLwJseZEMeVBTtuOJ3YArBgsIAp1tFsHfrAclHGOG0rIp0Wg1wtyyI/n
tPvh5HE4Fa2MgE/UgUtLZD+m+bEXZz0PiNmwTyf+PXuCAAcOl2f6maASuAWukpbww/4rPhH5/kNS
biwrA54O/osvzG6TyNNu1YfCaIFNfxfH7oVoq7fTf5EBflfhfZHbXIDmY9QD9SjBqyWOYfPgCDph
9WQpl8udxg3yGBt8wQCOEjQbMbwph9yCXxBAikq34gblhg63D2wUZjqmy7VmzTdM/uho79JJJH/Y
ALmg7doHvojx2CmZ5MSQMi5HdR0Dq7OtH/GGA2abtkBonT6B7mh1IVNt62m+ulRvyyG4E7PvW3Qq
1L26pOAezSMG3t8V8OkzXjZ/91KvZ0siaymPc3wBv3ua9cuIsv+RkW7kRYL/GqhTwGkLvWnzkiry
9b5wRzYkSeTWeG0MfgdDfjfl4fa7fKYH5LW4zbf7pa4h8cuIIepAe9bAxJXWLiKg4QWMcCPXH7/C
sG4LdIjRRD+2SQZ+WAsMPRwpLb3JvTXLPazlM1PBc3UdynQLqghCP7XDbPVBgdcKsKGTe6ugAIu2
FsTGJcxI3a+oCk/Gm2Gex6LOMiG4Af3HPhOkQhC2rcg3vAPXVchrExZAIewT45I7J7VXrzdGcY4q
ZBeQdtAMrJKQV0Hj23RrVzojMNZe0TeDCoPEpF5OlsIqU5QcA1xK0ozQL8wUAjAi/RDFNiKqrz8b
SDqMy+bt0x8QZ7Hn+y8ouRwJG/IaTEry+qdg+mV938ss7fvjEg1xn7VuLZffbCDW8pKPzmni7nkC
tlxHJ8dTEHAUskgZm39mVyeV9ojbT6JHve6Mfo9epBwydj2B6qmzJNX7NCZPjy1UQz3RdqUn0KMU
Y+s/sqx3TEMF9wQtfmSrnrPORxYv2id0eHMvarvlpmaT/R4BCWWJ9LP7tMxAkN3RgvjlSfeygli3
zOMXDlMAHGGpUe+1bH6PqQUXWd8rQea1284dB+SK2rVyMkS14gp0JAokXYf4VPfhzajjttSJoLpX
1fdY3ZOhCaFwbwsAfJ22qlP1VgB3uzZ42aqqYq0NWm8WtjuMg1GRzvVYA0+sgohAO5dv+4h8Lkot
gZzhWkLP3xiMnUJtgMX4QaGu9Z5QB0yqyJCFzCWly2cJVJaTBxFrrTafVB4Jvlk3rMlUJ720WYPc
S+BRPyt8LbYTyFgaXUtNoqPDWz0jji3SOalhJI5LwiKbkjP8E/OuKajInqbeF5+GkyJMxK7jHB8v
5Z+0KijVAikWXX273mvetPILiXcWJPbN6XGW/3vgHLx8HQ23IzdLy7C6R9R6qqdDJ1Y6vqAQgIHY
A33txr7n1OyrHwUvuYd966UY189z1cjoN3wcjQXF6UsZXocCHLq4QWvyuwx6uoV7RGWC/3k6CSe8
enGAf9uK2RwGSsR+OnTJ9/QXhk2gr16vWirXCJ+wFLbzT7cbssN+eCG2qc8Gk04cGI6oY+c7h8oW
VxGQy/3lc5v9GMY+hFf76zBW3z5ru7AxJXlrjC39DC6sfL7B2nG86aXfjLTXbI5P04g5O2OiRxnj
b4tvQilmtWgh7Ypnvl1Jz9kIv8TWvq+lCG5pV4ePVY7HCyzDl0cx+xctoJP73217WJhxyJIy10Dd
dwb0BK7KPrUoHE8Kx1tG+1F9l26FTsa66L6lwUA1FA7IhyBUr3QwoMvSXVB14XCsvY9WpA8BSNfo
STNPUr3/gX0h5IVhPakRodM1RzQNeu/MMulNzyNutDv+03211cg4UdqkKOQdUOlGnsXbx47Uuoq9
32qbgvVHMcwBWlPiLyBG/u2IHDpZ8nw5ISItthx6gs/qsPOJl0+uMv+027Xr8AvuTugfLLql9Kkm
NESB48d71FqtKVHJUaQabuxF0VtTqFANVljDxeH+1qm72cvZy9ZZIXlQ7k+XzJkOFZplUgxbpPO+
2Y65mmiNk8QOt+Wqa/SiHDBxqgCgZ/PU8FxM3Lb2OANo9xSeB1qjxqsgcMhdK/ZpuBQhFj9Ogpsx
hRNivVJKNB+28yByFII/gJMD29aljq8Lu8OaFIGCiHbSJw8MdE9NYnw5cPHKZrK4lTiGJSd5vSiw
nnC5X5RLRrvuHPUj5rsxomLSIVbLpZACdO/DDmy7igNkwdU2mxRnmhv/rk4jAx42cYzAZF+DFnBv
zuWyega/kT+K04oKP8j7osJXuPBT6RCNJp2ekResZrOYamqYd/ZO+wdcXZWyiNajHkqe+s9bVO1P
Y63TZm7FFEh1/hU1yX6nWgdKjay466kBReI4U0X9IGtRW2UKYkPNpytEAX9zu7z2+Iy/8iQaIWka
tdkzLU2YhiKGtVgMWbIeqbBOxvJLc3c2qe0U+IBgAdAQ3GF3NbHLJ3K2VuvCeihZLfUFPDHgKM84
KP5in0AJeyK389WAReQk7COqthKVERG6BDTshq/g43njue6QqYop5G9EHfuOklMz/4KJLsQ6r0Pa
3d6jA3kHrLYuajw3d0K0BuWgBXuARIc1kqVCxH890B+k6V/yrSswiMo3Iu72iMPsFKoCFyAqkTE5
ZaXETsi522DdvXq+sd3pxEt9qDLLBXDKu44tae5faCz6VdysQc0oWgJsu6s+qc0kWwj/rQEU8dqp
kPdlv1rpIw7N2WkJy6Ft5Pud0rNyCNSHCfJP0/cpRZHpswZVaxPwQgk0LQC+VrrgQWKi6dI3+Nup
7pYV9x9wWcCsrV7hxVUs2fZDZ0Am/PlYuy9bISSW7O0zEMTYL8iYnbaBvjMmBdDxDtwnu71l2C1k
1Vlgc9A/hXBzXDfPjjwVPXsrs8UYwYBx/hCugiK9GC6Lztm841iGSK04pxuVxJWAQ9NLEqRxWjql
GRySRwv4gcvkd+SEH3ooCNS0FvhjicjAvRdQimoOrOudtm5Y/sgRGX+Z+bvt4LucyHZjeDYoVQ+x
ry3cYPB9aWfxGJceecwgSAie207JNY9u/qvJCoqs/q+IVnN8kIsXGWKKKOwTKnO+11MjMIl1vacd
vg9tkr8PxWXpZdy9Rg/xHgYB1Wbw5a2TzeWwvsqFSH5b0K868YV++UMvrfwcCdrUE7unysjz6sL/
ppVje46jV9T/9Ww4X8+82jGO7xfaI8AtCwtVZwslj7SJFQdPQK5SsFf019My5Bx62nxXaSMRbISr
+xAxNNGw/zBOxGUa3X7V3RFnUoJA/pX2W6Bnjj2wOdFAo+9ThesCD9eZIUwc3IDe2q1xu9PmHg/X
hES4pte4vEJJTx5etokoT6wzJNYtXqXWDeZ3I794GvDmKfIyV7qXe/qtxSRiKDRr0y3kudSExNNI
75gSJuENn0JTFlAt6SADkvjfoRKTSAmcpKSj7TKzky7bcHRRb5Zq9S2SApt/GOX9bFKZZkS8JaR8
0PtExZItC0FtBcrGuFkfq9jbX5CRpe8BOVWtD5chPcFkEend83rsTsRNWFl2671Y58iIk94Qst9w
hPJ7uTvgPTOU8Qh6B8n51xuI76gACmvwBbkSQ3vlHjYND76teW9aJmTBog8cml2lrDh4o7zPIgAm
Fuk39S63o6yZT/afuIP9IREa/F8FHdOrAse905Fi8Ge2pnzB1OYHoW1t6whZ/GfbS1V7NutqKXNo
b+Kv65hrziUmH9UzHrknsArUVaYqvafqJGbFYRF2tPLDmmxHNYbKtp9qONXyrVWj9X3sNP/PEj7j
Ym5k8MMw7p1VO/UZjKWzvEUnI/FVhFyscNcJlAwqA6agx4pOuwY62ROQNEJJjYkevSWxe1HkF0jk
wGSEgNELN4hpdV5VMbvatsGxZRjMGaQTT1e7BKSTJsrfSJkQjju61f7cGF0PlGf3bQm0aKRHzDXq
6krm5LF+FuZ2N1VIunWq9ZCgmUJqVhDnN2xrc8d7chfW5Z4KLR7rMui+P0fwwEaia/0Jilb7Lg+j
rSbB4lC7OjBUwzOLD4v11krjB4b5WHN2Gunt7TGwrN9YAviNwi+hxtDH45lWN7nxi/TAFMnba0B6
GFvUz14bKeG1tZRrMfEfyG9F0rMfPkRRPKgpAsRq45QdhUiU7Yo0nD2gbhXlKDCtoHuRXwtk3put
+8mNlXrCBzVhgmzQY4JWAlaExARsP1QrH0oEnEWgZKvbaCGlYvk2cHKS1dwMz9AlFQxnAKMdJiIh
5KcW2LKOsqrU91wKKjnD+POvWKxKsofXhcG7o5HlVZx/NCrxvdHqjXnvtJBq6/q2U5ZV0DekR2WF
Qz0KTzy3ffL7gPE2+QtJOzHZNBeoY8PZVwK1zMBQF2utzBZUe4sXxKj3msjcg3KoUimfXB0Nmwxm
EfFOTW31Orcgn5RqHSjEImZDv+9pKm+mW7Nelf9WKqk3u2iJUE4siqLY8BUfFqwY0E3QWP4155xz
DTPPQ21r3Rvt+QZyU6LE+WmassDKL6Efi3cRmQaUL+XiqmlVme5O+YzSp48x9z7uvO0l4NPIsHs7
D3EJ2Y62y3i2WxvZEHMOvkdjZbOUsM2rKI4q7JL1id//21s7HPLO5RLqmVYhBLN+hMHu6qFvWYZc
S+Sg1n4XovhEWsukdsIlf99Nqiib0FHhR3ogVilEsi6kOQOyzZSYml2LlajkOuWSsEQ6hv4aoYpL
7ULRe3Ulgv3Lt326xtHSBP6Ga9Rv/6w+xuLTQpIUSmN92htrlnxZ43J9Uv9Ssc2fSFN9YF1FcK7d
c1ibKwNQBhC2la9SQogCCtOjbwD9SAdaVVxcktqu/D27Clx0B+X2/PIcuZqkkRPlIdtgW2z6BgzE
4T38wNHpFp9WmwgMxJ5rgaTjM2G7pVjJFK1nvTe2+1GyIHVx/emGs9uyp/u/djBKT2iShX9C8rt2
VxWXt0XyXAVnuJU/rgFdr99y+MeZabdgUyrmcxNgZf7cpYVI7erZWhfjuSM70Micqo4M4H9jZ4Q/
n9W8+bY6CDYrMn1dVvLHyU1L7UY7NrHIWPCJH0VTwOqFda68Uvt2kwVN7abAA2p8M5DrLa9zaufc
80BDfJVrg00P1JM5qVBmKAQQ6AJfObL7Z45uZPTAqX0XYRODCCLFYhHqoe/8GBMUMv2y1in2Wwbx
2AY6qiffE+a1C14kfCYrOq0z0ghi8eYj/fTit0LTUd2dqGGH1jJskcuOglrzXAvT2UH2Zfh4+51O
JQ4abqj47cleg7IMlg6oVFiWGgPnTXieT08b+4lxPTO6lt8/pH2cqa32QYmocl/w51CF1W7rKnRH
cxM0vGSK8p9alWoFhQP7PjRH1q2c1D9AQyaAbYzTI+v3Y/OzaTx99rSK1E/gXbqVdITf7/l/5eJh
nBWmJocV9mtrmFtrEE9Grbr5dr5ZPP4rFGEIbC+r3z03l4VV4wj39SQQgnFquhM9itJqdd2EqVCr
LCzeuQ0jDirgsqGMgm7c0k/iDp4prX5bagYRBvJ2U2ahSAqwbSvunz8NWicdMwyltgTY/2NMFyBT
A3mGDlYyolqFk5Du09qwVQNmw+T/3TJlDhkFelDdXYZrITGh13UlKIevc+4c/qdcMy0hYViBcviM
k5mprg+vSWo2AK0h3hQfgGIMUNgHFrkvcMiYSf2an7N3giGTHZYo/ldjdzxzZLV2M6Po51Wx9i/5
4qai16V9G5tbt6kYtjg5vZfSMvLCPVxbtDHTWo+uBfkacFLdIlcnECf6h2J/V/gL18qUGZULbIF3
Saz3jLfrTa2BWra1GDLtNvW40UKe3WxsXk4BZHsOZFUp5lri35PANNHdYPH7blMeUT1BPgd7ikZb
Y/ZVFwSzztvMd5sEJcUg3K/O7vq+3dWErHRTHKS+vqJoB+rvHSyY3TG1nLz66NacIEZ589JTj1gl
ijRCNbZf9GjS3AobeMP8cainrSIKOnu43PfPBhRsocAmezY19p7mGRTKHe9KAmJBXGv7ra0ek1O4
8cphd5+pIXzovFJ6GaT5Fzh7nfD4TjHTxIq/htaoSmQr+DEyOUVVG7AHA4agdcNebhV+DUyqIigK
cGpMCzM2Ypwd2nxrNULh3UFAb7GTUYDbgojJ8upmfV8eU5kEmoNZHHqfzv6MTJI440k55PPO8uxE
jdMOHeIRNMFr1dUbDI75IdiGsY98l1P243utC8coXdVIeA6xActeav5xz4pX+1FKQpsoiw3C6waA
k295G75y97CHXz2A9JGVTY7zloD+WNV3vLNyAd+7UuaPxx672fESZYmA4guWrodwW83ZRw427pdk
hx8pXaDiInEtm+5MlG53UkxGQpvfOSt7yfMeTulUpRQKy7REgUV/r7uE7mW9lV6hX7Q0Gqe/RaUT
QPrHWRbFypannIfuc35SEMEvYxntKDoASvHiNPNDd+WyhGBfvdt2Uj5eGd3KeRjAFiDZmYnZvrDC
yiksskAZyF0eeT/dB4RfCLdXPhhaUyqhaD9S7P+4+m/quhdOZD9E3Kkm+6eT0/0JMvGRivSeKmGR
H72XTrZKqa2JKfeznE/IGEOR4HWJdQx6RDJBfRPahx66Ed8a5hNZ9nzlbziaKM6pZvic7sYSyDtV
0ZSDn5A7VkD5CJMxIhbhYsE+R1ph0DVKDC2yg1O4lZQaGurIXbX7jYp/9eNC2B2iARoreBq7veiF
mnyFpLsMy1d+kFFpOnBsjH4XdHgM4Ej1aSWweN38zowPjYs3SO6Wzbw1Gq80fSmDZnh/xCu9BCrr
cBKfvtkiIFtpeht+fDOOvMfnEUcrT0E9mID0/5DI3u5+4+R1pznp1mTLAMqVOdxeNBQ8cKbIRCaU
CgkaAYh1f3m03ryMiZUqvupAhNAhHwXfyc2ATG1KV1zw8qRtUMXhGC+VwXY7JvBzcHnUAx6YMpgI
Ww2pMR3VZFeLNFE7+0VrCpsYclLCGQz1ZtDvrqnLlA9nqw85g+7xEKk49M3pNAU7e86T+hYGzSI7
GqyWvEIkiD1Pb2kfC9CmMRFIaZOroSmpFN44qmbvXjj0oO/wTUxDxJ74wlJ6D3ZvNO6uKEQNdfT7
QAF8XljcYdeqANRRg0PnarYHGn2Y9NLC5TqzikAUTcw/fmTqa/Jm+PNyh0w73wP34YKcn+/oEHie
TVw7KvRwDDRa/lgdIvXnwi7SKLFR30O8Y8qh+CQLBzFR6y3tBIsoYi0ZjMD/+7Y5SFqRLpJ7RxOY
KD3Tg/rrlNH6CFVEdOxKkqVXFbawOd8NUmP3zddU8oplg47MEErjBJSjFfy0bBgxsEnF5M+R3WEK
DZDEGkDmqpUf6PSIXxtLgBSaF1LDBic0kKesg3o7bjnzHKGX+00k8TnHb/JCLBL9bzkdxOBh81/W
9ktASuo0v83oJCR5H9CHulNgUm/mrJWPWISew1yX5ke9FDM6nNa+iFCVx8nqwJDor/rov1x83tK7
PaCYGFDNcwuE5MvIkHjASEkNWDnBWWuwo1cQYCSaE+/+UPyzRufi84BHNllOvkXABzbCOuOq6bG4
mOTcZf/zvby7ww9hHFCJ+f/opq5ldt5aGlDd0ANV4DWn8FhAeD9L2sV53yuzSBD8dScabSLb88oU
6zyIr6YcoRyk2Z2KfiUsfY2Q9wgMqkD+SfQzlgprr2JXrgpXkwUI51L/8e9+yIItjRwmmkhdDtcF
Vy22+AQlzuJpo+a0GMcgkDQFzsQZFESGWDqC1/bV0MkgnLCE7xSj3k/stBYi7ivJdH9k66maTOqI
b9WV8rQNDKg7QOEwBMOLVq9RacvqHbOR0rZOsD4xHlsOPMOxouijV99VAHetsq+THW7xns6bXdmp
ZCA3uHZXpDw4DgbZ2uxLcrkl2qaRAenKvu6rFj3V4LlIyokVzvEXthfOuIEIOWoYwlqTz1L4kuL4
mSn5hCDNLYJidRCVlzv+kBjGIwMCsUFwG9IwhcK/5Wr+9K47C1+F/xg0OaeoEjzOmo8l3iGoA737
FDp9EKxzK4zFPQCrwWvc3G1cAxvHEDMC9MJv+4aroW6rE/zzz/aFpU268YdKVbfzjBsrucU/SZSf
9VDVcHi3NwemdD55Mi4a7hw41cKqHbRO9zH9uXq6m4OIEGaLKcLFsKcmDjKQNJSfS3avX7g2hz4m
gKfoyoJb9a3t7PtoCfSJ9HjjapDQLAc4mkrR1iiFPSVzhfwvN1whaQogwLP3QBlh2DTGjtaSBL+z
uiNTFpwSiRd5nKkKhjkLauWBULa3lpek1de4FFQOQ8XoaUOdgFjQYztdeiaeP2OSjn/A7aTZ1WPX
xJUlH67xHds/MRDDQ8Opi/l4f/787Y7bZ+bvw3XjIRvynhbu0F2AC65ryduTILO7RYo5ZmxEurkt
SKEhJ717DmekZ3orl7NF+NI4e9yRmr+RO5KW1vpIHSmhsI/Mwk4RNncNQy0xyGcR+ahAksKyF8CU
THvtxot5Ws+gYaqVqNow2DQW3LEh4hA9a7WnMM9s7lZUhvhDJfclJ6cAVdHqQTy6T36z3bpy8dAd
rgVV9FEWxawFabHD+8rc/XygLprcp9SuuJCTvQiH2Y7aNVORc1W1tzoY8HhbP5AP39lMnKi+bWfV
/Pf73wTQdj5iqikW9ACblLFHJ1HWrBkcJVEO7s0xk4ggdIzlkHqm+5b9cbR5qwNZza5HkoIpcdRw
cZgqS7OXGH+7ppFfXUMcBAITkS2ju+RKh9lQSmoRoW21ermH4hE49PAarKbW1k5xU6rucBedskkI
51TrGjmo8LD9GiosI0i9iNKhYXe7jrlzk58ZhO4qyx02rHlRcGN6CEgJ1ocz/Y2YKK88V3qOGOXY
jIQsRxJilRt/Ts43q5QdKYceKXDOH51JPV3/ifPwA7MsckQUTkCsqCJ5gu2vgVUwBZ0erYxNRqJJ
K3HBKI5AhaQwCeU8qkj138ZxIqAwOn5Y4I8nCuVfvYC7/2xBI1mk5Y2YdxQezdJvYuXzGo7u0g1I
irM41OWoTO/BST1dWLy0jCouGvstENSOw/6mxMWrwKMOctLhVU8aRjD0EMWNZWELxizavtGrLlGD
R2NR9NUJg3qrGHaY60kW1tsH1Em50ZyxJk+BWhIrPGujpihUhR+9Dxzo2tkYXDBCwzUR+FPzIFwB
WGKXIpu7Go6V7cLO5EcKEcfJ1TupA6oUNoera0n5Zu7hFUtsjMJo437/WLq/NKexJueFqfCIYBOy
FeIlMQbByq2JNPheMUiz/L/hclzPPCT/tZabRZ1//6OgCVhaV18lIixA6jI/tqZ5MtScfTmNeRAD
viC1B7+NL+Md/bv7cztkfR5LfECuzLWMU2HnGLyIwsdEVV6sYtZSiICbKdw4hAuervfAxvRPGfaW
DPhMJMHiRqMsVWa7btOhcO9ZURTci1YmcBoPDhNNPppwh1QE1ExaE/vm33d5PYGOVJb7Yi+Ytm6Q
vMrHDwfSBE2pEueWeXdRTxVpdzvxExYY/fXbFiQhMbPrBSPWJnZZeU0rJv1TeGxKGyiDBQtlUeP3
tutRN6f39ZheFzVFLsND66zLjkunDU4QkWxlhHfACBPhfZDIGHxoG0jP8JW92R3aqoBnjgrypxNH
ROk/GMhLMoH9LDZ1iMKH5NVmtUYyonz4rrhWjxxUYiaI1m1VDPk+1+90swBC/0JJuUwaewI63txZ
foK/8NuD15MZ20LPZ2CvaWHViT5HB7t3bmkaW7WMHpBvIfS9ZuVmQ8dklqPymKfu2JqBhfYqDkQH
iq5nWQOCMFaiachMkvvDA+Fy7+ReKHAp9KGzucEMSq02vwUdrS6Ec7G3aDI2Qd1j8Mg0gYUEHEoX
0tUTrcwt8CL/68GVo/G7xZvmrOvhRVtD9d4tzLAzw5XJHehLte7gqxU+x1R4xtg2Wjujgw8iWaJa
q/ug0mYXS1Nootntq8y5hWUm9Oijt9BmVhcmgn4wfU4expZyE7tYTeJpH8MOxeisCA981LUiDbhy
2K77BorBUrW9QLUQOv1R9pr3Bbe0YXoUfDp4WhMPRbvo41FWaOg7Or/M9cWODPKKur4vUK9d8hmh
dzRumS6aylSVyzZR1J+7E2UBDSwT7sWuA36s1sijLr+ND/ba5M3u9h3JXHLn93r9Ciuk6oAyfEeB
0uvzrsRT/2Uc44Hdm7FlHgRrOqC9MZKarvAx3C6ipmF9ucpbArW7eizDNpLJj0unMK/SlgiQ5fhL
4rq/LpLqy5Eg9JiQSgvupIgfuM8Bh42MoVtde13xASAScEE75OTvR/NS+E1+rp47UShLAy/QA9A7
RNgzNG5t8M+xUuMAeOYNn34chMk122yMnKw/Od+ECKfX0m41S+VUrdjhRo3h7/rcQvOkl+vQyGII
lotaTGR9WjXcMBbNO0LfZZ24e1jw/aRZ7QXCfxJ3+8ZFbhQBCZGRzBs2UpjaImOCpyU8eFIN9slQ
FIvc/1DEgHeKBDkfHpc4rKe7habdQ89ZVAl4oqvZbDdcy9MZvHUfAwQplRpRFv68WHUVGPmVuREZ
IjvSQXpEc9dTKTd2u8v+fB3qTqW1OpawF923KleaAkprs0lXtpuU9ziqcrmFjN+mwZ7a0LDNeGW1
6r4RhNDxHa666WUrSC5r8az3Mws7VJiYZozTBbTEHcw6Ho1vVo3ALhXutiQb/CvG9uDHTafkzL/n
VYaFxzkK6lMH4sD3b6QeRu2zVxJBGKgszGO+8pY/Kx645XjStK1BS9ds7aUhL0Io7sxup61YcPjL
v5dCxXkoC8Ss7bvvHI9G9KRuESgbuR7LHTQBcS40UuCXmd6cCAZW9msAbbi0V2pDWgs29NlT+MBl
IQilkCRSp8qgfHpbRc4XxTk791a2sSzj+lWlQdiSSNVTCLakDFzTa2QWU/NyOFPH8swF4/LauRYH
RMRUIXSl822D2jXVaqHZVpjNySLU5rBmIa1q2UXtE9DtmJOL7X/Y2lhAAGgrGKD9YME4TRkgrPVS
azgeR3ooQyEPBI45c5/HtRP35oLSYhIcXEQBwicn1cwQC0H/TMitC9AfzARmMVsQdPuwOC+3VDvo
fIuV/3tzRt4TqHN3IT1o0J13mGpRwlAazKHpztnUbeg+k2WHFi9aJY54MMM3em8WWiG9VnEK4T/U
l3VoRnZsyR9H37D/NKPTsUZxPR15n8jC/IGYEMILWQTutI95/6cVAgL+TSbDjfQH7nocdx6yIooz
jat9FMkyZzL+BKtzK8Ray0svqZ+jU/LgwPAHg2VyDuh0qZcFPV82x+NXFmAr+rHy7vUIXTv6MAZ6
zDhE5pZbh5lojW/MWST/QaO7VC0D0E09zxexHhIJH7U7tfRAZZ+MmADDDbDqO/DtKyedOiTFLn+m
mE4TKc0Rzbqga7UxJPB6mYp4UpajotWUHDc3FUOaVJWwj9rZpexkOUq7lxK2/b632JvTGuLKIsgr
I6NOdnH8hUK5AxcolUtlwuJTOrO0NWlZuGiEGk1dyLm7gt5t4J+DSPyXiqWMvdMdZUv1kDaEwUdA
FeWqddooJcSegr3zEAzAYSPxFndDeVzGdm/ZfRjw+MAS6tXamA6x6fUhEIjBze21Sev8qtWITtFR
P9yaYiG4rHwl5kxOT7BR/Mv6D2PSvbftr11aXTbXI923WLjkjlu/elpmTA2eGxhd76aRRWO1XcNU
jrUZAP/zHEIC8GfM3myYmDtk2yMpULw5PPWlq/3YJqkFjUMq/msiAYZxDL6icJG7R75PR5A2EgOW
kopBWiSFhiLbYhGqgvgiWAXPVFueLIdcMnNiR2fNTParacYbDXWQAMVi9NA0qg1ZruO47DCrZw2+
xdptfhV1Cm/TsyfTO2YWJRoaPdbJHxYF+nWeCBW5zCx0PQ3pQNS1PRk+ps6nBitolA578ay0/LDt
j0oYlCnxtIm14FgPQAEsWCqFbL45uLEwuR2BprEL9ThQ4ICj9FOkj6kFtwnNkljwoKlJ0L/4VsOO
bBh5BjRRDeYLtWXsz4rtK+ePZcoy+SEGhYsi0MbLVdYNprwI1J0TZXcmywCkH6LXYaRga3VsnQCm
d/5iu/u3fEf9CYyBsMcLCVcbKOZ+zy0hBalo7uQXWYvN/HoarItbmga1Cu565guWCcp9GoL6YmCj
AlnR1tjCBuNW0oK/CBuesGRHzVZJmMtX2wGaYwizQiLL22TJMt1q8RUWPat8QtF02XE3gQ2uQ/Sg
Al9oVanlHWGwvFPGugwR5zqq81sYYqRVwBi8rdx9vcWB6hjhBGjjKFk76Ouyzpe+gZ0IMBhrq2Ze
Y4IR4kQ23N1UW7UEG9fXgnr/nQiYJjVa1Fx8XMZRxuJdQ1nLJj4aVhBNIol2QihRUfKX3nPlcSAt
y5WwhYlwtZzJofC3cpbMkTO+bbZumGFEDvc890s+by0b699Izw5Uj/JGq/AGXGNPGHrcknCwaqZp
/ntU4HJ5GHVktH9LtANopyx7OntCpbOQWa6Za3dDolhcCPR6G+XgYkbYmgm+Kfbs5hjcMa9uy0S+
vg8Ppdo0m97XisQXOA76wNI3oE2Jk8C4G29pQJifSOosPWEU8iOYJsMAivxazWHDvAP5T4DN19Wy
dsbCNqH0+PeBuENOU2ZdxKeIQrn+DYcvYOy8KdasUTScsSJYh+4LU4j+iA8RnkctvC2rLkbOWrMt
2w3p8QAa29uZqgDX+VmgmOvEwnAoLq1KBVx/fIjTXM8DSOGeztUSwrwk0eG5bjoJstiauyUR2vk7
SI3s8VnkWYw9H+e4qdo6LeeurdiWaXnYBx2S5PyQWFlWa17x0kg3Az4zRTvcKpbp1c2uDQvZKwbx
oHtqF/b4dtPnIvqKHscwGZHevT742ApDYqUeTYjebNEGI/oBHaczsGfwRLuvbn9Zk/vYsaUuvH+s
sBu1jPJruhjyyRZjGU8fQgO2AP6BNDK3soUh/tZKYusN2f5Ye+rCU1nXm7ehdT443+5yMS5o2nGL
qrMBfYSCXiEm5Gge2tf6YuVdgyKBpoYbWpuut6DrBS17m0gv3PGvuZ3xYStPUFLULgYGwc9IQxe8
Sjndo/vvVfn7cY9Yxr/Xg8tUL0tkfWhyJ877gt7j4UljcU2XbBCjeyMqRN4Y/MnZTYkDBsblDu4p
9AnkNEqqt5FTq+zyf5cK7iRT2N7z1cwuallFoQuT3IsjcKcRMdFyn7Eo4IX4ecwHW3W3gokcxBWT
Moos8RyzOxR6F/xcD3MwImrkpRpQMbhGf8pPmWGOojz6u5W3YD+A0Ut4h5OOkMvsuVGO1vtIg+EA
DwWtfTdVV6eX9uI/8E+JPpNhkSe/q03t8wnjjROpsJShJus/6YqiWzRrw8rqSb+UCRn0WMC+3G+0
xTMFoGnyCvyxeqTIrKChq69pDVrjh0CgE9ePua7aCDpHUJ63aZmGjaKraQ5bqCWj+GI/VKZxCN6c
e2EdZKX7D2bOFJnUaw1gg5nI+uQrxaPNpcAl8okuS3liiT/XptwGafuBcVeMwoykzSiFtGfJjm9l
RDkbcpZE8f5Eg+rf2WlK37WQuLD//pxO7DgRZ9HBRlAmZs3p6gC/EONJOWpLuSWAQjI14VyVXsEV
x+k7v4qDDfyCeEG/Fy1M2NhZbkxtrxac7V+5LEGM7QF6RUykeqUEBhevnC3544DPnK1UHyC7hH91
4HiIrbvkQmdURIpBoEBUEwWN7+Ac+cw9Tixn77KluGANiQzQMvgQHBwizc17ZNJI1aa3uybTZxWP
VNMFFa+nPITi8Eon89h3vgGqhuJm1kwGrX5ZZ45arsjlbOhgEesHxoyRQHaKIPtc2PxHjPh3OlHL
b4JGbN2SIKYPE+QnvEABQeh3nAYkE0LUqjlOe9ij205nBR2G+lHUNP0XWL28FBo7S2ev6U4ED2T/
F0YHfJ45mENT0vgemkFIS2+An/issAQf+lK0nLwMsJQTJMGgdNVXNMUPTqotHRz/0Ue46wUq65Pe
4tME2KGcTV9gcLi9GGCS/zYlkpJpVgiEjb8pMnLPi1ygEixJoqjJy/Lvoqy2TI5FZy5QvaJQfxvZ
4O9MWKc55nywDoz4BBF+sOwAH+gyFPMG/gLj8EndLStv+6HwsNpKUkOG/diF3O/785xFXwltw5iV
MOj8rKcbcHM8uyUgzRLu+oVzDaEH0kqntobOPpxGpIRkGpN0TsflQQXiz7W5b1qqUZVNcxiHC5iX
SgfOPWhZ0ewrjvUzpiom4F+sxU2/ywEP84akLljNzzOPy7FLdAPZtwvHILVB7fF9sD/3leqXtjXK
HrcS7ymUdA7ts10lYHAKTmGb5C0lGhn/PCcLfiFzNUG7g8fZG+33bZPBvGD0CtLt3c/Er3tSDgiQ
h5AFNMall83vQxY4KDt96NSWT10m5PUvv7uDubUskLMSORm2P8+iGhyZRA3H6gWY/H/Wh28YtaJ2
z0m3wytj1gQbEcUYm+eLHVpxJFnzyaHB6+jcVyUzOcgI/N0TgE9GH5VhOdE2yCRiUTWHMn4AG9wO
2x/DhX91WzZRJ5cBmAsyejdY/AqEkMdc37qZIgtTf7jH3r69xnJTYjSxP9QqyniD0z1ajAMUL/Ip
TI1w6qkVzyWtf7LRW9+h8+7AfMVCU7CvRpbPBnglu47e33pwFDyrpNj+yXzpzG1n0n1S4HSLD7Jm
lRHwhFPGbp5efbk1wC5LM79XsEgU7+J8iOfDvLNCau2vKtt0VaPoZ4NdEmzNTxHttmSO8aVcUKeX
hujgsJGjsyOWOiSG0Nulvc8byCKZegZ6w7erghUuWhTe32vYvG6+OpLcgzDvm0aywVW8EWcePLV5
WNea1DQkxmdGRLkD91fsfdch8/Iw6B7dQgbY4mYowxFX53Kwzg8+P/P1tocL5kIfu7jRKF/6CXPb
rWn2qlRORBw8vP7tq93UIs+fuB6y/ZRwmGA2STyxTFFSBb9UY2WysDPgJEyemfhhgDjqz3YncJkT
tkqH87Av+7tLDz+pFsr4/1Jf2drIDUAqcaypP3yWPyKH5IcRUKzO7zggavCXIID21bMFYgUI2ZZ4
yod2TNAaxXzH8Asr4iC8nghsSMCMBR6zOWcS4fRy2v2qsN3If0yeHhZBYun78ZPqf/vm6faRVarp
3QAMfB9IqN92CCyzbPyp9LgUY8hOftO93L/aEpcJxgK7sX0+QSb6LyPcxJjLezdZUVvYGaLr5Wnd
XEkxBQdLSMAt/vzXB8LJ0/H1ZoblLY2DElq4FsxYaIuVhiBIF89shVNBEscZGn5/K5dDmyK1M6DI
bb3vV53vK+lZY8yHdIXLXD/xBsNTodWRwKe57pod3lx6R86067ofUyUctijhDD3LVAkFMe82qrym
DewLyvKDR88ed12Ij130m/VSrNxvLlrPhg677DnL2ic9J1vs16Du07pIfSv3WYr0IM93ynkEkWjQ
65y7MnlYqI4u8S+8JwT5gJvcf/gH8boYa95JkLVqSHxRAq/xPBN1ihsvkcuuP9U+FT6q1zEuDwHu
ZDW2zbnnMYHmuXeeMn7w3vQzMFNhTiMf0Bz9ytqIqkf+SCZZJ6urR5aAa6chBI3DrqmIKjErylMu
ZG24hVBwBPtBr5aXQb8+p1qU4zjw6EWVDLCFCw/HfGorrwVo3Z8W0DwaTjSx8M2ORQZyCivn5IFq
Lx4skSC6E9T+4I1h2JGUWdO+N7NVtvdNgWKGqGFLNoodGXBlNPqycpir9GyNY+/sZOpST3AQVXAM
USpqGTG7RsjlQASoRw4xZCgsp++NSmOsmumfuYJJkEsE/Q1DOweOfpHaXBUBvOtkf75MnN8TLr5h
K/WYv+DDrnEgPj04SIk+IrkbHUSCmXsOYXSOWZDQk++x+50exV/yjZtmwbgNeK1hdDzjgm9h6N4r
nkgFE8gy/Yf5MeyJA3+zpOcseihYRLnkNTxIvRXVTbiANQHrSxLpzJh7Zbf3Hy3iYKObWEWMx0wo
uizIXHv+R9dFwbrPhaF3VEiMRI5KDOMVeDUXzvMOUBVA6IPNEQgcsms3X4qhMVBV3KRZmKHyRe8R
i3f9B+8MMsSeaTUL/gTB1kOcHoWDqj/jAq2F0iAGNIujwpY42sJ4m69MharbdXLqDWA5ntm8Xuys
xGv5l08p+pVvKhmJLKT+vyPBzCPeaRHC7keGuk/0Ij7g6CGMo5b56uD2GnoJ3M12XYG1K3uphDI6
XWeSK7PaPj/KvcenY7cOPPrSP6NzdZN+ejHzJVC/FWDY28XYeCl4kM55reej18MWqqIXdMEETzL5
k0BtVtzhy7wQmvko0xry30CQKKTcycah+pmWVgHZ+qWhmgnX7H8qjp99l2jTS3rKdQ+6SQCaWwx8
yvs/yQewVxsgTdj6w7+rY+F9DZhADHnLt3RT7A/7jukQRSHNDJKgHKYLHz3p42tBEro5zYpBJW0E
OR0OwIIO/alVRkyG9zqVdAfgMQWVCqv0PYQrEehX8f25szx25VLM1AHROU2qqpxXeC8VFdSchTS/
7aq+5yqEaNoTsFxpo1IPS2gK9OP67LLZTl69LN79M3L1R8iMq6Qb6RVRzq3BBCvQSawoG/YPwc4b
XUPegtejtV+A476gAGrLiCRaKJBDxB4B+2IHBrjLnrNEVS4f4L3Gtzn3MKfkrqQp+Ohm5woWzfrq
Tc8ebCvUECN1gT1MlVsNHDdj+kutLCyu4pc1R1Tz/gNf73lZuRx1v2OA85cefbB2yMpA9E0jrwYQ
S8A2KWQzp5XSibLdJ6tMeBoEftfD3X2mpIzQTMwf9Yih/Uf7lmc/nVhtwDUh7HtgI+07JtM+T8PI
suYMU031+DMVajI1lzoMNJIVu9tFKevOW7Oj5z53L5ZbBFjzXRUpNnZhw3G+DWvU/vRSkjYpURxl
aadArYm1rLbLDePs6VI22mIgyLg4teQKbxR401Zj32PZY+MPf6XlLVkL/colS+loKeWdulS9/Xi6
ZRJXGbxmsHyB0q/TYAHhsCKesRLyBQFtXleo/4Zch5zYKtL5iXswUs1t9h7Ed16vGiXshIt//2om
MP0FXd2Xl14emxroHJB9Ux8ZxFuC47eMumyFDLQezQI0aisN6ONk1kZ09FJ4TS8Kvr6n/A8V0mv4
goVeyZjA+gFbdSBOYK9I9Y+nzt1dsZctQDvD9h2/4heJu8LPt4HMWHmpjMObC6oRQZOIgLzYStIe
UKAZam/iZjPlLC2OSECqxRtq4TWHzVf07PHzqVhfIf6pSov5qEsy9D/9lsUlc9Q77bwNDGuYOgNP
SIedWyOWMncHUJxHHRmnsEDwIqd8z+5bFYQ6WOMAIwncCRygzvbDroTEGj6XN+Q4mHWneOWvMcSe
HsWy66je3RDj0CLkUTxWZWKNFg4a1cVfuMSIkRs4FfN1QqAM+WXeQjwfWs8ISr7vyXbxM0R0mcR1
5reiNNIvEfhHx3OJyVFmHQugP/d4IpTTI6QF537Sby76jLVk95Mb5B+ORqaIaBDy3HIOJ4+BXtj1
p0mGR6ZM7KyXWSQT3KKVTPfWjx0sQh9smZ2JKFEuaJDm/uMzERljtED58Z8hz4e2KMjVWfiEmWTx
Ww1cqlfUYWP8umANXjIBpraBUBNRoHFZLCEE5+5OieT+Fqjr2FxhZss8/mLygEHVxY/UZQDKygm0
0KNrY06vynB7bFcAKQeH1i8VnKGZ0kzK3ynsX5oYqNlcahDiliZ53ADTl+80m2t9WEwJuFsSKBqu
V6q3x1KtChD/iePaKUXRCDPPKUXlfD9E2rfBQnAHD4F4gNHgAOoUdtOy6MzsII9haFtWZO1/u2WZ
+nJtWDu2616Iq2fO3cLh8ltGYFUdN0ZbtY2iTn+WFi1A38Y7zU+RXIFukgqN3HLGAK1EQx9os4d0
ujWNf2zcxZHVlIA/YS0VMPQL+O3hRgjhZFb40id69rPh54Eqr+UxZUYxC0wrIu6xisZt8lWljbrC
+gXeWp+5lzxDqw86SmSFDGaSOujIaWjuNXCccxmrO02cUVKme+hxoN3x9ME0xfzgVr9HuimB1/AI
gZ3TzZAXEDDjpt7yqilo5kgJd0xS/VgZq5KYd5NlKJroKf3cB73MZVgHDFjOjEbOK5+N7RfozxP9
kblQ9vph4fQB6BwsN4DAiOuUug4STjEIcGkFePOpWCmdsZXxYJ3duj6rG878BJq4xln2EYZBoLIy
eTJw+HknFGLrolSia2UBPNOdR9App0yz6rRUe2rcONGzKyKtWWm4fAgcs7MW3QN8FSCSq0v/K3nw
s88GBIdwf0U3q6/zotyQno6DNlLv8ekOf8wA8FdBrlKAgQZ9yj3l/Dq6CQxUR/x2rBSdDrxTY8KM
lDCxODNlH32z1vhVw6vPvqzMcgQCZAC1MdDf8o1hFdRR9rnMq4c3PTIkO6sZ88RJuWHhUmSnj6TV
gqgPoMOsvchMw900LPi8vc+MiehoM446TnBxWOj8UpJobZTKQap6KWYvaVRFSASnx/fIJpkn6C+J
1Wv25AOO/Yei7wkZ7c6xIMAawdFcwLYBTtc0gMAIdZRaa8rD7JsYnDRRmR4O4z8mpciuuzNUnTna
sZlGqWjj8KUOj+WZtz0U8Vj76Ry6ouUaTQyLiMxhqzmC3UDet1fHFltr3RpSvVqLMK3j5JaaKV9y
kPLlNJIEErqiK9JkxQw+evE92iLpRHhMWmkba6rFq6kCR6vSujNKOPcd3RijkfkJOM64B8dpdZmT
rUkSagmp8ia0ipDdCPNA6yCFiNniNpPKZn9VWW428lRuHvUmiykjaUUipwdJ7uPhClr+TWfs8BBL
ULjPvnOla/XG8bG8wuimEDv5uB6J50fjj0D8+J1uEgXVKN3rtM7ZSqUPVU/N5t/uNkQOYaTN7fPR
oizbaG+f+Q8p/HzUtUsiXnJmseNN0f4Q+d8gnoOaElUEknmu7/KpKqptT3YYTXpqAR2S6lLRfJdu
bOh7HqoZ5vvU9ZvARfouElzhp7dQH2EOIHAIS5hDZmvwV2diJZ/P2wJuJsGaXMYfx2FkL7Hyqt4r
/SEkbHl8BTfX7ODKNJDTwbSFr6PNayRUnpRx/l6u/JFnK5By2ybQPzdesN2mCmTRqiqWvx+nypIV
uuvUWGU/L7aX8tdTGvTOmyzZGCO4lujZpg54ZjNjH38hJTC6RkPsm2+3e/saWnXiblqPf30eHOIt
1pyM7vrg/xyhE2FvbdqNSLPKeiiJEYgaDEYLi1VXug4CZ5ER+lMvYlDw5ia4l6+URlohalxKCKCW
zecfWnaV54QLANsAtFnmwX9y+h8rqQGb+yGGlzcuMdGGdu8YAYSnbeFEvfbVZKFsz2+pej7sH6m7
vcQ89XsyuQiMlvTOJCXu/jPctd3E0YUplD/eDaBq77yQFV5xGt970Pe8VlFlPDjwH85boNO+jQn7
EZJUKuzKXwcLa1fzGkXdqMq/7vNmgLEH4rL0vTZJ6xtYgb5sw1NctvSXRzgJsfJarMgxEqdM4Ne4
gvxNtnHoearbiBsUngEzkBWVQDyvMrra0T7odX7B6ea1mYOLl7lQ8+VYVJkQ3mAUm4cVe3wPaUuR
irBM5/le5koLjY2Tq9qvzLDVmzdHKa4D6zaENdK4fH3arPKEW6hysAzAdr7kddOTZPwLxHCHwPHX
bLygUOJcact/+H+XdYOIousZ6d/Z49podfYMDC400DUVXEeXbvT+Y5rI8L7HRH2SFRUgG6Zufgth
hmiQfFB23rJMIJZE16ozHz5dCoA9cICzmHpisknYTp+Iw06i6xOlrn8dqREoCZgJy4wk8p8WFZwg
zPDY9K4keZ+BljJveYbPw7/dY0nrb/IayhXv/wFmWdjl08Nalve2MrwWt7pJ6NgjSF4Au/sZWGoh
Z5reSrQNFJDGlbGucAJfbOnzbHNzXgPzYCAktgRVfpU+tmhsDd2KJAqPSvYdD0nCVtkaRJ92JMX3
xje0F40Rm4O8uT3PtPqMZt4oypOeajSvI2/YKFzjn2aFAZW5lbN/txwaJiB448qxcIWr8dwGpJya
PTCTzJoJScKn4gWZxyFUhEOpgiOTw65WpvkN+Jkir/EijzQ/g/aR7A6rg/osxYWrHLLw203G6I/G
33ORSCmvSIkmrtuY0pk3TD0vid8bH2IxYfA0lIaa4YB4+McG3tdz2yBvN/L/ryu9AsUHGls01jr1
B1Wsl4VHRfVvDAVMGp6qITBizEb0VC7s6nn95KU5f/LwxjMmYLf18SosDfJQ9F7BHRce+bjcZ0oF
U2Se/rzKHOO3n3aFqeo/giiVHNUMHjjZ2FwXewseoAFEH+LA5QehrGPsxUMI83gWt4tIWVB3S9uM
LmSqQwtCtgL0b6QcZUQS8bcFMnevRse9gkCe1Ubza1K2B0LY5K+MLDKpHXZcyHWCiWeeQbwMvAsF
gKQR3cyDYHBkh4sFSYqx40stJ79fnK37pXLwp8N2Tx84x4new/3MKmYW77aL2nJVIByYsT8cufus
zgmPqLNNBd8Y2GRo3zW6wWRyx8YtNBWpD/p+NYssHf70SxCgSOF7K72iRrUoELMT4nla7a9XcoO6
3UKnEvnY3NS41iqxzPN4KGxx2pTMM6eYXHnbAuTE7KXHLzGGFY4qkelQA/lglyJ/d0XhsWh2OAPH
sCAAyhohHYRGEfwTvnSQMhbW1WB1qXwq6C49YhpnGahUnUYIiJ3RRAUGtfkTlZ8hn+fBvpXkxXfo
HSTbWNu5ZQOfsiKULjWrGKxEhN/6dujGRVdWx0KAKaboypUdjRlUZETWwJ8zT/0S7phvfb3u8oC1
QP3le93fgkVeGCZ8PmEJTuY39tyQHFI4aLLSc7D6iEUpLMWNhn43FleXUcVMbgn2U44na2Xf+Vvk
9Cp2D4RYOMPgU6rkTkIcBHls1YFeXzIgVJmz2baBY2kaWFh1NG8j7AVqWN2FFXF6Jit+qTM06cG5
bnTscMuQz+/MsGJCxA7WFe3ALDkiVWg6FNuqtepoS34CcxFZgEjrvS3m6vvmh7sEqBzCI1ZVZ2Bt
sBn7vdKd6wO4f33GbPyFQZTARX3XSpq1Qtzb/pXO+HaR+9ZT1dyb1kQWqE0HpUecLcHIhgxewGhK
pVvI/ttIV6T7ql4xcyY78F/XQKUJePBg2CnceMKaqDGJeHeWNgNLsfE9RFvZe6fZPVpgv2dIHJL+
bqJM1C/C+I1NTeoESnyuT2Uqn++ivNM1xSwkWRF6U4zHRI6Gw9EQFu1L2K/utal5W9j6EykJ8ugP
jIup9O/TF2vHyMwryjYM1K7nb2QSfAhDAIIHxL77M45VUipvlimi+opmjmLXwTluleZwdbe+bFpT
arBryGeoNqlMolzdOtkT8LdZ5TqQrgQOV7KSXaL4ZuEiDbbgbHrOwFGgseTeC1MstWiCKwkE0B9l
doUizHfJxIW28LhSIDG17n5BXATRZggpWmeUMwVxVobRFYOrrZJ4B46d1UcirRWzxMgoFxCqzBsI
3MGNbV7JhvDOrQZX0W/Ahd45SQctfJ4iHvQZyarkZruLcpwxYVhjiPjrMj8UiZPA8vIoA2fK2UFY
QQJbmjE744+W9n9jCywVVKtdPFluny6cWp8MpCqfQlFup95AXVjhKn1E+UvpErU/qB1Pw7L/2mLB
INR5B5NuvieF5YJ8PqoxIClx9wMdcc7KlNGxKN4K8xDY0UJ82g2JCUbcQI83mf+9gBH7lkwM+X/U
bLQzcqnM+vofu/MM0ZtKwMKcf/Y5N3FRP1rBHJN1hRGJr04GTi82IQ5QQ7I9VhIp4LxHRug4jHt4
GPZsUOTldXviKesgqsY/+8/wFlJHCtHGiGIql4bv+iUPXM+IlkBnQiGQYgGshAm4BlMTDyArsFuq
RGGKtgQDK+m4gS0NXRF/gyxRxkEVFG5KIQSWtLbSbYSqy9ZGF9zQ/CdwV22I3963Zl7yLvvsJqfK
tU+GggpOsF5vdSwOOkdsW+k7jeItrVfUyOPsaTQcUiiX239Rv5/BklirCWcsA1T29hoK1aScpwNs
SSnXlmEdDKGhbT18NP4sgZ0XMoIqKtaGmID1QBoACNGhlha0Q4BQcUL+8KtdlcsXDxke2mSA4lJq
hllMpAbm69FfDOtoLDhFqeHk+wRXNSGXTm6Jeh3juGk9lzw+ISk8TCqFzYpfBcbeJTUwCt7mW6hU
4KjdDLXrTbc+SUz5xhwSqvjX4Vp59IxGuYv0izEyMYrkk+3VihVP3yAt3cX1MyEz3xJDGy9HHT3F
iGuu4rVa8xPF0HpMEqWLwxcBq0nn3rvl2FWtqeLjYHfiIu1XpFGQ8bVc7CrfSGvV7WbYRKABzIhd
Jq+u9kLuav8pe0VL+SyTpYzhJdjYbk7kUz2XbWJW0DXp0qOZUoW7sNIFrtYsXMjBj1ShFwJ771tc
XZInXgp9OFvRPuiQTp7kHra/r+w3XV3/OFqI9iHmVcWLL/hePEb2LntTzY1e9xKJ0wjnsOHQIWw2
VeVAWBJg6GhLwRe6fJonRngJ0yPyhGkxD/KKU+l62BFhGShkNTULplcgeVOMt+u2fW7mlgHpICHb
UZXe91KOiJVvvIgDwhRc0jgr80E+hUoxkh3UNhXj870GEnWt1Fka4bcMPJl0Qya0xEsmkf0Pn3zs
Re1H8fDM5YVUU+vHOaL5415L78QHKb/Rr2vlswEkaMTMnTTEtiEV3lt3lW/zyKablhnD4S+o/T40
WnM1F24MsSDBOUvh/SctkUz9obqPTeVAjEuJ1r2neNlrNbjnRJYDIPMeIJFZ2aRoRX/JCrYmiHbf
mS2f83vOIi+vRKIEKeqVFa18bzxW/m9fhMCH5h5eGB8uRD5WRtr8ZQ4bQgMpBUQDZkjGDfnaEoaM
Ra1Iz0qtVgsQ9VXp81pd/aupbweLM9JpbyO2XtOKKTEP2iHGE6LYv8LHOGvf5u8eyW0xdFiJb7Xp
P4klrGN7fAlSR/4E/dAVM6k6DeMf7+RJIlmvgmeyZkZCkIOnf5wHpe03/+kYQem/dBXA6Q8o2C3V
hjmQZ9JmAGVt2kgohtaw4S9tYZSZkcWzI2oF+ddfX95ahXO3JVrIHe/jvgDaMikIExbG+uwNx2VR
QtaeXBehEWopeR4JrMwIiI27Z0dGgICtpvj9dC/bqZkSlgY1tgmXrE64rJF3ab7iYwmmnQwfPpDR
bQ4rQy4OAclLTW6vCllu1SNcTo87jvTU2qY7s6cqV+g0AdIkRBd0SONPJ8DC7a0cZF2FfQCtB2EQ
+bGGbcjCbOuLAyf1mY5M/oBhYdiqJP1nS6OBtsk8lUITJNZ5yHmyCl4xDUZI/RKECUzUXqVnuhJa
FMg0hDpO0RKpmNl7+QZFPaCnnwf5uJ38QG+Q+4GlwJa7LsEd4KeJljBaq2ku3f1IZ7YnH/hv09R/
NCloGfGyc0VxSimfifviuqcy6Xy+NaUYLUH1gIpPPpQKqo/9MV40Q4XBJgpMvPubHTa4Y7TNtbwL
2oyUnZT/t2ILDZ0rp4f8fNKcZ1NJNM4zf2a+2psCw7N5hJo8fwpOnifMgAODY9H6iuacmMKAf8bf
AvF8FuS5TEUQeZUnicgwGkKKCbcYAPpybmGY3vtcsVOd3pzjYQeuFr6rDcGH0H99mMX93NVyCG8q
1PMYhCZr/phXlvCQbPWpqLoa/C67yqCfQ1Cwby6OFaAhSlUzVJBghqnQqPkX8KUm3ojHdX3o3iam
tFEL5GNl5aCd0p8RhUXDfnmgIYNUsbvuheztuLgjYZpScL56Sr0sTw7MEfqG48G1NSpQQr3ZaVff
hma/r3tPv+aa/FEW9nH/CQiSOhSYXqJ0dcvzzMgY2rJ0vlz+p76mV+s4v5w/52KIkFhPXddVPjv/
kyFM0xeCpNeHiVY8/C5H4uuniQOnOPfBLTNwQq7U4V9WAxfQ6lbMBAX+P9doYXElaOrJO+6l+fWS
P4oZ6Y3Nrfy8F56xiP+r/ZIjNRkhZ3yO4sJUfF68oR8RRWnH7V5DAm8yMspXFKERh6lEH2FGj/Va
/wvdMi5G8+Ua18GHyvbHkpRx6ZU5PyO4hqiP3kPXP+g9eMLQxAbqUnZgiR4um4bGZakFip69wthq
nRa+Fsg7OyqV8m+AtOLwrAF/CaQOBvrnO+W7/TUEEOzY8xALxGxE0jDluud9jbPzrINoVk9g67Ew
9272QkpUinTqC1akRNr4vapjtmUaB8zCIN0OVRpEG8FTxieGrTxboeDGfYE6X7ySAFvw/7EJ1j1a
2llyH7D1G6jQJ2x9TIXGJ88RAQAG8qj5TI56eH9aICwemKtKcHyP1NySnURpioruDNMcF8mZjSU6
dVr5Y49bFCS31PW0jTF4yblj/NOMuKLVw1Pg3OyRZGMOIA7157hi/ovNjzbq2V8mIChBUidM6jVD
uRTuABueB2usxpMXI+FxD6rKK8e7swqza+5S2EvbRWA1LpGRGXNcqJK6bXnFO9KEUDHlYK3+M9w3
PffrWGEmW5ftaRcz7xu3J3k03PS/Oeo2/QvqcJVLgqAgMQlkQdkjRCqzUMg7ih22hxawGdXQpC32
6e7jT+0dn481NGf78JAH4mSyi7E0iGT2+MOKFDUEQcCmTVA+5W8FDclXJfy9WsPwwxQTBZJmSw4O
c2EUBk4HKEQbx3lxqsddKX77ztJ9COIAd7sU5x/Tw3QMXIWcyfYMNeyawUZqWBhY4ZOvs1Lfacgi
2DCQVpOkfg4VItWkilonBJkejVZLDgVLOEGaMR8/kpE+DUIKyuEkjdiojyPLy5Ck3xn5voidcA5K
dtdO1g8wa2yQLhkFyCz59lm4NfngmwouxWvGGrXTkn1Ezvp4gWXvcDAwc78H+Jr0Rxh8kgsW4XjM
RmCvlCF4cP4rlyuMJadQEyBy3elAqkUpcWHXW2hfQzRzRZ/C6NArYyThQ+KMQ3NlfQg4QRULHPPv
tfYJu+BI5X606f3a1+qrpuZ2Lj+uUKDo40Ngf9I6HM11SRqLy+DvYf46Vv4is8ZiEvtc9C3HLCX+
f55GDl1uvBIdRKINt4ubmHFz+mtETk3sVe9DFLR+I2zZEJUUaFVoYlUOziCrB/OEEvAtw6sUHp+D
cA5FXTyi7c/wPuCHPTd4LNstkUrwD/u7LvmbuJPQhgbmk2NcQeXcDllOY5L8li5wiuLtJPvUP8Te
fSu12MIhcIWLTKHvLPkKOLVn63vxDesCtB0EzAVgxa3wxfwOYHKmyeCTzZsxkavB+Q6H0P3H0K+V
nQHoY3J89FNxyXr36K/ZqpXC8HS6Uog6E/J2/kwNo5wvoCmSuX6H90glXV4UedlgYsFbZSusnbD8
ACzK0QHBBf1JzY8zJcXIUrpuAx2H8rpbLohYoLovEpzQtgSaQ/bsUChyml3h0RQftmiMWy2vVTPp
uE/YDHxn1VnrOBt4g7tYMakPG6Gq/4BCakqlW9JHgf7YbGVP8m0HLwm32UbELUNyspYLNHoeBLyl
ZgvN5HUGUsGXQFR8arw3dv1MU6NAR/ojvTT/JmL7ADhOjUygJEkl52wb0PXACzXwfLiIDQBCjpzO
fBMw+ohfsZRPyLiLj/JDG1faZtPsjQtjwuzvZY55/s5yuaTwL9aT5F67vcKlC9SRAnSmUNtdzeEB
d9+B7Z3C461BlggsjUhMGCy2Tait0LI91LNNOThq8BbDS6BeuqxdEVZSv67xe1I1D4K5I2VjjDaC
LSqXhiTBLGXxvD9nDDNQjY/cJendekIjJfzM+LPIatmN681rWZFVhQvK6epzlviZLfgJqqdMff03
rKiYAuB/AJocrjHJQt1qaiH0INCexnxLlTrDfQEYEYfA9SOwu79pk9Jb2juCVgDz2BasI4lEJZuk
uGzjrgBy+NYuG5yhRPww/RBvpmLj3V9MV5LGf+w1U30mmRIhEBCvQ5SJVWGKvj4p3h/csLOMbRW5
0rQCYAqXxqXAMnMj8eTQkk8QGUleD+K7o7lUoPIzSa+xR+55L8qobTAH9lP77ip1LHb8pPJIbMwF
JAXQLg9GLFbID3YYDU+zvRbFmkj0RWLx7kZxuYzfxuCHNfj1D0tK5K/oHhuXtQo0ioAqSOx/oF/0
89vJmjrhIHth1DiUbSyY0mJqf94I6iZRp9B6khvkONVey0K4jR+bq68KNvfOV+LJ+DRDKBXPZH8Z
A396ghh15WzvIBEhACqR8vE09vk6gcIDBFGOc/9ku0zeSx4sT5J2aak/ISJeLhKLO+dM3zy6H8j/
IUKzZoj/yaG/xHWVKl550JABt3tRL3LJ9kDlNzvbq56UA53Vc0qLtZWUXAcv+yeCrRibDmpLiHeS
wcYyA8OZ6M1ChtqTmztkpT/8mTtuUpxK16JLQ9wKnibMNUw4e7kQgdBBypEzik0Q8+K+tomDw+Zw
Ti2HhBzHiFWISfTznfBDUvliNbhRcCdsROC4+fnkBJYlaY+mXIqC5WU/rGWgXxV4I04qz00dYoFa
+7wbfajxOkY50OrPVGjFCU4seae59gDT4BqwhP1qr0BrOcNboEdvDAqiHZshsD2h92TBJZ2RjuS9
ZqwgqnBdLv5U3oDRDcJpu4x0v+Y1iWipcM+Y5pbUFjVW7w/KUjyrCc/NRBLP2lioGRoxIEi82Chw
f5D59bTsFw5nFyZNt+4gJJzk5jhnuhO50PENqAEdqQFQHOLXJQnuaAhu1nh/EHXthFhXysmY2aby
LujWO2I+8yi1hq1pL4QJhMvZ8oAX7tDU8XPgYKLEPP6Oy8pFXeaAimP+xFNRQXdhRR5BVsBNn6AZ
4QEn62MGvcLuLJ3svvoP+oAGMv3BnUDeBztovkb5qvYsOAFW7fcgLZsjnYy0qO3jq6L0x3pOSC+8
gzMEiMXlpqHY83+5bmcsPC7ij5nClPbA1SYBOO6bd0djQeB6Cxor4e9tVejvREuCqxVta9b4Owa4
jPbHcGCAI+vGHltg+/y3b+g4XtraX7sjgKiQLcyD/DGve22PPgplTQhYHxYy8bFfWuDFCRNHTkBY
oFJdlgpwQIHC0T79AVaWRTHSY/VEPsjGbXWTuaauxV9ugwlj0kW9M+GKdZ6AZ5GTT15ySYWgW1XA
IBAcc9R3sJVVBWYshPCWpGD/Ke5qLw7yi2kMXF+adpEyIEPCvpjSRFO6lAHIoRV/5FThDaPnioiF
6CQtpslbIYAlWezKnDEorfUtPWme+WVNaOjj66UMouddZDnBJBEYdHVrnJpbh2PqPv2fMop0nJRu
V/ERT4LjVKNuabpcuPEd4v4e5xU1ddhHQ61Uq7uz017Xp0nR3SREpx+ifBGS88NJF1Dk6dPzM3md
5uOS/aHaLBJvw6ATb0s0vkEkq9CQys4g3UjKO4AvPNpHfEUWKfuGYSJ08Zd18okFUw6eF8zBHiA7
RTJUMk7gkhan8hQ9C2QqX1dOuSDMzkxqF0SrIYOkpIcYhWT4dAibZWReX5rIiC2WFZ2Edggck3x8
f1ppv847hP23REalW0YgGAKLskNyA2XkMfre/Qkd80DEhI7mjmBRK/ACDK4SqjGVH1npWqlJcrPC
/Y3AR4kJNCc+2sYlTOf6Rvj+O3PJf3wGyowEMRgcUt5clyANhnai4BS50VXnoVXz3GcGv6ZFZEI2
YrnkkYvEUOQu38P7F6PGONgMsgOluVurKC90tZ+TWZHf2L1h/DkilA+IjzvUoTWJclKlH3QzFEIc
qQp7qHqMu57p6ikM1GzHtf42/x1qoZoPzhZ/MoxLcvhn0QrT/XtEm17GKe1I1w8vSeGVyVsBt+jr
3hiDVC4NQmxz5YfbQiY6ESD3118ahQRoN/SKF6UnAayDQrxewMJ6DwzgfNVuyP5D79TNXE90bE52
FcybD5i3H7jlPZUo3Az2jrK4ovnbRzpYXj29NhsVx2baVCQJ27AfOan7LTUEJR3OQw/i2bfd+2kO
t1JeVXa7C3tpG4PrppY2r2ITnScWwIBtxkQV0YgkYBAQwsGUZwT7s7g9J4fy6BdEgZtGZyV+kfru
Tw+TIFU07g5md8HX+TEKjdS/cS5c7jUnD3EtghBvZjW40J3NzE0b5myGyoC8435Z2UIXSHxacXtS
u+IlFDm/lbwhpaehq7rNy+TLjbnVOHSQmyn8IrM7tjlJiaY03pCzcWavpPL6gaeLrNzvCU/qAcdm
gOoiWNGfNzMcgtUdsDRZzo7+b1V/kbr2n4xai0VR9a/Ge03b8ZX06i4YJE6WOAqoZl3obX+uTS0y
DVHk2ONk0uuElGtaSdhdEUZw7A3hvzC/jTylCZ0gIZwgX5/w9xihGp9gGsCe+fCaOr4H4v4JwcSg
E1a3nqd2q8VeJKXxp1T8NUVFmStUwR+n1areXurBzc682JqN2ML1Yxt+EFYlX4J5mB9t9vILXBP4
SM4tPxMmzYYfbI9DCUTiKm8WW1YJ8iss3Mgf6F7pNxi3G7SSY8vE7757ma9QKVNzHgUptpZsspVP
hIOgZtjjlOmp7sXCn12Lo3OHHo5SZ1BJYWcoMzGGwRPecqqLc577lmWom1zfuoyqcn8vjueCInOn
9uxVTt8R7yaoZ5gZEWw9kToYt7bEF2cZdnhW50k2T2cGW1Hi4pUHrt55sjPrMj30n434oRBeb4lG
gxxrzs+I2R6JPZMKFxZYOSasZ34JAvgYKklVrAy62Kup1AE1IGAjEFeys98IMU63FN4gUEpDpuMx
UW8VdYV6A0ftXPSErEnK42KwaFM6Vjvt2raz6LaooeQKZLPHIv/cbXVoIlqOMmg5GG8o6vGmiLF5
oQ97N4fjc1jEn2WdBjG4tTQfWq/44fJ+NZHk4mdoA4HPpyuhcgTqClJ6xk2KB4YFQnRSuWs2yH3J
67SscP6RXSufbFa4YuuQsqjveG5SlKJ7Ztnb4y41kn5Dwaom2xGOi3jRsUIXtky2v1oH+opqyWeQ
V9RiLC/aO0Fg6zIq5qCz1Qya6+LDusx8pW2fN54Ik0E4i1mxFsO0NyRU5Tl+OxB0/Bz7UZ3cCzie
Fb0g9CEGAGNE4qPyKARSZFe4rAbTm8uOX7rjy9IBRsO16zYc5AG6d0/ztwEc4hjMHBIVVvhnGtpY
GbHrJUKz4LAvZNYk+DbXJ3iDmzcujDiFQZcYcwPSyJMjQjAB6M6ElOqGuopssq08KD7p98Z/i8vp
kfqhQCNXeHsTHw48ExaWnm2NgeFk7kD5EodwoOx75HwVqDInFK2pS7uX+YW3P4YcjdUcfxsj97Ts
SFOWgXBXymJR9cH556xc4dpjbdsnQ0pHnSrxwOKLm1oTytKfmNnILnlQM6L3xFU0wcMc417CeCMY
oMP5AUNf1rVFkJiThn/aEDyBvdB9jwsuHYUVa9n2yucdHy/Wgkk+HIyy0/lFkyVI+kmJutjZGxKM
17mwlsqZcVaN0eM56Vq9x0NvvaxZLLLLubjmV5SC6I0cAvralVIpaV9LasvJSXtQA8ov7edpCI0k
JhCzbZjadEtvwYCMywV31MVgoxfHv/u+PCZsVEMp6RmGZLehqN9G4GlppHm3/IDeaVZ9ohYyL5As
ChgGDS0DMwz4wUyldnwZcz8vmJtkW6DSQ07XWTnOCX9k3EWQx/ZBZwemC9thTsdHbbnx07TTphP/
TdhiLRULa94nEJPG5E8KRxyQvxR3hB1W+g8o9pIoHxwmtkJwc1xc7hEXgGeufaqc+jH1R0JW+tCX
ULjJFm3bAVxhQdwV5SMh4l0EoXeNe3QsKQQxKrBRRZGRMF9RPd6rDfxkzDW/Q+ZyLSMCxvyOhmyQ
xZ/qlaeuZ+iPXcG/xrzix1gV9TGxNLYxN74EM1UD1wzy3063R4oWSJS+Av5glePentUzDE7TOb9U
QmkPdGA/ZJlSd/Fuz/5TpbCzicMECU33ChRLUgDkzmIVpai0k7iBeIcOaMPJD8O1/++qv3z/l7Hg
xOjti2qgmeOCRlek7LVELk2PeFI1NKUqmT3TzfEFAVJHd7hOFjPxn6DG4C0myEG/LMXe1I6V7NM+
qxZE2iuxe6NXWQ7nfuAqpTQl6Nu428zczNrzd0hbmCNGJMOHehLEKv0M3gS43FaaMqC1cEuVMpF8
OFtRhquW0i2xJXpQ3ZV9etwQCvPxiZO1EeagrxrlWT+bqC1fQR7Oi2GnDEDlkAdD3GCWznA7qInD
dl9xGHQBvKEuwcpN6/3Mw+vyxcgKlKYITqCN0S5GmnOLik9YoIdBBeHTovRFP+twp72xyo+8DQLN
8Vf27V9y0Ggvk2gF0K81XaZz+BHzF3qpBH0v4WMCugX8ctRv0FXYc4QSIWbnSXloAGQCy+4GOAxn
GxPSS8gOG6xHQ9NlutMeJIEIl76dFqfu3Pj2ysgwht3hq22SdT40qq/xV13JsvyY+hp75M6AJWew
B/dhcuii4zT1ZLsjW24wcUuINiDcwvSRsXNZ+z2lOFo/hw9HSz+mw63YQu88UJwJMMGihFLjxxJu
OrHqWBa2ItybBCDSZPgWiz6jc0bfZqgtX+E7Sk09qSBr6gl8DMI1uBr7Hfw5LqNpOQDjpbgd8jrB
ie+RhnoPPA7gLM+j1icE2+vESqEe5/hvAH6wVrBA4Ll5JLwB0Z0mPfZjmIULr6JehXbpLHKmN/5/
9swtmpEEfcKvVmMVS8iMW6QMuPuHSWFi49ekqnOnu8daukVOTR4J3Bt/ppa/NT+BGuN1pT3xhReR
1AIi6UMu1gaA5z1zjwh5Kxb/ve3kOpOVkZL0EefSfi/1JaZUmE8lymASSTMHP9HDCt5QvqRs6EMS
kaod10evVLq1kQ1s9mR0bSZRAhRAuXH7LDzMNHdG3NVacsAOUUwBx8gz9PLLtWQhF2tQf+5yVxmR
0GsS3PuGqyB2Pi97eQOBeHP3Hj9uu58h2RQNx939e2NzR5h7nC3AxbidxAdFyTcdXlN0cuHNqbcW
D3dvafH22HUW/DrY9Ncpvl8zLDfB7slF/CDixfPiFlh4JHfKQfC0Xt13Q7xcs7tYHwtTomIf3Pny
m+6jm0sWTkDB2D0c6h5/8DIEWrKbEqwjTfLil47DgolHu8y+vL+UzmNzcsQMBwR+u6TKPp/g98R8
BOKN0/oXpqLBj5C0EPWFx3V12NelvbKWLjY2EGsoFnbArfoimXmf8FRb3bta/tcwm0n2mqUuQCnw
gbKMoKtux6sauQjLa9qq3XIuSQI3cEAUkwO6cfreltPkHpzNy1Bgh7bI/+euJavOBfqAez5N65RN
TvNROnGKX/sCEAdMt/Za1Nxm+vmBYk4PAILG1TNnBeZE9+iZ3rDvx6Xm7oCBKoRyaA4K7S5MgLfV
JeOhQt/I317TcB2vj7PzDu6EuhDLv+rChzR8k4wjItXWyCyJrFDsSNOmFD5zPEJ4N6+Vpkffs7VL
NMqysZZmlDK5cN/hB/Hen8+1R0nCmnBGCShvtpFrjCAn1JKsoSaTqbdjlRgqfIRXcoAhBtAbgh2M
NGJn5HZ2X5o4GrfXY6woPPyfr/5t8mHEHmvg+r4tqH9oLduDWQAjElEaccT8QdC0LpaCbSlSRMjP
U4z6vt1Yz6CxfAqlCBI5WpLmWojkKCJDGTeB5Jn4U7zuIUZfHFELVlv2T1cH3V+Sv7AUUFO/eIJy
S5zyR6GLgF1e1+zcyON4uSybbZ00ehsQqzZhTM2o9JXOyOkTsUAO8LFr1POKiG8bXki64N6VK9BZ
TrgaPAVDbnjh2wpzmMamJaZTimdSozadQ7//t5rGuUek/TdWGT9nP4qVp+jfo3VinkdjU3I83tXK
/JuZBJSxJVa4cE3Omt/puGir8ACEipISpFzFhAIngtLE09gFq4OqLKyoPXToVlcCQJyGJMQnZ2L8
3jUBJu/ftvttolpPgx1PSesUDE0XSLRJ7tq/us/bHw6ojnpOki/SRboPa6PToquGBZfkVlypgRbg
f2hJDcTLhbc8M8vsDI6J9SKJhQ+V1p3CtuRbeJvntdqzxRHoqw4+FX0yOYmaRT02O0PxbYzLQGaa
vT2LLSPuOBI2+OhHUTACDYZFSafQQdSR6rZuplHCTqHyvRCiHcAPRwXi8j/K1sOFXqKLKMiBpVd+
4LU2KKexXFwD8tLEB9wcBm24lpkGTuKEXA91UbnLxCZv+bTbYXljUMmPhPzEMebfE5QC8IZvCb98
EDTl+eEQNSQe78VxVkzk41b0lguRLGJqBo+0YCIXm5wW3B/BUQZ2MEVU5Yz+WqUlRqgB2TGWhm5j
eB4AIfxUt4vSIoIXoUEO4w8l0gUXuKB7ZYOcsENc9NfhcfN7xX5GFKp/vpWrYmhm735K8F+PwL3y
VvbfwaYeeikfHybMHcCbF1WNKnpAAh7Z0LNaI57JPMWz8ZXA+bHi9RHLupJ1w0G0ReM1y3U8nxwz
fwbY01V8alDcQlhqFkp9PO9n/XaBIcp15qU/p03afcNvRvyEvRxSLAApRU7r7KrsOyiClfYgoey5
O/l7a7ekTRwc3kdTYMA82RaHyiPdEq/CSEirp5kGzqYU32Wru0Je/rBahVkzYFMUoWFRRcXJcLTF
5aZQGLYwaPMGsjjch2arZMBpqxvbWvJkHQyRJ3dzyFbakO41lHrk4g4djYgGMdj2GeYy0htKe26/
Gl0oKbm7tfYaSusL+KLxJ6npaoJ81xDGKuTyOCdhiovrEW8wC3leJDiVaMYSqwF5fNTFKW+JSWXU
95B5bVM/07gDLgADdmhELB3NGgYquvX75RE/TYW1Uz+eJvn3WFq/y/wmzMRSlOWpukDRW6WWnKcn
6cJO8RlrX5e2JkKWli/kjtumJ0E9FFF46bmHUiBf7ehaXHE+J8B1d98YiDDDeI0DfJNKjSNQojIW
YZtWb+xqdsMSZaRInMiPXVL2qSX/miT5DxzJmgaMThBZXxZTf8q3YRWxZ0e/N5kOUBj1T05bX1Oz
MPZ2dmM3McbqWqlv6kAqtxi66Qf6t6wqQDURKcKSlDPbJqY0yRRRqDUvsjDgSHmJOF4glUnlsUmQ
PMR6ZPud32MbeGRjpvyZ5dHVSythourdA7bYFei+PnQJ6oxlhY7g0aROCmYeJR5VeUOEqnPWs5Oh
FNJZkmlFiIT2J8O38todKngCU99hiAdWxpMKrP1HCd1SQq+Oyx+PzUIcHlEnfa6VVaoXFmkW6G37
8Esp+3jZ5F+iwf5dYPK6KOhuPRa/Ai3czISWP+jw2S+VE9QoZmrNL3kdqVbakdzH6N7clB7ZyzUh
Z6gH+pP06mDCjdrhZWAQvMCmqfJ0veilwonAt4LvCLzIVhoqy6RhKlDtIps/eOqtTmHTbyqQvEoP
EUAtIzuT8z81N1eSi5oXWUqoLw2zaBuxeV88TZqDTStUMGK6HjRovN5gnoK2lAqIHbFa1dP6P8/l
bobLMkLng4R7ICNB4gQ64zqJ87MaVEOtKLAyc4/u88xFSDY7h6fGg9SpRdE0wghaMrvyrjNCVG8p
hlLgHLtMnv0VHwRAyHTcH/3+YN81FGc1N9l/4eX87xe/MNFFZQEKo0a6nLiPbu0AhyNN5H5PI4St
GKIltwZTgSCvi9NDwRihAWyCKGVsbjQFtux7YVlVp/PpIfhbVkLryFMw90j7DBBFdZwTJ+j/uNO/
K3dfqhp8UThtwac5MSxJDRHBaSgali5qa48wevuuJglO5v6x8UpPvEHHYfp8QH0xdgBwnb1/mjU0
Qm1+WTzyaEZJQ5RsUtLC7OCSy6Q/NGWfcdAotELLmOyG4gOe/Ue9rj27wt/wBB+WgeklGAkFNLeX
v+33RcsjgK2NLWlt/SKGJYfDlzLByh+BBmR9T0vXQR81D3rEk928jxwVDKoM+ZIs0xKrg0QGQeUV
vnsTILS5rIoRIVDlOjryUEsdz2zeaB5naQ5PibOabCobLm5vXkJUeyLyvu/xCOQEOAA5GtnJI9NY
/g7L19O50rdwXCXn5ke7IgLOmxc3S+FAO18UR9n1HZ6g1DohDlbVrRa3WFHtm0Hxe5FFndud5ftZ
QZovDAESinjvnAdRcC8hyVHiTuerdbSttN3V/hJ90ay6UOYugz1cOQtxNn+DqxmXDptlZxry2dPP
W/jTe4Mh7TgqjAzyAM4gRfhUT5Pns5JAbhv8nbu7eFLfmf6tFALYPuboPQSd19TWKrt68EddXmQV
c8SrBfzWpCj60QPmOYXg4R3nPCGQjklgBQQP9eDEP6H9RujTDGITMEAUXk1wQqQGCDYbASVrQvLQ
8xtYzOp1Fb+90Nfv6aP37MIxkab4JYO9Uxkxz4Nl2wLStU4Imbqgqr4t6Y1GbYE6YJ5dc03J9zSx
M5GPs2dRzzx/UlTgJhiikbirI4wBuns967H5wcaCutACazH+gu8hRyvXG/Eny6hE/m6wmi7ZBoIv
Y/HD5cCaagV57yoW8OxXGWZ2lNr+cgZPvwZMWR7ngDnYOaXJ0BOUK7/iUmAuk4E3bThQqZqSKfXM
57NRyK3NANrlhG2t8oR3zrBqWmmpgJLtQy71N6rHYA6SGm/OQ69gDlbr5PNfSSY4Gt7pxKrSZf5k
mi+H4ghHUFeenzq3uzpyI72y61ygl9fZWA1RL+iQIvnp2GzDZu/QI8zVcooK/goNxC7QBBW9fcwG
bS840q32YGb95+1yPepZ2Edq+/E5W8eSdkzZ6qxDQQVK6lkwnJD7Xh9RWwx3yY0HLg0htZSMtaLD
c+642aX+REzvRfqBruwk3WEcASHQjnGH/6x6oNXAQSNkSXOor5+ao+gF5oEH6uNNFrRVPCdcyKQ2
HajaQqkQB5uS9rDyf+Iyms7A+sPoxIbpsBcVF7PXK0QEngMZV2xmddMI+LSW6UnX1P02stsDDp9s
UDr0PRltxjN/xSeCxqtslkAxmfQasiAkf4MYi+MMQMIixSNJ15P1cQuiokt8inXFBjxGpPKD0F2K
D2wUNJHeBjg7fQQr7DS3NxMlqDRJfk8JJihEHwmV9GeTZsuTxKnii87R/HWUC3ex9/DiJ/ruhoP3
mIz5DVOekxTchL7qQyLyefT+XkGe2Ex7UB9emHjDB9yoV4Zx7sY70lqtPR4UP3SSQ/L6tgrEf85m
HlKHBHiCxUGQrdDvfBz3KSgyq5x0slhAAggtlM6VFPuaySy05NAz24ANN1Pc07SqAgND/Dk9t5cx
H7KUfKrGE+VlsNAYmqa3ZIUJ3rGzodGKgEgsZsDNekQWJWFKeWhQwuLYoDvpsvfD0dd+1iPIEEmf
YBXv30g0CYrzhbQ3qsttw3HaUL+hPynlhVVqz5nAZXjh98zbS6+S55l2bjsz69cWE0RHa2Z/AU4l
PKM7ucvrLe+qCJHqVls3ks1DS0NrxHf10/PxZ0plU7uuoaDCf2nl82aHhOAbtUjLedLs654Q+hal
pxue80rvTMvZ0IE59IEatjmn74dgK8fX8QE6BF+Se1Euoehftwpu1cWkwIH3zXajKaMT28GvJiVa
o4owgiAgWM4ZW0nD1dcR9/ATKdFgEPp+ulqrVGuQK+ijRGrcmkKFfqmM+XlCOxVCKQPtYgCCJ7le
5+JK8XEZ8oMSHV7Y4CNkPav6qtBzzkMGxA9xx5Ac1gCAH5qYjR7mg3com8aR9IncO3BzytaC+OUF
XZVVIENd2eruqwdxFiuHSgGSZKUK3z7uFO5h3v0pXT4gzsxyiLZAs6q/jqUVtIG9rUZTrrVsFRND
e+PcJPmHBvMP7ZObDqEQ1vD/L70gsA772qOB3bGR9guotr6AeKZ9pAZaapnWqx+wxlna6QS+t5hM
gGW5VSQiZxn/JBZmpkfQeRyTDP6Mw+1sq1iJ3Fp+pCH5ZvH4CHvSqzn0B1Y/NlXNrk8akIKHb3kL
eD3Cbr9se2YqDKlyimnFBvEEdtT23Ohjq3l1eiEOQUTWpMFt71xkV7UOrXs1yguoligoYStezZsD
EhtHV8U/vwsHeuMyLb3tU0XMMcLYWNrt+lG7n3l8poWL67cVLuDCNRJc4QzpTYUUXKyKZEX4aPCo
7enYtzgujE1a7oo679vM9wRV30+iCZ4ku0SWH6JY+7z7FCqiCX1MIN9mZ46zwy86TzZLjNfQZu0p
tZTlE8Ai6A9M5GcrlgEn+QKqv2PPTBI5+Waj9p460U/DbSprNSIZ9wIro2gHW1aDkVvsDwKEO+Zi
UfjDQprG21WM5fgO5dv9uC57AW1Y03207cSlvh+dazAbBEqyUj3prhSWCy6eNWyeLZ8fAqr/ELfh
B0e8GOdjuh7ZEr/zDoTc2YzyM1HIHWsVLbBFSwBX1/ul7P3JUXQLlwDFgv2oar39F+J9szaY7wwZ
FenNVldPwydx1tr7L8a9CXdIcpkGhQYPXzE/58Ai6WIuuOu6B+of3V7FSw9ukNkgJfd+89LXnavV
hoWM+8hZ/o0nzvr0W/LwVfzt55MfT5gwNryvi4PXMpy+kkUsdUeOSSg/oSwVg9F26p+hh9yTCu1u
5lExOiu9qALB9IhgLNGc52fYrOH0WLBwNzCzt1APsJn9uKWiOPzfk8RWEN6JVZpjFpvxqh1wovao
ImwseF71fLD2P7Iy21HUfztSc0gPg/Tyu924lb55SqYZsWqavA71EwqsqMDrK8Vu5cS1ozBaKzbF
RkyJV55gjoJxwIU11PnOKV3EV7i/nSZYvU/DAjln73ya0LIcmbk0PVqyF6LNbhIMhVoZ1LY73Q68
7CMyGhAABvdGbHjP3+G4ycisiZ8GKcJgkFlnN5+l09VGm2QSPJt9HOwLu4ImbssNHiXh0S9g0GnL
UrUsZRryk9IcllnOHMz09YoRc5Qzw6/aRpIo4axP2gcAqkS6Jniyz+D3rIWstK1a0Xlhjx5rBdDN
PlEsjlsGZvdz+inyhxwKbYBhe8Y9bx6uMmbW108IkNSMK02PUoPh+KrEDtqrPCV0M4h6CzbacpHv
zuxBE70LybPbWlroLCgFttx3HLADfbu+UvatyS4FnLat9Kr5pP35pfSoQLw5vdFmvWaKazgR08W3
X2DWo6hUH9vYVkQOvdwDanyN7D95m6rg6+GYARFTaGI+DiC14UxkZJ/S2kNYWv28pqTdbLzrbEM4
r8xWY+oNE5s+6OLtJiCk4c32DuflCzSszOkysZ/v2oWrlG8DGimGATB8zjM7u4OM2cwBlCgKW8xP
NVH4Cx5M19oDwHzETxAsNUmfSuIgz3dxR69P6tgNkiek3nseGlv4GuQaWcOofBatSOsWvlRgIkHb
k+5ZD8I5Xss4zZAo1r6nmJB6hW6IMauCGsOMB6xZsF8Xn1gqrTPV/NhLxzM7+JJdWNDdOi6F8A72
tAvzxdZZuWO4hypsUU5haodX1lpNZ4gFh2pE2g1Q2WB2Fn07pWl2Qb/oomjUV/WRynFUHkmkYS6z
FrvwPR0UduVSZ+qxEdDzHwhUrjTicx54pceEGyujs7cWpKwpulE9jGPmGtC/+5pL+9hErQXoAeCE
da06oRapkll1f0Xln6sfieZ563CBSEhk+L6YD+EyUJKAmySQBOfj3rrK+wi8AvL9W14X8Cr0NpzA
nbKbllnxS3jSu4iF/+V2xhSIgfLSsRx7X3Abm8Ik2Lldx22aglMCIO15d7nLPWG5IqAlhglE10sS
3dYMp9ZciiQ7v/U7hECDJMfcHq/lSS9CKK5PVYc3ZtNYDR6lnVVAdlyjOy8bu2sVIQOXr/S7azbp
sGZ4Uzg8WQ2Kic/KNbeeMl2jfxc101DwpWv8FSdKpv7nlI/6wBKx7t/Y4qGOX4LQhbSXbOs2+6ie
/gScAvtTPFWvWpQ4B1WYUxwF3p+0rvlr/JCC10buPU6TQf1+QAGKVrIisi48gUv6u1cr5qap1VMI
sjd1gFNW67nd/Jjsux3yDNbySy23yKS0GsGwvO0Zs4zwK07K0EipAbverm1Mex0ByPVNsNNjwqhG
34l6Gy5vpXeJlqpuQYVMtUq0+0Zd7Ds9350OFgwTCK9tCt9oiu4W9u2exDuwhAY6CgWouSEeBKD+
G1fY5UYdDeBktGsrMJB/seusI2oERyB5KNg9T5pfCLmHGa0B81jtltLHEqXCHR4RGbUPfLa4JibU
zJGVYBGUBScE7B9N2be7X3hQhij0bQ9Ftm+gZuIOiISwmcPNrJ7w87K4xiwotln/WSoXV9m0ez21
3fYUHB9gpzWshnzfADqudf6CPj+Ty0qxWHzyE0TRzIn8tVKJqt3MVNZGrsexttTPAmXSgHesdNxs
sqVxPbghvE7juapEW8Qe4Aupspk6vMpm6AEq4TG9h5UgxPTdM6pgXCxAHR3/dpWWk4TzBfN9X1EW
oaZMfjuHItv1l/iCZf14byv8dm719cbAxYKwM6BE+PO6ISykO2dI37TKTzX7f0spfzQgSk8rwtKE
5P0BwAYxrBmfzK7Ly/2KlQDAchN6dqWdRkQX911iQCQFFS2hVKpb7gqpq54r502OREIiezNBRhBg
4w9pP+0d6h0gyJ3S7fgHP8NNqbr4zQABt6Gwj5WFaVlkXwAl/uGSbDcJFCFida6wJeypkai5ClYF
xp+qOZU9ptquug8WsBVMIkLE3HsWe3WU9WoRwPYkGQq292EWDXVFHGdE3Fl/EwONLjOrTgEmF8Jc
o6PXaycJjpxsxziYGKVngH0h6Ir6b8DF2KCV/LYvoua82ROQWmP9SGB9Xiawc0nNupa9NfoHig56
8G3aiGpN2eRF4h+pXLtQeNLI9+CQw1j0QxtMwlJhoKbNaHBAW/DcGMdPnU8K24rn2iMpDjHrg6NW
N34nnV57MsavsqgewghP3ULN42jyqv+SKAl4p8LoDpcdXP3IWcJIf6cRIo0OYbZijv17a5sN5guK
SbBrE8mUrUP1rZpSy0JUvo3msI9hVjR9FYTAsqPuPedth8dUBM5Tn35h4SyFmwNYUzRlReepbaPK
EOLbfzvRxVRQUq576KokLWurk3xc3A1kwm0MKWZGi0C21bNe6L2FGPO3lfR4WTOVzTkU5NY/lGgZ
2yrK/ZldJNmWoOUI5KdBeycY0+D65QF646V/A6HxH5yzLsEZ1PBmx8EJeSLfkA0k2erRW0g6lbgj
6IAQNfK9323wtHWemRsKH6or0jmkW18Y40pfAE8swUDHCar+4oOtkxeNBmihJX2HKWqTfKRUVaWn
wbBpUNsYcIeagh5szqghSjrkO5gs35bujQjHDJxrwY+OExfOiy8hEBdnIu+BahufTf1U9OTgX8+q
1jxiSTqhUqG7FliU0CEGIyTiXXRbEiS+gGVJ4SXQmJ8ZY7HTWWAeEMR2OE++cAN8PrGA+TqBFNpm
NRgBuoKW/XXa42yj8bOJrChHUwC2hoafWO3WNtYu2m2Ltkj2BvZQoEtx9rbt10KU+VYP2FMP4FrG
Umr2YpAfTdIQg68DVnCvslVSvAGJZW4Qx0T/Lwt9Sw6B/yR2iOZ9h1sW/Zv9diruVwA5gYCHFaB5
wM8iF4ODfqNTyXO84zvPSC1+SUjiLf7CCVg2KaClv35lk0n0zbrt0RQuEYnhFeHvEJ2gM4ulRSh+
9hYp8YdCm+lUpeTYwpxJpn3mnpo/5N5Fd7K3aY4z8Rb5YPWH/BpkTTWg7ptGeFUyb3TYKTK+DSFt
k2le7Q6jPExYpu//4VawxoPUQX1Bp72ia1G1zgOj8RIxL7Qb1U1WtBdu0qg9BFYYTWhyU95sgxzT
A/oLvbusNX85d/m3V49B0W+IEgN+steK0uDW9C/Ce7nVtfxtMgzZd7Qxbc5QcOw5uBtgW7tc7pZ5
k4kdUAHouZbLMSx+0dr1MJPkVPwdEjCciUIxU/KN+6qZ4DZl1KLP/S3U+/fGuxoTCGsxmG9gTOqk
PxuULdeVEtdXvcjtKGISUmN1wcxkyneYPVVtsIcvezZ6N5DlpniIQCmQD5zNCG6FFFOF509HCvsS
zTnu3B6v7Vs2O1LNl2dXdhcbmA7Djl/7mtmiNLwZpPz18eDXP/0hQcejwMSUui19zQX5Gn28+8G6
gB278Cu0WxZ4Gfq26zZeCjMvd9uQHnbJ/kBKFrb+QYB8GAVlcxsVs/tzcs27v+sq+NgGhWPrNsQN
SsCKwS2o2TjRQblKkA4jBUXZXEq4MOWBjAxZC/TypMkmEvteMO/IFHpljveSTfV8KOWFRaPs3MUO
72RWe0jL1qB/T9Uzu+ZAjhXEXuR+wXfWM1VnPA5vEbn41RmhwfQeIa1DJ3IYmmZLyksQGTqnBtjS
N4bA7Sc+D4NNLRS0K+oOFst6imDurH3AS3juxNeU62sJ24aVFeAPG2CUatNZDOhH/XW6oCCQcRvD
TndAUE/BosTUAUKVHc/waCWsC/O49ciaOx2mC10Ac6S3b+Lu9Q2PZpJQwkbEZo2e3wtTHqsAvadf
gAnjcoFmGfeGqAHIv4jZHRle5QBrWnvLFge6tCbTMY/TOraKmNhwsWEuec4cP30g+H6I+XBufUWS
PWYzo2qLh74WADC79jW5EWvNstrS9lz1gsU9j03UVx8WxcMvdUv0yViY8klWayAzX7zwfBD9YMwt
uVVxRUIIn8k6ZpeI2+46BKP09KIvxS5nhbq3cbVMVS6tAe9P5YOjSWcUro9eqp07WEZgNWTwIuT3
7hbNyCD0XfAVI3Bf6vehj6bUASW2vIlfeIopOwqYIdXs5tHicLYisPKOnlrycbhEbgi1BTyJsODW
PSSttetHK+1KEmN/wkWCjbXrkzPjall9O3GZCM4UYTSJ1HCGpPfehAhU1mO3aM2X1pFVhAwoujsc
F2vkru8zzuz4fvCXy0ORZ0S2Vxnq36GxUkybVO05R9q2JWuZKManp9p798d2BDtDafhljeUMRhYv
mP71GDVWxrCrahEGXv2njq3GVBPvj0YDygXhlEDWGRI8N7+zys8K2LYiwf5Uq7P+el2KeW5XKBeO
jVWe+9hPsLa/XaVs0VsB90Jkxi3wU/1thSQxdSpPasR8/YlqRNVIRs4lMrfNDtlPe8KwvTaonuQM
IZwAthmDh7tuT/G0+PlE/5yRra6/Aa3I60ofLlU3kvAxoGVX/JpPMmlkQz5nS0qdR+syelbEOfEL
GwifWoo8nsv+PMHN4PXzq0dZm6QS8QfLfhr70+U3VaYEQ5VR2kPOO875fzGTKw6YSDijPOc/yLhO
PCEof8ycnu5Kr9v3XihmHTIq2cceEObs4mfaq2g5efKhXDuGhxs936R7BmICLGXw1yLvrmiwcklL
AcVQKg1Ob84uaEf/A/nHjpkx0UL2oKCT4XYeZ7HEz1KogGXihdilM1N/76mjarp7H6/uzukSpBDP
BpW3GatBwUuiV9Vso8WY+jzzLFXGRN873Oyk6hyJkWemT52xWPINsNydfzGjhVucdPUgY7Bf/AiR
vMOT6rhklpKKXDGa4xvNDU7X+IOonjX6RTD8l4E7E7eQtb6OJAdnFQxo2Q9kH5imyVzaYf8SnEMh
EvgsSoBLX5NGvl7kPAROyJzbzIGEe2RTtMgCL1GcApGXhWI7OYcaP/nIO0EJWwL5diVMVPXC+3R+
Z1GtRxFFdnnCcfH1vAJtHK/JnUjXmVcnzp9/NeBlwQ4H+/wgvtA6cKaIhgzN5Vbkli7ru/jRwFWu
4q4yLspgkD2/rkGMNQswxO2GI6huOPYIZrDdlJ/r9K3ZHil9d8JYMXBbDga87mcYI5FTNB5nreHd
k114Jc7P4k9rupSDLVZshX1J3IdQCYk7MGUqi6B7TMQQbbCAGgI0KslqbwKLj1905LAFH0gE/Lll
PBIATpXWk/td+T6qa919gB6QQ4zuU/MSF82OtRip/xbPb8VSiHHbxd/xP5dRTCfcQ/uVIP/dMnEA
iMP1dVifdRLZNpPOyueKufx7cl/+F6UKVCckQXaJkmqXXMAOkwcPdKHbZXZHi5APzD6KZAAXKyvZ
fmMS0wNKHMnUhBvnMAXqmzO3Cb4OyuIwxIoSLdDfZzzSdCoE9Zv7zpO/NnbeP/9KxRkABMUk9lqU
JJQgckQzU69G0oRR/CXJ6RZMoaIsdxKIFvq54Qw58S83MJs7g9QN2e0iXPwZsIpq6CvMLxlyVOSu
5qNo8K5xYl7fpZorFhVcxPdSe9GzoRL0dz8NYvoB0aXpS9mxVvSXa0cIPcsOSj2Z9JFPtjUo+gIM
lUWy0fTqrIbaDD2qYLuqZZUTWUGFIaHnmDVvwtqVEEDEDO7cH6F6Vf7nofexMXCpdha3zDC1nxgF
BhMxWRJMos3OIwNJjjjCudWT6AyQRiBV6wOFhkFx490J99q6+sGqXgFZs8z2kFQKtPNzTFwvdmQf
oMQWXtngsN742+VrcjoUuZkBwOliwLGYCwVxRQ578UwX0ppCst2aokzjhv4ztGLK87NOm/nChKEd
myq37L5TSjfQilrtJ5/wp7oyFJg2IzWcOY47qW9H7b41z+ZRMewC2YLdgH2ez67s1DHQI5HHfyrS
ZiAd+E4y3BjYfTTT7PLkcsadY1iz2OV6aKok869jU9G8bgKDa1JRaKLrDj2J6mKBiMhVvaq3cHyM
+qBJISTjKZWR2H9GYU8c4HsnNKZ+7ybIGGKP2tTYdDm94Zr6RUlCWFJnhqkVO5nhn7M8gn5jzBjg
HcOMu3KbsIFIdLkn2/7oJYhS0McUuORk3Ngi+aqh9ucpFBEP0sTiLbov0hLkz4605gD8E2TydfuO
tpkFtwsPN/sP0lLJdqbPwGtQS6+hGbPoVTpQcS2FfyrUFwxTPJz6msrWlF2qlee7bNloGfO5rSPZ
jyw2VZJTflkW0N3AHokUJoFaFgvlG20VD8rWx9nNcdh93UafS5iUFieo78t7y76rQ/AdDnkob9l/
WMrZtPkWDdZQnJ6uV7mTW5bjeaG5vp5wGHKSEEvTHqbVpggJc1YqA7uqLSgC7+Rq9aYAxm7/hnI2
RA1Piv9AQc/6vvsF2rp3vqk/xntO8ngwniP84WhvHTpO8VqK5BLG0krbh0QWZ9+lXL1PjIJk6B7i
iwHtNs/1e5fJCHcVjkQo5ZtPr8ionx2j0VGPUgOVygMFR6UJdgwq/zEsCrADWikqjejyJ1IYX032
8npqjTcGQPBgmnxOywT6dLaVt6NBJuGnHNkr/BeQAtfcaxKKwf6D01g5yIygEwjSPpp00FSXrdNc
RkKnBFg4VSQPRkBr1tfUT2ahWq8YeOXMAKDLhV+/Khs0kyUMAXSCsJFezu/arQsKGsChAJRj3SMJ
WEbNZIC3fTS1EJpFHZJajRnGV0Kn70+Ow50xKNPt5+S5c+nz+IGeQuAAIZk2Y2MVyW85TqCHV0I3
T2Lhc2XxNCP4dLBaaCm3FOfi0PGAV3JuYlsMLfeRGFRfHEs3MGP820+KQDEVvoUrhiddW3x6CDUm
BjWSTJlPCmUaZ87RpOscGkfR6pNybnZmOesChPfU0ULroUr1I/QKsaKN/JtSWw5HppffmOcBHcbJ
hjEViClTt9gNvk/Cr9byEXqriYg26fKpAurb+sn4zxAc1y7JMiJuQvpXVS3PkwQg3Wze3Whhzy3r
w/qeNoArH1SyCeiPafsJ3lUeaEk8FXJoMT4q9NMxTdByxfKTCnCqAYggbF2kY3WfFRMtN2OhUxMk
EL/qqTEDJVlNBewtBd2UmrXbQ9QJpCseYF6mxvbrBaOwQ7GqcbKgdzjp2ee9BRT3s9xE8PGB7Mq4
M475EeZN2g41iPyxFKdldLrcH5rw23EghnGnkEamCS1hwsjtYMUdQ5a/vz5yENlMKL7xfAkI5FlZ
APFB5+lJQYtxiRdhdrWFkc0oxZZW38AjfmCLLpHufJvObAgtmMSSMQw4lHJrJEd95sbZu9NgVIa1
dsPz1j46od9Uvdtd1IZjYEsaw0slESUoffexakGxoaTqo8iRXBs0BpF7i3ynw//X1s/OwpYHc8qz
sSeOzcPvXUJ75ykFRWG72G82kzhl+1LwvHgQ/91i1EeCtUz4x1toRzFT0lajjvToiztDDwh2m2TW
J+Y4YfzXpHkhwEodDqAhOH2YDloQMujcG5rl3uuY0r4AtgM63hca5wbRhrEybO5QleygD83TbW0d
J9Y213XofFWwHKXUzZm1nLF6l6zkZDTQNM21hwMGgccxKLLSFIrKRgR3CjE3zr+wOd8O5kpY76i4
tXxwCDywMCB6xw+LvbaI3qDZWl/GsClg9ljFgOJesBZNNAlM7LGbmgmCBhqloD/8YtYfA9N9fq6p
PoBAqebNuuVgEsinm1xY+dskg0sSyAkIQr8DLXohebN/ZT+8MmF8WH4gAMD713jyembpW1mMTzp5
uOwUoJfHm0540AnKWzuQVS7aMwmgKUr9j9lJtDFx87dbjzmz7xORoTDiCpbDP1pbloCBwdKCyV3W
y2Wiy0P3PMTck4etDTLnzNoFUDddF5p241xBN5NB88QzY4mtvIOE5sYUM8sJiLHP2SWAuR3ccJZ8
Hoh4QtJb0i86lEUoLSeZwYpz/RCz2D7mIn4sWDG4kIwh+AWYGovXFuyr0FL2E+2E29jNrtkwePkU
g9nppsNgXIzogSuOOlG6zxrsH92QC+rwX+GSftfpfGx9Z40xEvwgy7hDs3tSzb0IKj+6zcifuggV
EWq+UrRKZKdxGJ8aWhVQaqAzdtQy9APA23S6siIuzsetOztEQ/MTkFmFPTBRT/lgUhfVrVZ6ofVt
AXN0O5f8AmljWA1yjPEImHYHOw4uIbMtuJAI19j4KnIzaxUsjee3Olp1omU0a2pznhRNUenD8BhV
rhQYzZ0zo36T1cwwNNQJboM7bJdydKAcvA35PfaxJLI1ayIrI1jX5VPD4GOIQ6lS8swqOaFfRLCq
gin3GhFFDHMF4T3XHaxg4x9vmzNsj3B2KqHMTE+/+MPY7pJTrOXpEFv7XZXAXhoONH0JAN0R2vG3
vKftQr3SL7FTT4VI6l9F6hMZoUlfZKDp226SpdSU5UOI7XdEe+wefgxnu5xfKGSLzj640L2xqlTF
s6WlFs/Oh5r3vgyCW00P0nxnbONtQInqialAJH+tfingFZ6GyE4fAp4kfu+b0qGabR4uy+PnygmP
F1TuSx6F1Ze+lJ7zvSbRAJFLCtjpEWco7opwO9i7uhzLTpWT2QSikHeLDrGnt/gjvcr/kKSqOZpm
GeC9YRgYTWVPKDVssj+ymEcvZNNYLyXlWPQDmSC0zn9f60rZdGGLO89dwYEWBeSDT2PeeFxIBdp7
tf7XaOblcSj5Fww+o6d+rGrB8lgMkatFduA+DE2YLYCwEelTT3G8aFYgooI2j8fbWqqHt5prLb5K
H4AR7or+PgeILKP3WODRnaYmobqh7/e2Yb3UGlJKxoTxuihVXrJHBpTCNn7wnx56sMAa6EHws74L
9pHmI3kF9D4/j5YPh+WxHp9N+M9gjFrH/keVoXPn47ixlBp7ln3l1OpLQ98hy3/m0inD76H1i6zy
JBMWBLHchNRvSWfbKo+4dvkMUEYLnSca28vXVcdao7Cr7YNmBFu+zjzkjKwwuyFeCajY7kxK5jOb
daITjQzMPFUpjKrIupu913ENO73GydsUS6OJrEkBLcsCjHpovtJ4L/UXPJapb+cJzdTpSb/U+Rhl
ThgDX12Zk/8dKvjlLrV5CAEzCibOO6CjyqTPlyaMRWm2byWjdJsgi4Uy3xrnWw/BCZh6SaUxrXSb
z2vp8FDzUXZ+8/nZai0MfSic0midma7alihbY5Om4YwzyLIN380aK98hZR1nbnf+NFJOzmv2LMb5
mcqQgfF8WqDlj6syDdtG3gIJHytcneTe4byLalDiJSetQ71jf2sruP71BalJwR3KliTQrFNHzUKC
C1CGArsxGHLaGM/5V7Ez1XjGCN2p8Aq+tZPig0SNi63b5ErB3BbjZEprHw8f/4fSDGLFHXyxFi9l
q/pK7BqmM1RZfGkSWH4HbD6UuFLZRiLpKoa3zQJDYyQb/UDld+rnZvGCskMcHUg/XaTjVUuAd00m
qdbCSU9Kb2zgzXCDAWt7PLGLSUaKWhlh24O3jBXjTJn3iuN7hLPxgspQEHOvr82MjMlzxx6/X3cz
x1zzV7b08AKsQ3B8ik7btYVJfi/Q4HqDOM1+oRWoFcA4Br1e2GxmG5k0nSDUtRgEWy0lPwm2U+I1
jcjyblwLXPDq3s9ePWppKrLWdwB2sYD9oepmgS8aLZi5WKH4wJn5fHHwWdmW8kKOlEo+39t3cWgZ
394JbwuffQdiv4NQCsfi+WxEr2vSBtDxuTTBpUovdRRcS0RW0EyP1l9IPsRIk2QmoaIBYYGQx244
opGL2X6V2yUE2BLMzjyMv6a9iAh5bwK0ZN4ua8E+si15XZkss1JoaqgIHfSXeEwy6wbMyPPW2sj7
GNdAJ5ych/i8qTkZnr9Q6ZbJ3pOYtMuF0qDZU7eT9vd+D7sw+rTWUiUFadJm6txlhS0OLVBXalDn
rSkP/c6UJCZj8uCU8Kxc83//o9G/aT30Dw2Gq++rthxqZ1d98U0BLngvRBySJeSLiEYulS3D6r9H
Z38oevXM0loluZPRBqZLwMwdxbSGCcMtgfXO0J8TcOogYMYUjN+tiCDP5TbB/8Y4wuU38NClHtTV
1VtYGnsaatkiZQ6E87LA3zVJF8KSQmLcqx9GytbTLPUhZHGo7XOudI84A4PkFhUKtB4e4s2c2daH
sYrWstBuZCjkuw4GGes3pNLyuV46HgiVQBEbTPY6NBC6iWrji07RKzNJZJ8Tyzwp/onRQSYUeaMb
kKFTw5J+Qpy12jJv2X2dmYB74Y9h/WSGPd4QIEmXEwpge9sCkivSLlwy78VbhBq1HHc1dbi1vLog
uUTLTIZGlYskrM9ZXwqvg5MmmvMhTsWl+MMDiD6tQvmlr6lDqI8dfsMZ6kfac7AEiJzL+1mSGsKx
sYmKtmvOdG5blbg7kDsP7CRkOJuVhZGPZNfIvLtSaC7BhgSVZ2cokkajY3rubdKBXfs5NUp8SWj/
f7l3YsoM9ks4md74zHw87xq87zgIGl6956rrsMGtx0KdbRGmLnMSWdNqD/PQBTfeqIdjK2AST3+y
k00V4jZnkrOj9wZizHPDb8OLHKJZCZ8Vk082nad2pd1SSNjHG62gnGX89Pg8aQ9n0CoCtQcZIdWZ
1yfUKg58+4jZDVd4ep0ZxoD4bjuCUQ6iAHtenqBKq9ccZSEkpeIMIhvLG/R10nGLaOkpk8Xamr0j
ACCt083DtGvigNqyJjpfGRkdR4S1RHQbMKEsLL2tHR07X7KCu9DmJnnEf53wH06jB/tKVJpaFPb4
sSfJsVaSGyYrYiQoeM+vlIFdZsz0UdMyv48JJUyU2AdRlKUqEhCZ+xPtfHgkbIg9l17zmSWN5BCY
URASP6UpctPyObTzrLX35payMWXmTKEXCtwlpolY9DUIK3BbimVwjeFfQYi0t8d2U95zEdEDL9O4
bgahE0aDORIa87HFiSX0BpvqaCryO6wp4+pa2hVbIJ0jSVnBqnm0zkeJdnR+qydf2HSdthSaNcdG
LOxhkR2Z2aC4zBnAMMl06pcSGq0350OrMudXbw/MDDvJ9MhIXQbDDS1cNAvIfaFPKNb9cuQp1DAM
7p4eVWVPxNPZqMhxj0mtBxOy8vKocO1iV5/XaejomW/5TGv8OqAhRzc16y3Jb1eO5SxFY/4RyrFy
+bM9uUVZl+tE/cAffkhFa7TmD6OMNks8f8Rm6Jm/xf6i3VsVtyXy8s6BqiouZiA57vth8S8ZeANw
XHUMDeXfSF0iKUOX9wxVxWZ7T46w59qdSCNnFZQo+KBqeyAI94JjA/V5LjpIOSJdhVXXXv0k9ndf
FuzJeIlZAqEVTUklhwlFV+LmDO5yAfpnH60PPWlDKPLwlPDyUOmgdCqFHpGe81uc8Bhzkqkc3l4n
UisIVmC77VH6G0pjViri1vjd7+99k0sbKPcZba6uwbfQx4IPomJDu840Vlu8DSyM4wsazo+zmn+S
5De9xdqARELd2LXRvBfcu9XfGE0dl3N+5XOaWCIXc/yzEcvuxcHuDzzDV+q4qFavDoQ+X4kUQ6PC
zN0Hy0Un+iznFKx3oWgPfH3lbWdzX9EHwZJNQWDGZuyKghKRGW5fly6cQUmCb0PFN60QN6sDflbR
MsaHbrz18r8xgWoQdeVghRullXFcdJKrldcklUEtwAhTdWONZsyjiNt6AmkXI3K/gmZWqZlmSJXP
n9wqDAFTvwsEJ7aMvF1vrS1bZ8YEBAdJctvbjk2KBiC5IDGnDDWAQCHnyKNUhfLu4aFtU0GwEzTz
W/ABwddt8s0+bHd1F1eQTwKRIqi3QkQAAU1vUp/D31QsoPzn6ykVHTPvvg40McCPd9VZRoFtkosn
tfBtsncdEoLmpB8g/8n4pcLst0eb0g8l1L+5ya6cL33aimdtq0HykwEtkNByUCzJqMK5FyvfCg6D
R0i66uuNMEpFyPqcZv3c/zQHuh9JqD9QBgnlOz2f9JCUxVH/4+wZy+FzZfOujqRhx5QVw7nPPrn1
Y8Ie+o02XJxRYr1mAy6D5jK2ArNEDNTpeLJo7iKxxCMRomPt51Owu6D9clIjgpryuj05MtXPkLcc
O7pdGocsZ8MYPEcIhwwFc4IKphpEAVQ0txpu6VuqDu612Saf38sSOz67bzwVAF5CInZEgeW9aoxI
TYL9fQyCmLMkp/duB1cM16gJaANTThESK+QqHkcVSM11zYhq9DZPti2HQLxpeyK+oHxAeyV6mdJQ
eRw5ZJpyz5mGXisylj/WKut3IxmXR5xB7x74EmSkkhLlwLF4pNOlkeMAQFJrZpWmRJnLnFe/SVOl
eYc7Be5+Ejnwo+hnE6+Ovz0AkOghJDieo3W9QI7KIiRQi9iBojAUFS59s2NsnDZv+3CgqpJ1HYZO
P0cGmMtviWAuxQfusjDlsS7UeLIPQaGP4YbPiwKJAO+7seNDkNj9n45Im/EpWB84pNjIGyxqFHoS
vNvoHtIoO3d8lq1ziGmukl8i1a6HS84X284WkiCgmd8hD6JPxJwVibIibtxymOEobp9csParxC7C
Eye8aOS2LDPFSnoR+1IJ7asqQOSqO0V2Y4WT2uqpb//sJfZc3Fsbuqi7klvpkvKVyy7uP/4cdZsd
h+MLV6P93jTH2sFEVexV55p9d7nutPj8SmMOOyZ7Q0ThcB+EMKjDRVTMye6lAC93G9eyImQDF9Kj
mM3FRMyCkttS1xBhumFYn6S33tAVrDdBrxCegL1X8ji5zBp0KZit0e/22bn94CQ7cHUPQqo9IJsU
xpDXb1rzgwg/iGqgnwmeTg6Qx1YjT89TCUAZQNVfnZ5ZICCN4M94tREUBzbL9SYz5K6KQUNgXNeV
MhnwoEC9+KD16NVjqMSuvvvaQPep4O3VM1KieelSrQzFPQkBqyDwmzUKF/CeH//k9kFKtTLGS/aY
ie2xHaOLzQQTBEq7eTQtGRCanGi07Bqym+Nt10loLI6fap3f2MPFhqbrQyc0OsDu80HJ22xaJeCj
nXRciB7I0WLzpyWb/HuB6yXynC//kP1kdFolETmnU0mgTkZ+l7tzBHZUZlcHizewO1cg/OT4IDZ1
s5k1rkWhlRlsFXAEcBvUwrAmc8SNCltrTRmoJzZhiHD10TfdZN7y9PACg5SMg18v0S+HOFhmgLt+
k1F7GdjJOtmbMHAQq6Q70E1NsVHEIbPUwLTlpKSIjt8BvN8zjTvKiUxTwBhsOjtqQVhUfQzS58/F
zI7lwyzzHKr6+oBX/go1BxoVZaMCuNL8UxvnZBlP//Qll893IN/4Oin5jj6X/Grj6rwK1LPAo99o
lAy8RdjnEqvnfl7Y1m/8WtGhPfNZ4zVQhCb0GeE2BJiFOz9BY6EpPKOKhYu4/qOiBlE/MmH49k8K
3DKxBm7t8gxwnoXQNuRKmV202hhwyTlrtlPpcpdJ78AWFODqdmhiagoEfOFmL6bL0Ioq2XfaOtVn
LWfKPQLBcFXg8bvrIa2CCwsznu02KRw3CSCC732tC3xfAW4Y/GkJni3cHtj5DQGmYXnggVJcda6+
DoISzNh2oHaTrfjnEiw7tqDrG1cX3CWoEou+IUowpr/PbeciYODAy02btk3iIsIIBG8LiDb97U+A
XNiRjrUvMUFkdiXEio7sSLW3jNmZrDqwYWj+j4skcYb+5EZsoi4B/oA019Ze3eZqiuhLtJFDmKCE
nHIAln12r6m2ByECTVOw1GGmf9qAWf+NB+I9MsdVgo1wavRklovUVbM3ohc0jKcuFD1Z6H+/acKb
uNxZ7129JixDXrsKXfbpcyq6i9OdIrgup3y88K4ONyMs4/jdsojh9gFE3Cx7Fwq8AM6fTIarbdwQ
sViELjpW8jxgllRSP+US3Kpk3Haxm6PO7a4z/XsjJJD6i7B3jbpd/DP/NamCZPLTyNEab4zFGtYP
viF9UdEox1xKl8qnsCIqdhNebXIaRwBrU+KCfh0IQjT+6ERXHDeWx+IEda84JYI2Tb345WrzL2wt
RT6fSAgvV+XxQULV/BPmJdcJcCmhlxS9zpDcUkWzGf29Zu2SVgwDsvWAMPPDKKEl80Z6UZDril2x
rO8g7lpr/A5jUvTWqAGHrN2nYzjEq+LFsbIYzB0yU68HZhMeyIciEIvLlqK0Hf7m7kfenwL77qfH
5fCntA/t1T706ok9Y6rk7TW2s9Nrt8xK9sA15b3o/E2agPqH7UHdPpFWEBycohiO5SqszrqhIFoY
a+Yl9jH0eSg1AWAcbJ0zL1XNKwadNcOr4wnovNgSlcS1U8pmx2IVWJCF/Zb6s8XZzOZRUTFevCzP
Y6HoB4IOF3gJPbs6yZuWkM052Kx9Wxj3PFRXDHswet860F9Yb6RIQnH55Kox1XBSeSX9tz9Odio+
baTAzE8jLx4vT8AP1w86OhxlCm+MGGvz5W2u4f7MT2nGqu2jAcnqBqS1ka2q665auXCWiqCrNWEf
KDuRLUW5PS/5SFl+KVcKJJIbjEtGFq4RSYoiTKt18MrR20oN8spDuioRBruz2cMkJiKjy6IfFJmA
YVcJKRLd6tISYfItfWRqZAV9C50eLzfAS/Iz3Pe8DyQNo6ezOGS4TZEcWozhGPz6gkO2hSdE9DPv
9pWj6+Erfq/yot+P/KLehdIrmsPNWfnpEjRW3qdzqbkqKAdCuS6ohIepwOt9T8yxyXEjXDgEgNRS
MP45ez/NQObZAnJeeLlkDlZJ/+4fwZT82ktpb52GT+I18T36ja+8Lr06cjxBnjof/+PdWMsP5Hfb
UBnSzRXds66F8abYx76UihZTtIzbeu1UTZKSeTASSsoylmBSZgpLVF3wj1BroRmRDqKpTEb6iZyh
R7/8Uaqm3UBYacXYAXJViLuQfHmgQtOskCv17F209xcplGBXKN2tFPcHxQSg34TWWKkRfr+EJ0Ds
IhvRpKF6uwoIWXjbSyv++amkIcmu2Kygidozx3TcXI44lCY9AGUq/LWGU8IanbqerswR00YV7MSI
gd1vuqXYlYSiEf7RaKicd3BAlCfjM3dnJDaUJA6Mscqy3wJZO636WHKHrcED6G8bXRa79R6axVRS
Wj9S9qQEmH7plGXPSmomaLNVbSit2g9Q6Y/vnMYdK/R8m9B73E06cfxdLECHExuO3wT+bGemt7yW
k4nzqMaFk3CTFKCq9N5C1R432HciDUbXqNVMerkNT5RR9zSaqiZAakixXXq97Mq5Un0Ujbjw6L/0
GkAy8O7Zs4kVgS8nhuotznlC60AtP/Rzf15PuNxHw7IkeQyEEfB/DRf9SydWxdp8dwipWLyz3HQs
DJ0kOqdBrNv6y1ldG9yJqn9c/te2yBKW91aJtmQOa+I4erCkKtBymvwFeJcn12OvBvg33sDRDSe4
WQ52Tg5JoiKEge97DtfbZzZsNVHIuepueh0ZM7/tDY4Y2ZVZOA3KJCq9KVTLYczzF0YA/7m6ngkG
xZBDfrpyPooXv56d4pgf+WigytFBeA3tIkiyjcr5tAlyesjRMQle+y7/lrQxsEe6wGTL9D4Rbxke
QD9O3oQMYBnuU/FyY985Bzk0rP5yDrA7O1yNeQJdWgUTCrkJyttIRmz0IuzTbpUe8HctHONodAoq
oxnc6fDL8NSY2SXtZyzvBE5puF3xBI3ebGZCVLkTjbSZqYcOgbTWiz9I4pcuX0itOXX0gjWogK+D
ub7+JGf2sEOInfRs7KFkLu9FCAdaUhEXubNIqTkzu9a16WV/jlFkk3X8FDnbdEHAJVe/qneSuN5q
Q3kuVvlQ/1s67vFmJpR326amJhwdhNQ7F+U7j7bsJgo/SXHzFxyprMasQIW/VGzyavGoQEI+stYQ
4MSDbT3lx6xF6JrGeoh8e1ZW+I7P+zyuofw7abgBmfh5Fjm7q58cLu2zq+Hj1ZoaxpCG4m4OQCo4
SbDnQCr9deiBB8QB8Yip+X5YDT3KJNL5c3QnrTmZgdsPTlxGz08LUCxyzsR1yK7+H0I81DOCAE2I
yqItC6SHoqRjdJXOnJdXuCbcMqL4H7ZUhDGE7nkKpAlJ+RzGkqxogk48hLwhwsHRyqQ2Y2N8tg0j
5v30/59NYhi3YjzatPiKyry/k3nMV1NnHT08dRVlqxAYrCkReabxMv8qKUU7fWImgjdQe4Z8lrgB
NAF4lxPTs4MYtggg2DFBrgoVfKkqF92QJNEcGTx7g27rmQDn46c5VqFaVFbXOjkqqpBrfzU85qJf
JBXGhiujuwjysRZo1U+2/Gmupz3oXBAe7wehrDk9JlovxRovB6WKSrmECrbnHsjqfQy74qeQCq/v
BmlGdTfbATZp6ngTOwnMsRA9KuTAecOZBX2tcK2RLSi7V4n3mvfYK/O/gr+Ya/jttzeYS1GeC9u+
qIiYIMD13qXrRFnz6v8imCZ3CH/HY4LM/2JSmONPJ5ca6oDZ+G8amp+/WRvMvt/9waWz32juCJTW
tb4jxjifVNI2XHldJdQ+rZywAeXAzwS/lCL4lKd4EUmMDQlWO8xTaaMp4RpErjlXDb5/3heoKtSt
H7m3MkUeW5jfE6WG9DVBtLad8/m+S5Xi5XW1ihKM2bngrWsQO8yPqbna60pQ8vEfkfqlGbFgFymJ
Q58oEsnxQbT5TiXat3Rd8TNhoA9O8DqzqHGpP8wM8/3g7Z9QNtQOzttuBKXGnZ9dTw2TTwxO1uKA
7F+wR8y2SHl0XwWmnp20p5qKFjO9h9g9AAigcgM+X+ClQI8xFFDO02tFMSiPuCCGY6Ex57K6sN3T
fenJK6vVTfGIekh8lbZbzGrtsUypQlJuBUxy52pRFo+iWAMh5Xb0rGX/0E4p0pU61Y5qBWRPkLs+
KQYcxunrGuI/1TeXvolHwDUj7aQ/PeqDGiDCT3KiHc6bplqpP0ownd1gGhi1r5w2/UcVZKdpLzLf
V+kEmxX848TnQiEtA2oKryidQYhqkCKeulD1DZjIdEk9bAd3eqOq4jbzILDoz6xwpHoyvicXHWlt
Z+A7Ja1PwzLOrLKrQjoCt7U/8qXSyDEHCCyEvidCnbnspwGsEzX3Uu3FLCZ7PYqCgq1Bz+qzQpF5
4HpYMcAvWAotBznCOCNPXQrCH1u7oHf17in7apKrYxS/Gm9QK2frrExU0pTIPIqMcA3w5VANAjpy
LMBDW2FV8NTyuuxWcejFAkNcXhEsR8AulMZjqsStFdwVRDOPoV5wlVYyK/jd9xI8qrXFYfpxm+Ok
dq4rQx8u1d2xO2jJYW/k6zboUcnQDiEwzSUYg6Z46jCBVmSWqTT7FtzFMdif3S4f5xb89Q5oBjns
QKg6BtOIfIndqZ0rSBgorXfVQrUuj0UytjfxylbqP5vyl7jtm/d+fmyyJEoePpCiZ9dRL2kVj2KK
d9c6iLXyJm88E8d/N/X1QD4M95lXSd47r2ILLNFanCFHzYIWEFfAppelHpwy90GG742jpBbrn4S0
2G0tdCuYLWZEFuD/A+J5FyBIFk7nljM5O/VURCmVZ+6np9ruaRpSzI9p4n5UmdwvSzyQPZwPX+wu
7t6Ee1szI2TRBp1F8uHUM0sxcDaMf0GgGgInmjyIcVvBOpBK9ZJsxXh6FKWEzew9Nwd5JNrdAth+
I2Vz4hG5FCcSCcqCkbU+2l5C9jZ0lIsalrVBzXd9Niu6NR0g50FyoW80hQFGqToxsNEU/lvlwFO2
P+s9Q6DIhoNZFRRoxKhhutB6FxsBfBdyfAmWxvjSvY+k47VuRs72+ONtoFo2hbr4AQTWE/nkvBtQ
k5JBWKM9uSzDBC3G6ahmEEVHfaMoEMGV3nXbmCLbaoUu6x9vy7Z14IKSPgxsTrqZcHOszaHUU+01
xnwR2cKqwgoUKCvCs+nXFg3g25n4CABcKQi+uCbFF8bRkOi+fhFaK6bndXoXWi6s5hKSLQlYz8EU
3bjBo3AaGWeEosBSFY2HOmdeNa1YNN47YT7HtY3aHF/fAR497y+cbdcHuoSaJvjqdk5VhjEQhe05
JdIyfoksSKimFRKO1Xy6sKmLUFjciMyXcIWOjA9mcl9Y0l0702ktkZc5wXb0kqZDoTIrBfZDPprB
pDBr1D4rjYkJUfbXWvkHTHaWNJqaqIty2mSvgbNNGXaoUZmrqM6dWOB5iSCluJHfE2FI8BPdXod6
AX6GvI9zm7XKz+zIAjgmGcooUbfxfjM9q17tfq4jEh+jRPmhfSemopdgajSzsTiSqV/uW+yNirAM
op8LMniFSMTnLQh3jBt1P2EQqZp34JFR++tNve+Obuju1/gisZw6SdMJyXeN8Yyp23jYrnAEXva3
/14lOZVdxdT+YUTLtSedvr9fpUMJ9c5zbDZV242XAtPdCLuf94Ucj9K5iEHDVAmKWBV7EDTZ4Lpc
LIm0BwWltA0XK9YfmCVDVJdQXjFgoOMA/3xHkTHUBvFRDIkFD4j/X/ocJzFEiPxiHvqt3zS2nEJF
nBvDz9L0bNI1mh3cgTQaMBm8Qz7sT3RLXh/rarvMiFesOz99gHhnnLKH+Y/i3h08HcxVz7LHsn+F
hnc5wK+HQnBEpOO9kJCBGl8dDJVI6hsGN+Ks8nlrjUzAWtJNkvuekmm8mOf3+zBsWlCEmsuns73N
+aRnPMkFMVxANR24D6Xe6kjBpLulyFOn6GEEyNTGUqwfjXO358bQ0WGzjgpTiABGQ8qqAO1dUnj8
z8+jzrO3c1PACNNBEHidQTOBYnzi0IcJz3o4nBFsBKXKIUOC2EgFz/wTVrzRpXQtp19r6bcguvR/
B4b9LD1yEibiEYD338sL0auhBRDoPh2e/AkgH2u2YoUYS73cLcsB36Sii97vQjwVosN/uyMznDrX
gFrlwk8E7eJWRtzevjJ2kos+UpF08dq+lI6Hlh9PeS17oSENkMR8BrxQfzMXrdVlKAK2cXJw+VT2
Y24hxzAem6Jrc/uey+F9pnmL6X8GNhL/nXPvA1uWJ2FSBUdvhneLspUPnU6Xyfcl90jkbmJtugek
CBFafYmepnnU41Ou0kwoj/IZASY/sbpMM6+dRYRMfVv1NWdTxyWJre1EYIxViMMlOZkWF0NDhdsN
l+CdFPOacV5DgnH9z1uRo9ljOtcRdoQtisNzn/9ZlDzIBTtrENVzrQVlgJ28nC7mn6QFi7HI8ptA
XCd+CsObhMJZcz8+PKMyq0C8fGUKe0MOsPaoWwDVzUHX54Edrf3axsAwDnAmMVmN3TRexrcV+wMc
cmoFGb5znJwAWhAsQknuJFHyCWgTQ59Ht/koetSI06hJsuEJePuhix7DbrNs6JTDwuASYmaxRYV7
VHZ/yshF1eVWHlX57QDC+6OlGJxc1q/5pzvb1P8oyzgSXj9pqKTfT+fTp1JY75sVWslzTYYvOZeP
Mx5ElDv9nx6SCL5ENepVZM1dUfNJCN+Prr1v0LSLUocSnPVOMfeCBK8jywDJmTkAS/+k5YY+sQ0Y
i0zPlywg0eew8nrxJu/m71peXChAIzdzGcmvEo8aEDo1sgPdpEjRL1EQKz0cGfAy1wj3+OpwtPe7
7+WDGCbvApbRAO7WMZJQ+ZCrv4ahIbcoc2XW9SpxDWiQvJEYxnCQ0EE6CoeQ8bHfiHbnCK+As5mn
X/vHAO1Or5pSJaHUh50k5+BRVwJ1EmELNK3PbYImPLwN+DfGaTZURH7luLFG0n8CDHm6lSNZ74gM
3+HVbkGXBz/zuZvLGFRHUUogfyDhRywAL0oHvlqKD/aFNGiWsyLuFcBT6V8yX4ZVlIPBuwJKA7TS
X2dX64YQ9voOu+NnSm9oKVMuK3L9g+UF5AikNN2qhePujDW81Sit3p9dJCRu/nSYU7ta+xE9v47a
TDmNFM31gNOlyqHomFtHszAoYubeIKh23V7yHjL7GwOMWj6bShBgYILtz5cMUedjIX5ibCwkhl04
8HLGsVMk/YI2vVV1ocEyzzImzcDBuat/+Jd5tZ3ON5c3jhYprDzmojgY9HEou4X3DdTyv0iR6U4p
8VWaV9yin+mHL/8EUlmxE3/0AltV1P8c94xpdRL47FnGal0Lq1ushXyMnbWXIiC9p7conn/TY4wW
/eTpTeAtvtNbQHJJamy4f1okYWoNifjIlaL2JIdDbhGHgl9mat0yTgKENRMR5SB8eVmXwAqHOQrD
YICtgqprtogVReDsrAMExLdj9iJGSpa4cYebOPnKdccBKjRe2iSuLCESyCt/QLcFop32lzVCaluD
+R9OzhjBJKMYLPBbSnngzTlKu0Mt80rkS1ku6zFgIhmNPC0Kmyhej0nPohftJiWRYNy9t66TWALi
2kOKHmwkM9jMphmom+fdNPIQQ/8Y9kJke1A/VoUpWJrD9kS9y0mvOtEx+NxbgeNqiHehn8cyueXc
gOM0DT5EyLs7/uOJn/KdpMxqnJNiukHCduPbYtJy1cM1NUpcMSSuAYHk2ey8GuwhxCMItNMCebd/
3alXIf38NETtvQj8ZWCq6Uqi/LfsXudNbICB22vw87XVFuYAEtY13bO8K/mhxC1JPZp9XRkdBuW4
yoJev/HNFynmvQf24IRqhk0bMiiIK/HqPfrgdNhjRnN7ZodGhZRiv5CB9wCqA5zfiMrc7ky37nGm
EESZx36jhcPyoHloLOZrM6MCZ03gTomcmrd43yBfx+Xmrho67Hpc6YyKTXC8MXVAHouWL58rjmtm
+tHo1/oEoJSzP59Wh09kX1hCmIzNqv83ZBQ28cWByNx3M9i3Zhpl7UyHxm/0DRMP1cOWMpm2hgCJ
hRY/AHrodGYX4u0GMPE+aWkCtAOrF/8pmgtyNpnJRkVYNXLbsW5P2pd3xwuJ5D2LamIpzvTE0t+p
r8KxijhQqYFQ6WE0uKjeFfH8fkehuiOSttWKgeqXnWi/shUn84MGTmQcjkW+KGL50XYsTid7tDSP
OQL8Np2S4f9L3EYx2jm+CvYzxBWH/a9w3eAqrI3ELUE9uDVc9eHiVWVM+2hayBofImH6pbugKkPI
vxaJx3JP3KQiKb5eEpL5tssa2X4CnI4IAGBdHOjwZdDMySeErILKnzea5ZRW2ma/N56qPoJu4suK
pyOl59Pcg57S8BOsYG7sD/0N0/iIQN4gkEDDQtIXPf4PG+3XFrEEHpMDRJzVRhu/PLCcBx6e+SFS
8Chp44j99UE1HJ2cOJUi0ytG0HnfDB8RXCBxkTtgsAnQem0HE6o/1pjGhteBxeUTz/T8UQvYQy4Y
aQz68sQui3VIJGjGBOiDph2FLAsajK+fOtZrvt7hn0bckln9psSZpwK4A4aWEZrnkMkaYIeFM45g
2VpHeaboUN8lLq4LBa060gR7LRHA88sRr2UKJ5ZxAoEvA052fuDY03dyx/cyPK1mvzcCsZ0/s82w
CzQ41p5mgwPhGc7QwktO8f9U/cHVxQKmBk1mG/rcQe6MhzFyHCvUG4Q69Re3aupBXrMJV2T8/rUY
rA57vyfdTPun56yo7R4+4WSXbH4iINdYZoqUuJdVJutGrejQijnUYrNMJGSVxYpwpiOYfBkNLxzo
y0QlUKWkzbYeDCBprMWbVuafzlVYbwdWuFlsm2QFs4Mq8soErn1IUOPweITBuygQFIBv2r+HwGJz
Ro9w8ZKY8LYewpQwbmpuZ1xLYzAERwZ6m4pRO9tWnK7ldNAMHE4JDILn8+cBzORzJQevBMCekv0x
swuSlmxxpAJgbOFo5+1zF18vU7dvxnqkp1bm7XVNCG2e4WOeq/5hFLOrgIdcS52Ha7QjdQqemdf9
lYser5UXHlKr+30Mk8GAshPrUilyNmM0P02wsaRwHofHJ7Qu1QFp8RkYsMNkWZmazmZVEA50S7X/
826+Bc9UBgNCt8DcXxnmhqFarKxTdFfT7iU5sy4rBIxcbIioRGVgCeqEzuUnkFRqxHFfaCGn7JyJ
tAc9GGz4s4UmkJT9x6Z/qy8yn6s0zoR0UVnyxrUTOvvhoMilqL1q+fJ1C3UMfHZUiJ8QDDPwf6bz
kT34UuHFGFJqqhBvp+wOOVCSPMeLaM5JKZgvUzZv1PUu2A1secZJWyuogh+3DqKJG9mVJMyfwOY2
F5SoRqlaXbv70UTinSDIoRh+BIqKwYxwnr6XrYa3oyqwftqPFaG74807Sq4fwMmgWlwWIPH4rtGI
gppmimIYeBpebXx2Mc610uOwIvqqv6IfnPn5Nje97CVTbE6YntzW6BTYU0GhNJTTR1JqQi5BgvtS
LYainSj1htTn9sDyoWY97+j5jJSTN7hSEHdQvhEmG2US7FAGpTV7QkXOcSI5HzxUBvmPfa/hZCPU
J5C6MuD5ATxYOghe0CJ6P3Vxx+pzGmE09rh7wtN0+j9DntcW2uOfirdVl6oBd6z7Fnav9YpWJZVp
CKfpsgC8dGk2sf1cLn1hg8o2FnG0nn152FV5zRw8J+V3tgcR4MRi+HUK01O0A7fG6vBzjpH5XErF
UdNyAdDoD/5D+wNLuaLaXkNSIXRQ/nzyKlFUaYf/l5qgs+X5PiqpZPsCy0RqRmrTU40c8wjBZrta
sB719zfdktrLGnBYYgYErd8xFPNeQ/8tALuKxb55rcPLR9/1vYqCzajq+l5LipuCfkOeoXpWvU42
/tKjzJWnwwpoMgP6wGSSi17D5raVhm9P2JYzVecuM2YgPFNUDtwDkDJ8t355RrZLwsOCKuZD9qZ/
4UPNd7xwU4E3yFFrkyRoWKDCfApQpMb+6T3uGpxW3coZDLdu7x7bc8v4FQ47wYvHNVHyWA9lNvVA
VH/1C5WrytxJ9rwj8G/mAuIqRty9nDL6ycN2UsWVKp6J6Uz2ZXtiMmnkILDVIxJvWADQs4qrNU1+
5zM8lrBP/UbHyAbL4C6x596o7FdqMJqczViB14sZOPms90JtBkhOs5TUre8HZiVAWT3kz2Z434tx
Mupj1Di3RA+Q6LubjBZ4RExBKzX/wc8RyMyFCMAE//9nLCUfApgeaeX03Sy7m5g2biWnWLZ6dKwb
Eq5g5J4451afXiU8upgr6RVCGqn3/77VlRtjtTVkFidRXgChJNgNwPg19Q2zGr6BjIlSsKDq31ws
esBvQA0i+tw94270Ww+Tp1qX1OCgOUKo9oUUdEuB8cjXfrVS89qiXm14OQkSAb6rCEoPaA1Jpdtd
RU6eQkC0c3/CbmXadcVtYSIIMAj5eCk47GG+2D9PMw+AWmJUnuwBlI9/2tDq5kg9YhhI6UPfkclR
2g7Gw2i0/ZtOfvTZ03J99arpfk3UdI+/27ofsTguk+7WGx47asgGLoabR7s7fzuPlEch8pc4ybHZ
vDrV5JoTVGhWTYKLJfMA163AmBur18Xt4e6P4QrCnpx43mDa+JtuRla+IRNXYK8I1vYdfRAD8NoF
YA09f46SuBOlDmiBaQ6nvdZm7z+y3Wtk7jcmgiU0a1cM7UwVNLJVuWlHuFKi4C3MPSFCuKMUc5ik
+SQd/jrTDCd9fH6ba8Ty5Ue8bluKSYqYAFpLJvtYIazlkSsCWiKt6Kj3xjl6iyh8sW45Tz9CD6ro
h3S/o4Cre249HaGyURvyPvgTaS2slRwrMvkgRqZUcbKK+0dpuEMwqWTZ8JsdFhLgu0McVyjVhoc4
TXpXQlg8caVdjhJwWXFB8jl6Nhj+FTREDKQ1eFocUfFIDAMsl6G81JlaE6RFNAlYgahMzLwfmFVD
PGnjfuS6UZZKoJPJ8xIx7M93SUXxpbmP/YmT53O3cDBCpw7d4vA+VA6biS6NegX6ENvcsNdwEJDa
nMFBJ8/Kr0y6SQ5/139H16K8tA9+Ezpsq0/FrqLJLdguAs/kHFzEuIpP3CH3kiEO/HrKOoCIJXa1
GGtcDnlpsAwj3cCu9uH/QnTmu9RWL86pQfSV7a5zapPbk5zEE7f9XiDDwwIrFN60FhH72mwigdYG
+9/WFV7Gq6jNNOlBPFm61cEhTQCiCw5sqpbkc8IGHYkStmkA00SQu00o0+gQPm6/820HRL/MBGoj
Na7zVlfN0GnCPOYRE8SpcVSfGIDxCm6Ku5kWul9dOCFCwe8lWV8tlW9VapttaCZayM6Keg6GmP/2
lBz/On5r5W7zBxirWr5hPIjd8FMcPJC5CDr9+D5XvOGzAexcdRfHsnnMenRuDJ4OTuSP2YJWQqcT
eyUKPn3NKyBF8Q087dEKjXPbUrqJloebJVnK1fvaYOWhBnbq9Xv5B1Kfq2AIPkuGsNF+gyocAgvf
CeYv35KzeRJbiYPE/et/izzZXWnS2Y+BKfdrnRpbotVVwxr4kNCzvrUxz84IGH0gSKK+odd/b1E0
M38JtvCviejgRpjttaSSxxOtIoly4g0yq3oy9IQ8W1j6zAWSprl4F6ddJJtwuQVSF99u6CluMYdE
xJ3JhJ3YJ72NJOrJbSKG4/mlkOnE1dsnjxyl9n0e5yQ6mebLpuOuqqQsiorgFzRo9VgksbJpYGiX
Mt71bdsGWlcnVj02I1itvL3U96v9Z1seFFbRRcPrSGF9rjnP2j1AgNOoxQol0dqB7xb34GMk22Ox
E435aOs3jptoulb+x7jhJmPPXsOnbCKB3Ms/UO9BM8SfJAu3wqUpjLaN7Y2BDh6efjZy8pzs4nCR
WIutqyfuy8JrtSpWb4fY6fHosCHjme3siArEW3/bTEg/hozH/3kNz6gGihMWT+8obv/iCrE4Dk9j
SZINRhf5Njjc1+Z/zoD6dqmC+01+687lFOxFNCXgN8TPXgphSHILx4rG3zZ42KzA1+DpXMwuX2o/
av9Uei6YMp931yObtHcIpWEG4hFcT3Q2exVVgHLwLN3vYbx1Ndc2rDV2nUY9sHRWOyUAlzH0WLxD
UxMj9yjqCo+vaJSQCIBtNWxEbZzjulUmXkyGfAPSJqZiGAbeqcWFRt3kFCLJr3pHZ8wHDoxpBTLP
kRzwAKso/OP9e+b50VyEu2Ypai5Via9IyyU7Z+PrdzuyBpI3AL4vOmA8fYrtioqxBSpPM5gf5Dvq
VoG8kQpjkM26uZpDsyarPE0PSNV+LMnSeA4RotfYLLHsiMAWpGIECY6QhcAMOPjllfVVTv421Vga
GuKoy9G5dGlztLC94RZ7nPgIRfD+v0j1yPBEwVEhdjWcIXjI9v/KjiMxcTAZ4TUPelZi6LfmzSsy
5kPxphaWY43LK6CKqUUrX4l9/DHQFxvWadHRQSlijeM+jWdtn5qHh/+9FqEWmCLM+ZOPGOvOMbgU
G1TeKB4WE/UVpgDJOE+uOMf9ftk8Y+W2+GqdTvzwtjT2vxB5qYB32QogFV4kakNipNBSp43x7Bcb
4DbcTvu+4vQY0PHKCsB5MKpHkIvD8qlEmyWL2reQWMhZkgfq+bOYfyBhXi+t3QmXO/GgSy+Reta4
nPzSgHynKKpBGDQJf5NGJ6E0u5K07CkHi0yg+2TqSJeipZvrpgZZZFfCTz2X8COddqsU8BJf0+QR
zb0ppGvDZhuPzZs0k+x+98g8v8P7eJmXv6BQSVlI/aC5MO4bw4/p1D0lML4zLi2h11PIPDMestlP
AFTzuH82429AwR03hUgBM7ancvCEArJ2pNoQwLzJE9fLrRIcS4ZJoPt5ooq/VupvjpPyexYrQdht
MjIPJAPyZS7xIzu0xP+JxqrLVpomg/hZL/W4iM/GZSFmDpHfICRJ50pnZ4g/OmPvGX+vASwPQZHL
668zd7zCh9GZmlujIRGz5OamCG2b0gUyB9Mrwtl3bR1/Lbo4ZWPwK/L22fW+7yxAGqvL1GUjcfMX
bg6MCiWkqboHKpEX2Lx2Kb/l05djCF5r4aoJeGNZwufMlrxEIlJ4Nynictfbbs7Yj8K/0TdbHQk0
QyurjGuKMaKGsIP7cOrfMdk/H6iT9f37/4ZJxQ0PvDnDmcBxhhIgeergjf4sivm5pRgTkRRdWXMi
VGN+pmxKYDY1MqlDWGQ8rkfwZdbrqIlbBJ00cgFMHTlNM4KlQGm9zpxVwFCLluPj2NbxXpPQP2aj
71oJxSf4/ie9RgMChnkz4h16I/xM5sacRD3ax21icxITrERYvPOhlnNiruURmgQbf0ODTBIvg0n0
QprBT8J/fwOqNO8fPEgA9BqCI0EyiBQsUt9jU+sAzjBSMlWmltyf9lhuiC7ss0qYMstuPTU+RbFG
ou1MHGj/fjcxJPvzgaM8U8hjVPsCdku1q0QjP7Y5aBfHqLckeVDJRYxtO/XLHNTlAWxvc17KlsPw
Q1EITVG22zl8kAa26y+tYJzQ2S6tzmeLMa/d9iWKzxKidfbQwVRtM+E01eaEHtKGzYcrzSmuyib1
sAyujlWcR5TBkFyqdQ6aRH7G1seHjt2ov3Ttgv5heSi+IJk5CjgMor1YjlYbNMcgYhgeVGWsxYog
aEqml0vD1Pxvg4JTo+HTfmsbKIHXzCslVc4OupczkE024JAqsq9mIbyew5SwC+XU9DebDYQJxa7b
DTIhlckn+x3SfQByQg57lZkymEUmvzvyeGhyP0ATjSLl0jeAg4Rh7bIojSfsq5PuXHDymn40uCtX
A6XeP5mgfA5ScDgaA2OydIzpmII2SF/oX4TKQ5+jyqfzhb6lbA344NDNSDH17MTYBbm5xmq5GaZ+
jarA9NwC1JcVGlFzyqRipPpibjpOQQc0bqDpz0w5Xlx8860LinMWTS2+E0yPquEUMgD7p4dOIPQd
iyDnwzv0+shX4kqPC8vyoPHSx9xYSEFbP3Fsu9Y8zy3XhuePzWOLISKfcegKG8Re5fmNfTQchIAS
VzO7ej00VaZ8m/VsyNsQLsnxh88hvvVzpnAuLuZ2OrGowq58CMvsms/lboWwRLyv6x/AR6Imqfb7
0tuaPnvtpxAzow87V+k8LDZKKNGKVMsa95+wH5kvdXWooNmhxucoyRoc1q6aMMVb4QT6sce3fZa/
zOhLbYon8v82S7Ny/B54oWxk7vd1ueEoZi7ewpSwJ2MiMxSNQ2W0REzE0WzYlCJoFv4m14fYvtXY
RHzwpz2DmISyN4K7yoisIzI0LB+rmP7IojTIugG56yXjistrC18G3eUuH75AqEJL1iKo2dos+VR6
ZepBsAKnplTCiGYqUzFqqma4Pc7cb4/umQsQ32AGoztcBrii9/WZrf04eWcsTJA8+8ImUeuePGPA
2qXAA30SKFRkj7zcW2nnFcFd5kSizma9J4RPnIttG0NN962hX6lhbltwcNqR92lKUJNMJEDn0JFV
08ap3Nnf8AjI743RZJy+Kvmi25vd/xrYurN9xwU6bhWTU1Q/BpnAqStgfRp4CB9CAVeq4rWPQw/p
7f/33Rz2BR0Jg5+jb0czXBvnlogF5kvY8rkMRaxdqHSUQCZstHBDxmlzXOSJIeDvZW9I5bo/IG1D
p7a8VJrgzvValJXGMp4rY+COU5Dj5+Br7ufenNWow/iWwJBVFt2xqazT8rUVxO7ft5zskkm+Dtri
cubVckFZqCXkmdmfWDoszozdq8VeFegBxinlJ9VknK2Yk9FHvydLg4yIeZDmunTZCHwdBzqf+k9z
f5wBt68SmTXE60Wx/3fLeyIqVIG5akxbDanZd+mpEloLvCNBSPS7LKlH4v1ZNKjfZQMxHCOfzTXl
Jzbgdz12uq35jU1yUtRxnIxMTP9INqWqxRejCni3MR1a6DxRlpdH2OiQ/Qp+nCKAQRfxCwXdYA2j
/PxbCEGcfrUr4KifsjXeBX1g7w4suI/4pEg/b98ETm7Hpa5zCY8gmGuLg7CnyXGTYDXerqaEYHOF
SDjdtATR5u0z6W1IdvuNOCK4LYZtBttVnJYFAhyxIj0M9AToYQwmVgZXA3WkLdW4bzjv+uziRXEq
C+ZTcdRTqUL0Qn09UeQs3L/C4R+jBx6V3u3IOhKTrYEhyLBZ10yjDHJibAXLGwszSTZThXYlc0tc
mkO4Doc6N3kzfbgeUg37hZdv4UX7laUDSS+BvGzzG82dwpNsBipopvdfKJ0Dahz6Zk6bHLWqgXew
fPX75uXkT0tU5Ed3ysGOWwAjyx1d/PGbtLyETPUiYkdAYZN7/6UHVf7oLrbUUzMtCeqfxh78G8OF
KLhIKZ9hVQgHaczvQya2gV046WVow9m+cAx0T/icS8aTI9DteD0MG2wOQv0irf7EfrOZfeOx9rrV
UZRn3R/JSbXJkGP1V1qnpz2tEvAFJR47EVDQAx9hUuDu2XXo+e9u1qpj81m3JhUTaBw6hrfzLBPV
0yX58krvpzEBgFc+ubsYINVXJHxMc+O68bXE5cmFHh8l8U5d1NGT23gjKhSFRWsnzPxGZHPCxdmI
fMeSpWl9SbOFhtWnyOQfah6KtJMBLsE3TKFjdC0Jf0SoOC7mMAeLhrV3Wpx8ldIf6JG1ZksUmjj8
GfZcoHMHt1F0Rdn/ufDbbDSPUqCTQnkIclMq2lFOUQyhD5O3HKMh+xFe2jtVFyAHDhZs0xuueIlT
j5kjsx2rY4RiB24o7287iTvDlmc/3w5lLik+CCw99RWk5iE5T3avh9Z7paAP6QGNmiVDeHKu1TPK
XXHTUGGCTRKLG7nOQiuSblrVdrGJYYzTqxp5VlgWcEIqOQUkZdqNxBic7hMNLGAR2B8ngdA0Ulmx
Kpw/zoxOO20IKCy2TPcW5yiGWAdAi7s1+93iOurVIojRxwhXx2pF86T/erQUygr7u5bhw7fgedU5
4vA96azhwnnvyvuvRHMv5OPoWZr6+xPZ1AFjKD9KZoJ3KJfezEaM/QdEgSG4xNjTjOGzzIkI30z/
Tl/D5b9fHJu/CpcfK2Trjb92Li7HCw1Xmz9KSEt2m5/9sA3gUPzRqmKMvDkUfuaZcUrPr6TVCiZA
Citi8n+dqUN8P3vQrMApendkC/6qBik/n/1X498sf0IZmWOKQcroQOntpBCXGwDujEqszGE4K6+y
+QFf8kS5t+3yfWPPeumxGUPyXJImWzi7x4vTOK56Bl5alEcNa1vLy0uemX33uHVVc39NXD+W5Mm6
MK/uTvNq22LkuFTaFfOX4eIXiJYrrxdaFGHsU8F8ZWpgDUvsccWBlKaYlQ0sPVvF/kIX6p3KhAEl
vI2veQpaO20lq4xMiqJ7swbbZnN4G2cudp8/YE+qMSwLjUvOtwyORttHAElEZAqC2UyurT22Vqxv
sqytH/XKBLVYNaLDSqnxDm/o+D1mITEVa7J/KnhISYhcghvO+hSvBSVwtIHB+utuoQUl4K915Pv7
ZLTaCa/2OZMKu6QrVmFmaJosl/j9fH1geSBNg114/sJ05wJey7DrTQ7emcqMMWoH5Dw4uz+F08mO
1tIPVyqDcxz43u1kFxlQWzCXIiozHo4GgduGJWAMnF9GfgRUWFty+wap1rD28zZeRIkxN31fK088
6H0IzyGHM4hfCKNIEx/2fk0/P7rURI+2JGrxOxmXfaeP0ptKptotj2uRV09dL8iIcxS/VfWAiD25
pkmHJ4TRTf7dkXXn/yrc1g/Aj80kVCyZxcmeG2l8942gXXSytclZdIuG+rncKHCgWnwhXAVenYps
toFEpLrWSh+8U/+7Uf2AzWQDhelPNvYcxP+Q4ZzZsBTrBNzmSCT33d2WVevYYUIeDhns6HzRSoOP
o21QMtA+yH98u4ybkrsinwzbEyGwslnTJJeN/9D+NMs7Z7LNHssVVltZhHvjDv0yE+dcLibhW3u7
5502ok4z6VRXHxBqxfSH/QUzSk0VCYiV86pgejUhGEgk3CTGt30CV9NylYKofa73zt1iK5upAqVV
QITY4RYC3LQtrF3L2ns272W5LyAb3aSDp/bQy9/i0nO3hFsM46nhclwjnoEI7eIFERiLeUBveGON
cIcFlkiJrt5/Ko8nFCXDM3FXJszCb+nkD+43hVxO6A2nZ3wI01pZb/83Qo+JKL/YzIIURIvvuobd
5IMLoY0XCoNW5alarWkrmrEya0V7+jIk/FElCCI+vmPO2Y93qeE+WjGZzSwzGIUnTsHGQqStV+DM
eBz7rsdzK9IHUPZPel8tWFXfNINbFlS78HHwtOVTucUy9JpTV3LkIQFCYExroNyeAprisi+vf6U9
GUa3Brg5z4+1XcJ2ETfPX2xrj8Mw3Vo9IEbj3tyxoXcJr031JSOqpUrV8Tu5eZ0fkjgS3/dpgs11
AaluGeS4DK7QT1fBsHUBdamRmszhCpiC5DivGrKC+THakM63YshgffjjYxxAnOXSHCwtUrebSizP
jG7RaM/fXiitdn8z2a5q0H/MKG0aKJhZhhgUH2gyYqCFyHN60gbipFuUWF8p0zbbby7fInOvQSvT
SVaEaXYD130T5rsdwYplEEsvEredeTKHo29ZZDan/8a2aVC71404PzyOb8HetlOIK0EwlivvTdPx
PGGOYGeJsKqKN66N24wtYOM5XAkP/Db31UiKgvvxE51J9cLvrtd9nXUMMo5d9b0ngie4XLHYlJ6R
v8O2Rvu1CMRNXSn8HSNNI7qIbwb+Khs1dvdkUArTdQlJB3kZfbRr69vKGitw6QoH4dR9XxitgrGj
4jHPcOTzCEp8AZXgIqkzjVxHkAIdlyeZtr7pE6eDo0CX10YyulKGqH1CUcoCNu+ggO+T5ibgdt9T
tQ6pGAzGvSlSJAmj038XJ7qcC2hKQ2eQ3fgzNH24HG7DU3GPRt9cuhaikh8L68Yx6lsf4DqlW2ug
UtToEDdUyiaGnVrt3mbS5VCZRgg3g9D3eqchv5h+AV03ekrvRSW1ADzp6XPC1N3BxDo1Gk4mVZ7u
7PijeNqY6kjIuKkbvweFtLWCVQhR8T92PQIjrqf1VesLL0sdDFuSQkYcLOvLF7FASvIewRCilGM5
VVhpREyQthOUVpF+y+8B0xj1eAIh7I5Mb7QP+Eqx6+0uDOWTjXoEGLV/VO8OzmyiuemY43Exhxth
68ndd1qYONOrJqSJnd6Lww2LO4JRUx4FIc82u36U/EJNxIXFdCy+DBrSZ/Fw7Rjj7T/2mStw+x2x
C5faN2vmrrIeVycfNU9wTzNxBlLpBbCux4GKwfMLBFmAIPwee+H+o16tGvHM62sfhNpyWXggXA/f
XzeSUn815WKZNKUmvpvwasUGL3FU8OjdCWuKM/Z0WxMKTYsKImk/LhQgsDhAL5fLurj5lXot5U9g
o0oWIth2THxVQWHIaP1hG+g/BcSL8qbAnCX++sleTAz00UMQUIM1O9ZYq/Ok2M0I5ClJvcK3/O5X
IwEkAYyjH2ekqyPfmFW0EVNS6+Fqbb9/5jbEFxpSLAnrb+21vY9HXB1rxhn2wkOH731XSv+V2Zjq
6y+nSCVl/7kT/6BFpik18MIdXFdaE61lnXH0lPIjpXHNH6QxYDU/zpFeddnVj+l9MNWgY6S8Xd/d
LRPpSm5Ge+t7lMv0Jhgot1mjncKAW48ZMLW3KXC1kJ7IRJ/ZqkRyS6PApDk9kU1ub+Nf/oABqi2u
cUwI+DjGzev2qvKs09ERPtxWgr8V2AEzuxUyS7t8XeVvzKg6VQY0rUCF8Wbq5z58hkQ1g2cXGLPm
RUM6nGbIAMJtwpgvCq5zSlBtdnwE9V968lleU/ood36abDGLEg/w6bK9Ad+3qziH9pNuoLm35k2x
GpHsICrOCRw57rKYKgX8LhEVCsZ8MlapktbBFKQVstx+GDart/7sOw5D6Z+Eus4Yp1wdGHaXaJbH
HOKQiWPBkMTZnU0o1Af+gge9IcD1XEgiZencoS3fDsPVrGvycysJPWwC6YsIKmVk+FJF6k66/SYw
C3h7+0nBqaMSCI6UI0Q/O22VF3qg/ag2Z3+JG5y9/HaXKjbqPuABZhzd1o/Pj47tt3GVFpl6EQyQ
w/QJRdZsig9HON2tC0YDpXR86uZHuQa1+T0XROmksP+yHCGUTBeJtM4hYU8A/SErX8xb9n9zmUFj
wleWQAnxEdyn70he4CrjZM2p7+Kv5kICk57RFfXu7HZOzYyqDw7vDrZgyj07+MZbyRl492ni5QWW
C7V2X/mx0nhtAL6PkP39edKvLuoqe77VPXLrnKEWNxlI06THIByRJem0qpXqSWLzD+AviayIgPfG
Fftf2EQ+VPsLvDDf2eXXsJAXxd83Sw5LBFVHkoBbaSaJy1G0/GwpS8SLe5n5Ida/6Px02sV9wQQN
yoOaUhjx3T2gqp5ySjrxpB4wqHrelk5HvVRKWMAa1UU+a0XpawZ7OB8oHFVLYZDATummJjoDb/E8
0YZBCDH2nDHuaLuT88I7FuYe9G15PV4b6gpDtbgJk5Lf5XsnFOy+a4qEcbaCG/kdqfrKEKiWzG1T
5rf+/594ERWi9du/7IAMxtC/dWF1z86p9iXW2waL4tg/ytaFWrcmQ8NGi/hFVvwLLTumhZX+2ir3
aKOcmTfTqqpIyFVOAKlHRedxYcUfP1oD9vMO9LseMsgyyvCA2xMYsanRayUd4uS+QefuoWrXp7EY
BRUf0od9Ay6ghwbXBNw+ope2hnyAexxcKeZxqe39hclx6X2nStn07f5e8I3U0p7OlG41tGGPdgXj
qJOx/Cj23+JHzEvLcKuTKzTr4NXhK1XSP1HQIScF07ar6cjklkjNODDb0KmXUc47ikXYV5Dosjsg
eRz7EOU4Oau4W+zGumLJ/kiNugiitZjh/BzmPiSEaBoVCwbT4ATs3mmqyu8ZnLFqKH32VvhCKAfQ
eCOTy1rG6Ms9MQ0xbLmaLQM2lBtadQiuI4vygvcMTXlTcITO4d+gKQ5ONHSEJEM1cO6veLwHtdl7
FqudGM2jGVEuw5Av4cYLnEgiNtLgOnE0L3DJp0z0nm2/M7OVHrFPHGPSLyA+S/DlSDNvLr8vxNkt
dCr1c3lKU2INYYP/RZIlKqRT7PjUfyZjAr7wrZc/oLT+LEYRm/EON+tGRDIk8CAWynwX9A9YuZ4i
aZzhDRnvLdlk4YF/KafPupwGTButywEsepoU8v4pqo8P2keEY3ZxzPdgOtUyxPUCyONOWUBOuNrD
oJbTgUV7WA9dLdETPSO0HE2cgDQ6t6YlJpWEgeB8sWWjmwiYNd5UoGNFkZfIQ/aOzOHDii0pLkL1
6oMK7EZUbz63HzT1NmgWIih5j5+P1LW+Rzuvr+AbR18zQcInmNSEaMVynkcNuVI0TNCvtICRNTY8
2bPi5zxbRgbyjvDK/IGCJU6CJDMvsezAJ8ij21nudHZyZ00wG3Kr6A9mmuW0HFuUlDGVxDKmpXlL
Z3siglCZ2unyDd0QZNZSjdg9KJCW6fhWGZtQqe4YME172NfVev5BGkFdCt1taOPsBW7JAQ01v6Xn
P0UHj5SX9Nfvx5ffzW/IEyce3EHdFnrkzza6BSfbY9kwDmR8o4cWmhlVI8CvdRnE3tm3DZJlKy0j
ZIcaOBnCTM+IdCapKgRPoDr0fOOUA49WrTEGavqNq85T/i5JKh6rR8tcXPoM9awVAAeVHS4LIwy4
jzEDgLX/wQmtut5i6q14WsRoB3sYtfv8QsAk4nKcVwEcQeLAQc5CHbE/j+865lHqb9NGEewED2KL
wDBMidy+14HYuFa+oM5Ep9MmEOf/KAwh9TzB9TtuA73HrEckhCM4xnSI68omYv61zl4g7LzSz+M1
n7HFw0RiLjk2prOcvXVdCU3z2+Fmwjp6hhdVb4SofIABfsTeYmGZWUeJ23j81oqjam0MkAs1Z9xa
E/pt5MAB0KOfqQwXqFIHX9ydEtygQkzosnhbgkcuHp5H21yK6ffkz3zvXQpKVYkiOeBawHsgKkeS
pthYivoP3mej0NPX0iyaAdQkuc+TW30Q0IDxrgGedDqlmcd0P2za5DJyYaZAuSTvJxzxKW+TKMUl
ygGvzBJo77wW2CWID90ytn9UCIxIiGg/0IpZfm7uwQeFUz3o2jye96f4bCfPHslC42Za2fwZ6CZe
MEqjtkEGlwFCsQcVZzt32sA35IYo0kLGMGTO4ovLmryHWumDX8IbdCqnVRaRc5+oGcbxhXyzDSfG
ktw5NDDPe9uRs0RxMWpPNBLPwb8K/dXktfQC3O6Y9ewXBVLISbXLoD+NAbU83Yt/pCGbwHJMQxj1
5pZrqzxuIXJwzdEICf7blvufb2mn7GofzVyzH+DkrabH1gnRfjDHQdQ/yeEPDYziXigC5A8bgEDs
grkLLMDgdAmWhsb5Yb3xxp1D/HLkUToQIJhXFvuCjEpur/dBgHKbARii0fPzF3FyhM0i2U9o4PS7
S+esieRsWH/zOLIX33PEpn4AQLzUYk3Q26zrAPiQCaHC52DseFmGY15GmyDcJpMg2WnIV5jIq0O6
TBOyohh2ODjL6lpSqU9vwwQdVjcWjlzR1KYcSND9flx9Y3dizARMlCVPRL0UKOtmRO5kPFQjHj3F
Uz36MGnpaT4KcrUfh3vy48ujUyzLKkaiCpBQuqPwOB6yW6nQ5ls7GT1y/3CyFGpjWqra7tfhpGjD
8QWUNrxyqZn4XNJ3XxRM+Vy1r4oO5BfQoMNV3II+XTca7B10bOiNM+Ag1MqqGLTMpuMbYa9k/7e0
SQZAJ0pn52nuPNEwvOEds+soFDBW1QDWQbIZp32tmmK/cCRNhLcU8WYJGLrFWmi0JnlSGWzkOe4F
X9B/EP/kw88gsNW3m8g2SOv8dsRtoa1JPhD+mwOnzpKwu8i690nbYYZ1a0CvS5h3oTvhwOp5Og8k
D4f6TfACSIfqUg4mKLRc6M7J9SEzKbC+2zp+zgRVAOXxjAFhKrvf6NZ5sSH/x2Kl0GP8L/3z0uxn
tagE2meO1avCbJRrlHoaboIvlzgO0XkHxKmNr1LzR3GldzEBi87gg5Yxbl5I8e6k+szrCIGbPCuy
+QRqOaWg53+kAQaCUEktLJZOWmue9kaUMM40Q6/nhdhW1m7RtQaNNrrjxwU/6gCcQEtsaEZWdNie
qR5GpmOsgD2OrHVZFevZbxaqFpj5ZEIDfNsG+XO8Fdy5iWZ2QqCX+T96Xhbia/g/pBtpPlU4euvK
MufKFAQJJdwC2h0dL20ZNjs4RmzIht2aQn9FFXaVDztHdUBky68J5kpdnpqymuvBj89/f+nKMjIO
8/djIqqfhpQI8UeTLIMiA//OQXUN5jJBsMMwUnkoWCrNK8hsYWuTryoWJnE1iMf4G41O+zpNmi0G
eRRhGSN2ze4UFTFfarNOHMExr2hNmKG3wa09iFg8UiErjz37mk0jpHCt0huNqUWQE9nWNnMd/bFL
cZ6I3aO4VXqWGJo1mBA+1YUqURBZHXWN2+6ckMyPKnLxSY4jOufbEEgxAh3VuHGrcTDqE9bW+ifM
NYVpSuyKMvRYGsYOqTyy+NkQUeVnJH54IKsXYhZpE0c/Mp5KdfMfS1geyRnoInXwhxJcs6i70JGY
EFPrqQwbe4m7XKHXQfgDAEQ+SKUoUwBK2fBfjtI4zXQOi2zIpnPqF0jovp/WhLaNrEJZhSRIiaDF
QUaDcV3IVLYT4pzXXR9DKVpxecVYfbPjJzO9+6Z0xz3kBvMTwgoi8goQA+qiOwCriY2BW8WJVUPB
5ZFeWYrPV8zk3gtGZGTpU+aGlvvUGER5sPgPBtlktVLN1kdtwk2MVzUqDsgcNgqaKBBjnfTqXeXC
dO+S7NxraMi0TzjLI6IqiW3Ohrs/+WkCyjxo+xGY/5P509URkq8CZgaFtkYAbb4aoW2aFbqsfe68
HxTT86jz1IHV0DyhBC2z6jp7uTux4nayR9HGEoJx8OUdudo89VSuMDWAMFtVjd0Jb+s90HMtIL0k
72yXpyykeLT69zEICYNgTz1DphFaZJjXWWMHjiZZMZpU//XzseGmBiMXUWXZ3bZGwKRdsQQ/crAI
NCpZnu0HBKufPcj4WSXxSwfmNTxOt6pVMbcybMhHUs7syrczdFlvoX9N/y4IvEzWO9gRObjEjTlZ
WMZk/3UWyvZq3r0OBhaakbkXxVRiJcuZ+5fUmqWdHvRMmNPrcsRF0KsuEjSz7Ak6N+/deEAToe3e
nIXHOhu+nraDKlWzEyxBVHIDU2SzD1ZThKuqXIl9OAbzk/pS5wtli5MwwH1QungsnCYggMj6vZjb
z7sjV0hvs888GKqJ3KolBxBpYYX+K1MXkeRNPrWko2P6Zpkul7GeADvR6C4dP1K4/rn4hxYZCJSc
LfDLEnihkB9IQUm2qrWTga5gPCXP9GuVBO3RX+Q1uaGstSeef9rA2NDcuS1la+tFB22EzFiwRTCj
gjB+fwr7oFlk+ICNh2aVEhWeYcaF5ZbnS+cjUsVb5LJyRm1GQBwftf/ABSRIG1QVBpbqqj1b1cQ8
mw/yh572LE5adjTp/5Vl6qzwz5BecQZCULhHk6TB28VgBKqVF4rpRNx6m7C0PisUOHmx1e2keC04
IAJHWIFLZVr5jdEo/Yk8xsCJ2//lEr83xHJJ554hX3r6jS7gNiQQHqBvDJuY6w2GO2ch3uS/+FCi
s12zu0WPADIQgL0l/tgs/X6/U0CaER8bDQAXGyQ74ttuF+vjOjEwxE9rOx4HhB+zoHDQJmEPbSVL
oI0GVc/DcVxQQlgG9mEQxQQArhFYg4QBMpfyiJZONI28ae4pZLLV0VA10JsiulrqV67Kz2VdQ/Ox
EbHf1k95DaoBnTXVpvLghEy2ZR12yRsw/j4tzABYvcCDlKSRURunl90PYmLmpzq7F9CgtXgc3vxc
RgbDLfp0mY8NP46L7v9+FxS8Pi5qVyATA+DIZlFLLRHJFYDUathpoJ73cLKwLX2tLC4ej7RUInUP
okXYWUFHSv5jBMB0usFvh7vdkMpdC/+Hd+Gu4EgEZx0er5A6+t0t2MW5w4DDpn2CG8eivJG+eU7T
ugyg1Kza6MmCdkRkyG4kMDw2lq9ypThdAUIrS/gECABA/d19IHQEAuO3ACEhK5ljG+0gXmEuxIb2
JTMg9zXsWsrX6ld2f6JjpZl1NaJphyfDDEW3oiCEcS+WK1TutapBjPLMx3u6quqF2E2nBveqrz5F
z9L2YPC5r069DQFq2+LIqKJH0fr9mqErKmT8/5NMwnxsi48wjt5GLvgIoaqi6Obt5ShPvSqFvtAC
DCA+99I/jCV+F0/PNKA7005oUn4IjJhFU4zt4mWTxaswCkS2jVgRHhcd3h8490Uw1l+GZfPBcrML
e9Qo74RgObpx+UziEH8JSU0rUHFlx/5WVwf3vtaUdwsj/afHCQDl0MUQDw3RIXHZIYhiCpaJP8og
Oru/ZLkDsG9fWCiaRGqz650J0OYf3OI1puySC3Herct9hYRO/uW1CMR7POo3nrKAiLGrKZRUX9iV
yetpk3JEx3FkweFvhYb1yUk1gctI3HpCjhKPPj85IQ8Tln4DH3sYhlXKdr/bw7iFJrQf51ys5QCe
em2llsS6SgNSXocx45w14LONEgrFNGcNSahBlQ+4P2s3IjDR8S7JC7dw7chr6l+be73oKUYDG6Pm
+pEuIh8Kd9EVlwuk8FS0z4CFCHW08ZhAPaRje6ABQZz6TUn9qodv08QSZW2kTZMOAWI9KdRwhoU1
Xg/TSeD/QoVadKuvCZLNa1PDSW6vHnY5Yk1vPHteq/SDGZ/u07baQ10dCqbnnJNmOzseaqGv1T+d
o3hnhV1tP5rxPQjJFDNdZy1JfuCDAmp+VWVQqAhXaUYOvVNbsWKZHVOFhMCHU8uTXTh6AzoC0fEf
mjGdbTmcKRpNx0bXO359Fw00/wHwmRdviFafhyiFuJnoJCppxNMTetE936QdmH79acHJxkCeWVVP
ff0vAkH1t2TdUrUAWVENW8aAX5ZNIm+3L/EpzGufM7zRrHeMVg3jbAzFX2DHCISNnuZKUJniQR3K
+E6BCIsRH0XkwIwi6up2eqlTHsXNVkQYslCtUcUUgCt4d/5XccG/TI2nyA4X5jpwtZTveV00hbYn
RTw8JRvvwc/eo1rDCxV9kGhKZ2UT5TZphkNo4d4lrZlt66LsGC8wBmKUs8xcsnzzW9ZvSaURPm7N
xE0FcBXKOfXCqjrrj/V/jBrhKe6TLXKgGd412XACygH8aD947u/0yHyRoPwAhS+Ml2sBwrPgnXpc
Jd+RS3gAS+cma1P1WCFOd2t+5volXYUd8wAtUrcpIhVY60kfmlRg2Pp5LxDxOeA+nVLNm6F6hGUN
HsAobYdHkOrJz9qiLE1tELinGGx1Ha72XQPdRwcUTHOubO8vp4IruWu9z54lpwWCHgZXmlGWr0Nn
lTZAbX2EGCZa9A22iJnL49cZqWG/fje5B+i8a/Wl4Kg9iN89dqFABOwblg4kztVXuysuIP7yyEZV
b8k08st4rZYazy8Q6j07/Opj5xpfbcaV8sGs6rSIApIza+XlGI+7P7RJ3WTGN3v9EN13QHJUDXa0
/bnPsuMd4qAwvxPu5UCELHzOgVbTO7ReMrGbJVcBhiqlF4++kpUrXNwBFUJ9iFUR5cu7OYu7FAmq
pjopn6Yn8M612rQRXRLxGXx5PiTX7K/icAyWf9263PETnn7Z+j3onsbXRIH74r6gBC5elPgVq6u6
80irdrBgF4VDWpou6sB0Ur/4666GUjR/DYTmoZKiNImp6XgPwJv4NG68ooUy/fk9AM2t87kmLJSU
BJQkmWn617SbbSJJuOMQ9r4dyD/bHEtw0EWpL8MC/ns8hxyvMiyAg13afWkjZ9eN3G2z/H+yt6bC
cJlcUxnlkU0nbFDf8lvKK6ufSwsaP/4CWo7sBBDFtODVgP+9eK+IwAi2m6/ItYhKGbvLOQDQKRW9
T5MCfWIfu5dTfoqxCIB8JNgCH6U+1RF01hN+y53d4epDDW5Ckd23DQCSJXJekq6RAYuiT4yzMdeO
a6u9tz0MUFZEsQzmk6tfkalAsCBnIOTNwf+aL7wpw0dRXuZHbZ2X97kVSgwZYxYFS9OsISTu4qzm
2bet9D76q525hU/y7Su+FH+6HpqHJHtWT4m4I3begKyxwnI+/cfiFxmaxeEHfbovEM9r1+9vbw85
vOAoB0vQ8K9qkyyoN0qZr8q34cX8iPmo8iENagMx3+8bNKkf3RrfKABzDvAA1JMiIeor53W/5fB3
TI9CX9fYpNpoyEruLQs0HxF2sZ1tJNNtD7JReYbQnv4ftW4tgGUR+Qm4X7te8pHmaUc1X/rEQFch
hUhbDmehHPjZqJyR33its8tDtglH1MsgCpayqAhABdpZxAYCAJNI5G40btyTEyTr//itVUNMml9n
Biv7psEVL5+NpRhkzU4QdK8lJg/SPPyHIGfbWgYAheTmIaQZlk0zFbnpaqdaMBFT8i3G03o/hTVd
cnLHh3Jpduoo++NUmeFOXY3fU/KXoSFamXlW3zaIkjyX75c+W3iv/W5lD6dvb8tAzXtSAXVW+IaO
YP7YqOhkjMat6KOgn5+JIjV/HEXPeOULS1qAb5BeOm/N9s0DXcJXencJRUw4HRZSBB6YeCySW3F2
bcJCSOeehdU9msaEWtlyNnoUsrpTnwMVRZxGfN6j4avb9c9EMej1ACEcjo8m2aYzE42qji6RGZB0
j0vzBs3tyZ4cewKXxZx2nntVzvh7N3a6hARFye1jAfEJxm+rckCctEWPXswndPf7zX15rYbtiWJ2
c97ryloenjeGbe/UfzyzU0Z3r+ryW7ZdqfA5xzB2j78+YsJ3oN8Y/8JlclhlCsPNQx/kGLhHHvyp
XVgvT6zwo/yNVt+tyrf/CsWWWlgRiJ+dqGK3KB6CLxGe5DJVVUbYBrDtEnpVOmQJAY9JDgRDDOUP
6KPA1hGOj6G8ijhhW59tlsTrl+B2DgzquZqwwxAj4Ud7HZMNU4Zd67LNiOndSyuyh8n4sFkbFYn5
LBd+7z3NjUgt/F1imitrkpiWEr5JTofvme4zMZf9lAWChZliIMhikIO089Tn/hVUiKsksp57+1eV
f5XKtEjpVS59PHbOHhSN/rSA72jf8RGaNiBcAhnNsfuB3shFNSqsXrjmnn/N7jiCfv+9JrSdzSW4
bS8GSZN4OdNz9A+3EO90sEz9D/41SGqxrcsL4Wv9JLSZi1CMLdzOIaO3F3nqTrQZVWR9Z7/rIN2x
oYgNfsl/0JcP1KNCxIxkYco8l/ZW215ppvp0OFhP6Gfg8HrSuytO8RJ4qzaIooAyAzCNvZK+JeVK
ivWwCdLAeK1SyHnPQs0Mg/yMzZbMh9jvi3hkQE9NFyZ0Kv2W5IOESDx4kqrAaETpVaVXo0n4achV
cgDe+voxeQEZp5A4ri6c4hekucS47DvD3K51jEvBELBJ6eBkwdIBgYa1AsWAE/0Dz8H5Htzo0cLr
6vDAvWjTTjj72SjW9FRpCM4ZxQvH0THrh/ZvG1uUnw6CIfyqq6ZRcmXMcIUZ9G3NncQMcvtTSbut
SEEwHMRyUDA7MzG6ZBjCgnbCNmUBPDAyoOdpn0DRldh3YoROmTQgjQql3FXthUV4WLH1BGsrwiaj
QrNu0BHCSqE0YcDNz97/DURTfOz2aiFt9UQ358L0Y5aTDwNU9NT4QFL5VxRCD8Y3b6n91/3/yp01
8V35hXLa3ZetRM9E50zjmYcjxm+on6f90w9f6J+m7QatyOdyEmHSnqNqOqcTHGR0/wdKImCGBd/P
qyCQ46W5bZ/bNU7PSBE+wYW8OJFVbcnXoZQOMutstV/RnNs5d4krHcsvQrlMjvj4uvG53IxEzuOg
eMwQ0NpAkNXPmvB5odnk5/mLifnhw2wBEHW8gPox/gNAk1e150S/oB+cYQT/YX6rHSXnuekZRDQR
yVBgLrED4YJp8lS69AwXAZwyrAVi4bWKhEKDbRSG5bWO7BVGo2aPwz/XOEuD1z/7ZyNIFLZm+QMJ
tf3nIG2qOVIRxutadRBHXjNI2M8NiIMjzFhkOxeEy1QsumS3SLWFQXp7aFbNbWfhfIpY23lgDpSv
huB+Vs1GbPdl6P/xSjhHdk6ZA4WzLPOVxkgmMDgsLYlXd4wzoGIwpJF068tovZpnBzSH0FpZtmf2
h7z/R+pmiPrpgWcC1BbI1QBIiLyihyXghgoFgJXB518bpSAzRJF82MlLU2Vmid6AE0L2Hd8xM+0e
2jweA1gCVYAE4mpJdlNBL+kspnkQ09s2x7sYlqYKYPI+4E1vNHMylJckWJGbux94FK9dOInEGfCI
bHeTnydJykqxT8BWbeTVYNA7memFQFOGU5/JufjFZIbotCOoKrL3OnW04UhwbGtCYiJBJ9AvZbZT
c3YSx28zzQs8n+88S2igz9xOMQiLmqQbcJzjcXOGf/YwSruqjENbditlKl1TzNUEl02ZxU730LeV
Dq4qH39LILgY6MV1dOvFKwZS7ooR68Cd99EPfDLd0IILzNvVIgcf4fxhxZu9KvE/8BPpcV1/r/Rv
rSJ+778n6/84ss5xFwBkDelsxwadGdjniEaDIO0Je0D8CMG4jNnL2Qjs3PO7XdvVmX2tVxEmOfeO
JdtS+Syh9Nri4GWqh1lQiCySZYZkoozDF8wGH/+DsuHIKFfy13u6/qsE3a9/3LD56N5gh+J4rQ4C
EACvQZGeQRJUFKcwISHa2Jh2Y3hNM5vLYJ3IijcKP5x8bLva0MAKJNEhugUv3as4GnFAG9eGZkZ6
3F+QR0cJAFy3DTNw30fYPCF7T+5OtZO8m4eHXk4UzJo7DfTKX4YZWQ31BxLgtA7jAteGV82869rB
uzS2WMaTWnU6tFRUbb8w8l7mfv1MCq4Q3t1rSB6SJAcVo5r9YB1cc+caOEZyZKMayUZk93Fj9Yw5
u5UPfhy5H/5BK+sQJQpgl4kx69XOl8itkh/fPchovvo1ILZx4Vrjv4878aIixY83hRW9oZOLuzZI
uWGvjUTeWLXRZwZu/6i/TM/PShhZABHir8AGuG0i25qRClI3E+sUmwPdjExvzFKR3G8bE830HYiE
Gfdr+UvS8Gj4E7LuEN/nPP0/0CNl/WpP1ddckS5mV8ddyzheyELST7ezYfO2k36F6MkiL44Cllri
C/LmnicO/DBxCTZY41JX21JDdTtRTcqEblPgh1B9a7dxm1KVPIQEOu+D/Wi6lj9Qea2mLoguhnV/
3l3KXSKGbOilh7IPZq88OwNCBZahFzDQI5/QlTpirvdGimrDJP8rdLLfQqMMPtBY5eVVt0K20rGV
V+qBB8duBVAMbGAjnqPSOIZsx6sbJffGAKAnLzdpgVmIal0XFvwigIg2u3YN0I9J+aW3dfFmaRIB
tp6MoCKGiLiMHYvWgHIbOiJJwRCpOpC9BP1hhFSzIMhAJ7dWLZaZyQL36JKVJ+QdDq3YnwskC4ux
7+oTyO/7czK5J7dQe7in8hUMm8qcL1JpBWz1Hfmw2A5Qg0Rw+c5ccwIwg3tVjAIR6WspezcjFIhe
CO6tRZGniJV1uaY/kSZ3OayLwZo6U9MfxLnAs8BtmqFb/eyFMgl4Xch1YOL4gRK0Go5zG+kFn3qG
5Z+7J1DOL9Bxw8aZryI8yHiOcc2TgDgucyrlEocXVuRUlCwMlqOE8oqWql31zMuFYiUQaTnYxvUD
guTFbg8+pUd0uiTKCpaRhWvrelpzz3rtSrywKLgMmVbcrh0rC7tGe2PnnciRIombVEwilnPqaxFl
W6HWPD41q/zW5McdMEEWKtZUS2zjoXzJIb1wo7vm8RcOmyOchWCgWYhp/tJw8qLTbfFRYht94MCE
LZCSGYFARVXG/xwmFVdthj9YWSPHkk1Z7lWPUyWZ/j6BVkjiazFguAl0WB+gzUgI54uyKvsrIeHe
RM/2Fz+YwDtZd1vRingWJvp/Tpm59qWQFjs/5ewUTgf9uk5SFPadyugLlXwJggF/+Fdyy7c7LEe0
VEtj4z6PgvrLXjIegLq6SJd5wQgxOakYWHXHtCFR51hZL0OrqKeJUb99/9yHHLrwCeKoc1XsuNUM
qaPxjZ9mgzlSRKXU7OX+2xOzPiOOdubsFUtcDYM8Z3oXvZ3jhfzl/sT9QyeLNYoOwOM1srBnGR6q
w2MHQJjWoIKle3onUtBz+DdQ+lvH62YXoQG6rko56CIYtVdry4xPZs5LUi/rFKKwnuDEG9Vqbs8f
rgzz65+56zMERmLMTvGpqgfEIcPi+58TD9IlnHERu7fLP0oy5YzpAEkj28o4P/yOy3liumr0IA3S
5zjbQG3S3zwmlXElYF3r+9zYRhqGM8PHM5oAI3o4iB5Q73Kg6A4UDJLVG8s6nO2FzgNAa/nfwihl
weHtYw1eog1nr492NIEplZcZQgfgsinbJwInVRwnycW9wqUP+LYDJ54isaQFc+r/rnhJXjh3gdF8
4AOIunK6s179TwANv9jybovHGpTnJfcfEugdFTxjjVEG3RQPfLj5oQVWHmi6GTGhaMkccUEPoyVL
2g96jJ2Bx6OtqlSO8DMN+A9nPglsv6yrvd5JvjWwqWWlkkyx20RD2J3pQYsBImyfw8Y8+N8eWMFN
GPuuq8HOha56kTPGjv9F4DRjXq16HIdtxeR43oWcjYHTjz83NU7RUgD9dIrPiPCp2f2nyhxVxVun
JqSHXh7OGlPs1OEsux/p/8+j0MLgA/JuTwhXXWHc5gbCtgBm5wFeL9bopCCevTSy+G8Ef//y3+Gp
dGJLsyAj0yAEszgkwnlbaSeMgqAYGBSVGwLEA/LSLgpgvtdTd9nU3OQHVnSi+A91yAj/O+/e/ywp
vIZRKxHl/mPhZ4x5NjCFd5VIRpgiGvl+2ME5ekksxd8IDMFZj5b/I+Vtecl8CML+EDzfG0OqEJ7C
L4Xv/05oc+gVTmoD4Pcy/NVgTFnS/jRMfYGtn2IVd7wj1/xBslAGQt/k0AwnePRzryZD+uvi+1xK
S5Pz/cvgcPnNr3gds4kj5pnW8ktbdnFx88DkCBK7nyz1XnKjmW30ymRRvYQPPxYOqGzoKfIb4Rlj
RuMAdTAd7VjAogJ9P8GS/O3BSePBI+0RyEexKZH73xurUZpA09GvHjTYkiL/NylQhGe3vyIEBmX9
qMV/qkcULqN0A/thEOlXBS4/P5myUsENSap10ILMrKjkktxhAhflj8xOy1VwcBGu51GbJOtQ/dD7
3nuCmN3pGLHzeAxTozzw2z67Ds5YZ3l72m0GLvZXnTEUW8NVsw5cEtHAaGhM5KeUB+ipcHTjzuhE
juXgjgrDQu56T03pK0uwsFyeR4qm1kd22ACXEw7YdsMHbIIKB4Y0q8C+1AvSlcN3j+KXbwRFrO3w
+lpZ/6yH5VTuIB9uczusDSyBTl6vVhV/8zeOcxWkacWdV0M9sPJ/RpA+OfkL42MVsW6DQAaoBzO+
yyNhXqkiYMRST90EJA9lXOrGw5qlTamr/9MY2qKAIoHWT3JqIiQtl7KCi51gLckTEfeYLA36EbA+
Nvag5HHkNm2eYNmXXhndqOKV1HEp6cvQ/YVttcb+d034yxHljlzdb1VaM2gOG6J9DdgCm8fWgqjy
t+6k2l60CLWZfAj4FVWJoG36aNteZBcpzNpkhdrRxjoKjh6LPoXMssF6LdZk3cuJSzsjglrjn53F
674GQD8AwFGaSiKxOMZ0mryA3ZPU4fGVO/N/8S03X3bzgretFpe4BNHz5kKUEtB38Dn3FtCLiEZR
rIRFToDzWL/PChvYrX/tnR41Gl3YkjcObH25PMpM5r2EigMi0xqUOit+JAbuAMMF5NUVaXEz8gDw
p0YkcsX1Mwf6StjW5vXC0q3Uh1GcMpQ3ouKNl6CqXJN3F/dBbPqWh6X7/+GxMZiPKAsiofm/6WBT
Y5wGadh/aLXLkuQ6WU3PZLPWSNtJaKpttDBiBA32LAjZhMqVTYL95GczNNlCWEz/RhHcITDiqNUb
q3yelp1DX0ukN8WYc6wF07NxqRm58tax8/2cZW22tfJvY2yO+0bv4UBZuRrEfSaWFZ81JRgib45b
n0WwRbwjRFRmXskodKL9xgTXDYRpkwHMrH4JqSZu0/ypj+yRn/AyzJfibw41fkoonEEisUYrJ6ca
bR7lCali1tSrvJDzZocmDEgrXAp+4zqwif7ijivpoCFBd4YEb9dWyIZc2CSWJiGuqpynhVvBo2jT
35Pka+0k9ZgdRjf8eBV8nx7plyWitqOMEnV7dhFRMQ7Q9Czf9ZcnTZrqDHy4gK5R1+jj5pawN8D0
ns6eheI1mYJUuEA/sN6cmzuFqMyWyavpP8W7eqwQiOt1Vvh24yT9oE/febnGZod43NUdJoDNDthY
XFa84uCzEFaBvZp9UaORujJsjHFbLnTjAQWe5jPzK/1lcIvRYG14Ie5V4FNzaTfqu8QPRDVU90LJ
0P9MIJvnJkP1g0lH7l08vKvGz5VxmyeP0hgjPnJsze5mtC0HIISchMuAFK7eoRGdwjtrDZTGi7by
2uH6k24Ej1v/v9591PP2zoGG//yRHQEfg1fD0EScpLguiyDSNYp+K4U9zIsnM5QCsMwsQh9uEabe
ESnQUVoFj5rvnN5rSKij/kKDjsCbsYJGzuJiiH/iFxs1z2VdzEyH/O7tkuoq51QE3ntS/SjiN6Ph
f2Y1HRhkdc7bhjXoJkQ3v0cPl/e2mtqzFH9WEePGM44xw+2mzxLf9eO6KV7fS48nTmog849k1Dm1
MyxGh7OaVH8lRlEhHMBOeWdlPwJRuW5dk1wk7wulA4fHlaT7jZAKUQnyfcCApipctbTkO9kq+pWw
gvf3lGyj6EBKco0QpiVazdCK9gk0IsGTdamKNHfNS0mCkqPILIA+JLJdllCRj2icMNlXIOO3r/37
21ugEu7t4HqThMIkXEj9PTGSevKp0Lxelg2h1JVS0TmalcnPhxvDWhqNXY8G5r1X6ayR5t88iSZ2
x0DM2ykzjXzQ46VILshZed4pck1ohHROMDvtkvrYb8m1tMlrmDmMkPN/TLNTjLEM23svMkMIfJjc
oRTkHuKCwW0t8gVoRwZj52kSjRR+266Q2apeLMr1ClamsiYUxM+Ml1fXmm6Q5qfN0c1bfAPD4FMs
Qv0WB7DlQYDNa7AohTJQeShhaBdqcXmpoQN/myrHZCJTWT+hGA74QygsQ/jBbfgjxj6YSeiRT66k
eBU0WOg0byIuI+ofPjXWo+C0XH35wABoMK8511pbz8IBZB7GdWFpvYG8DvIQSDfjnW0ve53MbwOt
HfmSxRwfdpWc1YJgVBksoTXoFxbe4vvEe4Cjw6OgMRgQN1j1LlEjhuN1/q2gUPZQ5dcHrG7Dlzwr
rNkf0o++g6BOSKtr4NP9B6n3HeQpZLLXBqzhn8S+tuh0mPougqM1K/T/vHNyfoGYlbwGgo7zrZJw
S3PiwEaGcyFqzp+krqe+kJvZ2RmNP1L5LGu2AzeMs7jQew8Of+iYdpO8rsId5eho73nQMdDvIgiW
nQGkdoJg7s1d2SykEQaMIEkF5e5/65azb/wUTR/utlDmGGQAA/43arz/3cXr+RKuQ6FPDQbHy9Lq
Tj0SgpT9qZOFFjCb9YNs0FwiSBRZVoKaC3dfaS/0sWlx4+oG2Ve0AHojjyAp44jI9GooJqDDN1RC
6+g+E3NrCOTfZVGJ1gzPEI9xhNNkM5AYAjm0noRBgPDebA5vaKeW45qkQAhqXPg0N0U3cw0wTdF9
TaSfUHnN8E1cplWrZr3xene5oeWwu1soA91wERhibYJaytdJUT6i+lRF+5dEiufm0CZg2DVkjzCI
QE5E8En3ONQ1iEC787bnhXgD7WHgvx8qV8VPJI/lKsJNJbBirNdUAwjYn15jS5VVjbcgHTrlLs6Y
ozN5souF6ESDE+2pFMrb796pcOL438pLyfG+7j2ZPlzB1fFY3EVXNd4dE0Mj7K+v/jHOV6FJY/KA
XR6VHfETWHtr+GJFnlHB/nyqO8pX0tGsXSjZdIAR0JjwTLmtwricTLW6+oMFPUq6Ez4jYzQKdAbt
T7eObQHhJHIl4QHU4TzZi1cTyQAsF3J+hHGD+B+mzgZAB+YJ735VuwkjOxEmx29oHZZzS9TZ45p9
Zj38kmN5TsZmQpED6Rog2wzAX3bJNy+a/w6m6NRDZ2YTQ4K3+lFaaLWv0Uzb4WWLwDr/lYmqa3yu
H++P6bTU4Tg1RO3XqIkX1Zp2lOKXCJMg1ckT4J4KfvZjuag7C2fpXFAjBJQ4klT/m298SRrD8mZr
A6Wg9Jt85JiuQYBZxOvDB3pLiuNLfgLB6MTJG2LQyGRLD2Rk5FlbDuuxXZAwbwjx4B8MV1QKQDYn
TEx4vu1HgJ8jA8v8XyjyADumuy3IAHLez5CgEeRXrja1M/2K6yNo4jzp+Pvf+wV+DXIlHOiCsB12
CPsr6NpMB9sbiJURIiVgGWwG4nYpOrLvTKsRPtvDOoAAlRuHZaA1VhZCrwBsIjh2T60Y198qdzGb
BSNRIGolPN9xtgsSYwaI/J1JeFOcvw+OZyYsO0VxjoieYbPBWnZZnKm6jYecrbAxb/dJ1Sl3ET+0
muJpD2F1CaIpjR0kjEU3OcIvPWYYH5FBe6IBzmFb5DdEZCX69X2huXe+vFCJsV+PI2q1XzqL/B0F
RU+8r1a/rDDqKgvdGwdtzs7s82Y64lcmQNCS51Vvq1PLI5BGvwVsxBtGp3Wbz0eJJ0xwsjDBHuvZ
FPWx93CrcsaXn28LIA7JaYjzdjR1DtJBnE6lyno2dbb0WJ9BiDH8WWISn6M/Uj4/bhSFt7/UUwV0
wWlIMn9WkhErCDJrDGuFnYcWKk6BuD2uVoYPo3oWXc+wYsKflQZdcCWGCE6ZQB+d8/DJaRKaT38x
CQYD2ooRic9LpIYamV6Pc/n3TX6czw4pgmmysSyjDv6Y8cGXYMcxHR99UmG+7bvrpy11iNP1F1AQ
KeYWCG0MsuXaan4xirDfFG53kc3qomXjA+KI0+X9WX73e7620Sp6yk3EUii6g16PKZVsD4MlSUzO
wa5t5M7YwMp7XuWJ91IPbKoaJl/25E5T9iWoZ+GvBKkaxVcKXi7irVZOzGrd+oOU3yuNC25waXP8
LNYXr2iE5k4wDrOZChCdmoLxCsQ6n1b27BqncoLil49o5idLdXG0WwuLO2caEaA5pTO8WKo07xx8
Cgh75npSpYkcQU40xktblDCYvgrOV8dr481hNBzu1kd+LGR32hhHmtSiNtN8rgLBXhS9aBvOjol9
n0cTZKjcJgX34iBNP4z3g2mUdPIjLDWq5OcTPdSDB2G4bNyGdbcQpzFBwuMKjsR8vwr8kuN9emyY
YAGGDLYCyyUzt/DbtasECjbKKrn3ZTbrdoo+P3Gpq5HJRWZetaXn97LtQ2FDNT7AoYj0Y9AVoHjo
Y1q+HaFiRKrjMu7rNmUcGioGO5iqePsW9EHHG6Do46H+hphrY9ZXZLdRm184eg+qIYdAHIESruky
CpADmuZ79ByZsKB2EBIt2fjVAdS/0etQuGHWByd7XWv9eISMgE6guUXciTtRNfaEz10wRVSUr7RC
BKcjJMMRk5ET7keYiUj9mXnztTU8NquAGMs431eG2qKWmsY9UkwVJ43dD0EOaVsX/v3KAKgV+Vlk
lfGvKzldkFlj9W++0QLrHNndWkrosxeZ71SmD/CPbZg5As7G37TKBGMfc/srSeWfzX1jajm+t5M0
AOTiIC+QKBVQGY+9P6a1zb9S50p0ejjZ7z7ZdZkrhEdSPWoQcNQQQ8XLJUogKkzFC2z3+6tM8c7F
/0PCZTMC8ajaRy4hGPnjy+hDnzmEnKbTuYSM1D/npfMl1wVjbcCncjOtsXob7Htt9tWpjRRig7sa
lpAN2ajEY9r83RUzukdcf5QmeSLX6B3/Ezx54B9qjkS+M36g+6diI50n/PsAkfn/GXXV8JQmkLSI
rQBAg9yfEg9T9C6tAvB52LjWqBCooDInIt/8fvkTdwk7drCX5cc9tgN6CYbSE/IXAJLxaqU7usyE
wDmKEi4i5fcQ0UR2IkZCwbS/hLgyUezgT6JiXD5pdHewPDbQenw9B8FVsc2OccdweRnjY2NTXk2A
EiqFtt49j7lGeHlBvsIqy0z6+jv2KBqKGGKpI0jxsX4Dtg3dKV72U9QkZHu+We+LoImzPEumzwH9
SS8hcjlJk+7BYTmAbIc/G4yrn+nf3RILjFM95/rrw1mFP12i1AYKJWwEx8NhqEo5CyD+KeS0huCT
BRpK6cB9S6b5+Cu7nfb6JsrOT2d+i1XynmmFU3kke+Bl9PP3oWXy514NtTzKinwK+aHFlKhOuoBK
tnoBVdru4KG1MRm6d4CFJfoDkMMuSFoZSBz9WLYtDJEXILna9hooiDmT2WozzH6/rVB9KAmlo8fE
P5/8S2pVATKYIvImgZYhjDyj/9/1nTRYCABk2OpJ8Xn4S0DltnABHiaWMqseEMordnE9nDPlX4ae
fw4ESo2IzTsC6/RFyzwqvrxUqYVPIhXW3R7UhgwMtqSVkVEw0HZHBJ4P/CXU74eP6l9Icectaeqo
vagX36zK0tt6V5GmYo0kiTCKBLdC4UdQHyltYRj8TcNQxlQGqSOF4Sn9VPUQToJ3UzSujy208zwL
vZUZ51h0spE0RK06/lS5y6sNO0CkNf8ZXLzZkKhFGV48PU8O29SaaPhnq/1lGzDES7OvOO7ORqVZ
FtyZYQZWmdomZTX4YF6bBHPwthT7U2Ab+LfWuwud/1mKwDCb/6+9ApU6S8gFIaPoSGkr+3Sqh1Nu
2qWE1HeC6L26WCJxckjLnVQ5d+Ew6kAi5pUlzF53YKlJmyuyepYyo5oJpTA9VZviqLImXdCzc2n/
Y95UF/niVNTbCVeNJ7MsvaRZ6Pmo+NKpFeA/iWQ8ePunr7yG9E5d5pArREVmfNzQmGqUozPbSElg
SU1eKiX9lL9V96WCdWoFGBDZdqtpOPonk5sT4UC3oQDccWevyjIpZ7QsPbEvGsC4IMnroBPZ7RWJ
478VHULF3HTmjgVxA0s2stv6TgzBqSG0geTwa1jzU51up9g+dlTUQNxI1NUH4AWFz+KRDDbCeIs0
nd1vLESKbbCPgbHaDhQxnwJ/p/aZHesf+2vr8iG3iBcRMAvZoQbrzJUdGyrsH9Jtk6hCnKFWb62y
92xxzxGWOJGY4UL1gDbr/eI1Bobp6dbrAV0LZf1KUuTla89pvw4ZjCtAFUrhQ7JL6mRScYqnF9RA
ncZL9FupXhvd+HvdhxttfrdJZ7e1yReE6dow1S/+XeOeJ/osPAlUzFsMey32fkwuHghqDlhrrRpj
xi9Sq3YmUDg655ztjSP4HjULT3y3YFV8+YsaZ7cBXhmoK86buSanS08uks5svi8Sat4cHusRAo6Q
q3Ix3FD58yUbTOes0g6Ee042J6dlBsMS7aCykWIVhSfWYOhFpKS9DphKMdIlu2iJrfasx5AeCbGS
QyiaIj1aJhxTgVdgplGwzwVQraTWa/FkUY5Jolvo6tjh49jJJSwRdhUYKWRocCDxrKRo78mcKxtW
snRrY0y1jdJV9nOaAx73/YeJndxpotKfTTXegq7mcSu5UYE0n6SLveMMNXyO7orEtVDwOerz70Jc
bRCMSYOPkiehK4pEmsF+GFBbB2WwhNu5wZzMStGW/IBDpHTEyfHAQjWJkMdojqZmOajStfgBePpt
PmSFu1YDekakHJoDR49BSXfHeGsUCCQr3jqH8shDoyr7ZWyykRUj4HL7BQ0AoPebzXAn5AO1aByi
H8BtbNk/Z5889kGDo+ILu7q9EQgyfv3gyH/3cNyaxKSTFljynaQTP9TyHJBXfeuXhDxSdB0r4+Ao
hM6G54t31lXeFgKX2NCRmD2HI+3jL+TbWmEPl6qjR/vZyqbikJt/Xyti4voeUmlzugR8HE4m1nvI
P+nksv02ix43eG5jJcg/oVsqXidmBBnAh7EwguY0EUtA5ZirOFgtq5t55HsnoGN1ZYH2NyV60zCB
iPUff5dI6B6emkFK1nR8SK0Gr1XjFzn1D9BQs23GCSE0IdtOnb4+fMDkqY8mUlp27t74ajrIudhA
G4yATONdPnA+ruGt4zcEN5HMtnxXnNX70Z2HLIdQJuda4Eji1BQrojiukM+xtRtLLit2o/hkegKx
RgMAQrWjx85o2ue/PRnzaR/wTD/aKPm6KCITdCT3de5vAPjTRdvcCWu9g8+5APH09+yHrVtiL1MV
0fcP5kRHg7k5/vS58E+Oc2hjrftOA8FeNoFuTz4t+YQxpwgd6qDFbScxIP5vlYZQdc77DszHfXMu
9qi1EQFKJQ5OyylBGVv5JGkhq9DVzx6/jYAIFoK7pi6qdp4LTzJLlT1q26W/t5j8rJmLodHpn8+s
2YOeMEbonjIbuvnhYyXIAhaLTGI0pdQUYfOL/U7AOlt8SaShc3CPDAOlWe54+I5mevSSdMegvsG8
YquDeYzKkBicOHswX6CuOUKtGc3m4FTo6EPfbynpXVfKxG6l9XD1R3WKflWzW4/H64dhy6KhmF4v
dxAez4aP33zLK2I/nSBo2PVCBKFHMFeiW6Oygl6IxY+PORSlbl/E8mk7DefzbiF7cvzj1d8Ron0X
Nc1v4qSqb8JMkooC3C3oKMnBnRQQ5xoComTNs4sFxAxF4M6AcFIGepr39sVnyJXoZtfODTpQebW9
dI6XcK1G+c1418nnzxUEie84//J44qJHVbZq1nzQk+dkMMNtQm6hfa5ftnBqEW3D0TNPdhWAsjyq
iZiSdz9GnsATcf0e9FpLUZUNIdGL5HO9wk/NHB4THFxfknz2LVGXu2wZf1vIsprS/vXUwlOsO4Lh
1XvsEOMEYoiNjkLcDxwG9SqAAoRxNcScRB63K5tB1luXMT64WLuNUQvibvb9P7U0PgbUznig6vAK
lvdzvYtmXAklO6Acs2c3Ue9gYEwtvfWqFr1EzbHN/equGOuFCngpmp9wS1n2jRPa33FCLIP6dKYj
en1f6B3cbPi08aS5AY4zZYaFUqD4GH3wmdkouc7ElMzW46h8s0GAD6L3Iv8tpH0QIa+zQK2L+Teh
toFwgfQBO+V8M1BtDxwpS36O3MI0qcEq91qdU11dHK+NFpxQauOeL3ZNqe+i0aDgMh+gi6ECjVv3
mEp0e3fuQqjX6GDnHdE8T6/mQpE/xREiKEqSyEz9wke7dQ1KBwUY4W+Hmh+1b2xiNdL/znx1UCh3
MxNI0A8wim9+oXlSqKWyzk0JR0KhPfPqPVl7BJrinMZOLn/pt5t57TvwgJw9lGPQjlBIT0rpJ0NX
nWH51Uu4ipHoPwWGBUiNsZNdCqdXIDkrBE+m+9tUm0hUYuhQGjPUUpdXYs54C2kLwYCCbwLERek2
bRU2CePcRtk8eMRrE5qHT+YNUIJYX263E6GKOx0pXZYB/eOhLumO+O4WcJw4Yq50GM13wEhc0n68
VNe5gXpBU81070pAYX9w0RKMw83WXRUbj1DZWXO+/2vf1aXu8IekOJ6fBppnTZ7NFr/RG+pOLVG3
9KzgRxLrH2I4st7dmTrJTWALEnqOfob2ZVgzrx4nldz6/Y0m3zVnN5RhnDDa2Y/qsSvhWJrOfw4/
m/tYbRCN1DxkZyGKzb5c/RF66F54A9rZedMCQgu7KGW7n0ny3btucSkLx3PCKxl3BFvLMrXSczZy
a3gZz3nYUGvvA8uNbwqmuB8v3PwUXuDIurtYlNWqWMf1bbf1uv0qUKeR7oEotPbys5pPozo7ZvcN
Ub1iG0iCFYxj7RePuBGKmJVffovo+wXfzJIfXh9PAws38IxtGRQr5Cwg0o5ZvijU/WotyRdAICFV
vgggsuCzF1CiJimvl/xoI1LCxag6eiyzg5UgaMjAEvWGXlcm5AoxjIc2ZZlThfCoecZWpZGdT05b
yeDLhBg/P4lJHieCEdDmeEJgL+DoR3fOUw9OzjWB+H69TIWRbT1YSHjDxFwVeFhwchrY0IKyGBMQ
Zl+5ahCrXL7GE4HydN6lKtBDjQXHrmQC7zMbo7VZeK2qn95KjFFbS3CuXoUZsQ+catMOgR5Isirr
6ZgP2QJwy5iZ771dcIfO4cu8xkC0KkUIn5Ck4POEF7lf2tFAQGw2AWOOkn4pxAy0Ilk0CdGNMclg
WirvAu0Bedow8lgi+eOzYoniCO27prxTE6O4RB9LlYzJZftVtTvbJHt9CTboalyk7stJQlqDTovj
Oi7Qv7pLTravXfD8tQddM5Z1kmNWCJYAZu13x6NvuC4r5LhgpN4OSv7NUyI4LIrG6w9zzMUdarjJ
qwt5SRzDe0RX55DoWGClda5M8Wko/mH6+sU361R+s8FaE3236Zz8NXg7rfazuyqiQT5IT2g8IcGs
jOd/qwhssd8d+Oo2TnQ/dXFP3YaY/oo37VuzjG9UIgqYA5nPK6Wxv6/nlsfK215+Ky+v78PNw0pa
utyeFHHNMNmFSFbzYSL1HtSzepQQp/iIGHeHpxbx3NkcQUKp/qK1TvLiQ7UKIwHC97LkD+cMHvYG
ouYr+ir4Cap66sis4FiVV5rSYWPZapV1ZuNEcrj1MLroFpwVbBhYxADBV7mD8i9Cm7bHrxEWg6n6
JQx2ltzQBjB51Z9LKFIRXjs0RBv6+kyp0Z3Lm2Y+4btuttJfWayct0jhzblOamoJHyLabLdndiFv
qWqDGengoCPYJ1YEnxJVaPt0CgNraMKpKPvOO1TenANgzTl/9fhnkL9RoET9/9EPRDZLicbbCfYg
Ut4RYYdQmnxNYeDZTD10W4CcfgizidEHOVg/TpIBvbmgAomaB2qf5haWPn46fHW4snvqPPYG5Dsx
ERccrvVZFHBmR7gpKUyOGLjfxdECYc1b61oM3Wbd11eYhownpRmk7YlmLovyNkeXW18ZItcjREEa
lIEO4ZaWp65XYIamKFTzJZMpfXFvq3JrP5TR0cTBZb+RR3ugX6XpLjaJ3dCE/egr3m6FuYpmk6OJ
+EU3OIGJnxEKDZDAGHg7Ua72pAWJIeTPY4YqpYGan0QdAIKCi5wYlfqCn9gFgyrAlEoFgUHQ/kAV
gouExqQyMYkiQtWS06qAr5kTdCSkrJvXKh9av7krLs5VftzH5QVSswCRm4YPolj+VHddKrf9MOla
A3STqzz0GbGLEOQn23Rz2Q1xsp6cQi1PY7FucF6/jGDMFLJUV6HjTh4YIdsOJYtvQWmHBNH5rI4D
NN7NJG11hmclvXetrT15tqBP3hcRpaIMmjitjibQC4yFqzC72scym85T+J7k1pnTzqMeTZ9XkRN3
04OfpIEi6169UrpyEfHdTrApnibWeib4S277PtwoqkIkq4NbpDfUELr/YGQIsXGFGAuERnIxCkjW
Wb5UhnbXP9q/bMsb+GhzmTAY7Y1OJUIpyTaYQheF6GZ3MfvDkC4ySzsIJFtUSBmnv4exdD5KHG4+
3P6Km6fejeHBBiS1GjVtsayKEzRVrrBV3XzC117ZCtXizBXlKLt6hGk7p6H2JlrTPG706Z7B68j6
2F6khHvoYFP71WJ70JNL4KJ3yjWsR0oPV4v9o36W258pb8EFa+nUIp43xUCdM8PbFBooCcohrGAh
W2V4vWLkltWmYFJ3SR9/Ix3shDa8gBBoL+99VUJ96qcpQsDg9sLEh9IL67EAWXM0auWc0fopfVlx
zpSIO5TeDQSOnuEenYpK6g8SAjkKn9vdqIH86e0+iKJzjzywv0rM5Qy1exw1KIQspwj3iFRrdc9I
zZ0s4d4nKoAI1VDwaA5Fwr560nKQDULLI5wzev9+bC6YnPkRPY2uwe0CSYSeRaDtXN5BY0r/Z5D4
fIQTKM3Gsaw3o8nFdMsl4c+rcU9goeQbGHw3Gx2bHA2HsXAjbVL8EFBXBrYxJWuFgRRo962ZI44/
22cRBC8bqcv2pUarFJaZPFHWImVyxuqVdsAxidckfJqD7aSorBqLtOutmbEkuPyPLbzZoihGqflD
5ROFayCNDw6/2Wdqi7PZtoOwPMsAZMD/5bmjPK0TqvylEwDUqofIAdEGjavJg5WxT9wj5H9QI4zm
eJJu1RS4mR70Av/wOiNrVAEd7FHESDm0rC1vNpLkORnePYWlXn3CsvELWSHcoN/lvQFHWG4/RMpe
UNaz70TAtllBYxaK/QqxOOQ+P62Lsgn9w6HPcKprEPyEafdp19XQACWU1nletPBcA+FYNmWbIsPn
I5bc3uwHxa3SirqNpIYpoSnVgBygRuCOKzixH1xEKEbineHPPOcErD0FsF5Zwq2jfEIri1yH90pU
OA+tgA4dQ9BADarXn0QRmM5w8lG45QbLGqxeKMTlRrpUr559C1TUDRen9NIC23wo6iXc6Hd9eU0J
l7pz4XujAwTSUNaVBDVK2Bk+aOTOW39yZsne7FCsEval+jEaBeNJLaP5MJmVZC/1UtJpEmvMnvXG
6oeZWfn0zudt6BemkoidObePMZZhIR/1tjDO+3MgQJQC/UFprNUrSsy69nxO7NmlksD2OrUSvSVV
ZsNL/7D1vZ6OJ2SjzMhvgcsP5mSOVq0LlXyEzW/PaGK1womoPBgjoBoLn2uxAmFPMhW2KSOwOJnF
P7PNn7tS7qzqnyNNFd9X+NXWO/ltnINMYuKHVP+bBhiTTXEebTbihtgRK6EfgndNdGjcu/51ItF8
addKJrPalQLZo81XYGMOPUmyl/mTwx7IdeplRhE5WK2W+WjBLQGiUBMCTjy+mgn1tSF3Qg67GjIk
fRsqZ5DjzKg6WjEd92yyL7UHeDvJ8Z9iWateYVk0nexsRg8CJfoUwufjmpe3fEwaQiMgsMiDXRFE
iXQyc4Ovd/BTta8CdL+yHMcFHHubhRv3TVHZLmvrCayga5tI6N2vQo2B0xNZ5UstcYMUctIEQo71
cYrwx/J6v4qxEYcvpbNGsRclnLyvHsZmzmEuudhuNHX2D2eBRcArhdlnCcyBjM/Md8Sry58NiDYR
BhZTNNjnQTydPo3GeWqBSVXOolGRdb9CQ1j9cNmGor12t4ZmVr4RbfX/p1qTThbJjy7JXaRwQG0v
0iqt8nwET2q7R2mVyqqRCkevHOod+ijweRuiBE73ScXfsIYMcRmA1qQ38wpctcWDL9hgc73wVy1g
jgCq70eiQSjoJbfBbGZvDe2yMdjfI4EOHi38hye1uhz68JwvqLmNtwxhUTCId10LFM4M+nJnQPQh
vzl1HT9jJFpPyYljQ0JyJ5ShPhEtcE6VTYoIHk37C8zKCLFtKIXlNUX4Oml6S0HrpxxSFNLeJv5/
UN4kbLYjA/SpvAZJJmeKF5L35DstRwrQ2uz5VW335+XNkBtaLnR+24LnHpStCT0kQB+zqJTOBF/T
RXyOR6zKJrd1/MsQFrFXJicKY87Fs5x9ZRdl1PQ2axBUaG7lQF65P8p7/7fMgq2RGCljZuO6IVK4
IA+z4EgY1iPEH2s5wZ9Q2m5xjUnWq69gXTfX76WKG/ZDiCbw3X3iJ00JcxumL98KBGSsbHrAGCZe
MRFp6rv7kvrhiYEtsJWqZ6CK3heUsZ6BgueIle5crNO1oW3E7WSXuDP74OClt8ozkrhaoLIygmR/
aCMtewUAM1jSxHyqFB+DqZO1Gr2XgKMtQzIVi3XK8oH+SicL1FeOkgivrJ8VFLTGBOCrUwRabFOY
Kwwqbkioq44wXivnXqCms7rz3MADa2Kw0v56Ppskegn6nogWCe20mRh74nanHCAOGPHQU7ivJz+E
+7hLlyAXwOemMSntb61ACNnAEBQDcBQxQ5E3Mnu2+XeojYMndg15709MP5M7JmQPVv5y0BzKz5OW
wdW3i2IFVio3lm5JQJlO82BdEJjrPunsco1qR+Nn3rokuUmaZ+W3RuJLXtyH4Ane/3lE4fO5Cqom
cQfPs1NRemNvQlkyWA7R+W4KdVWCPHgrlm0TZCSMpKr/lYE2vAtQb08lAXZoaNB2tbNWuDk9OV/h
D2AQfsBISxjR3pun8oytvW0AXcSO2gFtUVLcOgk08XkRwPayAfVH8tBnW/qKZR3FKqB0M7iQz+ZH
/BN2gRiTMrCrRc9ZBeUU2YyztNQKuzeJu2m4ZZUgWRfZzoEqKWTT4bqY3qGDhEj3eFZ1sUFzhHpx
K+/ShXZVPwlrZs1EXTxCg6s5UK2c4GhM9nZX7qRP6aSjaz/BI4BtzLihfNhw0EFkwv9HsY8b6fjc
Bdt6S1hBcoJSow/EXTLrnWprjxVjgGbyJdXh6VZ32IuUUq66BxJdlrOEPe64VZSrhaWEt0Lx623R
Ue+yw9lKChcfRbXbGmkNFX3L053VWgpmBhA9D/VsvJ7hxi4tXapYKzXuBmqfw+eO1hM6qFzNXhat
fxCZlFuChKiNgj+Bpo6abMqfvECB2HSGprzAtdepbXzsKM40O8KUQTfQVtb+Y0c7Mr+ghEtgXkxj
YKEE7pGVlnizdZwHqHz9uWtJa5kXDXQKCQjMXB3OaB1oeF2eWwzpzSDTKg4vMtBP85uWvai1z/zU
/RUAPVqZ0JT7Eu1Zd8SxeZUgHUL8M4hiOXLWlW0jn1878d4HVJAQxqUlXmZsQ++y7k86lesZfbrp
5F/oNarvcLLGsL20tbxkalhosTrG60NItNay+jQ4Tf1DpfeY2wttJLqP2GIPyGM2x+9CpzjgxBVW
xnAh9QltK6eDAEaaShV1gat5nsfBHv/nCIDo7V4tvBDg40ZnrOYSrpT/FGjw46va+1AwyLaZu5Mf
yizL4SxZAS/mgptcYmWPGDZ70fTv5v5X35dkJmd5YSeNL2sf4oPJqeBm1VasILNsxi4zEcS7HqYO
Nljw719JFEmnPs1miO/jK9a7KTgzj5PIYyOPy11GLsQ8uDgCuwTGgrj1TYRldrgh+XLXTUC6WqiE
ZEWc5LMTocL6S0O/7+x7P5ymmQcGw8Bogr/7gzyRYicCuoniPWRKigNwyi+YpvKTrsBQ9Kqv0/vq
qSPts69Ds7X8WnNNLYR6U1ORYerGOBaJIRtC0yPlM0LXZh3ey//aFvhGgWiUXNoOivumgtSIXoCs
4tO68feeXoe1Y6WlTVq7po5moqjpyZXTsMRFMqeAwHlTfAQDKx1YH8zjfE2qNrxNJmefKg0CJAMx
UyFx4j9VbT+yxhkZ+pzFqH/Q3dAPqTFrPHGDF/fNu91tVTijzU+9tqIStE+pvYOp9PDEH5kA/H6V
h1rDlis9RU1y2XLHFkiZWK3mo1VaBYhmgCpywJeVXXQDJCZgAQZozzcv/LIApvHuCkf0tQ+yPh7z
WEPbCSWBOktsy4/Hc469GhtgtocXN5nYyjW6Zl0QC0YIugvFV0afSbYS0Ior+ZKDpSYbj2YfVxEd
JUvppfGpZLG+Xw3DXLqgiAIw/hC7TxHyrq2ySVPIH9FVfqPNP5P6tzVlcG36Vtm1O2ww6cvsi33z
8nzBqx+nsT/ZdcXVrsG8hEbUgulmjyLyZVyGkTYDPffJxgeM1r30cW2DxxEht4QhgFKhl8nqWfrj
9YqDqLLPfQb/+DluEWikq8QsqoJb+fRkW4pb0N1qWB6sv/Hu90oRYvHOIHg/iHCmQtVP9ofbGpOj
2cEaSt1EjgeDLTy9MO0CcJEa8RzaxaxpE0Y3R1IlVB4/pVxuFzqFgLm6f7qbS71am4HlmVA9cTD8
T9b4r2pzPSslx3RDJbUVGswLpsdamM+UDWy2aHHSQ0Ajn3SJnaDCbAtnHDgPJWRZAS8YAvuvmPr1
sqH8IbLV4tV+Zk8VgrFgaPPHI4ESCVfgQb20bfW9MAMuGlg0Wxjm51eG+SPVlfKw7eSmubVxpMSu
cVQG5GXLP9JaFeH7gVnhbqeIrYjZmMk4v/WzQiHx3/CRBriEbcH3hi6v/r/jqhE8qXZ6vNBNiWWZ
k712IAayIYvm5J4j9tThrLOIfvt4mhCNEmduekQypmMIXgHJWdeLXaQwZZDjTNHwAzWyLPd/yZgZ
9SaXAE3WV33SNIhpiz0s8VDWw2nErbTp5c2Sic/Y4yv6jYuQy10ftacuUmq8QL+lDQbEC9Po9RQd
mrQ0IKd/wNTCQRybz54mdqDYalj5hLoIPS7yeYTkouKv6vuEpk4mYlZCbQvBBioEeRjZuhismAeM
x2SXrPqU/huz6HA6uUoaVqS6QOyy+SeUVW5BUrjay/nzS3LCl9MwIbjG2qn8RJ/RrbWkKuL/X6Pi
d3Hlm1oZBr6vc/TSnaNK2kx6fc2RarWnSTBsOVromlUHyGodQaIGE3uYGAd3t5NEVXSz+CkJq6KL
COnNAVXCgMr0cmLTwmTnfZPgMEJrmIPrJV8oLRshpOWG4SiKLcUVVCbSPIFo23UFv+NP+o63zvRl
UVvgtkS/xdENcgZh3n9DERW1DvGHJjfo4zoEe2SIOGrBo8QacCO6aufK5oT6fUqQJLRKg3ItZgXF
zfLmXeiIrjONU8ykOKRMG+vLBFuiivxfCZET8pmAyZso7uTuyjDGIwmaY/1XQ0TagF+RbRwDuKtD
aOsx/C8Bu+zT0wxKWoriisOLPFo613V3QrNKN39uafBQSFNh7OLEQ8w0FXOfHLCCaQv6ULeO5RIu
acugNm1J8ZmKQstFx8OpfnvVSQf90maBypIwNhD9ZlZ8nwOsSnsihMRLvjPC1v0sDohWAYpNZEQM
aLnh+GUy01qhLyc5b6LtyfHScO8QdANvpkRfdlrJN34UFbh+hdTjYBIJyi7IC7x03GSVvzxjIE5w
unyQHFb9EvkMq+sU3+Vt780SeJ1+a4MfVRBbelL6z0uvOyo/dktzYCqdr4lAzkIltXK3xukmdLrG
s3cYUmal+z0Icx5wGsjhnXVqR5u+2Lmi2NcJ9A502nqtWyvWCeO2C08bX9wHCV2a3v9oo0Qg1Z9B
dqgzvVR+H865t/soYhbR4OVVV1/lafDMp6QU9BlphVHRR73NuNl0L/gKL77FTI4IWxbmqXYk3J8Y
9WTuDsJv08of0RGPacAO1CWOt345YA2gp8hwipNA4xwZ3iJGsBuWr2N3QbMT6Cvs72222+iNtIl9
qSXxjWQoqcyxVhnDqi3IEjitPOV475qikqAbwfqwSBBNrcCftOFoiX/46E473teCRg6UWaq3LXxT
5yOXWcc5X0SPbjXpgqSZvBlZ4oyCZF1xPzHqYEMDpJ38lJfnIox65sskgJyWOiRd7C2KMimHUkf8
c/qojlpbCxXAJRIkVNK6ZJvRuOb7bcFsYhyo6MP1HSMVQDycZeX0eeMSsNnKCZHXCA0ghlpCry3Q
GZivdvSt8j3M4sVa9faRpX5G4Q65I/t3kZzFlm3fKUL/droTQ57YI0v8cFph97lJJZcGy9GtGtF3
+sCxic5oBsoRCIV/C0zWE9yZM7f3YITZIwwW5rWHm5Pj+ThYCUUzP68GgXBMtoFszDD8nzJgO8tZ
LWS41oVMWuwmm3LySy5URhQP+uQ0eJJAZg1SgcRmzKNX8orf4AC8RFtOPZK6KhKp2t1l725jeJvm
c007At+Ij3EoNUrmaELAmpkJ5IMDrhVTXRivHQDOPHRvWiBuKhRyofYczpnjpCd5Zct38tnZZ+pH
1d1JhcX0wR50lkvWkumntwrxHmAf76cIcAGVx3WqvxWxkw0unvk/gl7Pg2QarqQZ/qVE/QEjrewr
xys/GWHe1MbHLVBWOQU3xvNb0ImlXNe7YATgadL8DUhR8eul069NWsdryartT3tDi5fhtHKTYDys
aSR+esQXypUGRvdilH12Yn+c6y4WRXqJqQ4SjK5zyjT+hN8t/GM/JtzxMwKWF8vxw7NYH9AZ2hN1
KDJA5TQtsrymUay8JkgImZNcQTTv1obT+8ruJZjXoWG65CebQRCZ1FQ/EMir5xB4ZKEbn7yl7eAM
f+8L/yCRbiE3ci9jr4eRaXziPajhrxqzXqJGN6tycM25js9i+7bgdOm/DtO9pSlQS2rrlZaccEZO
pdlvajwUub1t8dlI7fi1Ekrv9sMh8Oy9lvTRp7FFlPqWOjplqpyqtOXr/fQEC1E+et8bOzj17Jlf
YOeR+ANBcfK7EdPV/UcC5GOSYKWFxOY2q/Ne+nB3Ni0ucWfNZRC66Ts5YfuHjCAn1DXuI+m012qV
pVyWhGGv8HkmKg0pwA1lGI8BqC7iqHYI8hZt9WxKtzYtihus+HB48mrh979JFCTeY0AQAp2gocjM
tI2gnWs9MjpDJ7EHK/0RhwTW4Dr48RLEn0z+GFu76kmzj1L64U3Y/fkqf/P2Zx3+0Go2pKCN32LV
R1bm0op1s2/8ryZW0+Dkf/JJBRFuR9r1DjJ4/4d0mJTl/zAbaTw/H8V7upXJZig40bkTvg6HHqA9
pFLLXkZhBvMUx4N9PA+bOo+F0o3+a1NXDF9lUx5/fk/t03rPqw2caSMR5rQoFAn9ErUv8AMqQecS
oadxpI9hTXO3inxXSj3h5JHftaA3UbC6au8CrQzacICyxkeFaLA/KZy6Rbx7zegKNNxgE+LKdEkd
6CDB683RdBcLrwxlBb8aGoDM/WU9r/tjxUwvvfb0LrCkyGkm+FysPf3pg+OHYAuCGr3gQNVhR+6l
GjOpt5BGhgtQpLvuZQfYFd5RTm9wIECz5nj9byY6k1pAD2a26jRkKifuRMAy9I1BZBw//le0iXrk
tHj5vvmsTToaLGoeCecBzs+IDcuNxxpFwlmvAl4o6tiNWEHmDyq5tdFmZ+C+nPVT8+/yylmHPh7k
ykUFu6irs93c0slNQJ1LN+h+U27v0Siq4IpLs6tzYC8UkFAPoJZf6WFEW1dtH883EmCJew8Or5n6
Q7Jpce/7zfWIwvaG1sZIdF7C8k0EOts7oAIyRzEFLVomURARU9liIDyCN2bFUQP3iBDxx2uWVKo0
rPP8l1ZQ6VHXyg3B8JSRoW9EWiF3/h/iVAD5k9ZeebJzqucqPm15HoIBwGO4rAbnP1PXcVko/NvA
u6OP23oDDlgfne6xCUYEfyxb76sECSnYpTlZtkmFZonbONHzk/3EIkS3QaK3Cr7okywRdp3g9tz1
yX2tMD+pnnlQ3dUCsHYtEatTvPelTsYejJCNyClkG5Q5s/wjIHX4jjX9X0MjLPxgUQnHu1U5vrsx
j1Tep6Zqs0mGeG8CmbyppzUyWDv9/m0DdU2d+G5nQhXvxh9ag0xForlRCSbSv6h6rrhRdZzpTJ/c
NNwKvoJXpx4fGkItSMmj3XRqJVCPBxr33tHOwIBSBHBYik5O9AxC683jtCaxj+XlzI2ujPY11SGR
cWxLMA58JXRT2Awr41GiUY2AvUeCuZgJoCf4LdKUoI69JgK7uVIo/eMJc/VsfSs0Hw0n52Z1P7nU
S/w7XN7t5ErLdRUnZARwAxrk0DaHN6dwkqAn5ndimCosNS9sw0lsaPdv9RDdutTrvtKyuqFqL1Fi
Mjr75UK3CEq0u9kw5EzELiauU7Pyr3z3V6mNHzopEK6ob+kEZAQqJUp1s9fmWk2pieMpYtRyxUBF
bcwAE51VD8Q7DiwRNdXFknEwQA/r9ZLKR1Ih4emVLW/3ShSP6U1JceoZgNjEmVWeXB7q/sLfQrgS
6tJwV1VMOTiKdEzwQJiGicjs3r36BJ1gDKsEM9nLdKXW9ppBF2PzXrcv56U2xsW1vZNa0WwUqrmv
3thb2rTK2whvOtyA9jIT6lm+QrJCjTgcHBy/ItnIAkSJj1E7T0KauVPw4Vn6hp4doqA8J3xbruzx
n49M0wWkqcZfsAedCLiqW2u+I67GwIogMnBFd7jEH1Rw+hNEQUSH8E2NuYlbKxIM20ZIhNqIfNTw
LLe9gOWDAfDiPCJ7UrZP9HvQPnjZ8Wp/R8NLT8k/aCnVSveQZ21hH/MoyV13VslUgS+7qH63yzX/
dMEa4XkYyCpZgpzh0TNK7FpcgFWAPO0ilpei/eUhco/UBoQ5SU7WIb4fQD3Vi56YnU0FJQvRsP1Z
jrl+uMkCpUcjQL6gueV7fGzRNIU6DHaEBMwFNcfT1jBwUfcQcbYm0aBA/tFsdnouuYN36g1sXJ3K
HAr21AFhIaQ+OIGYHaNVa6495o9KTln0D/QVb6AY8B4/CteLFFI4w5L8IN59RiTMaZDuJde4cMa6
KLf+7n+My3o2oxe4hoTLLslR2/tmW3ESew8RhuWnRrE/QWFRdnudMIXBNziXI3NR6/6y/GEBGjl9
3PGZeaSGfeVyU/Av2Y/sQzdqKLbIOOT3RSWNKzKQetGZdFjFFi0aJAx90XLg8X06UuO8FYsPVpHb
C7wBWcSXZBctKn2OkRr9G2Z5vaajZLnartjhQ5q7ZU/L8Wr/E0Pi/hKgzVV2YwDHNleNfFLBy8YB
d9TFySiebnWFk4cNmUqA6UTXW+GPKvQqdQnAhDxxhvRmm5h4LHJTZb6jlFZgm0TXM8YN/yAPK1HN
syF3gt46+GJ9I4EacJFXCSd6uOXHaVMPq8kucwg6WDEq00Ip1XMXy011OOC8ghptgwKX8KIgWZxp
P3JkRxQ+TYXmJN5yDpc0UJb2lt8wjmPjOtDPq8nFRpKjRbtT0llWG6svRzPdO7JPHMDIAW1+tP+t
aaLRHF0C/qut3QwBDXRlFCTu4IwRl5sEIaxUqS+rmJMDP1P0qjEOgelwS9Zu8DROdPODUU8LYjzP
pUMcKhBowtcKNNUooezioiakU7q52KvLsP2oFYJa7Mq2Ny292hDFoAUcatwr9NXMjSRlGc48dWef
gglvqVajqKY15CJyYg+4d4tUJdc66LBGmZOrbhFMloRt1DbEVeq629el4owkPLmwnQenf7FKkb25
xIL+l2ZwUkNqNSH/r34v44EpF0qDtr5D8r8tKaF2JxT/FuvAe8p+uqtZ++mQy9rBI3F/bftRZWfp
ozfSq17nMXY7lZBBZfhlNusJrB/caSTBVOksyjE1qpcdNPYJUcvkCh4THWxuPT4AkqH51P7A6mQU
4V0f/TxFIunOI48guNGnKL44BVi6zPC0yq8DE8ih0doD7A6W4jVBIcL+5NEjpo0DDr3Bi+051BW0
x+yj01SinkL6z7DOaLLQF+YHvrxGZFiiyap3qwmVilDLY3mgnS+nS2/3FjeLMQ5fA8agL50fNpSh
jukkKhNLzcQa0hBBLdsauqQDg1pBHus1ge0aK112rOOgApwIUhyJwXh0/soCApUELkEIdOyvZtfB
cWYsD665dxsipZJ86UTA6jY51uNa0tmoTJ0JHZoVxBlNXOvxKz29T86D3wusPWXvVLVPdEaeJm2z
JqbFR2fN7a8n3OUn4aSsx+x4PQr6gzEKzd1CIyk51CRqGvynrr6zGJ1ogvPiDH+CLT8ie4WtSklJ
m2Fbc1fDvtS+nh98qdw4duZKeXoRYvqlCz9oge44GmAfdJ7m4zvqfHhl225AuRryFWiFkSr2+Dy9
LLrPI7OFo7U9MMbe1spWIHOLGNuN/UK3c8A63wfWti7JwfB1ADoW2mIK98G6BzBgi6yrRI6N4zJN
tLhgieY3nyGx0foMc76m+Fr7pRpBNs+F4zFV+Hkc65oSWENRHw1ZHxhtxGXqsspT9VCEpUAmV7tt
w6bkMtZUSa+Qaq2ydawqVZzMmRwSHoCCl5biImP6cLDfu3wuE8xLek/BJiqAz22fnL54m+rNQDP2
6AsaqkjxVScF/EDywHN05iApYwxQw7/kk05qEB7wJnCmaVGcEpEN+6oXjijYh6ODwDzed+rOLOYu
l9b36c6OstUtqEHpAzM1M6RFjXfLHTYfR5u1idGNOe5SxAwxTq+WW/Rd1/mBmUHc6pAGn32U3oG8
ZSQOnr3jcAk6xEjoPeKMEFTo1wNqd3u4itU8jSKi0hfDPTt16sI4Q9C/yMlcK4hni/QVWZVNGYKX
HmU5s3zK1ekghK8cvNisi3MGC/jfBF9ra7o59XM05ZStZwWOFwOSnq09PInRQdYa93btgEku3MVU
Wv2Be/FPvvqqnOUSbHJiSnP2A5h6MLLn81aZtszsHbEjqyvWI/w0PzRA3tjPtH9GQUXNPCIPWx4B
j/1KeCX/Uc5ltH8unFh1TwRNqUctWBB7916LrnRrWRgTdXr+gnV6CXEUaufcZDA+6g55+5LkJcVR
lhehFhyHIgvRpQCq75Ao77vj9HtTJ94dpdj8v3t/1XKVcO3rJ46LzdzTMbSvpwEtw7KL6YOqlKGF
S+8DwnD2je6m1k504X+TT5LdQyYu+fpcPlPPY/Dog6AxzS5ZhFJDcJMNzr3WVeBnbBbfn1iVOWpi
M39YhUUk/FQxDdDt09igg60lD1GLWhbGlXaXxdmepSBsSMuatTs2C7SMWftC7p7S9wfKqqyz0oNj
+OogvGCgiDAL5QTnseg1VYES/tdAtzysMdeJl0Epm50CRR2a54NDqIgpl6Tm+usV2df3+mZrLtCM
Fa5+MiKudUCwsSGOaA9PCNGBz0WBIVtcBQAPac8yh680TIAHAmUoaS2SH+xiR9yoSwkaXFQFCVAB
9aI2rx5Kcv6Cack2MF79roL9xMdMrY16hQ5JYSYluo5cgldt6yeZ+HEvcdSJMfO0vL+gmro/NXF8
xAg6oFptl92ctTdyMMcDAnfCufrgMqk9qUhuMlSiE6UuNDRfVTpFEzS5T0oGyQJ0rPlUpclXQjww
S4qjysmV3tx1PyiLypa/+73JGyFOumAfsPcFTKlQp0LUvorc50mcx07o15SWGPe26WS6i/jF/Eye
XTrjT9vy4KtTTvmBgtn4sIKvH+S0oPD3gPbit7Q3M1/6o5J5K9NBoid8BJGyBN2CT1kd3vr0I7iP
Paz9l/fH1d08LfIqk6RzUCpGAyRRDp6MUcTccEkOiw8jsoZdXNlHFH1NCogMhTyzF2Kb5v55NdO0
JqUL15gYhopQw97muzBkIDRieQdYi5rNn4CKRkoZUXFX0bRORVjdc7DctvacRgKwMGAg8rANdzqQ
Hj5ZJ17E+Bg24Gsb0tWpZS5nZerDJShK4ZHyM1qpMgk8xolaIhohhyR5iaU7RSirZ79Zm8kp7RcF
uFmg4Bc3nQYrzozGHTFxNtssUaWfFzMBVoEa26U+33kltS5ac+yDi3D13NlHotvreujRe4vhHtTO
ijFgToDaC77BHCHgAWCGUxSxgIkz/Ufz1LPp2zlysHU8gYtqlhGmwroL80KftwAlAH58zCsHcxYz
mVKOjNFWE7T2VvgR64WJZV7ypfu4QLYhFSB+MYWcGEMsvJM9UZGIxQZJsL6f4Z4MYdmekRn628x1
/r3MzbRCIIS3VWbZzg+cVEYxxn7QZXLly/6WujAckpLhW4vNWT5T94KZB74bxfXO9woEgFj/wF+A
E5t5+v6qKGRhajIYqpL0onhvcWSq4ME7vv1VUfFHlkxlmFCNVqW0JoLuupFny5IbJMVeM238oZai
2YqBe/5xABmL8GrjzDFbA5l9XzpTQtpzieN01nqxQP4zgM4PstaqW7lI//rrxLG6TouNIjkTZ8dr
Wo7ZBVwxojtP17SzvHBU17ZpxyvhzGPUS8021pk2Rqhql+/Or5omxFWiMS0kuYIBqwxW7lm8UIAy
ID+v3bwa5sLsg7615R/C2lfA67ZjVdoPnUs0m9LJ3BbNJS7AjGwaTHJPxQcuhhcZNtRLTC9daEWJ
KSMct39ArEW29FTAEkMRCsRn7vbO0i6ahIs/iu3lCt9duilyhUZEyD8WN+kj5OF8X7QW44kmHiKx
e8FXscr/9Aq+Vhr1JnCXUKgLUXVKdRXAlkorB0vuoggMGXNuVZFGH08ewzaT0u0ksYy64lo8SuOU
gli5EbItf+j+x9qfzyx/YdVxOrKuUqXTjiiThaFIaXhDEVg7j1GzTHa0mILsLot1HwLP7zKQwsBc
Ab+arF0oDuN1rdHu2ULXszS67JgktZPznUYOWwTs5+ay3l4w3Aps/PKhSJ9xcvyslRldWb5MdQcb
32wS7bGn3K0r5pwycgNarhd3ClmOinKwl+P754QqPXUcMo6AMVCS0BkhQmIfTzYVGj1y5zwXSfEy
4OEb2+v6UMnIDz+312YP+TDPKsFxNDZcEM7H69+6PXivhXKhnGVBUWWsTH3Gcwb3eN3cw7imGsWk
ZOJeUUDiGRc0agNbOz2TILs9ZS4+OsIKCHETCecO49tt4NnAWNk1WfJeFEXHc0+mZQNg803xH2sP
ib2kDgzJn1NGzNOev+dZvKQCOEx6lTEyUHyZY14RI76yVEyny0BNKfoxmQOHHTiI2LfHfrIpBx3V
O9S5lEo7eKHJSizXhhHP24USTLw06uxawCfUvgU2++0Gr0CCGD0owA/Y6fh4N542iUSWygxaCMxb
HaP3vVz5p8GVvigoFg6tVvc5G/IJu24471ZI9UzhhPSs8TKd0quAFOuhQ/5EF6AliXSdAAj0ndIF
+8EA4VUIYw+6FYowXVrRXqgby9+7ql2LvudIRIi9WhsoZkhrRb/upWHEYTV8b8E6LL+FyvihLGaW
QXj2BkhiRAwH/MSKAK81jj4NHQw4P2CAhi7l680jH9Z6r8ZUwlNx520rXNvkBMswvykfQE/uihHg
JTl24aJ1qUDM6TpV05UZ7f+O5ZsuKSSygWAdCn1tsQCtpX5KiGrwx6nxBPtqEruyeBZA5Wf/geWY
Mi4fWzlefikwcHpWj6BSWfad4Hpx2y8AamkEBYhgQCVs3YiCEYil60FUPBaRiTKMt2jSCSRerz8B
ygV+o264DCeqyDzzNYSAQgj0NAUZAfRKdyssZukid5v9LC6hVuZjbI0Hfigvw+sYEzscaT1tkowV
H/H6jMSbtri80IvBNj4676GAa1zozjJKwhzOhMOpIwW807wYkTitgFgsbiX9ogUn48QSHedziZXX
RKnhV2oSIGLSvDLHtPuehfHmOz+R2JjBz2MnqsXgIimcefiPdLvxWo4fvaa4JPag+H1bbKLoE4iq
GtXbRJHI1pxZ/+oakt2N/1r+1NJfRmfim0elT7J3V6k2CTYt6+lUWXi12YqMiAqdxtw9HQ4R5//p
92C+gIJ1rHpd/aNnm6u16WHhmlBycAu+7MJlEiF/tYLnC9ouwDqI7hZNig/AgVB0SU9mDLeaysjj
umvUBDcHtSPfM9SpU+9LWo6oa3T3DdeAFncRmpUzmNrR/RpMd8RPTMpiViuOyaI7Zd8i3Iu1Xc9o
Fq5cecENJalalSNrZn5uib05hF9iaNMGAh5oQLSh2dHqMvLZA8KGG53K6svQfPv3piLPI7h5UIiV
H3ZUgVc47vlWOY2hCebqft4vPCOwNG9OcR9LMQVV1QYu7cSrUIgP7TXDd5ZURzkriZ/2iTvuC1TO
xe1v44VFNRkrcRpfsI2wv5GaHWbs2y90n2YXjxrkbC6dRL+xqkoWRUE83tj3HfU+vV65/YEzdS3v
qK/LAoqI5eO6IHrWypJEQtqpf4wyhW0XkHEXR/azYW1SA6sJCh8GPVJvqozGwAQoioy3SpL8BPZ1
u7s0CGrdcY//ALc6vbd7mTe8IqSR2FRFADMVKBxRd+XNA8fz8zvT0xr3GVcuJxYbdvXBi/+p2CcF
4tQJfeFgUnicAWDciSaPUwqH1j80yiWiKqoT+cKdHwMuCCG20VdW/BRztOH6g0mgzKLL7oHiQ5VY
qjJhY+y6TP2wbFsW7W5g8puqD2ihW+PNQXdeJd/QV41xobDoXi1kCN1i+8esPSBZGKGwYnb0dfok
fh30yWSwL2+aYbz3Ku1RRzZrS4mNtUBGs2aZgjeSj98cg4OUJnvdIxWPrmR3hQ3HCq9qEP+rCJj4
pgKCMYcf1S/euM41lYcJIGIfVOB2fxX2o23auOKwEMOCXN4AhLYfcqsWsj643OUPxIZ4ojhqpoLK
3hAjoAgdfRAKmcZXm/smaGQGfM0tiOX6VmPtdBemsrSfbcrVWBTXJeYGmU1PZUwfZ5N2SWVc5/oN
6YB99bJcBkyQ5AAaLpbMD4Gn4GeAZ8kxZvRZUryWLJgZfJFWbLKP2G7aLfn2tVoWEwdc/TcWyNK5
ku+A1jcpi4OmMv3B1V0pjPQdXfeQbnJ1sLsKKeKkpfVHWKs97ng96GswJTocKAgNFdLvfu4x8Ibn
uLcLFGHvyizPULenUllZMWDHZ8SsM7u4FNhJYz9ayNqCaE62+nLXl7omaVju/HheF4aNNIdEw4fo
HtYhSeEKaAfHEoohxOKF9BjPKEep0r0sqfKpEHpWjc0xQCz/6qijk/KsLv9Pfy7NaxVQ1kHzVteW
eWgRxQuvOpp+838kS+wYyUIpCXR7pS2iXUsONg8zJGz90REhtmf8Cm6E9bCMsQq7jxkcGQ7GDZ9M
t6IuQehRMn4nKWoKj5JraRcb/mzVtpJqAx1XiidXHuJ1ZLlb3uZfG6IB4utUyJYFfkya2LzGKo1a
AQz15hBvnJDgh+na0ZK/vh2E+vXap5G1l3fGp6ID7655eSx3mbppM40SIiqzQ3Z44DrYm5JPHzCC
U7XDQPTS1iRlSq78Vojv6SS0W2JDQ6ufU7vRKGpZPSTq77p6ZvJlgzCvRE+G+3uGMWxrR7nyag3c
fZO2On/SBqM1MTyUvVkghwvO/7tG7JnAzuevBJi2dV5ct6hnrH/78lkH11Bx1j686AbYz7BCPhbE
dKwQfIFh1B/80/QsqAm8DwLjqeg3vrKR87TtroRldg9PJGJFvLeS9OmKx9CGUvyfPH3YZXOHfhN4
7na9Ik9wx9NHcRXyBA+A/ez2388+9eV4OAHUsJ//vFnjaR5qHiZsaDfeqh72sHIHtkhyksefwz4L
SK3d/lwMB+k0BrZuO80j1KsQRMS8h/Bn7RBALhY0wKha3kaAS0g+syHaR7Ot4/0Fm7aJvhZ6jD4R
lBeUZRvU8Yqrl+YeAjXEdBpiSNd3O3QT5osuR/Uv/19Ea9m++iBxIm7NLxU4/smLuUzUiYkDLuH3
/7LPhosM+rqzOqpMN0g8tJ0bqqVkPwbwFt54I2KJolJczC1O+3otObNmlUrUqn7plKvvP21aVRs8
9BF/Sudhx6+uSwDbTQVcB5CWS0XOsrzT72bsO5CUhUzBt9AFRG0XWsPlw13o/fJlrjPOwbyBao6N
5+p3/ffTFJH54p8qukjTC/z4i7ER4LIpReKmxpcs5E9oZDikil63h4YSg64fQiQjjUJfMAurRbM6
eTMod/MfsxPLIwlee1raMiZugqWsYrKWA62IeMVFd1bXatvLVlw4Ubj3ayMHmGZD5sHO3xsBDENo
rjm1H5glToQlVO47GtO1kDjQg7E86NJHURC8n6ZFfHjgRzRiDcxAJJc5O+X14/lbDXoVB8P1OW+s
uE06asuWn1onBarCsCnVqjLNBvhQrGT5lYz26YEBiA8bEk4VW3Begm/oD031fSA+79w4mQxhjnSj
1pDUlwI9pQEXOfkmnDs+jwZuDfpkMuRRdaQ1oVE99obZGo4QGpnPuwp0oRdwAQcNhFaZQgDdFRRE
MjHG0XT/XMJxSOVhxGkgkM3/m0ODQBuTLHLYTMQfn+EqPCp4C6YC1/0+CrCSjWGj8RGZRDBrvRb3
uV1rBX/xeg+YRhEL1IKYz9WhZZnxgGLhUqYvq4o33rINpRNHevQWj+sGdWLOKngsUQCbmt71xIVy
oL2Yo5oWKO3viCNpXHUDlr/efP2dg2XDZ7CSrTwzBoX52y3Rb/5oRDCLbWWcgB187/egiTM3mWs9
rYQHHzSKEVXQaSUAwQhMFKiqqVJbyYHawcKl2ebxREaSZQBDUTQ6E0lIINomtkCr0FA+FHjlMR1S
g899BFqrLpTnjdDVpRb0q6F7pJMHFAD52HG4LzShHOJ0R03Sg22D2Q4C6vkCTvHfHerarwlVzxKU
v1zED6tXza6uIQyYvJLESEPwQ/MA9qIwZNpJQslT6aE2yjY13youQ8RIXCSo+u+39QIbCoryXmT7
Hwm2mOHHemk8sc94n9Bs3BqPQB/6Yzzn1DoB/79ADtmoZcXZm5lCs54TpicknKy1+gZCP9hYBFKS
2H3z+izCEZz2Zl+/iLARNAD/dUe8JB1Z/BqS5/eNRmyfEGnZG2RtLNvTfA7UcqBTc0cSs4+oObJI
N1ylTtHfmF+zDI/nRUJjlHSLFyabPmweJ06fQNQOtDHXea8uzaqyhdffvuSfQxwQZ660VT5Nv8A1
rNm+YXZrMcZA1a1Vbm+r2eOut90He8t/8vGI35PRnOmjjWMlIJ9QVLhwnMWMZPQwhnGmBoj2o2YU
LaMFbvfBMizZZb8G+QMnjasgTBD8riorACe9OcHEejPnH6U+Q9dGc3BK1uoIHQN2gYD8cMpujsqd
A6axOrJHC84QNd+UCIKf+Z8sC8Sx+jBfdNfjevxMtDXECS6bUUB0lfHSZUrrzC3BP22e4s99XQMw
zb0p4s17iZUGLkU8NW8POH6TGUGBBR8p01i5NNDrf522m9N/GBGRqzPU0h9x/fI/qkQzMxcJMmnC
ThqNf7motuoUBlp+QPJEIYeH3P84x57apJh6TNQM8+YHmfXsH+x7k9dl1PtBun8TfRlS4CEhWY4Q
V5XDoqa+H3S9e/OHzLaPL1/8+UOYq2Q3h0+4GqkiFc0ckhvxBP8timq5yBFYJThnqmExp1iHjLr2
Tcg9FIeLT0Hkz5JRu8mv1n1pxAhOtHK9Zc3eZDHCYdOcfUr5WgHR0jGiY2Oh0bHOAHDLzVqbNftv
U9QIUJYOnnN4kQHISWnihta4rRhfQRq818ITyuSoPdPok+1uxTWr70M9k5ri+qdvKJX/3rfRci3K
RtSUsw5gvse7anlVineL/+Qvwlhv3uwxwRfdHtjNy1E+BcpV2GQct555Z3m1RFH3S2ahSlq4DVgu
g03aQS0xo+kdAEPqdJDZD2lLY92cbU4eltWlcgwOmlhUhphLSk0dDYEfWaGBDv/vpLvlm6zwxc7O
FjV3vxeo7irXeqnJC+R5H5eeZliPOFdvsMV5d8Ks0djHfrWvxqthnvMK8siyQ4zBjKS2bPUJN8Y6
JoMAUldLxdz4nVOObCPaWfhkvWIrBgV0C0tWHOdUWCu7739tNSrXbwuczo0gF7pa1tCmNFHgRFBt
mIuFYHkXx3GUBYYb0lXjjxupxtt4dvd8ZZiop/qxgA41/5PLDAOxIiDE1SshXl0wnhbLEn4ZjeDI
H2kVyZku1o0NSLYOy28/08+xA84oEwOkwqMaJnD1icrXqsms63Uofoix5nNaP7a8D36NRDKvsOgL
6tEfx7myWagSN5lon8Ppk+c5RNDKK4VtaRVUmoUepzqU0ZOTJ6+8xGj+4iQSF0u8lcllw5TulNPq
Gmea9lQwLKDnBtoDVBit93Ppat2FZYcvmyrDW79ayVrthK5xkPtVGQv9AX5R3h+uKW5/BLx6Y18Z
zkftFFwRRWjd3ahdIe6W/Ft5T1UdIHFBYbU0Cc6ZhddU2KMtMOMOnO8rre92mFUZbdPzOXravc3u
F/MLphW3whhOuUs11x8BA38ynaqNVakxoQ8n6szLWGiK7Ick5DDmn5VOiXsaVPSaCGzB3KoEeRB6
GVXRxfUuLEVXCBC/7Tj7vvCgIOmCz3Ec2WD4xbwlxzE5OW7GFzLL8RqPD/H3V2oEUvUe/fkHdq8p
Ty5BTgKsB3noHhvzIZ2eLnWNzVBdvlf3/mY0KpDkU3yPuD3+/0O1n1YWUyXOy96Mg/p5PkW3FScW
0EMEWUo7gxmMB02FFKpQdfFdfL335yjeC+Udwl3dGu2KCeIz8sgSwJa8bXcnEJeVQccYHcI86Fqf
9ur0UKlahShgabmDHlc6faAEWxLXjYDcqMl4OzFrJth3mxpf7oSjz5jp2NDagrxmJYqmfCkko2q4
4KYRffKDA12P1vYZ0QOlOAr7j8f6t84v9iTZJixU4q/ylvsDzob+1FOUaPt8PqpYOp0oaFP1Ivip
6lElj9mO1Kd0/0HtssVQnDWamEzoDOpQiYBb/4nbVvhiPszCfyS51q2b5K8Ei9V6PAarXe6A33Gi
eGbpw818GryAwgVQddPjyuKq+UQYfVNApzCb/6qY8+IjnQDfPlKw+QELPFKOIoKeohjaP+LgnKLD
ChxxLEKczWULa95BFZYgDT8xoQGbZi0PhENDuEfexLjZChdPKHC0yI+hjm3vKCVpU3lpDjL7obTi
oWNBVGtmNfRspUJrDwnaZqLMWABY04jB/Ci7TAXSf69gUZ9HQ10CaCFaPkhHETZvzVx24GM5ZZak
VQQ8ypfBxnGSjsUt+C9MEus8WY8ZG6nOTZ4kN1PvEad4kZbypOxM2//ShLdCQwOtdLPeB5+oB3sP
XAvPNBY1l0NsiP0DbSSp/kC8AQtNR2VCRbxS7Mwzk3d7YAeDbeXq2nBtXjSU4ZoRYEI1kJs/1Ibv
FQjy7AKV9lNX6VyHYARjk0sQakRL0lDCH+YwoH7b1sJnlSYrF0rXwzBA8RmkjYd8AqBzDGBuWx45
VICAS8EdFZQPuc0G7YCIntrpX0DfoePTTcSzNmhd4Q8Jl40g9A/I9pwKRmbTVf5YqcrBxdmzgJpQ
h5BkIgvbx43XfcYjxB0QlM25CgUnlL7ximmYiykmcxT9CsSaDYk4s/ElUnFEiMEbWd2t9083flyf
PkOZM3NPz8pjI6WVm+8mixWk8S5+KFxN8b8SfyLWzbg2rct9l9fnB0DfTJ379ZyiKXcZM34lBxVy
tWDNAgjnLedro18VPTEydij8KajQFczEn6x9V3bSuyecuxEut8spR3OI74HkcbV67xJOmlkZWEvk
8AYmj8YtXH6wUciSEoHonjSgwMfq3EG+aFbIYGb787+XPDzh/0l9HoqGp+ZStMq3GOP8brYMsk7W
LF05hJm3LHdGjbD8MDkUC85L7xlaFRqLfvghNDT4/RvXrVcL09kbnD8xsi3TmgQ6B4e6r9Wwg7L6
w3iADVlAxXybIBDaLN2/Wf+qbgXfmqMNOoNyekSJYfphJwY01le86S0CNgNaV4bw8AyGPhk2FmIL
O2C3bePlleJBv8isn/HWcE8OM6oOS61WTMvhluLf2bdb2pj1KL+j1PGXyRvmd6vFVID3Ngk0gEOi
IU5rIqURbLZ3h/8cUjQKeUxLOjRO0rSjJa28vjy7lBcdgtONqLaJrWgx6cd7BHNZpLIcgOj3EumL
nykI+IWROYFgZXgPuExn6B+yOv/bSdv2S37tNnsx0iMbczLHsmTCbwvcmetLmvJ00b27MrXPjFU1
fegwLQcWWbPYqbtBKB9kkC+VNkoFs3zN2prBt8RLYkgimTL+1Cl1LE63EsqbbvfSh3FL/1ObdSrz
ayJX84adQmVWhEgm0Z3vrDLNtkVO3fOcvchORdVdJTGfBFfaQdbmkZ0st6QTQuFfjEW1wPrkL4b6
2B9y3WXovkVpnykmEJlmBNbHk1q1wiv1fTCvkVHLgHG7e+WAd/CuJYvnfrSlTVefI4A9nJ1vZtxF
/Re5Ts2nlX5Q3/sfrjYvo1NZKSkd5WytArZTCdYDswrF82PhOxRAW0yDKlodx9EnOIriOrIVL+/s
MUDWmjf+1kmNiPAykBvFUwqnpU7HErDPtU3mJFgJ79nMCf/TJ9ArmIf9RhcgDxuSO/obkcOqtRAb
B84qY2ouetlYgyB942xU/tP24GrZGGz1m2whZZpwjsUzVY6wMXUCor8dgmIjPnGjYDLdYNQYbSDA
oMeZw2VRYis1s3sOo+4zrM9KNd6iWciIzhHJzr9rfrS2knRhXmN7wBPT1JGdCXLfZmgN0OwYZDuh
ovBooPKH0mCgjw05pVJfS0xWuqrfduS3kroFDRVBIvFerP4R890HP88nTuz1a8W4xhDIJ6j9WCp5
vT9ucffoDP4pAK4zA9fqMXfvY3EprSUkFKCYI7ElFoGTp8DGsK1mvX6IOns89yAPsGZiF4vGiZRG
rAbqy3pvLiDctm5skFdeyhSDIsB0bJFUXitomjHwiDLOlK3TcE8Sz2s4zeEX6c/SKuqLlfafC9Zu
JnMgITP9qHww64u6bTrmW+yvB2rtC5nGrkJzjH4DlNJO3NYi4W/xzumGGw17N9VWwz6MUeRTkeIC
dBNJdTLVkU7bz5EGNuNirRUgZFArNIz8Tqy0Y3ZUc92k/DS5bOZsDZtTj7/5XyXjJb+rm6/pAvu5
rxSEJXpZUAqHrttxqDO9DZWh6RIMhkIAZamR7CEsFt7DwTXvrbZ8RdgfFcsXFPxfBeCcdTHaKTsH
xHgC/lDydtok9I/BWp34hrDID2c5zBMkXnewj6nD7vsfY9Y6t2pHL4rL/8qnat1bNBJdXs27s0Tl
jjgtkP/LyS2ATizCX/DkxQxMJa5cCNE/vwiZ0wh6gY5pXJslC1UaB7tcsqQDAyxoD8p016sV5VTs
rbQHxnCxLlR/wJlnn8Gi8H4HnCJgRrWmVIuyVJo1as3E5zl3K39HaVdh7eS1XCw6GjpOTr/tCwOn
icUy8qg061DUWkgrSR942XvEePp4spa+0K++ckeF1jTUIq+P8b+92vhNpAuc3KLiA2tTXaETkbmO
C9oT4d2O44tE9RLFaCdyy+4+uey3419rhLnKT6+nCwBYlj7djc4wrjxYxeQXauBIPm0OVsC0EKln
pXKv4isjY4OiPJKTzg3oAY6E4+8ya2cG1it1vFiVHE5tU114EGuKXd8vqrAJVcV+5qCHcZP9p6vM
nkd7Pho5wlRnATGzCha4Dip0LMNHQoAnUBLNoy14oGSn54VqGPy1QmzxTF0R8vAhoAON2MCJdJHh
SwW+yA/xG875bFBFLugTIpqCg9KG3pdFhErJgvUzJBLSBfsIzF8aPU7KN/UFq7rydQoRN4X3w8nA
3bLVWWjHjkPs17MfA74m533bYHzG36gbreYvQRlEopFT5AHADh/wHwmQympo8VKhUDOzT8Cs/USK
fD7N0sNKE3NhG0B9/3tX3hD4yht3mKn1E4X9smArIuLIcH6c7PcIZfkwT8L3v1Sa35d1U8kQR5aS
JHbyibLwTMFj5qbls1oOMNoIq0W7QQiMQo04uGm4yhNtBsyphoaKtJcAGBRDCq94ojcXjhO4akLx
chTCNJZZe3+Q9fZnA97U3ugZ/W6MgI9E6zYzSdkXGdZ6cb3XN968wzoX1ZPdKihiyuGTwnsgJiw4
5dfc1OTez1INdyL0Xb5AmtriUHXOPdIbIPbpzRKNNMDMEOlvvB43/NMlTELfFk3zVX7K6VHPeFOK
jARnULW8Tfm810IFWPM0EE8bJdiXi4O5NJxvdAp8n4Hva/3tncV8ipDoiS0L7GdauBFGQc3m5n/P
9LvZ1BdDPS13/5jNtH9H8q0MCXqSHiatbMxdXAxBGgRR3L12w8X5RKwzr+luoYnTTnbhvitbiZLV
ofg2fo7rWoLwqSFeg3KQr+IVfyqu1/GpEVMs64UyLLqNueXPMK38wXOoANw64QRt1pZRwrjk276X
kVpIQiR8QZRbEgjPehH5B4Ub8XtrezUcGQXuWOfA8w2JB9CTSUGRR7nc1Nnp6QBieqeXNbz15bCH
2tc6RmXIXCKQVS6QNuYsxDtbQjnOkRVt0n444VSO2dEe5YOXBNZGJAkFdvRVf3XOaIcREE0gM1Vt
m3Q4xr0pLT9Q9gDvuJ1L4IxdrPT6iB8PLy11M7ozmhjr1mdiBsqAQkRZVantHFmNNFdu8Ylk8Xu2
g8qcKH7jzS9OzpEigkZXqBQInvR4/DfD+/YLigf2wdr6D/8PWnsYW9kiPILaz9i7fYUf0shJRZq8
nTVXw8fQCFJxn1piEI56bY5+SppSfNiuMEwq4eYC9RZ6d3AmbVh63DlJX82FQ8QOdiL46424HKpF
98hbYsOJydKT7rNxRcdg7fZN5IGzu5pgQSngZXVke+4QTDMe42SUIBsqjIpVKs3u5Dwbvk222lzi
aB0BUfWC66nzrZGUbrG08tb4Qzm/MNv5O5FXPkagVNvA4d+Rd/xILhmdo+tbh8JaeLO1ja+9zbAJ
/k8enFrfdFpH86F1N6g8nfuNppaQOF0JnzBR5hE1n4uAPndgJ/309YgHWTzqbnUfrxDGc0OURvbH
zEdNHbdqO4VZTvIET0Ye3d4cxeQJXrhSpCAcFjfY2XU7z5x+Mpu5nenvbXvTgWdLDFRS/n7OFfu/
TbzAOIPdyCioTitQHanWJlgivXgyDcLN0ME8rg7NIlFQO2HUv14aJCRdUHrwa3WcE+M5HiZSpmgA
xyjdfD7ITDXo/UbR2IcWikDu6qGexnWWL26mtwgdMZDAvKiBt2g7zLDoLUvNTZ+zi5a9xkwitHP5
5ZEYfyPYBbbLcc7uu2Mf7JQAvOloTbe9+KPMkPLsqr1EAuw6PSIfSvW8hlEWj76MHtc/4m3O947F
y9DHTJsG/11snvTiz2zZSUKmoYfD2tfPKcBOXv3ZnUS/fBxUCMfk6p7NKko7VeSXHBiSGdfHaeQH
W6sq/VBNb90xu8FI3yvxeD49fJ+ZvdQ/uiekRNDYnqrntgT9UEi7VJn542/GKvMyqktDEyI9b5Rg
CUsQrcmhFvMj0TuNEPdjmzt3+w1AlJEgGgDcA+dO4JhGZwEiYeOsJ9DieSDpOiwYv9SEc4IJdsNh
m1lqTn44PjqR9BhYV7MqHoxUl5eX5wwB/iuC5gbvottVl+GnkB5mNOXyTq5adkeTOwPRMeRNGDxo
+iBio7aPsyYWvDcvplcmpUqdKpXWDkGd0A6Cvpl28p3z30XdoM3AApG61KzOoMAv47yIl0NBVHeS
s29nGDmd5sGoPxPuCbjbi36ylHGDawlH4LlIYlBwTyhHHY3BY/dxeFgjq+Lbn41Cfw7X52gs+RmM
vJ/22hqOvWyroH682qQSmfKG2aAyGaGmXvmPDNZ2v3+qjDeYw7BRm73J72etEdZmIF3w8FHg5ZXB
gzpcrHoLA1Rxc+SDn8TTCS79Lt8dXKBqGsD9Exu4wXgy1myKdB8l4kyeAnj4CeaCFYa9Z3VNNtZc
snMQ5vrn15/q41AMk2tvY/IpId2+dX/Kt50pU8NChddCo+ziKRgGVaAKg1znXUOEjV3C++vlGyFB
XSYMCHFa6Dg6aeznD9TyTa/SbUALJ7hhS8axgk7AI4ORRVq0GvRmmuF2GteuSj/ZYPulmtD4GaKG
ajVhYA6Zf7aKJMzmemLePuTz3l39w/pA8mHJJZXfE5xFjoWBhxq1u8r9iO+ARu+knjsswHP2SPt5
jXRwtl9j/Dpncy2mDGF+qem+cugqnOdK2DVgXhr2Y/Y8pafJjdqSZtmYk2QIOMqW7R3OvqDS8TSK
za5L2AYuaallj/3tBO4iJ06A/LYnVrRrZyBFQ9ROmLA9dWzLRlhcduHQlLOiIz7avcmNyUJRGzFn
+5dEKRF/FRJsrVwHKAi9tLor07ciWNGaprjBnm37paC17cfB4f2Pt485l2Qe7mESk56hf/hcoXQY
8sTE+Um4kUrlXNyJB/+inpT36ORD6x0FgvvidWCjfbTLkPApQIK3dTUHxQU7bHVLo+IW9ClAN84q
IYMp9MhErHqNPVYkiXdaR9OjgVq7xDCQIFBxzzSdqXYioak/M41c+QGG5WKgxBz4gRVC+sVK3hL3
E5RGUuwZ30LP0nMgRHr7r5cLp6bbR7+8xcTlOyilJ2XklqQeERcVPe9jvLFkOk8Xm58Ty56OajUT
3Pb8rZMa2zrsbCSccnBuJnKeX5Ocb78E4EdQJTRTm2/VJbh/arWDp9LYxQCpiHs69wWierlxmUhu
Ynv7D+YgTXuKHjAYgKsFNOK3se9ZTtfp37yBrgK9LBSTtoSB/uiHP3ERNFf5jImM4UNvCd5jcrPp
apE5e28G1iaLzCoyRNE9H5Dfa9TgZfGnpU6ilTaCs/w9R0DUj39omgsx+3RLb3VxnxfCPxR3VvaV
4/AqHThOTWA+U0ovT50/8HwDQgEdMC3oBTyv1iMQm2s6Tz1WyXEeJeMJyWzzrhfckuiiSTm7qr6b
7BUO8AId+UvFnhu6RbzeASUIdjp+rExPvvEDJHFVzy1L6zEtkRH9bnHZbU6omjcQgb5zog21nlZ1
+IHSANC6YXK1SML+eqxDMJGYtLQvnJhelAIf7QrJTl1W8WBfaBEFfvY4mOeEPH95t1TUeam5of69
/qURQSvzcrwpYnpIq7EfEToiQKFMloZr17fyf6Gom81dePnOOfYGs4ZAG5GTlhmhf357e2pac2St
/Xw2krxQSeyjazsMA0uWSFkDzUhD3wcxumh9IkYQXBwLuiZgJqfllAwJnhCOvr86S6hlxSi1ndvJ
egwieFiPXv3HJI55bmDG0g8AzoXdh4hEBq6ttIatlVyJofi/xAssa12iqFVT4fo4NCJq80y5WGPB
awFPCJdA6BW8g2r6+E4K+whUXRSh6Eil2n65mViyCh7Ug1f5bUDK9rKnN/F8qDDQoibK2B38Ruku
9+xx1UUvZhztxEGypbXnq4sEFg2+dbsPobBJ4ujQko6gdPvj7X3yeokj7j1ZCHlzf4LFYukwlPy/
XVEezQRDASrCbAMP0K0Ne+2PBBam1Hu1hibLQ/MhafNmK9F+GY4XzWeMrImjAi143paZ4Mzu+kWf
hanKNUXFRVL2oW1UQ4c+6FTp+EvinpgHg64Gm3DOzwZ9oaSor98xd+XIFOy9AD2aPjWQIv3D9s61
caD5amrOUkgxnFvQSH811FrLl0hH5rzK8Pcu8FTwCWmfPpget2jYgxjAj9su1/bqTbUczrUzX9FJ
Ha53pM7doRP5tUbp9521BW32q0nA8+lEtA8M5xvEUK+qM+fM//kQ63GbceQOLBV/IxoSCNiqlOhR
oVbjxMxuS8nIgyGb41K+/UxH5U+tF2w6XRaMYZMy93/iGA6Ku8PLoZhxmKjZjoVVV84/L25izeUJ
K2BUvNA8tC+l682f08iQjt6/PtuDB3Kf5IzaFrzxZup8uRuZQbeoGaxvIqDIWPgaTtBwJmi/DjsV
1rzx3eA8l1jEWd4PhjLxFuF9d3cp8Vz7teaQzYGFk2lClBleAEKowBSaIqQjwZ8RVBxwMTkEJ2Of
H9by+JCtoyg7wy43+R+NuET/Ktbiy2HMUQXIIaEmdsdTMnQCAp/mm43GLeo+x7QMkGIXX6mEEU1P
3qYJBfh28Gogv15yoVHkU9UA/fBJiiy5YsVh9M3ZYa+8RVI1aIoYtwry7eprB8h9UZYIK2SbB50H
1dvXb/TVHKSzJGUo3qvKoVnlcYlOfkslzEODVUU0xxwvcnsFMEf/EyJFGXfmze4UgprQvkMqgm2h
YU8zen7n8iTllU1z71gG5Ncuxs0tqtMXrz+YYu+VPx3vMIuMCosxzSOSwwadZznen5Yih72tqBJv
FH1eGwfc0e9agkVulwXECwrwes9dUQOoQvQ71RIYHIlj3GIEj85cP6g3Mm6bxrJcpL8qNIYrMJRb
mFrndV+RHZFWNJfL2RO6J+sAU/tYfIy4fCOUYUu+i1qkmz2vmvV9QyC2qDwqEAuvAOvgv2XFc4ej
wWZMXWp65qiHzBxH5Bk6ni5/0DExOuhQ1f07P1pk3OqFjh3TtheFpd5iyrwe1Kge1etheZBfp8vo
wqxdRwqy+ycfAzdsvreboepZwla5uKTtLlxoq2uUvFc/tLA6H05Gsy8oJZruTQt5gdM4rOOdh1Pk
5lOM5LsDZJQDZO2Pe/wID3XnVWAkb/MCJjne7UA9nFyPBK354Gnmys6R6CogvL1GMHMQHiuNKy5w
NCe+czMBYJq6A13efHJeU+spptBRgmqIznOwKzWn8WJJMfa/PweBIZyWpvlq1QKfi8WfbmmnSk9U
ZcDvDW0TZAunyYNt1Pxy0Lmlemnvqwrx4SCC9ERAcbGCmP9vVPZZK0CR2HUsrgCxByqhT1yB9/7Y
wyxEUqt/xdAI0fXbNKIcVWlbxhmejDdK0dHCnhicfoxhe4GxH4QDOgfH1kvdEcoiFb9CFceAf+vl
gEcUo0n2eRqFlrr5fLb9iLLau5E6/L+VCnzb1l2kpvvziLw1ckvs8A/pOPaEgWGiNEt3WPJCaByd
F7jGFIvscRmSB5Vjn2IClidQ8K7zxewXPvhAHJ8EnKd4mD55r3eU0FlWPsho2wT+H11J6cvphNez
k9hGrZjNgro209Wt+NrmpfEixRzQiCnv/Hd1+ZwQv6s8xp/idIxEL+KUDhL3oU9hMKdv+HjYDitT
iIPEz2oywsGUn8QRT47YRXsvNNd6FRsyAR+D6P0gA+YRqVPHpfga8m/vE5aBi2/wzXvqb8OjJcER
GRZ7EHzQYm+yx01mAs8IxeLcfsrKi+dE84xhjFaaDV7E7F8LvojV+2qtyYrT2iNtG1AgflP8+LjZ
CuPKPRTQtlMrTgArEAZZ1UkJKtJCT1wDQPuP8NMrbhtGE6rZ1n7u1CMj/g9fvxEU4XTqor3OIT+g
7tLSozDZakB8Uvmitl/wcYYVTvBkjrFDBVIQFi1Pz99gHlvYQGRibyr0hjX7LSynCuEnJ7q1ofFF
gU2N4mvIm4zb6cAOFif2KEXoduilnexJA7bocZVfMhPDSTBGKKg0mAM4vSujC5zqCbruSRPQhoQf
YHVsXmPqs99wvnviMoPDt/Lv+T79BB5QeXjW7+pBPRM0i6JxxKQIep9M5jYtRyzuqSL3duWSxqkJ
xce23U6HWcyRgGufxVJePgM63aiPhU9XfB96HusA5LAUls2KEO4UZBSurtebU4HyD0HgDM88EPP+
/yiQf5pawtlHbWGJa773/FxUqk+3PE3DOip/vNnKgk5i5x9AO7RXXy0rYy4EcAwYBWvcTRU7ySXd
HeicPMLjBgXaAknwskcZeWTcF5Astldn7qVLTUFwV0BtmryE/eXxrJrUhFX+8GDVBmt0ygdrSrTu
EuQjAgUTJSL59jUZwm+BFGVnkHRwujrxo0J+AnNkzNp6bngHS1VTz4Du3XKKGxZjFEqdhCcyfsKT
H1MKS7KRmtdCs+vay/rU8FmTphblMAXIwgtU95JGA8WLy7a0mjK1fwjq7gCSLdL+dWp1YcTUyM7n
NJAN9yB7MqELNGpGXkgcqYDqq6JWCBFQ5E7i6dElOYuStzEwONOZc6k4ItbXIngScu7yZM/0KLLd
nJs7PygbsNrcK+8rgtCI2fSTskfwTRO8qD7Qf0QWxadqebOGuK2MxbW9/r9xYzFYtl8cdEiFURZS
LKvRwa1G6lMprkx3oyCFCZ3lTDD++QOglx6weupXW81lLJe22snhB9PtJrvO4CYlgLIurdNKfty0
xh2sDku9KpK13TK9fTuLaUT86YMuuRUe29xzZIWC4b+RGXJAqK4CMsupPbK96ObqlRvjRsJs+PtI
nkOWxDkCdX5ASmRjyNlAhulRr+FbmNy9d9i9e0Eigzgs7HaaC/HBxpsR8JjDpx0Dvr3RKi5XdJNF
Ixw8RQWygYLqbLIcnm02WVpCL+R0YdU3zASA+XHjIjjjJhQmxeHuLvKB8pyBogdLgAMDEW3mkbez
zAQlQbt5bv+uAgqdT5vmxsHgwsjnF7jEgTIT6p7tZNiYuAdeE95bAgf63WztAP/ziaMLo94DN9kd
zW7hl+u/jWLOueajAcO/YzIAM8wWPeOSuxI0jjmKig8SVC13VoyJXa1ChegL3SeeRQjOwGIajTWU
OwZLNMDCOc4cAkQ1JIc89FauRE9klg3eGmNV/1uRau/ff6guyxhnVTVEtW2NI+izTP9XLpLxXM3U
pmWpYUB8SoRUeGz33psMiT0LGGKEU27AXVaq4Z5w5mO+tHRX+cVtXngiuQCmpmkaT/zpBJ4ZGD9s
Kn8a/l2ERI4Oq51reMlo2LdEHZOPyqFXujDE3VD6/C2QMI32B8qOqa1Cuj2DXJltrE3Z+dsjmGG5
y3hvc3ruROok6sdmj43q09quOrxWHWCVAvNjE6OdbLbeeBI07q4uEs04Cm2zD9D3mpdGywaEulI/
KLXfmlEv+7pfWRrKfjprwsrQh+y4AAcf0PjppVR7U1CytfjapybMSki4myFwgEvzxe56dO7gIIjH
7xAJRgUSsj0vtUPd2UdSNn5WFLFhG7Wi0TXJa52ZM/M59Y6CU75X1xOC3AS7qaA7MycL8DJxoz+x
0kRyfy/nw3s4OJv3Rbjigzqb4pfM3NysiERNPeB0gWu66+3JD94k7kvtlRr+matU6r4hDWuXlUKE
PRiwlxZuPfxcJug62LlKDC7G8uYvXm41b4XHGTiOn13EYAOwxXd+gH5yXtdlhD407GUFXdgfDXcD
ugOPgVUz5YhA2RZa6Aksk0pZYig6UULOTpLC1iTNCFPpezBwB5mDB/Pqte4f+UmBQMNtUX3RQ7Xa
ELB+NAufX/VefMpAp9vbsXBpHKAN15gSyHCI61kqmLL9L/rqbfXxxbvptqfPmqg+NCR0BViFa0UH
oNugUBDM0+AJ9fvlbnhCmW8jHSae6OptBtcLbvxlcMSnDHnjyMZd5F3SGer37Fbz71ilLwzSDS7h
9f9Xp4LkaRcDHABcixtiLNvzaa5ohwDuhLFnzxmIjxsZ2/VFUrGZnLlvyqgSZH1Sm18lg0nlXJXU
mAKJlmPlp6r1EoWGjkNgklQLq+EM/AWJfI7fSeCAed5AEDTdYsUlJcgzLDU31bMUSlQk3AcMfZv8
+JonRU2D9OMBp02HlNbsvtz2sOOqEyxqvltQnSmOHK9LrfR4/9ZjoxJuwlH/YZtuiVaIJtw4TTK1
5svLTydsvJEWOZWk5Fh/26swhl9RK5DkKwNZ6EBhzcTwkvXmF9XCWLZdiCwnO2UnoCiwOqOtpfwI
RfEJfBJYmVHmgijeDoBYQs64O8hc59xn4hgKpYRemlEVpR6K4dVU8Z0X5PYwr3ABSTlO/Qi+kIoJ
LZ1D0vtOaW79VtKZU9fySqhIt+yWIw/D52Qrx+S6Dc9IiJbMWoNZjzoDVJu5hmF+YJUGubDPpFgh
2US4ERb9VGE3tnsHesiN5JZnUriV0v/ytmnnwito8Zg6TXLzU1fJH/pAiUOIc4PBv4tGI/dOqzW8
JwAykKcsa/c4VkaC7fGMmkyp+dU9lqIlPmIRcvgYw/cFKbv6xq7kD5C8BzXS5X9NtYtC8DP2I4sw
q2eCjWf+o+YMJJm2kUbBpvMNLJzcJitJLhRCi+TCyK6jtj4O3WieaYtwrw/rsEpDDk+GDGI4zsFq
qoEFqB3vpRfdPISN8wtRC15kUgDtX/OjSxXHIPszbREfHhmJDV7Dw6Aiv0G4ABDp0tPsrBWsTB5K
OZX/LOArcG74NoPKhyfV0xprvzHbry7JMYisY09F4jDK0R8dYxbfTRFw2vEca2ILJxeVH5qOiFFZ
y5wh2TarFv41Rm16A0CFtXLiaRVZ5Zh56MZEUoG/LiGdaMGHfxA0Cqgs/+xhvUP+r5ACYGGHRKYk
+nErt1nei0ktuGq78HyttTJwOG04wjpbmsiQmwQjRBN31UR0swf0qVp50gL3cPzWG390U0KC2pqs
8aMZMnvK8AqXgYJ5ZsVFjluY4kgnnlge2ZWxb14Z9sMf9uIUJ8aXDKwzgn8IvlQ1pX5ndJZYXsrI
YMjiqGuDqjQwDP+AcVTR/ZoJS2t2UwoRkQYA0KLzmgo8KvIAXppRJX16Yn/UdHdfiCnfKDM3/cNd
L0vzRGSGAQEWMdUE2xz20AcDPlZm0V6veDdZpwdj7M+sjNozA6+iVRHmuUYaSjwHqJYkNQGxMOZW
5NyIJAaJmB+yJ4I94LdV5vN320a/Bcc0wcQCOWpE4Ayh9LPHPVj2+IsYzFbFMOfl1c2UG2Qhiq0N
6OApDiAM0Bb9Tb5KA+nHOSevBwPiNUckJrQ3d4OzeiJQKuzSkyMiFH9Q3rm3lvCRSposYu361Bd5
JSSQQpjDfCo+pemYogoJ6CQdgl6yey0zBF55eXHoWqwBMS/0S4WfoyM8EdvOrlBxtozS1grEo0rX
utoLD0hXOgyF7pM73LK3esdBRd8SJs25TodT0a0sDLHVXrGzYOBJoAs+uTR3EvwEzgbBZ/0PFf2a
TQTzNgTQ8O8sQec8cb1yYvcbXYftAtZm0tAL6W4/JWSZryd6fXlJa6qtTao5TpWemt8oAjuXRNzq
A9vbciibReksf9CdI5nrFqC2Ftg+cd5f2WF6PY/G1kkHc4CrYGlr6fnlmxk/WaKH1u/dnNIU8g97
svvQdqR/vclQBg3Nu8nvBFpKKMEwjuK00xKJpK6F42EQ7wY9LMaevMK6we7RmmGBmXed/orQzWsc
nJ/l6pwK3kVdwL445jZZX06avkusJOUPTCRXbZSEowAzOX9ZAwc8WGHHoxl5qXi+fhU56HVKzNc1
YReiMG/MMUTriX/Sw9oa4BTMruLf96+3qGPqzmM+mYapBbV+lsoGnV7VdTrgt+r9BN73h1U6omFJ
pFiU2Rky7sn9fRj/n2g0JJggadJo7mGse31aSDR0EjGWzfoRYFo9/GgBSJB/yN7DjywT5WY2ULjO
CSrukOaR9VunLbv13cCzlfODJwkuvMOWwRlCelAPv/ec4Sn+ReEwqYoKW9sDa0pwEFAiOvuOrvxY
K2E+a0rTz77Vc6sM3VTDSp3tCzwK76hZJdWZt3rlKU+/OlxYaiSc4gtJEEeCLx6JDsb0VpRHWbPO
tkuiJ54cIW2CTyXs90tdfi+MlgnIFPdxTEk0GdiTus/ErK+Tj5+4I9gfiXdSTnlt+7D2ZoM6Bc0m
19qP/Pu9aMBiOe4PBvzue0ibrTZp0zLdEQqwj2+KLGKaZhIoS6MK6W5mHBgjcdf0KmHbWUaBTLJI
5UGOBSGawbuxEJ2bsOoiCv4s5pB+LBprrdiO64VXO60W1rC8fahZYloQJr5ErAxcljVEtSlsUJxY
08AZlvdGtea238ahQL0Wcq1r2qyhL1yaCUcs+s8M+hXoqImNdS3NAh68itmdSHzqBB728ad+Hqmm
i3foxRtQOdXkbc2Awowr5FPfYZ1PBLGYJ7R9004tLOtQUY3MJcv+tfmBcPpth9qEmL1qx0lTFQ+J
iT24cuOVSHj8YsoEQRTCUs+mqDTGUvPjhyY8M7yG4Hxj7soDF3L8QbqpHT3J4wvei+i9UFCr5FD4
tiBMcbDfkEEgQqxnivHfbeYuzhZFMMAkgZ4PYV8u3Hb0/iOXzda0qEsn9lb8TI87enxdVMKY+bjb
zFt2HLri+zQAiLyfawEWMSWL8N3C/GLzz1pkkshXzIk/k9DnDLkS54Le3JA/A71oaieey4RZXENQ
DSMlSmgD7DMI8NoQA9jcH4i5mQ54SaBbz9uyCk+N+GX2dgWKIei0nZKoyf4TXnj+6z6yA33uNVqi
b8uD1dqzxkiEgz0aWoTCEl0Pthsv2zlTQGoZrIy/QCxKqCbEmhzv7Nh1npdCyg/UT3e/WMMpVjy8
2kKu2hyhYIjPhtRlKDoxRxkyRkewRjGeKXbA6MTeR2qD/hFvrY56STbT5BtRSXIhJ10on9xzP0n4
5M94heuF/ogaiq0/xe2pY1ogrCye2h3YtoBFgTzYB0drpuPENblMXIbiD+OVpSpwTxyOYr0d+cAR
YDuQPrsvoXW+zSrM+NcnECEUjpBkZR3JZEci9Qws+NUCVEuaORzEPCGzFESmOKcJvDotmy8/92Kl
dGA2jW2ZiUrKcbQf1UvMsipoHedfQe2iVosej34b0vdmrdxXwtL2gzKVvd/kKAgv43yr+TvLpfdp
yij+Ve0LKV2qVtpUIwM0z9fq7ZAEa4qwlumXlGwOyQ73Tb2P0Gsf3iC7Q8yInWdNfmx9YehGV4eI
QV6hXajtNUAci1AhVFIONAusUbG+Og7wIC3BB+pZAZ56A1rCtVuIYNpIhk2ENp2C26bWtffyDewR
HFQlNELbNPMRlipQxPsv8jz+MXp/8au6Ja3scs/JQXEn3Kx+m0ng7qNAuO/sQlxVHmkZG/F6wwsQ
BzrVK/ph0oXrhO8XjumcCpQOxcZL76IJJCntJklKxhzw9ij1tY8iRndxyqsrbpCJQrerOwU0UCO1
tJp8zX/4MnhIjvSlPeownkJmpxy4zDMljLJoCvTj6i/3UuVxp9TOeVhMVwzMjVPJx4kbzIY6Vc2Z
3eBhlRFacCSdaqWzxK5ErLTKjs2KG+LeZI1SVYM12P7kcCz+T6OtrmmbKDGEPOaqx++3fMsOIeVj
BhgDaX1nG6QEAXhKVwkOvcO9kMZ4Nam8mWU9QPIkpwhabdmLykN+EElUiqeZ6Htl44hGJALqzyJS
Sf9meZmp1kZ5dGvIEwFG7eIjA8+AcM8ahV/ILY/kHgMC7qst5EAQMWv2/BJYjb3Ev+8ZdXsXBeon
ulxWphLf1KwSicfS+kXRwo0PyW8eXSO852mruG1+NwBBajQLNckuRQOq503P8jv4tvIkn9CgOLn0
PDpRR7kMtCBGQtGvK8ZSocTb9TwEHfIo99kJR0H0WhIbcynR68xSCrRHVE1vK5NIn8KDHq7cZlm5
QGoL5LaOgLaMsqiLSdG70NYhYeqKRSLs6CZ2BTXyGEzLGpfh3TixurQvd5PqkX6r+7CP5CfyEj9k
+nszW9WaaL9poY9hHev6wPb+hNETFA4LS3hbofyxBuiQtq++obm6pBVi/Ucb47FMsetVuk5YUGnn
rJ1fQsFJPbF4Fohg4UO5/84dYwnPX0BAu8SALQ1cX+jdZwIJGtVlcMG+ZoQ3soSlHCtezwli/4CQ
iVW56P24MNVZXo7J2H5ALWXTOGuo30u2SQOhbsulenxttXBZtMsQDQyFIepz4pLO/0rpI/6aO74i
90wmwQ5sg6339+b4nI36aM4NZ1slpo5jTp2lD8qZL9HuODVRfHsHKop5udjU8pydId23AJxJHw/5
haHxWGgJB5Xg6QdB95bXAPWzEHWwqJD278mwu6URmfyCU6el9X2HGDpqNkWdYrWjsIS0i+w+pkLW
uNKVwfih2kIaCqx6JGLWk1liTettdK73rBaalG2shlqnZQ+Dkwr+KswRj16xgbB8oQLNio4qBh25
GFwQLckBncsNeVTFz8ipQU3s+x1lu3MgKUEy5Klu2MstQ72gnNg6CglcyfAWlzQCd590bD0qXfwi
Zquq8XRl4XYOUtyQFvm3LV8cqs/gW0/NX9EWvWwXRFDBipMYJtwufb29qd2C6DLm9SSw0SudVqgA
e6Qw0bPypt/20i7uzZ2iTpt/wJ9ZyppDRo4moLwTHHZlThH4w8BXarGLCkSZ12C+fivYY6MkaNCm
ziWU70qbm7EqBwZqzIrX59WSCrpxKOzMDDLBCwVucOBTv6XJno3zpF5fNlHujgcwdfY2nYz236UM
baiJYOE1UYVZQWEfI77WOZBPPiFVvoHbMdKbrvMahYuutA+JwLo2MhW1Phpn+ZinBAF7DsGIagF0
SnsMnF5rdd1xNCAmFVGjsG4IGNkXqxvP8QFqtNB5N9LshOu7rpuZ/0dOjN41nL4fpTQ73/GcwkoE
ikslqe+dfDwabTwu69jjOUVv1bVDixmoGUkY2V5Vr798eO4wpLfd+/KGeXv5EvyHtAbeB9ZX+xs4
t00Rc44yvQnz8lbirOc35KFQBg5ypL5LA0Wx+7UWp9blnVatlwP5y3Pb7aKs6eA4hRFX2Cn8UAFx
bmqahDVqtLHgIc/trnsjeTJVX/J3yPOtrrfw5ZLX3mLx5j4m9kmL4no9N99w99NPBcaw53KTlRbZ
qkPeXnQP6bv6ev4sBegCv4FVd9pmQ1Qife4Zbrd46+ag8+oxmJdCrAcHNnt/760CDZIAhicPnrF8
WHOFsKTVEoKrxGLe96vFsiK1nI6Du5vbdNGBGM2hjfVpFRL7mzlsuV+wkq86j1uJR91G2I/OdRDZ
Ye3EdG2NwVMf2jTW778LeMzi3cmDdrHpHk7/IIvh7QBT0//IDk+4xzauU+vWXGXCPm2A8NT01naH
X707ikbHQ8St/lO9chJHrc4B0qZOZsX7SgzLiX+ttyCYV8VEdBooc5n5iXZWqTVXd206wFNypqum
20b3rYgmUDfQ0oCrVzyPsYlSeQ0FfUDoSuW2S00DcEryhmsm2E0ZZFMmPYm6xRdi8ZYvtiA0AdSf
qBZPIm3uQKbqHk7zDHnMQHzYid2XjdJOps+sVpzN3arEyMNV6SXpglzEL9FPT8Ytx73xToP6IFML
4aAeywPGcxJ0lW46a0WRCCeOfqnupOR+QJCLd+rMVfJYtm7u+ZLl89153mq90Sntcl1MGdbxIAHO
22cwl0nJCJF9VsD6ZlTS3lifhmqJtQ6ThfUTzYfmqLjGqjRoFMbbCaQWWO9aryV4XJJiofYl4nB2
V2Pn7sIqzrS0/wOhPmrYp9MKugAyP4uuqDq5lC7m5emzIXgbBm56/3ocOLfq7rq0Kr9OgNUTv9ou
/+g/cVR/zHVmh1qPbjdwFVb4aGTnjh7chaJstb4AQjnx5MmXlRkuwe16NhbLwzUWwMmuyLyjjDdS
4JTc/VJNECganmnHr9+8P7KG1ntQFY81oWAcE8NXudUlNtAuNdSNadnmTiODczvgzuBsJQlCGcRC
or3ZHNImWw1RnPtYMoAJ93SM4NgkWKZ0mR6/peoAfr6783FeHDVX8RYe2dWu4CmH3gGg19pI8e+t
3XTH4ECoqETJ8CfxxUHyd/Y+sBnjyHUFKjTSECEeyrCyqe/LkB2lgawrxfcwZA7MqF9ub3RhLdzo
cm0lH9k5NWjXKgXyVeA1JTWuy/RzND4Z7mNRmVyciYjQaXLcB95viiFBZb7deipRxtS9lw2o1pSB
hFWql+W6O3P1jD9qRbTZdAtcq/rvoE5iX76c7aFVyzt6zmWJlFxJ7+aK9EXhu4RFKTqy+xsMSdyd
7TSw01VW4+ovGLNy2fB61ObpAazuUtWdiEiQJQr/bYmhogrliJlgT18PlKVCyZ2FmiC8rc2i5XSk
mKY4F0UXzSRc0FhC0uj02G0DNyVo6e1QqetA8ZMHPILbuyNiljKn57LjcD6CMJJipJa/5lim3kuM
8Hl/F9hbPiaO/4CsL9eU3jt9VMqJsPuJQkqHanfezdvlE2BKZwQzu2BgngCH48Mu3QcKp5Qlf0Za
+1q9PGrtfbF1EHkdSAfolMaEuAfvLMyC9AU5VR5/p4tFNun3lJx0hR4B8Yt7xBMeYz3fBCpmQWhK
qkUQllFoOx+EiGwsTPzKn55o39JVYEM6CuW1XbVvMpvMJ3XXI7tGOU3ntTqY+7DDpweXop5WPLw6
38epJbbwwKEuA4kl0vU6nY3SxYgCn3tyQbsPHXh2xoK04H/2OBhmlJas43hJcElGwNAEEdQDFDsC
2LiWKZU5edOfcm5CvHk16Rg/Ej4TVrOgnxsvsXmQZVA1jjIKc7VcdzYWrrD2aIqne3+a1A71WNRa
DpBePAz0SXGhAaKpUtiCdjph5Q14UFDRI2kmtmXaRZ/XTwlzkjGFuXWoSHjEOGol6OGer5Vl5naV
ySAxqXIG6z8GPEs+7QEfeDkWdarg9Q5fiKftcORO4ZrVcry6FlYh3nRWiHUFfpNqQFVCxTOs8hku
YAMtvGOYI+t3ecyQM6C1Tglv9ihi0zuOClIKIeNZmohF7LAP9u1wa1yER42Ius4THk+1/vfM7aBe
QF9paAyud42fN7Sh8Rxw2sVm2V2BVx8WQyPEQh1TqArNrtjsayVvGv4HlLGZyg+C3w9WQgcCWk7h
iWRPAJ54BnWDy81IpCqx838RMAqtD3SEqTvlWqQUly20VyyaqfTmCDfRJOuw/iclRB0RRUaKU4Qh
eNMNrWU6j8LtDqXND4iKoWY2LrOH2b+xzsvZn8Zhyyu8ihSgOt6yoQx+z7QTYlg5Un5DBdRyPGFW
zlTNrAPpS+R3NQJ/dp7M1aiLcffL1bmOm+2FyINuMq+NMHEtCHh9Svcms+8W0JLWAG2LOyFuvkZ8
ePQoUh9oT+WJ30OJOQGjmZ9o35v/yiedVzc3CtGkNxakVxRm89Q/W6khYkS9UFOgg2CTBRHgO3qy
XCKePUU4ZrEY4D13owfB/qlp7cwyDlrwNA5CVdF2TP7aldSEnOFBrCwfKj3uXMOtRPCovdhQMulS
m1Emo6BecoFyc9Je8j0aJCkD5F2428hfH+UtcqJchkAsqw2/X1CKQQpbjXZhUaT4QpGQFANYwd0r
oRqPpk5XkNKsrGIcOPlprWw6XLh38XyZhowlUcvmT8MZFJWGadYXeZLM7urQ0FNCmONMJBmc1I+J
iIFj4J8W1KsKSN28OVHWxBuIOvRuZPrmhcER62+kiSQNMXGmLzmoLYXGr9hv9jZisZNFfMvsTGYK
67GNk0Mfvxdgw8SH8uhWLwxIt8lYhrR8i77z/AW0TK445nE6P9LhDiBnC+Mnti11MHcAivmBY1FW
byEC59lPiUnWigAACL9QdpqAdZtZjlJIAxCb0lB/9HQkq6BQWTKEoXIOdqnnLUz1MGXdIZN852/t
RRA2CBhfZOjLYYqfYYAu6snsdBC0VyP7gpDvLK1DKC2lPUfbTZvtyc2Zirjy80Fpy62JeyZ5mNaS
ZpPfMMeFqKlInNUXSlUZ2HVNSndO+25DAX26OGrp8qiLuekUEItsHnGrs4Y8rHGOdciLiGjamDXB
kGMpxYPzfjPwI594Dv+yowK4uH+Ya/BH5k+N2dyn1DTbALDx5DikCjRXFX0FJ56G4MchC/G9+LYc
ku4ebVLy8JYAAYbCM9iwFjH+L2V5MRlyQk6aaxmZ9k7rfkDx1JJyJvsEoU7Qp4rDXGdww3EHv1d8
nDoRgke6lUHYQCrjhSNDc8j+iZe1t8lP+bCtLnqt3HwhdOAp9pWRHBOHskS6A2H84VdBii8/i5Iv
+m2kXZO8F0GDkLauKFe9Opf37rxGYFGF+rTqlRdNoXiPUwQ0Ns575upXj+f5mOXjKsOk8hkvAru8
hnwbRtiiD9G+AHMMZBR6duAFYMU3yYdfswALh7Uus9c7+TfwXCMqZigFNq1pU5BmSkm69P0+e3HB
kq30cQwm0G/rmyX3RvkSqVtf4hceq4djOxC/s7n9rOlF6KjAVh+gz8d1rDWN972+LWTRwORnllZY
ZzvGpQwNAD+gEkeOLhCSmx9zPSTDy9PYTs7DnchgT4mLef3jZkCSy8R276aHW64Hn7U5lgUEKEat
mPfPkN+bFJ967JL9awHmC7NIu0WEnzaKewCTwOomOqjMpEEyzdKc/qNc1VSN4Ekf7OJqyFb2IvCt
xlASY+NbO/J1m2/gk+UJUNwYbYpaSHQ5bHccRD0JhPDM2NvnUEMR4caefQHddISJytANx+5DNmQL
N7/QzvmwUczXpI4PgxbSiZps/m36tqQy4ao+tBWITeelkLmqc8wce9frGXURVxLRXsrsvgbsVgKG
qi4ifWv2RlGZvKrFmx4VjYpK59xtus5ucN7tUfpGOTuqydSepR+R3rKY4mzQiDIn9XNK2XrwjrMb
VZ4bGc2V809XDtUzF94kV2F27fIDnWtihUL4EWj9bwgSc7e+PMklF9YQgoByYT8rvqd6gFcKAILS
1EBaROy2zcAaF8oDOLLBqYB7d8AxPOE8z6FU+XeqznfTQnBeqA3M0gTlvpdKZ+RNREN9zyGkBcSU
Ev02zvFrKH7mYwahC6OT0w9KB2m29XcgFVfyBbHw5+RH4UJ9I5aXx9lwC9bo7VHKay2Qne4Lp1c8
ZVSI6bKRtZwQCaIgpTfNjaFpuu8yDraxQ5MGyg91kNu6/qoWg8eqPl1E7Gz/97H/lRrzVLFlI5i1
9przIn91o3QVfdBUCx5KeBLIzJAqNnuQ+ctSmVxqKrKs0BGNsqpj/pntpFqMfXWCRawXahN2IrqQ
DBXdjBQ23ZbDXTTR0Cq+SRnqk/tBCZWB9AfqwZK3EWcUB8VGXvaDE4YjJps+SBPTi3uRq5B3iLov
LV3hsLkGZSl28/qXo6lRQ0LOa0f/UQYeUjX47ZKlmNY9rIcDyu1UlQ04Ohdlful2DuQE2QBFCWCm
HXlq2OqTyiqXdF3EBYTOtMbKIreVC2YpzYCMOilXRT6TK4e8bb5EtbUSVbx8szuE0hplZuHSy84z
XyL4Toc4EAsad67DYW+5aKuf4cKtSFelzP+pzCduA2dY4PH/Nx9Is/+qw1yUPdlbQ9rn1ejn13Sy
h5A9S18dn5SJRM5DUzHshKLfbY+7U0+h8glqj/W4Eh4y2mpYF+ObXFD/3HVfsOzCTLQgbcy1vtAW
j2ApQtpKPId0TICW28K5eBBOq11pNOT0Z7icw9AZGP2rnKQgeinAh9w1I6VImjxiv0gi2kYiwttg
7LYJqGzTxHfNS9W9gjy3dmNkwtfbzr801H/6EgPNsv8e+COL+E2sJWbGrluUCaLlU8wq3TGv5GdF
zk7tGSM2OiQffYWntNLsrBYTTIuuIcX6ro6LyPawR4aBrPdgnsCaVrWyp3Y3C5UcZOK+tBuYC1hK
0e/jPOv6t/yvz2Qr6asJzPtNCfyxE+B1AY/Id4p+ZDROVMTYIZl+djKAbQxHdgez7mMl3LcS+Pif
el5YaxTXf/jFntluuuqVgrUdQw+zfvVtcaKCYAA51XTFSATKE5QDKvzDSmep7Nnw0E8iuvcl4IwQ
rJl7mAkT6QDGQe6EZYStkWHAgfEF8InR9b6CWAC8fyX0w/wHUzCCj72MXn0/knAXT2JdATl0Oj1K
F2xkOMQNmSMJegXex4YjCHpJoBOkTkfub/YhTPuZdw3H7Xf1/fkszJ0vCHnfWrsgD+K6qdLtNfqc
lW06RDyhy5jFV52NCVGrX/KFIJS17GkrJKrTIgYNN21yH9Zk7luaNiJvBBf8hx3HoHmMwg2pG17H
azx5KzewuykED4w0/w+QVwfBBrytiZHgVf+pBE5bpfIMPsG0ooiNOZI+ymJXYkmSDPYf3Fxh/qVe
lWOpyulWk+fAIDRPJuLZU9Qd+MvVLNM/5rwa5+6/87BsrH+pq70e/TZdZ7q4lnx5APTeSCbOLiiU
XSUoxxrS/Kgk6eFi5cDoPFCeLfDNtVRAt78jw5bz6HV/p6LD+iiBBO6xfnLC1Pu6j8TBtO4ZRvRE
fLGL39oQ1/rt7+sNzaoF7xymedzF+/rpKI2Vi4ylqeyxzp2l49eIBUuq7L3L/ZACOzw52A42Ntco
TJEaGMh89MyrtlpA15iLeokXH1TiLyXXXVhZ6bn1oChtX3xx1XbTCAlZAaKkExlT52gUws2dqYef
Q1c3FGykpRp6j0NYJ5ZTMnGCZiFvZ7CzShckUpEJMu8inV/1mBE1jLF42flCEPHurN76ctFQL4O2
2+9K8DWa41RKJfgSQbFfJ7vKiFOElVzAfqdVgiWWmh/lXHKnleOL6wCGzK2RVKQtggzfRw23Ghdq
dvzcdYSa0x4nVLzMeinkgvgsFtvi5GEODgfp8Dxd0R8sC0pFxhdjJOixbgUfes1mrA5s5n2Io35k
dV04t9tYuzGlkJldfrZ+0s3/cPMnJ7dVGV5pCri7lDeGygTpRxcHPSafZpeKxa/uTih9fVBUJ3Gy
Y46daGsthuA9i/KgY1W1Ujkzmc+91tNRfUhnH9XAIsag8hntlWBHk4ZU91d7leaDxV2JdZ1KEGvy
JxgioMp9kEQRvnWEO7bP568YAJtJZjIlySsPgIob0cm6nYnjcmCZsGiyLzd+PWZDlV/6aXM0ZM/U
Fyp7jBv9UU5CXVmSGDxU1Xtw+iRoxRPXSuJWNLKhU+JH+GPC3mp2lZEyUutEAVzG2l868eDyYwuV
tGIt1kpqLdyeJFhvAqDAcUHgeN5klApumSOUi7uInfc5YZFBhsbY3ZXpELG1LfALlL+baCymHNTR
YYwUcOLMDX4zSPYDEHlvnBsKrdmvEsRAUCXWG3fOeKGOP9O5Jv6i67wa1VbEQsm2+2ewnbv5lEGm
S3E5mlCRJLww7m6qBPbOVoJq+jW1/2+MvNFz1LCwjAV8qnhPGeqgJmKrusCD7lpmbAjvnbpFjNYZ
xxVBqLceaTPMWWBHl1/aRiprgtq7PBmu3QbWnC0sciMj0S8NKsm6/2KnNJsxkGX+bkKoKTO+1UhV
gWMXyN9ireP7bRAavWo8ubRt0Hpp/P7ty/E82F5WvFjfXAtKiEdLWhb1BkcopcBF12N7XLKtHqoB
ggKZaHpnuEh3PfQ+b4Zx8l7vSHi0j6DkUjx/zRqMD/IAyJkM0MCcmToi8+uLLj16QIvvxjCGSlGY
elhxHEjrF8ZYa941R4H1hCVHiIVyNWz9dVGBuy3Mi+tEFxoGpe1gvViCaBJDvW4TkKL5EdoUp2dU
gLwV5sCSy3liA7qpLMG2pWBDDzr8fEX8u74AJUS1w2NIqSJmj8wvEptzwoPIEiOIYjCGMh5XqVHw
395D7Sp+UCmUs6JiuGmaAAGzNePDh7eKCK/D77CBFNBAeZulN22tXJNb+MbDwQ40fND+X1dArZma
prWyt3Askp+d4pQ1UfibCOyZKjzOegFf1vD7go/s5QAcF/biHNW1QS8gZZjaJ0ScvM0ENbn5FsCB
jYlxiRjSnnx8Z9kCpBGRyGJBk9Q/0KELSHi9VwxBuL3jggpla2EpmI7Gtbtc9t7Xa24H/Gb648R8
qLsXgtn3wFjVXd9AKsscQA/jUYO5QUKYWEuaCoVBsTtIDwWieRcHgn2cK+zge20A+i3gcB8U3MtD
y0XLAFkpqXRGfjozkKfbpvHudKeaeJYqS5szo4/tK6oUkdm1yzFaVpamm0p37+jo69sbY6XtOa7r
F8/M3EdodYOEi+9NRph28xXOHvbOPXDIcUk0N54b4sFBvonIqLr9+XtGRBfl5kBnNiR6BCHkkbp4
2aoyWST0kx1mZR4Dtl9/xxxD+EQQvAhAbqvyl9pDUl4zUNE98vIDQYiIh3yeOXFydx5fb6O1KCNo
sfFMpCoWRVIFxhjOZPOWe3cljgdubUg23GnhGYoR9bLvZynW9OtTlChX2ub9Bd4/qPzEC6V8WYOc
3LZsxO4uZhINfVNsS7CW0yPq4857KpQ732W6s1085Fm9HH2JWArZMbW/CN+aTZ/nQayhhk8rvBhT
MYoIBSdYew/Hv48/4El5XQK19+Tmn1fblqfkPdPU2yShmU0yD8V4YCdkLZbeR5ob0TlRn50nAHey
gXiqfaG/fQkaU0lmd6iws4G0XcG4E9PrL0OW23Rtwv4iBKMcs4m1twS1sG6CWlVfs11WT9GWeaQi
V5FCvv61pHByLq63E170QDDohmo6+YhmUgkzQb8Cb8lYERfsBmj+6EyDY7XcdlvyndsjEJo6KDrG
zu1/9eP8Ky7iPQhU28dgWgT4jwZp0EwmOa0SWKUVWSaQZnn5rSQ6Ypl1m4Rmw+QXfP/g7KpH+D6E
kSXaXVKeSbDLfFdNeHvBgY3lFIYapiDSZ5a+hispJ9QDO121wavrmqZE2Lc1skix/D4Hq+kEEpU9
tbURkcsvbGXXqC1dOHyEG4mRN9z8R1lnc6VE2zREHhx2vKI788HPEmOVipYsJAzlZ3+ngL/rNQSF
DZj6nxDExH/HjctKOV8AtypJpTaA9wtg7mL2FaS45wf66kRqBdW/sV4uvgUFJRWb8w9kCgXqVp6h
I57e5c6tm0r5LCTF5y9mSIEZVBfbLUqt/Lt+Bu8lSUR1GMX/VW4U5+kR6ctrdiqMh6Ui29Gbz3vy
V4Ff4PfPBCmdgXOSoTK3pbTBUg7HvuAp/50y3nDT3CX71DbCkDaft21m8yeKdcku9tqntWk57BAE
aCLB3SakwETeWNzOYRTEzOQb1YXS67TUzHRIiXDZ6GXzcx8+d++oEhMUx1CusFYxmdMS8kyUG8W/
fkZgEXICwHzRSdAVQUNGGeivgQ0so/jA8L5AM88LJdKEdNtbHUX4MMk+Q54gglA7Gpgj0ZJrLks1
DzH1txHEaMUGfFk7D+kdcpr5rpRe/rkD4Ucz1okw4VAkEtVbZ9zwaaCAhSKSvA1PcczfAHaigZs3
kFSc6IyTqRpQfadBXUN2PdF60N98vN/Vu9yNWJ9WQh1ghvbpqNV1gSOMUSx27tYX6okRtHwRLxZq
QXDJVllSwOUFPFgNcgyj1BwSJVwiov52mjMVkjKqQQbO0o8mRI2rF63zxFjb+00H9WRTn3rWzNPY
4UvlXlFokz0R6XnJ4ciuF9LU+5RW0QwlX2MHW8cD3X/yiAbY0NfAedoEDc+VQauzEDy3zf4pFxUB
n1aXiK1w0QM79DG2+wuYojJ8OVvxRBDzgtO3lDydq2V5i5eUB9aUOXtuF7auiXv0arg3n3VhvhEY
kQIBXXZowOhgGFGhWFeiohi1k555JEDpDTS9KkuT4XR8+hSCCFFI3N+dyfvT46nYQWc7bfqHguN3
DiPzh7f5HLCXTLFVCv9lOd9h4R5oz73LKIMZ7rUVubzBav0Usf+bMuc3SZXfvHk/TQKSgGIVQFeq
MRxxlAg73XkIfRvtXpvhOIe0YzUJM7ZHaAUpBfnpRXBiN2mF1WmfenwMA2RqrlK/vzx8lNpurcMC
qPndyA3hCfUTBjzFHpeB79lC/YBUudA0H8anfyDkxerY+7oqcOBnCoRjhBuuQgt0nuH1+bfomb8r
0sQ2Axu7NcQ19pRHescg5TSq22cjLlLBpBjIrxsqq3mauJhXryInZX4O2cF8wfbETlwv6FSXcn+/
sr+DVP+thu6Y5CsbPftv1y1oUtiUy7aYgPKD3AEcBdP4OixvqniWt384Ucb4TcFGs3oHuhApJRUf
s2UyHHsNOZYuaCkzr/Ewf1DUQl7B6et7oRAb9Uh8ja26AzYDHm0dvhYEVQROAZCDAM3svrnTR6c3
Kai8wHMz2cP8GhwQL5AfZLU/5eY7o9GNZaVnicFhMrQFcN2fakEk+l1ghikvMUf+DU8Ewx/2hNIW
panp/uCh0IhYYxOuAa7QB/7yznvs0dGxveoeQCi6ubWT44iCSgdbtdbOyOAtPamn15E0ZCpPkloV
kSQKr+15Ul9LocvDOlANB7Q+EszuiIQDiSJY+fGBH6BnnCQuhXXsl7HnphR5sgWPzJxCmgH9tnmj
+FK3jh4GH6MUjs8s0B7a8Lv3hmLeTinfKsIxbayI4vQ20ZUw7/zFrXun3qkNWD8h6VB7+RcoxIJf
047ZWW2k5SwEyEulFtTijqFhSPShQrAP7FA48Ns/sxQHu6ZTu1mwNLIHVMJcmlwYBzYNMkelqBHY
Dpb3+XFbe2MKRrpXsnTyLD26PIomvJeE9ETI/nT6kVre9MDrWrDq/zbf3vXpa3UJRVPIWnDJ287b
aK/1L8ka+fxhrxZNwSrjvjDh+FrAHlABYnZ5hpMtqubOPllR74OFYMdlnIxtVkwKOQre6gXYtDsJ
JONG3Xf7FA9yv/8/dIENHRW3yx6Ta5BVni+JXaUuXR7tVu7jYNZn53biCtLgre9K1u5/KrhktVaC
Tk5Tl8XUSV+hoKTTbtd49TniwT6+AyUYl3bcI2eVMMfJ8cbAtj0HxneNEjF2VepTGsk8qTd190NO
mXaJmqF1d4x4vrj3ZkXL/Pt2IsAlo2oQ7X1GOsHll44YYua0XGF707H8D7JFsKZ02o/nh/UGaVec
Z1R6DNpSqfZoTfWHhcvJWpddVogRUyBzMISb3FPfRCjeqgwx41/t+AXZmP+axJLnwZl3YlczHred
yIHhopsHkyzSe14rpZVC7RKhfd9ymgXdHzhKBZqG09Rd9X0EMFQ5ulkkknELro5Ni7tryY/rXLJ4
LdbbeBpXlxuqyLr2787uNH9qbcBl6PO1WLhlXyuSAGWlqh3lQsiHCS89b3JcP8G+ZrnxZ1podaEm
02YYC8KyOR2mV/cEzuejEhd1x9fowXMOCv3dvYi++WtmFLqV/ZQV2zYC+/i94N1diB5QylPlzBQB
Pdy39lQ45Nibs6WMFABpxvQ56VNjbQP7KVjUD/kV+tPrESht18mruBdpe/ijwZ+5HykILT3qxMiN
Jtsu/UQXuSAvMA/8BFFVLlB6JmoZZxkHVxutIlaqI9JVfAd2qqhyeJvKKHC10cML2Lpn1N9oh7He
c6jOXz/HSqU9hoklbgHnTeudIa6XkuBkNwGx9TpwIIeJPhC3IHdGOU6FDsDYEScCE9zkdeuesw9S
9GpFhZ39/4vX8/eJ3YrP7Qitx1D8C9EowGwMocu+7uZJ0mu47w9xZNl/KJq9HnkycxYofBU5qWTp
O+b8Y0d0XaU8E6Ea1jP4bReIjrAdepNBElmXfTBfZ0o04w4N4kAxHA/vuFYoYfZY5JSA0FJZa9wf
otZVa+N9T7j5iRW0CTs0aE4Vrjq66nJGPALJj7Cto2DD4miyTaBe3EgYKGnVWr7gGqReRHw0Re9G
Qbbpb6f3j+laHEr8jbDduu3inCBNm0xPXY1/5ef4WXha9hTxoRaJMReenvDClon8xm58IlsRHlXZ
dq+/SycquOdPNcJbxcHabgmWW6COMLOXjLfkK5uFfoVbvRFig2tZJ1Xdc8eE0J7AtwoJCVOMdtd2
s3JzNkKnQOzxXru17cOybyVewsua99p+JB8hOcXb+BRdGMWCOXKloBXp9g13Gi5qN2hcajnUMjSm
3M2kgn3PjSFPx2uZ2HH3DcHUs5EL0v9wG4niPUZqGsEr78U+C6RAvfpmcqSbY73Kj+Tc5H0YwZby
cewFYlQqd3UTe5QVDeSDnJdbWYwU8W9yHGP0oBte+AiakWb6YKEJoqoAvCYsBrP9CEPC8w2ugKQb
YGGkFNuXY1WShWgsANuu+Io8fz2R1UPmcO1aXYCyeNbFT9ucin/+4i6zQV/yZ6whbHQYKN6qoV2v
SNQIw4nl+1EcZvYgRMotCiJg87C1Z7LF1L3dKCRuluUUGVKd11dnTQrSNaD3n7xXemg1kY5We6aZ
jlxr1oUtUpdJTKfhBUBitArHnxI4vUMuc6fo7kyuZbm6azl7rmYl1+Q0k35hTx1nylH7HAsQ4XyV
jSp2EHB3N1j9tQpK+Vc44OcJ+tvOP//EZqIC4IJTTNvcqjSlwkbeuztdfIgZqoNGn6eEwwUBJp7J
sgSTMm6i1dMTuYMe/KkF6+YxBTepRywEoxcilLcoMX768Y7Dv6olUg0EB+wOZ5wnE1uk6skvtIoA
6nY5bv6/djwPSZVbjdqNrIQlmJLtIpeX5zbFZoxu/Bv4pz7y2HN8xl290Mwe9Y4BblC3dJyfibS+
nylDA1NWdf4GUUhH3jFKJ6w35GVz8TESE5uJFZRT4Xcq6iBfofMv8IVWUjByZNk4lxIHhb8HeKzm
OcwWt0ZkmPNDSkjdZiXHhuY7WA1Nw53KdQ0eEHy2MI1U23wkliGvfbj46IOr5Rx5gMOtpXxUHpy4
zpXKZKJ7jA+Ci4dE3kRjohUFDxw8s7uUUWZ0OnYmpogJCEfAp+jEbGv5d1sTWpWOcydevAWl6J9v
lMFLT7Ks6WhnzmSzDs8TcaqQMLj1zzSO3le+T1n7oBQGY1oEYJPnueXZCHbBnT6/p2lbq+BFYDkL
ldC3RaLE8hN2gRzXzMotAIo24gdMfaJZhmS9mWuSDLAeucBh7fdCwyiJSkDtGr9WwVZvbV+XhM+Y
VlSOjZ+YFP+T9CKOKe1f8FJZiuEcFfAUROgTWtpq90TsFkrSY02BEivzEyL4WcxHXObqFHRc3G4J
18zLSw24N+BIemqJtVSAIc2NxZdpTh2YM+j9ZgJjmiV57rH6m8oaeRxYl46xzQkHarmOmEeh+xeI
ci4FGnhwPD6CaA6UAfoDGoygdHAPZWOQ2p+a3GATZPvWrZXb5jkmgzRemQg4E1SBoL2YwqMan+QO
ruymOZHs0Pcw/EivWE3shi9dyy5hxOqQ0U5RE8dpEhipm65HfzmmS/iqzkGmsR3DHqYWHMnj8JOr
JaMRprXNyH1+IeN+toH02jlqyZ55h2MLXpYUZFfhPbm2QzeOqyQpmQps19maDCSBoPBrgPVZgNkK
m2IpFMHFuabdopmlTlv4RFbyPSJfLK/DntjPuDhe1r6h9b6CJipZb8ORBTbCTx0zuDreeQRGPmJP
JcS15F50q9y4W0WycdaP7J4FXu+2gUnG1EXDJi1tADLX65p3BaQxXVNcC5HsZtN8lZ9VXWUeVZax
i/ApkKULSYQrEP/oTB0boEicqvVps2IZQ6Jn4wPEyEgFW+fRBWsavPBvGiD6easOnH7E2dTpuPbQ
OoXHJ+g6DIWdy3DZbwOp6lkutEeaqk5fGPlbeOlr+nt26+csa1iUTHLthJjWmxS5tVd0IeAmZgi6
WGtEvDYeyxNeChH/08nr4mkZYTaVE8DXIAI9oZqZwJFgc54Ai9mJe57haiznhvadxexBeEEM7PVu
FWBYVOhGFe4XnGLSBsC1HcMl6FSproL0u3mXAT7XQelV27vj2EqNC9dsgom929mTYLwzSxzca2S8
atjA+OT3KOE51tlMLvB7qW34M5ZUzoKac3SSrgdEn9dkoCnNrBNrXz2Q95blDqtQPklos8HFjNsE
7jumBeM6c3sVOSQKfoYyeYVBu5SFEy9KgPuL544NJCaLz2WdDvRziNoJqMi0OvCTiNCRNsGkUDwn
hF1avn4OkriO44YoN86Fw9m/VpD1q/D6LRWY/Vq5ZJjBqwIqUDYfVxPy7yLb2lUY8G5CT000IGVs
MXWybRenvQvGRgm+j1bx9eSjdGIaC1FoezbfSIJJ4cfz3Da3NvXdAP+v8gQMObZIslOdNmIL3wKx
uBDYUAQck5U3aNBF5NawkFs6+lk9B0BpyARSLBcsnU3IKcKdxSV/8Y+39g08JrcviEEIupB0sDOR
jmam9Q7U5ASnIqUsScog/2ElXsX5aSjyzFAZAEeowTI7cFuFy4mpEEi9dgfpaMs6yNf5xxZBK/Cs
h1VKvmxh8RcIjq2YOp7I+4RFEa0/7iMLJa/A8MWaZdQqfcO13QNrI9alSw1Jjmfy+xZlIL6JNKXV
C9cENXXY+t3KXxNCdXpsPEJOv/5pRIVlI383mhT25WzBtSCzhzGnnsszE49Dg6PALyCt6pobu48B
Imx4u/AG9iKlu8Mrnd0dWVahcgCfZyEPAe7qU7EpOnPWTlm2Z3H3bRM++H/pMYe/H+jH9OgAk6ef
wo+m4UJmXTan+2977pADtmAzerWtx8JYjmLfOFVLT8fimLUg1mgX9ZazNj8tz29OFrOjTEjlfphT
ifrKKibaXpM7TQa6vSA8i8oOp06qy407IG/CVF3No+ibhNg+0ntcr+cDUd0o1pbIwHJ9kL7e5tSK
sTSYAw5bfj5pqEId8wgWZcD4tsFaP8bzSS8+GPji3nUCq3KSrvcmhxtmnsOeZ0sGc50VicUcQ2Fp
+vHhGw9XPUGK5qauEu+XQVIHFN0ysIar+amoP9AGx+esRj6gBBD9rs+muAEDtdPmNOcx326Ct0D9
E67KwMmqIj51zjpuoltSKyh/zTHOa4tlWdP6A0Y6xHqFjPhIcOf0jOMWTlh99DlI1StK3HWcftP0
I4nhxrSxsxujHMzHNGEMlIilT97Z+8tsjrp7Qgfc16FVRls6V9rA4ZZo7fNVGzU0cfAHslNgOaAn
CAy1QCnB5vIJhU2N2O0tFzn+4Si0o9jKpSFTAcCzyrQGHcm7Z03WK/ybUlo9TDtj9J94aEO9xEF4
fz8O75PjNfVnNz9aJXJJZPF7tLqetXziwx4lvuMAwdRfu0vbbC4jaYv/zaNbOCx+E1g2pzGxKg0a
87ocKlEafRtfDbm1BfESg5REqJr5xoor0bCyv+feDLVDQk1Lk49ujjuVssKMeECRwch6YrKSKp82
mXbo0+TtacWRQm+ZPzZX8koM2cOY1SO5WQFzhzMnSxmoUMT3GEQZYXl6/y8IjcDdVerd8tZkmJB6
WxDdU/G6+TkXI0LktCkutUmq8byt5O+6XDLqocmXCXEohysRL2PQSLuwzBniqS9ihLSIoR3jFk+S
djwyPUokyxVcTX93morNXVgU7h1OqJKq8/nHQ/yakP2xAS99hz4CaO0II73loyQNzzF7HqWfwq2r
Y3Req6FnKknxKh8I7mbWf5vUU/EzlYjA1shvJ7ilxR3TWxdS00MvlLwYto9pmx3H378QDitQQpUL
DmEfxxPB+fpI6rZpfy7/Vi+QLSJPO/GkEjaQPMZIeHe7/mzkZmwguNnXQnJpdVy/cW53hl5yJIma
aZHQN5UkF9+7F3f88/9qksHly+/vIaGyiV4SxYFrtQ3MB3MYnfSr/L+iMgOiU05qtSHvxILVLECb
v1jiRyYjFcTVH+BGaC9f3C6UKY8PfDvL4LlDgbto5tVY8zw+ljkwceOEDvHKIHCPYUsbYVcVdCKO
trQnzMJPRrerGJoMXJ1xF17nPSLk0cqSdPgUiAP1B1mnhBYJHQ/lQyYigFL5NbQkJSe2PxQ5wccE
Bhge6rdf7k6EZkTnSl7NJF4aItmWQAucwx3BgFVxWisd2CiiGQi3hVQV35Sfm5Lc353KlotnQg8R
meRrsrcAJ/tdlhvwr2tZS++5SdoGss/3VmKpCiyhRc8Bc5omSkdhsRC1KMp/oJWjLWjGxtGSekOU
VuPCZZoCoJ+rILoN+isTjJ9C0zOSj/+9aP818/Un/urSTVAQ1cMjCaeD2+yvYTReuKp3lNQB85FH
E5hd0g2KTu+Sm4jmxwcQGMW6Hnd8TRzxvBFC6yKHwaJDrOtRRjjxDBAq3w5gFqNEjTTu02GqpT5q
Mj/zDzRXBzJ/NfEMaEwZ6gaIRdW8kmQaaHiqH4egEtrYqyK9w5cmcLI9rIFwuqE+CtAXLuw/z5wE
vMaNHdr1Qda7DVf9HY7kdRWtQTYwRSXvbOIIdla/OIMryCzG58boK1wwLkGu58PiFNq7y0E1FxFQ
/IlMxWttOuakW0w6lbUUtFFONP7Tvd/DKCC+eiMlr1ZvQirNpW/5p7tfIO5LqiDFVSrH6zUYYs0k
sqoSaHZYxEEfcWb5h334sFROxhsPueYvszb2iTE+21SUCLwikbzPyGWo5coMFihmWV4QMWMSkgiR
5iy+yYsw5PMlfLY1HvGjXIw7knLIUhfYQlR1IBHm77NPiT5MUeywfaJCML0jd1Prv3vY+jxwNgm9
4rInZyLvv2+pV9ChLR/E/oW0MrqkdhBwP//As4YsmVQS9XS5ONPMq6fsAYN1etXjG28ANvka4irH
yCpj8FJ+GG38MlnTKHuA9LMSQww2CMcp9ybdQaWlKgbkt5DJTVSV3nrZwdTYIVh3GS/HAagfzubs
65NWA41AeqCJ/pwic/29RjofZwy/5dlCX88CZPxIrUwK9Ju+vcelD5uGLtzftrXMLYBUsIChXSVr
2CLxVvOGKFr3wurfJPm94frcEZ4O0yhXweJzrxw/PE0rF+j+U9JaHRw6UlM4djc2tZKFDFoB42v9
Mx7svy7MloDlbN+BAshZbcPEjv5Ck+S4Ft9vSnT4badyuHr2QPHLx00cDpUqQrfaXMVckhi3aPC4
TafVjfDW7BOhUvufsBQPv7BPjUiBvmor65te09p7wx4z19BCp0DKoqe4Kh7CT4FTZUnO8t9l5R2L
POU3xuYh04dH0lztIYwHxlX3Zvn7/au0gInBDmPlNDAUky6/+Y/b0jqkHXYjFSb+vaEkbP29z3Vg
HgaeCA4zno5H9l9gJPVIvJp6ZSzdHaFUYnCv6yN8IZA1xUBegcSnNYba5j+rn3zButfZHx9mZwzZ
8KL6PFyrI7+OjSGMEixH8214UQW5/QQc0/w7XPM0+QkFdZUMKek0eDWtTv8EkWU031pL1fKhNNMc
62vLnI0P81muiQFcEkzu/YsarSR7yS66ZvLcRr04KiU/6jZManYuZVHe09HlGQQ6G/ZIx5Q4cb19
SB1qAuEOfJpmwAheq43GT7jmtDBOOtp1MIoQVbE4hCIPokGn9wJW2m2DwEufnA/5hmbfkeBUKYgO
NKMV6xDl7Nhy8cLXo3O0bN89VQxGEa7duXNrtNZFW61Kusglt16NIGfIBDdtTGv0byewCxm4vbaU
4Ik3XeoCgVgwKshqUtzAulWNGt5xybOmEeNik7Vf5tDTWHBHVCAoF1Fi+yCQdjQXH9gHSaxv5+v3
EmKrLl9DW+7KleTdfeEtYWMxISE3xGOLvUwjgyTrxC9f6LsxB+u9N/7Mw7E4UpotmlZnmVJXMwwe
+959Zvhss+1wpu9YVNA47r8S+s0Dtz5yXPzWGLJGbZ3WAXqgrSi9evXMq0PvEor+VWxpIrsFOblQ
aKTYcZUrNIWYF/9JI4utx0OmVR/TZUYnnX72JvO2ZYzKUeMTuA9LnTnRM5VQm7n9md9GZJvuyJX+
bCSLqzXHcvQANJJ0Ueu13ksxYfbgpeGrRnLaM3kxaybhUWVqdTFtG2p1u3waVVZQLh/f9iJ0Ybnf
GpXnnsSL5Cx/87ug8XzaC1u0gGM5xz1Y9DVamVg+NDZvEF6RpoGodeksJusjTq1wX11CFT+ItfWg
noONtk/by5UQqF7i9MNnld+D8GvJRHaccyRuNbDLAT8GNomjzZBiPx0PMQkV1S/X+zx5STEn8Nbv
m8GMuR7fl+CB/ZXcovN2BPIPtvzPaQONNufrhGsDbfnrzgYoVhBE6JvLcWlv/puVJVBqQiwXsZiu
P17arLyfXqWzbC7fETroNvRF9hRRQKmZvW6bmx7ltVMfeRJjAifPw7bGicu1Uavq34553d0D6WIc
UQTJVRPQRdM8u0ThGfV8vmCW5lDM41Jtgqv66Vc/RxiqVe3KkQrKpsHAkcO4z8Aa++UBytXTne+p
qVyLUyrxFEwJwp974QkxupN1ir8SdrcmcdL0vrpdLgUKRKH+F7Zmjz9VDYBPKQSm4DV7gr8RcIRw
crBYgWUsRQO1H6ZzNNUUsk2rK+bTQRNuhftcLq5fwZx7VSxDgJa3j9tf2vJ4fzi83d7FC78nG133
7kXUsP2T5KH1lIEMbuc5VROn+yPXkP4Xdgrf/cLsJ/vo+NvpemIYXn9nBltf4nJkmO47qrEjj3Fr
rC8IcyvJ+6YczgxozHIKPkFrGPdDmrT6AwveaevzG0SBm4oRWMMUpTaJAq8puvqpgXXCd/tugofN
mnqHuBbo/CcEu5sncOBBda3h/DNyP1HtdI5tI3G9N6PUQRNfItyvbDqESKw4HRHvtcFnreqU+kHz
1w8I4EyLMelfkAaG1VuuYo5JDym+1d2y021rnYHBfEGeEUdkXtHi3Rg5LyykAQ18YNWGUHQ2EOoL
BF/u2rV2ZPTF63SrOmJq9YVcGv4mTQ/uvmvQ+c0+kMAZ0+Y8BU1DjS27Pn1J3BTz6RfTt/yL3y7k
DKtQMur64uSWqYuU1DR/M7rCZchV8obL7LcEvZ1pgaMbF2FDRbvNOoEhic/Hlw1m3bQMh2gUSshk
d2zEuVOB8mDhrDryn19PqqJSkotnjtoQv0d1psJm5/rkW1wzzODw8p8eMukb1tUM5Hjsgl+ikw3I
lI2vqOjwSPRCum/yq9wbE/mse0bz8po7l9NlNSp6gz3kVOg0aba0j5AFA+s/LJb8gRPa8IFWrY2P
DKNXB7MWGEimMALswIzlsR6QbwSrLIubOjogrYkdrC4xhNyth8tALyI9OsXkv/wZWFp1fcR7+ode
WTR+aSApaLyqhYiYpvqSsWDDwrkB7x8A3uobLhxooUCQjeByjiXNXNmgAEHw0QJqswFCWsSizErm
f+4s3swHt1fHv79XD/watQ303cbQoi/1tTphueZxLR5P4GCJ/he8m95jfJz80UBVPeeoYmVf0LgL
rOLXp42Mb7eN++nqGxH3XTcJ3W3Yy1MMiT4oAH7tcNaaTf5GFQp3QCixjDs+1slyeF9LtD+lvOKV
EYmQGC1vhq6CEViL0r6isxxiSk7Sum2IWVGHkRVdJi7BbPiYOCylE/tg+f7yUp6s7d67G8GpdOPM
zUmZTl1z/4+jZja0RTsY3LZ9FzMiRPYZ5JAi5UBzVvbFokH9iWzhZ7+6aZSaPD2QNg/jQ1J5zSI1
/v0yE/pAojD6WpbDVlhJ1lRW8o6TLWiYqY3PWJMVT6gVKBJHXyjwTl1FV+FXuTR17dFZXXqPpsap
jl8qQgDTgnF+9YxYgRWo7vYKXY24aAW3jGHYntyrU6UHNegFpWIqF33XPkGv0A197CuJD9XcRGZ4
M8x8A4OBr3hmgCsziO+B2sgQEwvtkdidtizGNbzl7ngpkrV68/ogSzOH0LbnfFdH9KtDdisBaywb
oq/vWMfTSvSOe3l3xfaDx44dEOeShgGgEvthD5uuo/2Vz2YYUHl48yCveECMQXsRD5P4btoknvzt
fGvCdlAZSBcheGVmdJxZKhh9IejndNwhGAOa3o5MNRFbLxeFWEWfWl5xz/agoKvWGiUemS2UPxsG
+QmUqBDlBGSKr+TDGfDxFvCdluJ4ES7e/RmtvSHAl4BuEiJlR8vOxxtDBd+0JlK633bhOfLIZq+1
hmB9MAez4Paj1xvXexd90Y49PfwAhP/SMoHTuThjynAy+tc19tilMPW6VgsRc3kVRanOzhA/ifKP
B37B+7C4fmqKphf4uL4NNxXLxCN/dPd8ShbS1XygUlVc0lOSECxx2SsSxy9BogZVxGA9K2ZR5ShC
VyTWVCAo53/K9IoEvTcQbtfp4p1vr7rEhcShUDQqG4QH0x4iXfHpQsi8Uq68iD43FoGXaV+FWmdj
9jcNn2xCyhYhXD8BTUa10Qerpw+wkiF5jYUC1QHrcKgNlvLoy2xBAJAWxFVnFyzN3YatJbxodt8c
YB/SjjjCVFBSPNrFt9dg3ehyPzkFVfGhrWk3cMDMVtAnqly6zQ7eYyFH3SAQ00bF2Wf1rqn/pEYD
78sbULCKZESXtbCTjdyYGSy+F43PE9mFPms3YNqtaE35Hwy2Zft8Z8VRADbioXn9N8PnLKbG0OIl
9a9LF88N3wlKkBXukDSisiLSBuUm4BIiHQIjaHGfs7B5fXdoa8DHIqDSzwscyE45rvxW0/35S5Jt
qQRP77+Xw7jn8yPw0fIXQSexkUlb2P5OzAmiyQ0TWU/QCnzoYALXV0YuFLRLNK2iPcHZRbZs2I+S
5kHiILuJARedOCo+A99qSOJjUhT6ATxaQYUHP2z1g+LxoajhgqS8zliaGCv5smytoEekbT4k5Zi+
3dd9DfEPU+AL1KBdQweqbEe99eKPj4CgYQC7jjArewXxN3hSISFz+py3+Z2ocSNrzF/736kQrvgt
0iVeTfTu4c3ABURRL4O4H7uB1pBzaSXNfBMbiga94OyH6ultGwCbsZkma5UGmrITalr++UIRQgtL
ZocXPHevfBIYM3vxVUDV8cTohGc5ahyB4fXDG5pijyMojKnp2OSMIOLYq2t9h4NjFc2tGYZ/RhNI
RcQMZWh1SVj6BGGAiA/x5zdQV8SGwDWEbmgtU28yS/AlP2Z9wSSrogPt9EtFy7djfcHvSGPcs0f3
vfzK6mslwJbs5kjnoYaGEuN1m192e9fZXB6BPZICm+wS8Qg9U7ycRIow8dzuK7UZ/VemTiygk4+h
9dbOFKqoikBdcfEzsJkE75La0H1G2vmcaYrMLze6gh24aiCrdnJ+nSbfQyMwwyUFSv4TS8EkxY16
Co8ABT00JMaV0fGL2S5nF+Q1pCiuqX+48o+GAQGgew3KOTKvGHsgFTtRIgvHBUywmPuzVh3eKpGR
uyUbHbmcepfAymUZVFoglu8gnWawK8WyFgjazhiIcH4azl30hX2vY2i4Ii7Vn7mAfOygSUBQv5s0
rJ7QlBmb3UUa4DChf2epro5A/+zOEKNU8dKPy/YBwWeEVIBJm1SuJaVsem5DMs+bxGFwNWmsG3ag
0aOwEzGF3MQiKBM02XiPE6MglCfWjU/XQgdGkqmtk9Z2NrGX0SF4r9+nXZdhWPW9FMMcuHEWrXTq
SsKKR0Ji91VpGkScjB2HYfnUPLgU3GuBmjL21AHZs9zU1bYmX2ysmIyTvayJFRmQM6kARQXI70yd
lKYuJElVAxa0W5OVKRMFIQF7QdmM9iZWDjyX83JYCh/gm5WVT7cF4v6bwuptKZGcE6oMIrCS8BnG
9M0x1mIHGcZ4Da4fAo46HnmxI66HmpY98KavLWCPVtiapxU+ZdyDnGu/vHe+WpUbR9lyAVuUn31s
znAsnd0mYXD32KWSouhycyckE4tUCG6ViEs8Lg1cmwCEF0J6budeb9yhJ/I3KeP0YVUXKxuCZW63
OATjfAbTRf2+7aNu2ADma+vwBpwAdkSCOfDBmD8Qvs/otCZ3klJIxHZOHBtpejjf63ohkygyLrDe
0H1kLL9ETg61k3ya7LXi7utipgoBb3P5C+LXA7aToqPKDPl/Wj5iI+vJnlhA5h85CVytpbAktlkv
UFD70tNNvqPdvi7t8ue0EzMMc9zkPcyt4Hdkzk7FvLdT5kvqKw6R/Orc0BI9zFluqr+WE146uOR6
C6fwBZfGrKy6Y11R0SXPbmLIqqd7kr5j5Bjs5wV0RNLgdZnZsVEsjzrlhMhkyBxpN7tgdyjdfpUp
pXySkRb6FDKpaPCVZDo5AXjhzOitjyPVN3g60NjM44zG7yLelDw0ns0oq/AZcnC9+NBTXF1K2j+2
3qd4GI6Z/zp8SD/2Hn7criUrEJG0c2KaWb2Lp9CmVlIbCkNmA2fKDQPwhUYf+0Umdm8cUvFN3wdB
FRkbol6YL5tu3ekzGSdwyIWmpDVeU7aBTbk+Q5QvxNjrqnMltC7WDbyEnT/5ff1OCI4FkxSft7DM
UYrJajte124DgjfLjQZc0xFJJikvq/uBRdnn0+vfZyppx9XSWPVvSkRGOCYo/mSrKH32nFMty4fR
az4DMTzTcQpKrnGCzf+jPRUSrWfPlS33KUrWA7Y6uNjnxyVwaQL5dOu2XrdARLQbcsuw9nl0tq8l
GRYsomFAvlH9lCd0oUs3Z213M4dHefBbyZj9jQMKrcwjrIQ1aRfa2JIKDUCipwFTL8MzhKIUKG8z
HntLd/4UKdy6Y14EyMAG84WMzxU+EQmDwTYoNsCW13GXHBlJNIGYyIeCkgm7a32MolIFHHqB3Kvp
iPSkC4hsUvLN9O18OFNLxuNClFizQ/ZSIs4QOhGgaGNkDrOc9QFgCO46yrRx5uRpGwcXDMMWqUpp
8rf2L8b0vcrKujTqINqpshqxcCZ9alhRiB3wwVbQeMGOBMXTXMnRCQJx6bS6TuWpVkrictVb0Y+4
p8oHc3uumertYUp6WcOPaAXpCblD/wv64e6cghRS7kkFQqOxkBqzRCghiY7zn62EHqiYV8B250MS
qAqzLhKBL368mRtfV/Sa6OO35ID/qdbIR46aSs9eReFJGkCWMBTW+61J9sKB14pNbDUgTd7pGgYv
jLL2ZMQ3qwouAE4XESkg6yFKCbU9vBLgsY6Hq0UeG76WnjJzJIadDSQ2Z/l0602u/igOcJ4TB0rx
kshObjoikszA8y8D+2Yhosu0jcjgT6DiQvi0qbACnaIaQ0PQKxYAkRGHWTHujTZZq1yUQGCa61ga
Q8KDwQPRrzdRolP1jRtAdlN2jQsA2iWGEhhMe5FISnGDcvpUt4j+sERY34gycjjzSINvQey7yQVP
LFeT8oDDuxtptg9F8me8APJTjjT2bTzzx0aB9a9UAdybFi1Yey8mgkTs+0ciiVkUZNEh6Td5wX5m
RopCE18d6mmAqGWhaoOVbfQOky342RVfnXQcxEtmVRcBYoA299xNh9W2cmPzTXUHQGOGs/soUYb8
6vQyBPpzgGPOOkeKlpYPUfMP1/tjWz0OMLMMcj9mqD3alD/ZaOo43uhrOWrXdvC+Qe7J0yEg/GDh
L3KXIn37bpmETn0QZbvaHlokfBUiwLmhQiktfetYCEECAzn5j85S+ytm0Q+81WY4GD+WagAq+rYr
SZpN5h7C7BuxwH8kRhTV629SHf/iAYnf5yk7n33eESVKnz/PpAzX3Yn2IYaj/mB5N6qdw/+zy8GR
w5QvB/Z8BPSJFagFGbcwJ53YVStaGKxF3VPj9lrQtvZqIIhjorH0KwB1V6mbRJpgnQwRY6oBfLXS
wfgRSFE5YNUFRdsh8o4Dz/m9A4tQOVvOtD0AEMpJHK5ANvlN02GiLUtxLxACyisDMdAghVI/jaM3
FzTA5YefKGI/7CAqeYbdfM0oEL/fUAVqSwh1sYwN3iDuICFiT43eK5NqyiFFTXbLTEBeVxMcehlD
Ak97xoCsW/4Rm1N/wQ3fQ68cOdL9usyjhUSoJatF1HWmc3xu8TSMYqf095DB8CtX77WcaCP/aQRk
HL66gjX5FAk5brqHgiR1oOF9HiBgATqantWCWTzLNDM7WIoscvFhLGLGQKNrpKsMzeXa0YtORCls
kQv7KMYXFBVFs/6z0LF7fNPJIUWrQNwf05jTksrNLgz/i8cS1uoIejV5c3lN43v8+z+5RtA9izms
fUDhODlmxKDjJZqjzoB95jDdKfFExi2M9iVkjUwqRtoxm3a4dTiyqGmHXp8kYaz8hhrrau3hO7w1
vMkfBKt/yuetvJXcIyaKp1eOX87Zgdmfa1f7pGvtZ/qN7MGQ96VvuTBcZpmFSvbINZFJXXRaAuB7
mcIcFs8+O+Y5OXIQHIJkjMtIoKjHvWbAa/t/N7vyB2TW1DjHOIi5yTTb/utoj+kB/FqQmYMBG+yL
7aoLgxnvNGBC5LctfMm+b0PSKUS2VO/OAfcWMh4C5KSREJdqfKq0VgMTcaK4QkKfrZo1kmwe6xf8
aQWt0BfAjIHM0zAeL+KgsY2AlvfCpuZAcao023XbqUuHHFr175UIf6WZHOaHL879A9kC5+s/shxp
pK9SPyyNpbQASASA0OvQsjZ4O8nuUIHVWWjS8A/27QzqlC8sBbqk/Fqv3WDuYQF85vbHhE2vQKJr
x+Lp4ThyFUjsf9Lc8sgtTbW9MGjZv3MQ+mfdQHsbNGWy8t8J8wvJx1TkDuqpWmPltgOXzp3EEXYA
cE7/n9M6yX5GLvjOgkQ6IYjOYdCFJmnzFxkjJxSLCAQ5eo7BisfzL9bzP7uy1Ni+oGf35aMEKjPg
Am9CQbJS65BnV1CW6O7npzHDu6etEKPoE7DPG8FmZEJiXLfB+14DuQ/56GyWK/kf+nGMwplux0q+
5r61CLiB797A5hXZ+VJ2xdgqHUy7Qs9lK9tVZAzzun/WY3ar4coHlwAIuK/+E7f+bhlVxOE9Li6g
1DwAK3u3ImaWgC9+ENROQB+o+gPaOnl2hTOtoPK7aU6dK55qs5QwUWMHx68IdtEf7Cn/tBWxULLC
DjTKzxKjl3kGulUGSbRHrnp3uqB2S5ZRtPAR6OVuEVov4bygRz3HOvua94Y76JR9ekP5wxfFUWOM
fUlo8Q71QTVid2QECSkoMfBoqwbsrYzUFamlc/skY3DzHtrZh6gHbQUVs220u276ZtDw3ZZ8DsRK
6IQhDQ43ggOC5KtwbrF6u11hqcjva4SihMLxSxmAvwHK42xUir5I+07qPgyYLX+O2HJudeyRbIwN
6NBCwclUnFckdxLrhuDXNQTnqEnFzj8oEcThfxEeMJizjgauqXP6BCYyzWVNAXZGsUmMOgduBhsx
AfQY15gx0wWNv6s014KSUT3HDV8QPOSdMiWd/MyqN3TfGnr2v6wX5A0AFT4sd0pjVey+4Lci44Vy
v0a730z7fN81HTGwguMQ3/dpR1JG1FL/v+9iSe23R8CJef/Hn8zIK2YmKcG5LKgnyl49emEQyX5Q
eNBy/lMKj7O4VCAxIf/HmoFqL/X5eaviCvPQHJQjOBCpBRvM4O2duiQQj0KHok+hfMS15pItHpiG
teyYSxUCRNTwKuzE55YE1pL4kbRGdkEGejcOBHjMH+rQXOgw/eTHFTlC0xQnKe3KPYBRgQ/pn3Ny
MSRD3+tWNdLSPTsYu+P32rF+arzjSeJcVC4VU1FFdND0Z3GPsEb/nUFKPfLU62d+H96ToJb0Ywro
NylPHD19GRqVgagWlptmuYhn4kclf2Ftyycp7uyCwu0fQPGCUEYUS83YWDAPn9JatcQgS0PVAHBF
MxrSWX3wtM7NjWQGd+aMq6JV5ET/hSvitNvaSJffcmIOjLJEj68iLR+/uHEwOay/XucgPuvzAW7x
gp9vKbzByT9HOpQrkjXGBammMzlwNgvMDbEeBNBi7dl+SK4ghTy3clkd7GLp+ho5UJK5JJOyA0Pn
TX5Vqoc1geAJcGXanXwIuoePAPIsQG7mH3fP3eMgzyvvt3atg1N6AShqk7umzeUz4Ml5mRFfxdX1
oBXTtiFDWoxHYsMCOrtKjoPaHc7/XMRLKIU4iAw8pSpfvixh3rlJnBC3N6OXPqIPgJnpJmqYS8yx
v+Kn34GxvvUCJKyHrBt63OHhEg4Gld14s0nwlwmMqTWaEvCsesMloIxTL3JZMTao08cYB53BabOo
oRAamKz0m3bJSnsbbEjmVBndZoXsc8oDSm12vKpsXMTdAVBNOeDXh9t9MjQKARhd6GGUiCzMuIv0
s36/R67IpO9mtFvoDzffNd+yCfE+tTqwactHYF1vLc1VuqwpOz/eJIUuUtqX4/6uhhXtD+kKdk54
ZxoGI24vSblW0NljZXpqDIgBDhbjMCcSt+8TtOtw6P1hVY1CGqtnUPXh5yjoQGsLKZz1aVkw5jws
cY57eG3YPtfIOwJV+CT5ZFf021Up8UDflaCJQU1mGx5KqCEogVtbA8nkEzW9YvOag7QTXBCg7uFu
C4oGs/vraqycAvrXdJA/mwg94pDUgKUoZF8Mo1Iwnayf03yoVAzSdg34uRAOZNpIoEROHyvjkbMG
w6WHmFNIMBLuthWbkpVLRKMCC/9WOwPNhUrrIvwSdbpxd/eEcCYcuwP95hQIOOLM44pXwZgy0U54
/FyHOXBPZPVO+bX/9eStraTtVuEVfasl74uwW3zdslBLIZl++/U+4P2tIjJ99iVBGHFgtTpYqj8L
oYNUvazYa2c54r7xKhvPX+BmOYNx99pvz1FDN7/pAO2rHfHTciGz5BxhMe7xm/bXsT1PX0DTfaJW
uDEA3oi9zlqb5siHlRp2LE7xNWKj5bNgOvadarXRL/7Po0JetpdvabA4ORoc5XAm/QL/EP7ewg/j
A3Lrf8r0ICGUWCNcj0mJ2pJtZD2PXR1PKlzSKYvhFmrVaJ/OIX6Y1dYo3JylkEPCN6YKfp8NyL1L
joQmYqjlykwgEHDbFIbdcm2zPXF3Tqizuehnkk84BTJxJXU8rAb/fkyY8oLcL8J+DIjDteVOHa96
lZws933VIRIdxxQ9jJqJiXyVfyIW3zk7zN08tYUzsl6vR9gQmzeCeDLVewLU2GHtBv+ZojQNa4vr
B/GIWg23Nn/QcSQN1YSTnlRgQSblHluMHXNuZIrIcVM7fsWMBbYSxP6RJbJTbtHN7sUCADRx4uN8
+MVQ3GE7SYRPF0DHr/up3CIuu2BMv1vZ2rFkb1lLO5vbjcu6GNdlIoFHtvNmEfSUuXAxKL9bzLrS
2kd+r00xopm1ad+XJUzezueZFY6PwrdPI3+AkUgosdY+kr48RvwShQB/pZu3BAGXrVfwP29af9AV
lfXZNIsox+2neOcdUGVe+iU6ZNr6mEBK4ec9OIQxuM6VVww22PojJgwzbPE9A/sP7giBwP2j9mjG
1mpye85eVPQKWl+bbkV4NvQBNLZr2A6wMYk+FwbJrJBq9tWD74v5YbhPgOAImQ2YVNkPAhBLYt9d
em4HdwHqShfiWQS4IQlMP1rigbzGwkLvzTOQX46FBz0E1kk7KTj9f8uGL7GBazeiw61WWcUhRaJW
yc6rVKU20InGTL8yRGYXwW+Q3YWa396eepUnHaw2Z2KZ3XENor5inrxmAjhVnTUjHV60EAihFbum
BNoifNkXslC6ZjsoljuMakalqP7Rtfy3ASQgFdU7wciGoViAW4hV9Gd5dBqGwEYN/qRYgEgzbnId
vhX1eE1wjNg89GYl10nw9VoXveS/AxKpVCgwTZkZfid6tSaEL5ihUFfj3ByG9Qw5r5QSDWNaN19I
8ZU7wvn1kQakHbrgz7k2+TuPRpb+t1Bqiol/MvKTUgsBx0Sa48wPECkUzXIySIbuEdV4lZ062RXR
7l/G+QBS29eoiGOrv6n12JpuumYKkGoUOmnrnmy+WbbmlHDZ34X5NnqRp/GwmPLwaILMtQWIeTdY
Iht/YztOdLUSWFD21pOFwLbnwSUUp56xRp2UpYTeYAy6JLhCQ/65B0RdSc+XTmYE5CeaF7Zk0LE2
dN+cx7KJPsVHlxtuMnJYxZFimB7ve6DNz89ah1bxDAW6MLmhyzWNMWEvMA5KDsMg73IG+pE6EC9H
QKlcLRJeCuIZsslCBdYKh8LRZ2yGiSdbRiKQnuamh6og+zJ1B2gIrBxIFvC8r/r1llXu9U8q5ppT
9/Rjw6Xt+UNdOG6OZN2rCotPhDaPdggCQha9iX6gktoTUvAOR2j1+RmzzVlyLaucGtOghBPG0+q7
+p018d3dTecK9DBdTyl/B9wynesqUWoySMhD424iWmjvdGMS05rL24aRvqJQUlYpAxPzXDEI2mts
yETqxjXBs+/RZA3TMkcI9oIzipyvz6ujUNbdYSYQun1VgMHc5Brkj/LiAZHcYam9z+2U4ocz+s2N
047ArZHDIfs1IwrNNW2CRxvmTOTZhHVZmGRBhjcEvDbE9DI7jlaTGeLPxH0xe+Igj0+DA7uNCDMH
0+EmMX3aiFW2QFU+Vcv4aiMar5lEzfWUVGG61uO1UFOhiftCd74u35GBlLJsU54BkGI6y20mlAWR
81DdcmdRXouYsw6Uox+weNCN8QIYHz7de5gKRmuD887p+yPQYrq3/hcjp/+xVL+4nzpy3OuOli7B
/ATXvscRiFWi5ufkPeF/wlg8tOTaGeS+0xwSuAaIDO6UFQ66uI1OXFAitfwScMB5yFGmmSDmquPw
2Q77tyVR4ZiOJQFABkfTWpimTC8oZzTL20wBzuTQQZBHIIsK+xrEHzUT66dnno8UxW84XT1UlC1A
MSajX+rzlXmQr3BPRZEopqWfTewzy7+Ymeu/vwhR/xhZjYy41+ySFKkV0D5v3TyTK/2Q08mONOQf
DfFHYGx1ZzBP6YSHxnbticzQ4W3O8ed4ry8GyrWP1hOnARuFzCIAz83axDvKQSiwKIxpjojq/F1y
QXgKkFbvLIXeGlFYFqY1fbADBLjo3McYpdffkgVH5NgwF6MTmDmuh4aLPF7b7cRHjq9/G/cgos7o
OS+WQmArKqfClgaUm/165KNKMWqSABBdjWAvlsF0sUd3d1wBj77Z/s7KGqLVB6G2+gvC3ywTa7kW
wLbuxnFfCXSfQpD7OtE3qnHdblZCEX9ejgpLohSWIQU9sFNzjcDr47irSHhu3+4FibA++8Pu/84U
gkVHgrgA4BUe+PKDy+GOmEkwtBz9UUPXS+I9xGMOX5OibfA8JeFD+w8V/AYsFi8dKvH0MmDZlgyW
dUcJH1NFEu2OyZdoqLtzclUOttwdjTzQSzB5ZjKskYqe6Kb8HRg1isXeHJUjSbyhIGu1oVvQaJa2
6zO12z8CbRwbCI9923Wc46j2GLeQuntitNV5T1x3TIzcqwYwhsVjb3wvwC6WIDt9Syxa6gT94jeH
6j0NSr5TXxqaboke0BE4ScuCLwh4+yeG3Rid5agNEKmIrmncNMiX5h4q2EJ0HFp7LXZOtk1fKTcK
gBgXX9HD4Y+febhkosTWlBB2SwFu5uJRseUR2ifchP0BwbqjsCn/QR86y6CxJ3tJ+paL4P/Gu/L2
SylKALxkTBQsD1HTeW53Z4GnTRRkrvKTicN9/RuaSMqHLtjoKdcAkDt0DCSmg6oo2MS7/h/zWceB
ZaJJzvxHqtZTSBf+/TLOo+d8oQo20gQFsZtMKgvPsCB0/RFMIYnprEdqATK1bI9YYrUrWnNp03F5
jiyvWGoAF5blW1KEYk4wrAQJh5t0OEWkdLX/U/QrH52t9TvQ2nT1SbUL80Wat+/Rc3j/LvOvA5Zg
mSyc3PQxYn9xvFhQN6kHh8gzeEubayQLs5yzexL8wgRqzl+jfqVz3fFaNpLdorJxWE7pU9az1L6t
P4RlZJcAdoG0uhEAWhZ7iF3p8PpGLwC6PUsIE163iRKfLNunuSK2yB1woC/Jbo5uryOLl7RW4Tbe
re2szlKh600hCe+xbdbsbvqt8U06vRg/d3TUFjmsjCJfUkLOKab1n68Namo7K6zcYUsRF+WAYp8Q
NxaGbcZ+Ggf/+lp/a3upqE2gIT0P0y7KEnfoCqBoxnEzhwng91kRZL8nno4p/wj/iPj7orrS7L8o
8kF5hjWusXf5oi0vQ/oVSziAZ/inbyeySJl408/3CZMXvZxWfI9wpMy0LOHtfwSxAf3Jhra7MJAc
iZkgVAos9GUexlzZ0MnZQTrQnaUzicDUflHfRU+iUMX4GUKPG2WTJ6/Sw5z9YVKDLzP+Ag2Z2bI3
OYnch8c3KsHR4OztyExi/Pr1rOymvskJNvkS0mKpvlLJA4CSlV14UCm4MhOROdN4qUeQvTcCCvug
DnrWt3jwxH9TeLlAFMMq/9O+Ilc9fVrgM/wN6zRHUUPVfQNZ2BLFRxIsEa3ZoiPv7oeliP7drmLg
0W7+SywVEwaXQyhTGrpAvazizmDQ1OS7RYUVbeCBY4rgfwF0Ecb8bi8NYoR5P3/9F4eU7WPnoPAj
s55L3qFN8DGSkupizl6rDnDUatunKPv19/4H6gMKLnCR1vHG9vPFHLMdlD8J4F5BKsvbV3ItyPu1
L5mWszfhJVSdMl/sfzo8lS8PMmnfU4mPXZMgSLNctalHEuh/fBqw5XxGe3mMppzdCfx3wkzZfyay
Mb/LUp4pABBNDcELRDKorx3+WlqGPds9FZal11rhg6PnX94Hgva1LolomL1vYTq6E4daP9ZfBiOI
hlI/tD5Z28+FlnG6Wsq6UV3I9CUt9my3R7WS5FzZwuT9Jg/6y6U8CMsf4mGL2IvM5BJsjH25QNcz
xrK+LwFRvOfpWYixRO9bGxbmSwfwTS6FweARfkmq5NvH3aXq2nu3+NOtf1UIzNzQgTqQFVvvXL1A
PBtePXbBfgM1+sGryfUoRkgG/jMr2cRFZP2oANSwyAJ20Hn+y7+QQlxT9j9IplcqIa2GDvpEmacU
woEw6HThvqxMrPIgUTuiplR4fVLJxy1NmO2jKNG4JrQuiSqE9nih4TtEP5uuI+61fBp/BYiIVrwU
pfBiWoACb5nkLqo6Tvwi3MJ39rCDLnThTmMZ5u7aEfgOMQ5riSLj8RP0bD9bW18fdse5sC2gUEz2
fFYcpIn1tSqQ/ik+JpJI3NzKBFDbz/bLhbxzSQ8XJqehca0RKWIXCZdgh9Zmz8nezmeFY0fZYJms
ZHDLIKqF6hDMKVT6YOcXCzIPJue7+5AyArDyGgDHdvmjAbCFlIC0ktEboa8/p9xSqU9DB4iM2c32
SjMQLua1IMQqy16KhOvBhbyDk0X48kc2MQz0+V3N/qG1ug1v1iW0X6f3umEBgV6Nw3QTEnaNBnVJ
O/NeE747o6jE3plVrb8vhkDpj9lh7f1ekSnZXp9vJqB7WlgynyCCAXWhbHctvBzOLLstAVjEpM8h
Qej1SsAduHxW8jeFwAnFmSlBMqHDYMLDZE4XM9xKx/qlby0nwuuN98KvwwqQ/5me+XgSkXvM59Yj
hFgdUpLl4uY4WpkF4izhnA2T5XTlkawlA7x7KYzwzzINlcHCdeuft1pez1Fy4gji6eb1jkjwMKmP
EIg1py0HTh+dRPW8HsaakAfDl57KAxq8pNQo2szrfmBpjNjuz5x/nwq/ayHP/DTlpbHKtNE8DxIz
UfIzYZq1RHX1P3HS/2jh6+CyQbBnGW/eSu31cG25uILO6rldYGly1DF2F112Lc1hztLnpLAiBKUd
W++iNaddySFx7yn9F3bKQn7lMXUbArr5kmLNmRBiN8B+V9CogATW9NjPrcxIWaCH504d1UOIZKla
TYzg4kweKtczy2pw9mfKaf7kIFuZ1a5kmAAJRb0dNMPzYzPvAwftPxKwyxxFHojvIYIdY+9ImkS8
vDgAOddoNBQhqII1ACRNOE/MXHna+eJw/ZOWZSxo4+q9meODwMaIC08P4+Hv8pQzCpSjVYDRaxZp
3xUwwOILcMIXTOTEaWf6aJGI5Ab4EYEf+IMDExfU8G6mt0CB8y1oFMcSbckA144WYAnIf3IUB/v/
6fojm5GVy4G1wZMGOUGOpCJkup615BZhC2o3ZFIM3qdgnSlEsbTObRDeHK8gbqjGDOJiC2JUG4KE
pqe/PzR16d/6Zh3XsDRlColVdHsf2PiMgLFZykhg10DOaS21o6ebPRjD8jUxX4d2ttUYrdsDjwBR
E/xR9mVwYCI8X051pE8YoCtqWTkcOrzJsW1GCJTfb6k6Yn4zwoyZFMgeU4XkLeYp2o/MBVP2m3pJ
1jJ+H3FF1IlNHVO5alSOPi6xAj7+Zw2pZkGEqqzAGFmCftm0EMmE6loNQSSkYfWEGa2UHCUwZYlI
FB5jGPCkyUxYvl1OeBA4kuW/VIr28q2jIhqsAr9mZKY6vg6FLwV5PKsJYkLxOZqY6/fKHpUvqFyJ
NqtBTBmm+Dp692ZxI7BJJGnGTjCe2Q+PrG1eXiREaRbjF8mtoyZhtjlvr+cAuFG8A6LjjdV9BZ2U
jwgvzUEpcTIPSwGFEUuBn2cMeTlTMd7Dr7qiDSp5yi4+l4P78B27RSDBFUvtjil3vCZic9/mWN12
0UpETpFMmT/RN2PXBc7mVz4N3xFixnZyUFgTWbvBEcMHJ+3wHq9WZV+M12bRP0/l2QoTsAGpwCtC
64OGTa2WagTM/qOMuymZsl7Vbj/T0hoDhNJkou+JczkIBUqPr64OiaIPRAiPuklwvz2GRTNisLAr
+9XpeFufoANlhkj+UNIK6QtP6+9z194I7VW36bLodcuFYp8GdDEd2D+XS6e8+Q05TICs79eWAMrn
ErjZEVQBR/o3Soj8coSyJbrcRkESklsWTGUjGLJvcVTHxuFiFV403f5Ajij4a3A541Oe3GHhkT38
bB/hicRTHYKXG6+0O8GEDK67KRY9yahv8L8GF//un2ZWjEC0up6d+VuehpTLe//SsuwWoibqiQC/
sGicR2+KPMhm8i3/RXwh0svTZPJUJ2jhOkfDl4IlRNVYzoE2XJN5a7XRzfmn9H9os49/mboAc3uq
O7a6csifxPmWCLWPnxRwqpzZLCm1q1BXvVkH+/c/3voirB/YImVunkLB5g1/8oXXsiLBzDkLZx7b
2cdARJ5fmT2zLZRLNT8xvqHuxmNgws4MyY0fO0+El3+BSW1EFHMI2ZnGDc2KQqIporCE7tD/cA+5
l6h8Rem6yhihSVt2Q1MzIi/F8RVCkiuals2GtWTcNtND1n5vTU/Tg6OlF3HZv8DLbn4ua7nAws4j
ipByMYWnanz93qXS6PoMaWI7btpg5F1gWD8jTGGYRduXSdO2rWMtgiD1gtiGpWeM2HP5MBB2JG+b
whQrRdqCkKAktwFO3VX8ueTwPGAg+xrw1pdALqshohZ7cK0V9z7xedprsA03+DupFaXGHRSywpgh
guFb82LnQ2ZIvubSLDpLJAPQmNXYZH/mxJeGqN9MYEA1vwYs4wN1pMkQvWgim8oOocbKmpPwyykT
A5bCYkDjZ+g5Qs1nTycOLBn5Z/of+xhr56TKJ5fQ2fmEt53t4/vHi/39pRH4xxAb9i/X3aw+5N/6
n1dJ0en4nhfa4rdJHkkQIvtsycmqOsZ3xtk0XdbK+AWDq5buPyBwcUn7febZx6GJf+7Bzmq/yzQX
AeWwUNFn6xQ3YRQBNhHWYbNGwPB/4mS2Qj11EbUBwxJdwdvI+XS8+4HqJAj2cOblWpSnR7w8lRv+
PVwUkB2XBfLkwtzV6pDQ0Zh0ZNXJYPeNSOgdbb4rz3axCHw2clljDI4WK9Nn663zwhyjMaz059VG
8d1wDFra5TvgDCoReOFiP6GtSA6xdHrm79O75Iu/2QC4KVSFw453PQZUfran/fhKhw71vMLb+Wl0
OOI5Sr5VVQokEPcOHafZauEoPMOJnPwvBbz6cH4wrtvbkzQ7KCZI9U2RcnDnT+HuKIARUVU8161k
f8Tlr19KMXxN7NCw2pVjwj5vsSMP+LrYbsilu8aGPm++NhPJD0nMlgUE5SargC4j8s9gegTkJH7b
Ttkr+gAhdGM64oIeoCyYmRG9OdlIe+sSXNgy6U8yvg0pPtt8xu+v6np3CuJTa/w1Qg/cEtMpuiRv
iMv41wY1qTwG6BT5HeZ2p28DM9GNi2//gDNYaVEr8/EJLl40n/NkQYSbaYe48pA/Lr/WQY6xQ68f
jrJc96sDJ0o2cs2b7yLMEaf9xlafPUxE5LR4XxEjGS+HLehp4zhJ+NSLKZkWh5EpckcnkJew7/Xf
qKp47TQ6mqVT5+pfdEN8jVivHzFACy7LmbfHnoLCzPS77mE5idMQ0gdr3n5h4itHP4UOuJUKXeD3
Cc2K4Nn4FCMkNHQMaapZ895SbwVDFLSOexbnuGwkZsZVbL6jv9wkhNOp13juYYqrFpYglEb0M1t/
8RqpcTePxV3JrvbhcKQKsG7/+0aYRH3Or3ayIUC51d+QUi5IHgZ4gD4m+HjTkTqYTPN7yuNSEMed
xScoQ8C3cSc97K+bDB0O5eEwPAkYHEIGcZxTLYyGjKV/eLDcvM5IbIU512T4G2F68awSSUyYrHuk
/AH++8fx7p4NZ+4nqS9HbTMMvGvd7LCzOye9taglMJaMhU/Gcf82JEeENJxokSd10HrRmMeLT6Hq
3G3dDXJ9h83pFf2JaKg3+8quCpe5E3RcYhSxSU8XoRB4dOQ5WW5N3t3SFUCTn56zCFTC4DpRnRpy
Nw06DFSfk7K9lTesBFm/V/p7EQkuyZhs/FJ8I+BrxTq8V375jDsKtPopMnjLvMn5dFhXvE2kLoJk
l+njUTpYb/Fou7YBy51YBgIENro0zMJMTz0PpFVIASvhPydHiHCAd0OoRsuNVXN97RjxMr/SK20w
YQsA/CmbqkXZATni/+5UYBw/MqbAthdYWx4yawYba4DehQI76yg9rd0eadsOarNiW1kIMSAQdzPC
X5wudZcxW62iJFZPpdrTLLShoE9s4hbp8nxjU2g1zttr3wgq0dHvzVhGG828spMFgrmoKdLi63Bm
yrykMk6Z/KDQlSrOWQi64umOJLiK+m7WTQZHlOopa+p+CCIAg7F25ftrkrqMT4fqT9GCtmXjYNGn
nrpyrPbYTrS4XBSWeGPvsSjv9fvcJ4nmoANiYX6mMHAnlFZnVdZMpXo/rhFNvQLR1xWX0TtaU0lJ
Dqg39EcSq9a9CJmQkxJ+jInWqsk8rbiesFpxHTgI2JaEiwAh+sqTOCYDvaAwq0oNjeZhgvZZV7D4
83yKH6Nc96UXJ6+YeiS+TkuyU/sJMkXWHKZVSTdCLjBxpM2Z8zakhQEF/Uo4ywVHhRK1PlD2Kdpv
ikQOCa5deP6aHr6XykdALJAOWBq3rlDqw7Js2rsRQohalRvdX+OUlk3XewvziaQAcUZNWuUE6EXM
YEDz4mJ8+EHSnShrAoGgphFlMRSQOmqJqypchkMh34KUf5EUQxZKOXo3W/hE+nXGhZjuigGMCB8O
NFXjF2RM2b1+bOsXsQJHD2gBrsvfk+6lzguCqqHB+kRnBi1k5/cbIgjl7zdmVbnClsD2ikohvce6
x5iSYXshtBdrep9EzP0Xn8ZS4pCnKC/CPOil+X+CODgvl27f/G6D0IkAKia0IJwg4ARUkdpGpxec
rPx/D3cdCKf02Wb/41Qouj5bu0cZSC7XqMWctb5TO3ByI4bTRC6uUPSUuj66LG57GpfnL611ukio
B6IQ9zfFGoHAyob+DI6lmZCX2k5lBSR1cukdnkMZPGeMye0boEdc/y0PRx3FsMz2wLmqeaAt54uE
qZFQ3LhPs7tfviyml0YnbjeaFZl3EqFw634KD0LPgg/5wYsWCTXeOyxQJSZ7pwgglapmYjFu22a1
/AgNvvXKwT00d0IhpJC4VxAbGq3LceAeNsLhgQIOrxd5+oTN+mu9gRAX5mhlmt2Z52zCuHuZW9ne
3pfnRTJrIWmtaQ4uVff/u190g8JtgFnpc/lQgp0RGOO8rrRfQkaV9SbvtH44sdzYr5VXcAiC+SIi
jmIgS5r6Kfsx6vH8SAvRrryr70HOQC+at212Fn37wpETKVObnspmtoEDMminJ6pO1sLtPFCNsoiD
Edei5Zj4mCO4S1gaXwXg3COJ3GB/7z/XCZ6Gkvx0jDSnEMTDLnX3ZU7gYOL6AgspEtMXM3yxc0OI
1FTlSrgPjEdTCzHDxRTFAanYynK8QwuXvmvbO0j7QwIT+TpIBpNwD6tTDrBIQgVgerGoOPI+Sd0F
DqLXXsMG6EkkTIspWj1c2D68GJi+osb4J6K/etq5A/8MZNMfaA2DeFw92pVbnzEc6bm1VOhA3aTJ
l1wCyjcUjbZJbz4L5O1g9l+ganA56HlKSo6KS1927n9IF3f3uDtGb/kqjXVcVVbvUSTVqG44QKeg
gyiyS6ZhK5q2f3odMHe1gII3MCUIkF12VdaKjaLSI9EGbtwIEseGfY0tryVkU7zBwr8vCHWxhu1K
KRqU8YANV0NHsSMvcoFZhop2JOFsrQdefjR+NjUbhgUHI0tZaqSbJN3NRx4pdj0uMf5cAjI+JH7I
fMViIYmPvjRNY3KiFETeQuK6jhmOlg+0fa9CxDrgvq9O9+YTyni2y0U+C1M3b6zJbU/83D8uMe/6
u7pJEF4Ix/OoIypR7PfKQfFhgZ5mcSZ8AxsO/X0wEXhp8JAEswRBZcP0dKJLF9rMUO2oPPxBIfgY
RBmiCAcWuWVCWRcrzI7seTXATCZQjHSKo7roH3gHS820qb/5Scm9cZwlf6AFWkbUNvCe6NbvWFTB
RIRieXfsGUE8CejOKSFUfk64J+IWi1i+tj5VxDnhOy3II+rFXTRn+/3N9UQLaWleTB4mGD4L5bJZ
gRVlUrBbdfX4+8j6ZZKfXUR/vW/D38RBVa3hppKpIxPHoTE5RSyFhhH1SAcG2NnvMgf+htHvZK1v
0xm6tjln2I7+YEDOZqIK67tm37QdUVqqQo1a+PR5QMU6K7Fq6M+e/q5UCSNdS5cQTCVYAFLQhVXI
rqqALLVqB7aHAibksa867+o4K0mJQedlQw0H9v8zKdMYmpIvch6Fu4cxiLzleuSWQBHTfdwE7vFd
W98JVQW74LHm7QJlEeEXJVUSSmhGn4ujCeYIRyodyqRDSdN0fSkyjk4ADeMXOihWyfcBccKg6Ze/
9/Mk5nVwP89MqzU5HhSTV8Pg6gsKz+dYQpIwuv2nyh/lrzk/WRO/ldUfHAWoR1WlP+njcnkiY8TX
CPfjxnlidzR2DEzCdVTFfQ7YoVI5XomWCAMyvOfWIVKRnC//nNLR52/N/XlHc1/qW2j4yo2vWz+Y
MD90sfM1pmq/PKCMtyuSLWSxCvGaq/lpoAHnAi3rQlE25hSb9KkWjs5sRA7wiR01QmU9tddAi06R
tCCSJz5/E2uPcrWO7cdwwUyJP/YNUiXGPzIv887vQx/2O88ZiHMsyMkh2ENGWFF9hK86VHhtHSKC
4yo27u4klQcH0vRxOsdl2TEeYfSvVPjyXWQWfyzo6uYTovzgKnG4QjCwNyPTaq0WYzLVUn035HBa
AcJ0PtWyC+c745YTUAWBvqEnWMxY1T8GM28IExswXUMvvI20XS/eFMaWD14oeV/v0uP1PsdPvulO
IeuJc/ORFkfWvnx/wsEqgw1hoIgqJFh0rUTSpDrMs4Pz5dGUyYMd/rKGEGhqciWpAiY0vbkgdmGl
otKbm6X4Z7Owg+LUEhTtCsxQPG7VS5OrlhHStELag+cEsNLX/sgXb2jcHG5eQqiywSpp2d6Yl13z
uu3bxWhguKmQn0xE5YHlY2+rpTLBDQQEfjR85mo53unZBv5Kkyr1mx9dzRBgyi3CNgnKcn8kpI+2
jUR+0L7KPFoFmoHKwAj1LtDAsw7mggomxsmRZsl/95ANxl69FlLput7WNujPClPhDgdVz8kDowEl
Me/GwmyMEXxZvVWGIrZCGQ212nfPUhXR7as4JE2sOhqJHyEd2kDGHHkkzcI0l6uy/qBS7GP+Nsfx
tF1FDgiE2lFEdII7p1IyOPULMty7gUCiB7EBAZFXA2neMQHuSaCTN4o1lc3gIVI0vZR0VjMsx8l8
OOqDaUYcdFinf/R07eGrewJfK9mE0j1/riGWEfL8CNZUgfzCzWowuyCgInof4rDFpjD6BMqLfB6w
in3dTnEiKidpOBO6P6ufBguJ3Rfx1j73WI1SeLfje+mOBNGw5neWLS0BZiZR47uR3HbsRmLHU5mw
1Y8PjmYLdDvJItHm+FG8QTKgvfKDfhCwDkXHgPxivb4bAsAn2GIdWJOuNTNeIS2ewUGa88cn9yK8
KIvhONKqJTkSDmB7FZuXLahgbLL/ISjwuRVKBX5H/MYD55sb91EpXbiMhqEDGfKmfrxvs3aZp/ft
pDxwK9EjZoUF2H4qYRvus3mnhE0frp13aGM66IyaU1Nn+13hQWeTNylPzOH3jBEAbHY/oV0X2NFa
0b1te9HBq+v+AHJpqk+4QbwooCtNFzhWiBO+2wv/7M0+gmNKtxpt50BvfUa9mmL2ZIPRQGMYSzy2
OFZVC1mMQZQBGfpscHq16hTeAxqjQ5hjO390FTBFQN7XgYEVChBSHTY3cRslZ2LJxQev9admFU6r
g9NbyRLyV94N3VjsXJQwzbvu9mJn4KduIxoj9mwdN9NOo7SSzVGNg3vgkgfQfwigexxU8KWwo5x4
m0gkiMtbbCjgGcxAy40JvkHKzs13S7jyNJOIJeaLl7o1jiLsRqwc2J5PC0r/QXHJ5CJzhcwFXJBL
ZblzDt63gB7vg674zL8xb4okBe9Vpj6RB371EqXRBHZGKjG0t5WQYAEl2P+F8cgyL8i7E8ZbqAR/
58MNJntvUdyKDVh/iMFoAyrqCh6P1B3wUWvCOuz9jvRW8a0YkmtjSTq5Vz20tB4Tgo+fLUnpzRmQ
q+tZzXz9O7go5om0KPcPL3Z7RC0oY7pqLUxtYHRJw9M46WsOE6ECK/ED0AShPNIyg1WjMV1NeP3b
uZnsW30KfRaSkUofO6+rfSbVbyKEPShUCy7rBMh41ECKzDqHAqiEgBF/1LHFcJOtzKUOXCTyhuUd
u6tsHXV+g7bqfjPCXqDs9XBSMISIZMVD1R/SncwCoVx74bYaF2x3uHZ+sWSBwag8sQTOXTnnmNK5
p0KSWCRKtVvRsQV62b4oX9pUdUliX6r2leo0RqSG+8v8Yvp+RQRZ/8ukKI+bgqLXFnXxTpvOmr/5
tfmanB4MRm/Vtsap09fyd7Ldg1q6jKqB46+a84LadZMrE35PtU6WOBcvIxyntmgygsnEM5gMMutv
/sRGbSrDLExSg2hs71UPBQnWj3LCcqeQoYeDBj4OYmy3g8sJW3WS8FH1aaI2YLWzN6HxGGKZLaDO
B+RwXXlAPdakTu5zHXfIZ86bb8A/Xb9PwJuRJxKZKpt9G/hMDHiny3vAswJPRv7g855f8WxFHsNh
oBspjOH2s1PlyVjC/2HHyc+crKIE6Rzy6fHzZG8oLiKeRivzmBPD7lA2rXbUw7Oqb2gH9GomAQFF
wGvoM8YQ79FXlxbfaFRq8FgJlt76iR+lmxC+3m1gu77Vf6FxV+egNCVyQ+R3ZySt2ZH4vGW6wCPW
9gw0kx22+1yk90Fy08CtVIo+BRQAnfV+DmOnkkFzvz3tIIcSOzKmcAAvI4gcIX0o9TYiUj4RjE+J
lJy5+CY3gjqFykcsbE4/9FHeVyW0HM4ONPsZ+hP70YA+yNzHwB03IstuGAtZxkWirhdQInTPXzqo
iIdT/NgTq7uolrF16RHaiZUeSv3IVeswKLmOCF54tBMvCNrNJ9iHNCIKWN2fhM4pgXr3UNnlEEtN
Cc9HaW2EVw8hbfAHjOJpm3A6ZWgCOO0cSJ6JOrhIimJLud2yF51ZcpFhoEScbtQRC8CLHzunbbbA
m33cUCCC/OtXXtFD6hcClpfqxEex13GEsJtKX9WPVn/hnw13KtmIsQIHGTMIOFEYNZr7dTwJD1ZK
ZvT6sQEMCVCcBw5B37o/3A8Db/tjrDI+NhOsD0VI585MkhShM+6lG4j8BtkT3lNE+CTYutJ4TXJB
jADebxwph6SkCaxXB8kBjMhuMNzy0donxb8gD303Lwkid0p1J9wvxFJnGW2vNJ923PUcF2ifG6He
CEYqegGKd6jeV2HfEIDPrOuDLKy5kobofrYH7hnGxJ8ZCNdLkuIUV1aEKw+vN9m8naI0gA4pniX8
4+i9jqhk2nExXOhXUaj99roTzXcIy3O8hUV1gXo05GXKYDcXB+gboohWtzH3eJWDZwyhKhB0yLFY
pO4FYcA8rgGEjJWfbcUhNaJSZXgFVDZRcIuEIW5A7qGuiEHq4pYHMAC3wS7Ek63oWYewecZhMXwk
yIE8SE27TAFSP485vADN9G6mIK7ShKu7CEMRg7XGbdj8JNiYLL3xiRa2bUhvin7jen24sqB2Gi2T
CxITH2+WO5UuPFpEAvsuPIHmNA7kNMCKMyFnPa4jfiKANbRKlkFjEz7yp4WIF1BpSya3GDl87KM0
4GFIEyL5CowE4dKoomRHUK5xmFEekfakvLmskXvTkMYqXpN6WHz6Iuuvy/pZuJJxaFfkHYwxjrx9
d0F5JEuaBllGd9lQ3ACBqyznThg+9IuA6Pg+i8B8FfLutj7RWXtb1ieU7RabM85+WS4yHZ2pRoVy
se7FQBCSYk8yeB0+0dDYBeMe+P7wrEqt/PLuoRkbWo9A+l3INV1d5mYp+ET8cw4k811qc1b4395C
Vnewk6A79bvH5SGSYn9Gcif5ZdIjlmTKfUd53WZiBk0d68u043OVY/hh8Wi/GdKYbfh/XHbNQMzZ
scKIqB9g//NHPmD3tFdgmIMrTIUDgjyhEfq4wa2f9n9H9Y+rpD8WXp1XeWpPHySmiBC1l6tj3dTy
uDUxj7Jpndrq6z3y90sjXi2yV+A2et/XHletZFp21k2jm37eKRmPPOqGoBbP4c/YtIAK7COPpAgn
VspQHyMtewv7NkgyHqKVUTYpPuhzCVArOvE9h5IJxS8pMcCrPWzYNInCZygFgnm8ae5HddK8SFs1
ZgryHjeRLuHMHWxgkj+AYTw1UU+b9Gxn70rmJa9DiEY6b0DqB85EXRD28Kbk5yzrxdpaIUSj1sLE
yzPXz8Ic+ZlRMmFbwiV3L6Bcq96JO8sxQOpsvAZ1qNzrvoqSZYi4FbUHB0QQNPRse/nRQo/puLO4
IX5bXvlZjFGD1frvcwjN651EWZUfIcclCn5YVZ2v17dFCGnjbX7rFv93ISKtdVHZQAv1zan0bYAR
fLrEo2HZdUwApvSDVJsA5j9xeV2OiLdjGNPN5f+JHduDsRvXy+25u36c8Lrw5onrd0b70Y2h8Rdm
tnugW3DI6XjfjLkCRVRfrnsFLRVQaqXwEwe9i+UwHxCSVNuf+ehEOUSlo2OLkiswHVxoa8zF38jU
XOmZ3PdWL4jTkfRHuAY6mRhgsmq6xAeRzsbW1ifQBIDNpimGZSYlCp2usbZ2ypeOdpbQVrhF68Lo
s4uM9zAy/0pnxNBkGUCqJWGkC/rugT9RlpcGgoGzfzefKaptcyjLnWxkfLs9KTbZyXF5SvsvY+VE
fetNR5wOHT763cptg0zyB3lL4vrBcmfyfWvCJ3oq0YquxllZHyDUlW2mZJaFm/uHTsYS6xp60kOj
4DXMS7+8+7zfnWiGdAB4LjJ6DUEQh7DIJ8SShWPBkMXTqhQ7O7WBuZPJVlWUHMnISXNzEKsTlTEv
L124RiA+6UddTzNhioQ+BAqbs7VND8qN3sFlWgXOqSKZldcTVu7ROOLSfEpPFABfN/2Xu/zPrDg2
zdpndTBMiVPi7vfP7o3aOGBXISwlxg4hkFWAgRgCFL5Yk+m9MYKKfo0Z77LtKSpnrkWSyvONZcxJ
Ozf4GOvIcQKgZLsfxo//gH5F4kiQY1uE5ggarQ/Ou1sQMGi8GhIuTPvVzqIqtgZX1F2QTNVuTg85
0DFaZMYuSIvQD1sK5h5YsbDPsapmzsBIkhit3yliMJ9NXNaipzQ8sFCYlG4YZ/AvkAkhpsb6ilNk
UoCc0jGkuNoHvKd3vazdtnNOPiyAiQHDJmAEetoMgru2SCC6gv5iO0d6xRwuWguYEW4hQRi/62XH
0d/PF24tClzGu0RP7G/h/vJnMtoqU/hF7rmXuuOoJDdZwQMf6bw/Tz2cicVFPNjoGOW3+YdByRsq
UXZLZz+3VgP/c9JV+PX/HL9CUfOh3P0Jw8WyF11rOCVjH6i/ZT0qUSYR9A+HSPaIueGH3x5E6yu1
ORwzP+UG90Q+87ft3CUhREjFKO5QjDd/S6tgy1+rCu382kntBPZcBcDORd05CDNzWjdDMDf1X9rW
WEVWdmRNUGIS3vaEjDw/879RyjGVpfkDUePdoO/0y0dHTjFphcgmoKQTVSQUsjDmWSQs0jcA/hUW
lpMcWkhpVoGLmw3WmXc1tZdJtGCc7NYswuQ6rw1uLalsorn0IiriSxHx+fSDVmiW0aEFxgVq+5RQ
Ljoio0mhQCFmn1eBrLaUxM+V/f3iI1dOutKtoWY2ffnH3g2AfE7vlUemZoTn5IGiUaodQrHoCQvS
F9r3RKTWSVKWRIFryBz4fKPPdQkhptRDOe9gsuLNcw29G+aLSkFTK2qa6JJ9g6r56masbJoyP3X6
sjPznkfrDcLjid3ooHuEfZB2KqPIU1AzU+fIuS7/G9dOyj3/9DdxLLcvYHQXudiNRQN59aMZxONU
wmK0pKGt4QW4TvlaoH/WyRhEooWshM/lcDFJkmEuhj+P4Aa8DAILhqMcGk65LZW8DvoiVMTupIkL
qe3mUVnJtaq2IPYd2eCFql5/uwRH9h9e1GKAlXFXKGhCZHZXsRHuczczJ8nMdsvI6WkuPj4gfYTv
gX/Thq31TeQYSqs85xWRr4ekzUHtOMfqrcfjbV64mb+RXfVbR7QSe7bLDARCPQhHkcwD+7TUy8MK
/2wmWobtOTah2K8KgLEx2JdCCJ4SbvwkgwbHvKumFlj62+6TYt2Olv95bDyJ9ugG8a494c64BtdG
AOW23HdxIOvZK/tdtvXUwAOkX3TzSY7HFXLeHGuIylFk2/TzDUjdkcpWxYnaZvBy3oqyOJr1dvnD
Lz5gcNtTk55pEgFxlxbcinSQS+o72cPXpYCyEQwf5IWChAbMuTVS4kba9Y6N8/hG6yKMNnjvDd7E
6qTbhWYBaMw9RjqJoCoG2qEXAktzMpTLb4Fi95/+hoqQFyCLR3hyqTt9JPnhlfcFgmpP5rucHztj
5j8Ryi18dFA0bmE5DG0JGDNBDVMupszx67+TLtQ7navmNMr3sBlOwquErMPElYQiyWnj5viYUZz/
w7tmbM2bpqikeB1Unm4e7Zj6tdd8sOby8MayTAf4yzJ8xrXp+36c8lE1s8zYP0XGzX6bfzhOUh2u
+0XcNJHhOUGboPHpCHDfR1yjNU/gfQ4GSE7xJK98zOiLXBDSbLJvzoTU3HminjDkqLpWzqWHZ3kX
mxXAKTxdXDAs3PZESeYpN8ncwp9Bjp+HSZAciWfFe8xugscOKTODvh5Xog109LbtWe3V18+lKb3g
B9Dz/Svz8KJqB/+7mlG3gwNYKEGXv6/hzFPdLywjQaVOIazcaOh1rHSUwIWv5V2gMOcn+uV55pkV
iEmdlh5DUwZUz54bfsvireBpGnC8ZZlbvqLYGl0VgjlVfW0rybByYQHzfR1OeLx2t0BVIWkNzZoK
0KtEqqEtkooPyMkBXOa4b9TKef2yHY5qsWsErJpa0z3ihHcFwmb0NfKuH3rbFgFrBQa16RDOa19N
oaIAoDSW8DEhk/Z0BpoheDiyJZsOIFE/AYL5txJa7pTlltXYJAF2A58o6RenvnJYv4d5xmUm3G+V
ImGTuHagv8+4Gl0nzY75pK7nku8pU4EV5qmQXwfVeiBimw0BGNpBK6zMa6fJRZOctXfIvembfbJ6
HL4WGHRF77xph9COzwtDR3+nr+VYoheS5QKmRdp+VW9RR3ccOMpTEaWPc6jAUHkpcce1rLknZbvl
EgmbkOkt0NxtnRygEotJEt+tR4btv2Hc1x+97hV/ejDsqQ/VmDfMAZVe/8a8NhLRSpocnx+glim4
sx6Q7t88/B9cFu1mvV2liu2tT/zx4TEbOePjc+dqZNFDoubZuevoIgOzgCoqPhNt2vSX7Gb88FaJ
Ny4vdZSH+7rdAvHLcUqPwI7/mhfpk1OBHrgdqLEK4ZD86k8uggADze3a4VPK8qH4kpgRX6OiuRFx
z+/Huhz0ai60S0AvyQqbpvoTMZnleKFlIWn0rR+vbTebztm5UQk4/Nm3gKnGyJ6lEv1aICiak+Yj
Mf1kMe8Z9YVbfGKgwjP9sULUqv5H0srDRz+slw1+7mvk/WYkJmzyFuTrh0oMYrYNCh+OBX/U7eAJ
ChnJsLXc9Kuf2uknO8h0B4fbw7HVrmyyQtWDh8bgHcQa7Z4oKzHxIt5zAxyBkts7tWb6lGuEVzvj
DqNZQvhl11YcXoglygOcbh61URgC3c8CBoc/eKAo9cVbDFtuhLzDTlYpqTadajH2i4NYIElO8KVr
kbkT5lkHuxTcQoiOJIIt8dBodUuURtiDJSVbHZnfqqTXezA8mCV3j696AkhN2i+ULuvqAdmTelHg
Cdon+WK1dKujiJjEKknCgAcEiLx7JwDbg9Yv64PPozrdJKARIcy2l7bagZwcmpFP+wIp0+h9bcuF
fal08nLw0BrcIW84ohormiSbC3VejhnTFuuewG7bo7WUdCpSozaz8XyK5IoR9aoNlESo4LZlD4DH
m44ziDGeelXguXCx73LU1LqEHFVlDqEBi12TZtMi0wkal+mAZxvfAizPJCpaSF2nzWthLDXZ3tLn
FUOZmG3zfwaDpoXx8uPAYCxlm/f/1KSIW9kG6RzVSZsz/ksL2Dq/EvKdfaegruTsVMO+SRQreDlr
JsnqqytTLLmmRdQyomv8Bdxurytm9HIcJcuS8VmRPBE3j2Um3F+A0SASWuOWOmNujzhKdlYzmShD
dGMIko/qi4gbX00y+14mGg1zGK2X+uAFwTr8K1SBChzSbU/VVCafE3qt0wYC9lgOnXNw+sSYnGUn
LRcWMDPqwMKtcQBd9NRVjk80NaDnx8NBzEBpR/Td0k9uVaaZQjUAO7mFYFBpUniEADy5OQOY1J0r
DkxsScY7Ke2/2Ib2eVWuMvWvS6fOEy1VBg145CwN/Tg/g2VvG8w5/QRESpRqjBXY6NfEghoR6sV4
aEJTEI10chW++vijqWJe9HNmrfNAZxaG3bw17BW2+M9xEgqwC8uJiYnQ/SOdmEOOkQAMvEBBpfAF
tMh77xdvpihRBrgAxVHm7R/ydXEqaJL2cq2Xk9IVPu3y8wZYetJ15J55rYDELNbnaDSBU5FoOjZK
mzU5V3umrAVV3Rt/XS+hHzCoaV8T8UnR/NBIdUU6ebIu8f1tyT472+OsGPMJyiYJ/1NPr/73n5/g
WjGhk6p4Ls9QsMSFB4d5ju4bBwl9ftjmfWM1UumafanrKeeyXodY1wOQSG4PQ4tsNM5siFaxzG9+
tG+fSZpTXfthTKY9pn6IcC1nNvFVFo+EaEO6tdvfIq4XtYsZIeOlgWJUvKjqvgAkrQBk23kIJpDc
pl66B84AtUPz8Buszo9cNLToED/L/6ePI6JW+W7OMZBmI5Tc2e0Z15dPM7fVo5l5u+uBbamLyXVt
XxXqqhWLhCEcoVWnIN4ZgCNCU/lUFqq0tyY0Vbm/sol8DtEBnPjDsSNG5WgBrMR2c39+of92UnfV
rj9zlfGq0Jl5gQBLxq495zaJCgHOTFGYcI346ffgpVE38cAbL5xFiyB1xx347fEm+GJ5bAWzOtA2
1KZ0qmiTFUfTXqsofmdlm1MloPmZ9UoB3gDTJNOlE6HzQ9TQUb+QcScnGu2bfA8JIVxfc4n5ZnI4
Z6LRq35jzGGofQPlXbekahjep0gmhRfjJXMrsyPb+WFhvOkrWXx7bQhmkm7ow5Z0uSSfMH9DI7Mn
OZAPGDFgKGLNS5rRtOYjd+9/OqSyLWiVgfyeg2vgRxtbvP4LxitQ6h18bUZ6fIkVYrGboJsF4njM
l0nVDX4/S+RxXnLjenc00DqdPxmJdVSnDpIo1uf/NyJcLQo05q07h1lNapV/o4ukpCF7/3V54sH+
omx3/b+T4uzJaiCg3pGFd8v2QddtFOyWqFzKBNQxnNFvsapj9i8pcUWhv+2ZSGjOhqaQd378azIc
TvDoqS4Unr8sG4Y3R1gDKfWLtUbk28+Is2JfWcXxxIL8JIsbuTYeQthWSg6sbYgdZ5b7cbfGuCoF
o5R7wnUpfaau94rnMf0vyWD9Zs9sv6tnOiBhVhIei5SlGCuAhMbABN8z3fjY+hTfD63PHrw2V5HG
ZsuflVWHVF0M+6om6dVeR2KquCZcdc379OJ66tmCK2uWe11U8MWz9E0XlLjXZEtAY6C7ydJzE+lq
4MR2wycOq2hT/PsVuGdWcq7nS++abc9ZF5co18bcorPxrjeVTW41PQdRLrV33pKvCanKuEqX4zUR
QllxoADaSKbvEOS1JMnSQoQ3pXICEYHxe3bmjrxASfs2oEp+TEPW0fx8DScF6XJeSYyxerP3973e
WBVdlcBILDRci2xNJuzYPOIiZUmZ4FAi0Qxyt1XySz20DDoP01u0Y0w+idS1BHu4yMhzAazUr2Jx
mKVF/0/2ey+G6V2gvhmpJ7G7YpAPu+EudQMIn1YQsmPNDthoUcMVnCtiDin8cCDNAl1YiZtASCk5
5nDcoB+ygwBSwdao/TFxAqW28ejHefmXiWVe4RxdR7kq1nVjnl62aVQJUIUEs7HrEA0sssPbcdaq
Jii6/XjN9FMMDYy55d5aH/ug44eXB8NKk6iM8+hyCDel7GhP0mPMjiFinx87ux32m3qHt88pir5m
X8pNzb7Dko43d8pdsbh9fMOf9XLDbrDfZtZSFla0Sx24j5br8an9U+U8Dkkc2XQI/l7MO0ri921C
8kGBZvkOQc/LcGVFVpUrirGUTV8hOdhT5s8snjqgqK8mVkZAYH/MVffpka4vwD46WLE+XvWbfirK
k89dStd89mYmwSxjuvlcpDNVPF9Lyw18ca0SpoYw5KjxXLosVVekNKaVDLShjfy1HJMuXvtYam+q
S/k2rc/qNGlEpbCUnvTUFj8ye/zGX5CP3ZZ/fnfLNCBvSGwS9wNweilceNdEHNY34h25TV/lNTf5
K8ST4J//PkIKCdPRZaoDHaCvcECt8Brl4ljTpqE43kJx8X/Y87cPDAZO+m5YyEkR+e/jO/wnhRLB
etrAmrNUrq55bBLNwMQTKSMo1YTY10GlsTW3LP2YBMuwgLrXUf/J1t30BCFOzEPks8WsWxzYKO+p
VJVmNlWzU3jAWU7w1SlY4hvqcucYSd3RuvlT+cc2Wqq6OqrOxZlblYMGOSuvHCu86/IHnoQX3yCI
97Ggiq2M+nfctQwZQ6y6MwJfqlQ7aWAPJrSAr4LEdSXZSonFJLN+RUy/U0RB71bItOkv8+eEtYIl
dEPUI6pQU4vyLchKw9O/8wpgO9wO3Su84xdcgqCSos4z7f1kfkhGbj5Odlz1eizKEXARaMPAC7q7
TXAJ85bR70aYJ4wDKeUgD5ks2U3S4uXiDGAk1wWX2i3ZHQju+R/yaDPBkFxgOmXMGLdGNT9TVVJo
vkhnnD80vPt3XGS1a7WK28eCWZfR84312i19uDqIvhLPW4fmfSihKxL3swe6+IPGh18fMqfGM9hd
wfUQn6naBUC6f0ONKk3Oiudmz8E6LXhs51hNlvMOqSYNGuA6RqhVt/u+Hi/Xr5xV1JDX6V02/jly
Fkm+DS+TVP1G+ZdeWFN/t2CgfuWB4bspML/JofY12wdnxGa5WW0i/bx9p5r0MNAgrMQ5yG9zysvJ
6yrIwLXWTG4IN5X2xLS0IersuNeClnqS4zZhS9R0DbDeS2scUqz2KixTnFDaOQDqNwU0yJ5HWGw8
arb47jMtu8fQR5NI3wa0E0s/+juYpGKEQXPmxqG07TIVopObZ3CZU54nMXWbEZcignxouElBLbPh
EPhziouUhiT52vPCNHVcqV5diNxFH3B9dZptkRmnZkwb0ChV1HlGO6Wv4vY4G1DpNkgsGOd5QxHb
r5lGle901EGvpaKHYKPMF34A3XfuwcIMgQWSXlGMVR+Hozv43HfvLgv4JiV7zNpzEEkGCc2H2vm+
JFryX0QhcwJJVGKNyGheHZtcIUNY49fX5NnOYWQCpvDAtbaBySTRqah9vgjs4BeIqsrnV1UIS7Vb
ZRhv21brl4vBS87XHbkHpqYL6VIHujzjrXKImrzzAwXqexlJjV/s4FsADSlSsPSm1NnJDpQeElvj
rGA700Ti4ycvbSPXyyaAcJ7fqvzYdfleddOB6HD9QDGBINVCzU1I5GLWMaVQl/4s2lfDg33fHnFz
q/rNfjWs0rF+a5l4e1TUVwaw5QKqy5ahQD7I9jp+8+SThjddfSmy3Qxzk90SF9wRTAXHQG6JKkxr
UZYeaG+aeUKhx+JFlWK7aGj/DFEncWOI9F6SuKv4LOB6Bm6Ll4KXicLj7H0ATO0/kWiZRboZgO53
9VXOCzobSsdWPdojOQqoe864gjnuioiFaVgkCYWMOGgvCfG3TIP/QoBjBIOU54AL9019K8ZSDt5i
qsCq8cN5FCaStyAkXXQOXMGTsZX3p+YxYO9GvYDApv0r3IDkXIdZid1fV5D7cG/bKjjEN+ttWL8k
lyRNzP1aswjTdQNeeq60eBGIJi1RKHs23Z31z5rNGSzW2axZYIpzZ25Q5kSyuF5GoCiHTKMlOUnt
LAn6ih2V/FaOeQFASzMcGInwUT66nDQ13SYghgAPjGO7R4FnR08g+7nf1EFBvfsr7PSl0DGFHAuP
FPZBxZXYUw5XZeWTB1LPkVoZfO+0p2mk93/fST80iI30d789nJjAUjiTk0YTcE1xvXY0GVj79pA9
kqpT8XVEtO4Yv59/vrLolF4zazSgLqXghkRorbj0bqLeQTOGPPCGB9zCxJIyXuZOo3Klp9xwUaAQ
vKeWBmyAamPEiFNQvFwRPxM/6oYDZUFRTC0/cEbxcC2ffUZ+L7wMoeIRJ1xE6tafe43h4lFYf1+S
Uu5eooGtyCUdEXhCXaMq92YRAOHTKfNmTI+/ES6t2JYF1hhkSiGbFVtbRXdBtoqiUJoQsaY4kak3
8J1zDr4axHgiUQBPqgKmpDcB92Pk6Qb2P5flsW8YVCy+D5ykm3TXg6WvXRtUuqFd6JsLkjQkDPCk
cD6a/7e7RKzkA44CgbpeniWBWtTXsiqjLRX+kXMqwUpJKWEzf7T7P0n0oOTfnKzRKZvPFPEQ2ZF7
+PTTgBEXdnwqM3y11qS7FJE6CDfiRwdjWxbUUKqDWSd21m9jMz8mlrjDvLjs8IaXewwiazHXBPor
nne5dcWn9orR+/x25AyFtR+Ge92HmmgXsgrhqhRqnS/OdwxzTiGtQjAaZxJMZHwmFEd3pxgTqdqJ
/aspPm4LU8ghWtPpY9DCfjlpleKuwNWXFRlXqgRrL5c+OGposzl08Qk3DmmcPW9yJHRTxdi2LUri
TiQuGk4iLxeRbptjrrDsDX3YUW86X9Dfi2RwEqo3BKVDL9Ng+yHM3zAztLxBFeZNYcSpv/gQEJ6x
3X2Ulwp7vagv8tRlA/lXyp8/kg2daOhWY4BW1EDDu6DJ/aGCFJpIJUJpqlRWQYInXZm7OISz568M
4j82oM+2a7WpDgSKN6uYcClcl8hXdwAC9704IVhvXPZt67mT91HkLyIV84xTi+uICjGNGljnvu97
kOvKJgGS4I6R2bFqqK+KTxN53WkNysnMlpVUfsMm8+7jK+6fPGdEUmjGnWlucqNOU7fAyipP2EPy
iF4wWFWxEUehQ1lH0zJvR4XJWBk8YImu8ZLq1xDx4O/AlgPbgUJxNLdRk0FxAc/Xv5OdbSQPEpmY
9CY0ABADb0CZ1ylv99n05FlJ6sJKshaUIYtBx5dGImosi0E2AsnSHfrJuC1eRcT1KRVITrz+Bcmm
RE+IzvPkyu6Kfe5jDV1BFq2gylg0RX0m7vEsgMHMNEgz8iG3MQk4JpHl4gzeqcUn5GyXdj40Bmws
D086j1aQun807Onl4orGEPRxTtew9/eaMsu1zy+v+gnBqqPmQPsUqWMEas8KTzwFE2ICgDtaYlfy
zOFM10vCDZ023I6iDBnZj51ZxbQRfeU/bfTFauI6dnzARV8NgR6m78sD01O4HwTP9e8er9GUF2Qs
Y/NqhtbLMo0BA/dKYaANZD3Zy5bRdRKnyxvoW6sjDLYesvESkuaHqRd7tv70gNxzj3JygRgQXpil
LsSrXpzLkVdGTBy+75jf7IyWBhnJic9AN6XygNQ22qDnnI+vBKFwpQipTiO5f/jx/nue0R3/o2j2
MnQ9afUW9FfMFHw6aDXIbk6mM7aCrPG1N7iAZhGJg3Q9UrSZ2q48nXAATlaUkKC805RAi+lVji+b
rNt8JRt5gmExjI8mROA/DaqcxV30sSSv5eoCapACIIa7k59eaT85UZ7d15BTxIUArwYdaPus8/5w
xSRWd9EvEx9Mj5rb7asZiZoos6cQiH9+iylZWveJYHt2OWl2upoKtibvOo9iHlCirXXsvNHfzF8y
Ud5wLhqa2Q5Jt/DetIS3HpT3EwYK4/nI5qzEcdENsr1nXLF/fzePq5FxAJvpHOFwJCYDsmwMn5Iw
Ng54TzntjsBMSkhxH3bicUZ3gFLfVlfIlsbZtsDj5Wibwg5IKA6wDrTzCgiYyWYGBArT8XxHEDAV
73BRLfi8LlYWeeiyHQVq10x715vv1DXhqHNgdlvsokI7tmmK+iw4Jm2CDCPuwf7y41jz4/gqyE3/
NjMRNYzrqC91Gcy7TvgxKV8CK/+QwkSkEbpzCe7gyOeT6AwYwYfpRqODtfs98d5B/xQUF9eKS5ZY
pzXvJA5IKtAUNC1zsmHTQVPU46ywrxrhk4pyeHl51UvjXlxvCkaFWnIrhwccCAuHEnY3Lqal4aLt
ra6jdAoHli3h43pzEWK4VFu/6Ot0/UFWu10+Tl66qnJr3xukzhRXGMB1LeD833vHfal+iTuGQL9z
3F6BX4jJHBVGzTeEgzezLVR+F+6TO00d+tbYJsFVcwKgC/4pwZXKYYXVN2Je7fGGGtWXD44fIb+u
3WyrRcs6yyk1jSMNJys3Fr8HuTtRVgIWYOqw+3ynC0HjiX9ifB2kSY9MXPlwQpoxgMBe2nUQfC+Q
PVGhguCYsGpF/4OtNlFGXH3UzzUmKafZua0ErdAOfkQ5pPqjecDCT8TS5Vn389ziV2gwlxrAf6CD
DxRz4WoVASC1ljKGEaxYRWYwY0APzWA5nadSZBwX24gcuA/PqwFk8+rltjBw8zykeA2j0aXoDQFv
ZsvM9g+mqfpOTSxgc0KR8VQJR+bgZnJoQ1345HRAzPfZ8B5vPQpXAfKrt+IyHiFvAvbqMUI19Gsh
eva+BAvN95yaDMh8fs1dU37DW1dd/gABwAStaf/2YBNuNkpTY8u1P4wjPIRnh54+HtjH7mNjTsrL
foeWXYmOb3lLGn4U9OHKdgf8TBoYZ6cbcYBhTbSavJRPL3UpMn/M1kOZFOO7GwOtqtbDmQ3npMLE
HQ4a/6jqbxnCJu2GayPlrbagPTq2ykYKaMTkvib3cbZKS/IMkMyRs3fy6pBzm2Cw9hS8pMI7vA7D
fiwS0YdE+bYEuFpd73j8KDt1TyWydoyMQ7xornTVi9vxc0MytxPN6rKHyfho+u7LOqsnMPJwbAQd
UszEmcD/av9Vn7sVEGyuL5KxHq3RHS2PoPCGNtNFaYhpgPmNixP/dQK5GYrHIOxOEDReh9p99ucW
2IsOPwoCXtctQlg/R1p0Ko+mxaabntF9+Kd7V5v4cfe4l51Bm6oXvKYxUsL8E9gf1/ysABtsZcy2
/pTfUGdcyGmP2eQnsVj9mrd8fl/6Lmm2r9FYrRWHbeo74Wydjr+ok0imoSLFlcZtOMGGGvd/zaSF
X4bbS+stIhOhkKk8LkXfZrMLjC/VtcnFpleD0BD9y/3eEdG6P+49XLduohq04DWiD6HIpr3RG1oV
2l4EAHXNB85acrah9TtJz7wZEnL8mYvA4Neb52ILFt0dvGbyVfAbRnJug9BprPqqzxyVU2fMd7vj
Pn4T7W5AzJF90z3OCKBDD+s7OxIjQM+lFJ6EqRS+GbRdJPkbPU6M6On7rNnIOfCaeGniRRv3/hHE
KNMpwmDXaaSlQdd770F6LtLZm2iJdXoX9cDTK2rg3KQj0uUMv3+4dtH2mB+PcDCjoBjyDb8OAgDD
72S7p3ThIXh5atq1wS7g5Yvzm6eSBgzLN980oY36iRiSEpfzdpUm+X7Stmbspi2juqej4iwQNWg1
TzVE8sxD47/kUeHaXcm7r2GfxZxAUrRuCHf/4Z5UPM/fomBwLZPyfevDzw2S5sCOOiVUW8rEOi0c
OvuOBwImdn4ljKcYRUGEK+//oevf9wSjEoVhKgLg65bWACXzrTsE/v9Akaq4qcnTJWT+iPLklgeV
fHbN8uBrv0Q0G5xQVpe7TXM+0n+TJJWzALs89QqWhWHeyjXscfto/AtYZgfsMnXdCWNrAXQVCK9K
692HAPA35GQm6+2jl7E83hm+L0hQEUKkkU5YWZfGIiFUxMEREbNI4PTJ4Wk11oW6itbDzwMaSDYZ
OtAGKBkjLN4j3oaaYq3CMTlc5scuHbA55V1mUsHv7eDvtuTHMylf/2CaKgdRtqoq5IwUGP5hk2uH
H3uRIO5d+jKlXiIIaqC/7iqKn4DlxdCeMbO6LxWoRwHHS+cJOdnHIvXwPGFlGtrn/aL8lzlPWDM6
PioHki0ubYq8u3+4Hfw43DEezuFoq8qrfvHRDOXJCAI1bUjOX+vMIwuW9NqMxYdJnHiYg++jsUJS
VPz2/65ql2mX0r1JMDATWwwIozRywqlnsb9CKkpiR0z8fbbThqXL0WPamv+8L4ludHrQTKWT8jaP
Pq0JMnydYHJr60/deBy4nC3CLdxN5vgGYBPftVMZZ2XPDRw5ItQXSGRCAnwzz/cqyvRVBoCxnTBI
hgfTGJp9YKzZjKBvKLon5O7/XX30/5/XhoF0I638osYVD0Kc5cOXpsR7l6CGYt3VdpJMAp+6Dwnc
nH1v6H0JOZoSLX5SpUMwaYuQ2OtSmpL/RmupNcgv+0iNwldxzN6pfk3EN+WMKnGkp2QM1VEL8KF6
oreWmBMjCKVrh3DIFiTQMbr4k5Qg+AMGFbDr+U3jTYfaOYkmjNknnZ0hKrK7xwEnvNe2XVx9WygP
bg3yLADnAPdAajp9KI0p5DQRvdf3WLyxBQguyKInTccjEc5GNUvG7NUDDZYnl+RqYkkMDUb32jlT
H+UV3MLoKbcviTMMMKXVRGgO9R+qU6Z2TiUPRwzrmvtYvhzjoakEWWk6Uk8HamyHIB68zePfOEcf
CWn7loeY1pkPEbcLiUIMX6/66eDz0Xf1EC+PDUUvMAdOFyEIGqLZEliqwgFxyNMPrUVD5QLgb4IW
2p9pcuEwPe2tIfQ/L0KlNYfeJjvEtFgSprylexeg30GSBHbbJTKD+tHoH8dcYKmuj0QVCNTOV1sx
+iY1AaGzPLvv3d1ic7rkTid2CRy1rsmsiWhw27bA+Cl9Yl/9rW6N02mHmVxQeGpPxbQRubyBudMr
VeFju4rzpcR+5E/6ZGyIpKLz8L98Mxm+pU1iuwuXMj1UcPaifSeE0CygBvxGHtI+MBtC7JtzpQhx
lnffFOgghUGYT4Kq++wrw43Yx/a7WzqGFpCB24MFCTn6UJPypF3ifhaNlExtA8/xmhkx3/FE1qXX
Re/wcYM3mUIBhX+o4mmOdOVZ2kxD7+XRwPxMit6RSFG73s8iF0fuwgm8UuYWRcLR6exJdkZac03A
0VJPdHFKbUdrowe/v2D1KLuWKqv5KeRZWHvICGahYo8SR0tyRq/0H6AuClhuVV3p8xR5khaVZK6C
ubHASNt86X4eLo+sivkEMK9sdoGadmNN5d/8oN6sIItBmjx+alTO976Vehti7LLLy0wZqdFFi1XK
7ww34jNdwA3+zZTvqO3eiE8cEu+TEAzqXvajnXpqSr4nwliU9eOnpbp89pu8GGvhmbl2YOVD1jUp
Bw/IcKsScs8fI+5iDV0QGqc8bRH1Qmat5rAbeMHfuaCbp17xTJ1U8UbjSKkYmRv4JfwYR8TC2ibf
a7w/xXmC0r7VoyzPu+cg0bSRLfFzX8kgE3HpuQNW0jl7TorupjggEveXRmgBCMcinHzGK5ZZ5B47
xmZ2khf65voESz3g9Zg6TQ0dw3spqCs7OLpF564oTUC/n5UGyjF1Cjxwwm8UhAIL2baZuVe1som/
FhgZdKWsztSO4g55R2CLuay1X/a3WYqrURa3dnEf2zfCXVj2YrvEEP3ec/ONStCXL2PfIsSmu+i9
kvMfyfJiZ5EUIVk4xJTsx4w1fzhkubhMyBzrwe87vgijC65WURWeHb5IPimr/ehRVBkR3/m/mJN2
kcsbHfbzTSlFm16BmLGYQ8hqHow9lO07W+9XLvWB8w7sf3yQZDeeftgAcX/roT1T+a2FECZP/xtl
Ik9BQvkeaOptCve2B2e7Renv6ng3CiIFSRQmwwAHJTHODiPPkncwCIA1urLlXXZaY6x+BdKZWqyN
0yoTlXRclFYMIPXXsNzvlxwF7u7hNO6WCEc7AgQNmO6xTwEOeK95dnLfYRP58bDfjDa3vhDjeyvJ
LcIPuuCP9erK9zeyKeERUZyyvj5MyFPMnv2G31YAPIS2i1kj5KVX0H6U0pjnRLv1fqBpcuk6/DJ3
THfcunHt/cjjwBaUr0fQy1uwCgWFEGgx9H7QYIQJp6QUbydTfVwqbwlXAC7c3NX8i8iRn3tqF6XR
EYk/yFfSbq+F1RqDKxAocXXyqjJng3VajDyqGrADp0NDh8mWGJ2wKLERC377yyZVCkgTp0v256gJ
DKeXtD9GwBBXs6TKBqVsfm292NRVYxkB8ZsjGMkzwUKS4ApvHqyEK4eOzUdfM7LTAoP2dlsIYNTh
WSApbS51wfOIvjIQoSGNgqE8UlGKxVAviWgAjwFnDG0h4O3GFMY7F4nWZpuYCgwRrUdYsVLejIcg
7J11by5AWn3UmFO1Afbh/7YOb/ocdctNSP20CXNwF6oySI9gCo6K1bTG9bBEkgIOTkOICvZVePXc
FU3eC5JGDZZG73K7m3bA4cbaUex6fejHn3D53VJpci+7wS1omeINvFdD7K2yRmPLarz4XNMFaut2
hdShJp9x97l2a0n/jgnhmojs2TzlIk85as/dqVw+g5orSua1A40FmsZVYBd/I3h/p1SwKLHMaH36
R0Xufjf7heEwvzaUo2IcjGGIIz7m2bXP8vWwZB7JQvb9hvItpdZEznWpo6O4Jb9n9x0JBtVXp8TH
TG+K3KlP/hPztFlcKdJscc+W3QbtchrCJY+NqsoLhFHAUqXPHlhNB5DkJFyn+WBjUMeA2eXIaY+R
NV2dXQIXWToDqU8sJpPQ3kJEVOS+7oIEIXe3nR5hvU/M17rHeGFLw9vxp/lFHQol/ogLEhquoK7A
ytWYQpdmuPbgX9Va2qMPez8lUqo/cHCfCXt8qaemFNhw2doMF5rLlvKgF7ieZupBjCriq8Hm4b6O
mmbwQnRoGec5IT5l/ZrrlEtsYVB2/MeAmYMqi24FKY9LBlDdpO7FzYKkzlSfVgawGvsOs8Lm2LAJ
mhICK271CXbZKOTOSmhL/OMYtat0WjcnuA5WWkCgv4UZiF9vmEublafJFVmsvNPYVVK1tUgtNTGv
ypPMNuYGb44zNajsiY0fvSOgwF2Evjupoub1mc3ShogxU94vZo+12DtHzZ9yZcywxGhdNCGoN/U1
J+ernWRx9F6F93SyNsYHhGc28KSVrdbeG6LD/PpWB1AG95HDhuMlrKHqkUrDh+Fj30FsJAIWMyWB
i1ULF234yfWzKdL5SN51zJ2Ic3MIrCsqZoLYXTNDYv8RenHBO2O6LeC/Uogc1nXoLOE8egMu9Qqx
xrhkGY7o7iLhEFuIpMq+dBObIiJVWXMPtUHYn5A69z1PjCm2n+tUwHMTyJfxWnDVXfVOXC3KWqB+
Yi1qFIOCZWeI/4TmeQS2IU2DQF/1sUUI2kNF756IHSP6WiNE9w/z66R0gkBr5/qFxjcF9K0EaoUr
tSh6DViFwD03UGIdbyNCMGXL6U9/oqTk3sAv678g80bG7o26mKiO+qKLzQFq/VeJmw/dj2Ch/J6B
XpRp4Cc2OT9++D38RhbRnA1NBPe0my7H+tlw3zUH6h9m0F/uF2CvymyauFKXnez1vuoGIfw5iby2
vqC+y4IBhHcy4D7na0u1irldrWqFyA6B8E7GjD2z7OkFyEqHltQr6cxmO9yxEBCzTHUkCpT850oZ
OxDx9JHXQnmcHDBxrh1BK1MGP8nL+QDunsa1WcbWfUfX1PAr9HoXiiTIWHcChd64dbDqGcNiEsgc
ihj2E6q/FL6g4pW4MlKEiZH0Gr8cLQtOGF9YXd2jKqm5Lba4hVuc0fD9dz8aUYB831lcnsd1PLWi
gjwpgdOFMv5ItIfxfulkM7xwGdmtSrU76NvQnCUT0R4Xe4qWFPd5HJ2j/kWplqKr5HDV+mh4tfkS
spszG/VwRn8t4/e6MFh5IbkSWyDWxnjG5RZkQrl3H/YXQ4sJ3RI1hauSKb5xK23PoMHFFKYp+iPi
i6yQUwNitz39A8e3fp4+RqqGPByMZJnp6bUs0srkQ2aRXG6b0Vju83r+RpZsSNgqs1db8/xtlDrr
Wn2WFTpb3Vk+X7S8+9A/6p2uFKGJEJ8+kGbKi/y32SfYNKIzCoM1mqrDzE6WrF9Id9pO2cs+IBTB
EbYDYKgHqq4isv1/aNe0oalbiNv8YlGch1ZYSFr9SXt0Rp++QMPcrV2u5iiD7gm5XeAFjrztFlrl
bmDrPjp0gB20L/Vt0go76lbFFU5y8bpAWeTdHQxMCwlA5Rc8kohV/PmGCbvKO3EwsPNAs9DWcjVj
WEU4O+b+3SssiXkX6dHviUrYRbwj6kJEGlVcfW1pjIrnHtPoO+N5QyTAl9/BMfispQ9O06JPiltu
D+xKorQSZ2hh7IO49twOXHFtYds4CQTGqQCpvCETKbQVtS2Op0gaW5PjwkT9/t5NyMQ1BCla/LJ2
zZHZs0VeoAM5w23Yfng4lfeytXHvtiaWFc7m5CBeowBTGTEoC0sqdhjrXqdFZoQJZg+VPj4q9GBM
wHtAUZMYk1WTtRG5f46gJlHF+eRaFUxBLyBwiL77ABTPR8/q7G0nZykMyYDnAfZvjU9R9Lba/Zq9
0XTu5u+Ne9VJftkVsqGg1VwZrg+K+gWM+aTRRfVXYX8SnbTm2oYcI9wxQzLz/1K7moENsxRWVdXo
CCm+h1AParWhlEECZnEjdMa8Qcf55RaEHeJ2DKFByROj0I9MVFvHfb4oEpGqFpAPr1iaKojFQ+DK
QCuCWkN4RBrDSX1F1Jh/9HD0zKQ0B7NjauIDHfbzDLew/azepEgSpv7MCPXMom0wOgsJnUb4SL9v
2Kk8mjrd41VTdBT70oJF3X/Cx+kux9+/Q8pSJK3Ca3QsfYARMym6gnTNfShSpVWmQwWKhJ+bZOgh
2BXLxN8LSse5OQBgcHEktSV9Wk5mYAgbYQ6Ds+S6lyGibN+AdS0kURFchxPHi0fSs17sgXuNoXLs
35QDCqI9ynSdsg1rbhIuUjBpHvejaKOhSCe/Wn0xgdruExYgDTgYUf9oHwXVTiTGOaoxQcicS3nt
PFTUvmCtz/B9uyAOd5Wyr31Uh89UiACs0mxkekTDQU1DAWyE3gkeVvTeVzmEx7MPXw+HLsTrihtE
CRoT4Vtzl5TyOPXpsG3F12NdPXBgrIcgiBAvlS3u0zjpQhGoMyxseYM+9lcpa7kJP6m3zrAo3Pnv
kn4+N4qTinxgwk81HOhRBQivogIRfTZvSdCRTIvSValPjdTBubQTq/gIYN/9eHIKGo12Ozmkpwib
zPvzH221QlRGuEms7nhMMLP8wTnKHmY3IECJa+FDShgL7YhY9GXlF669RPUZA4eumRpF/+ozejeG
OswqDoYBiQIPUG6sW3feWM7JVS/Xzi5zkokSNh9upW1oT8LRI53NzG08SFmdd5fBDr/CCBcaSh7a
/volfqT5XbAgqMXQP5B4RpiV0vQY6oney2VHM8OonxfAq1BaZzTb06pjOvyKXSQf+sAZwzsJQaTF
oFnrD3HCSP+0SvTs09sITIcKNaR06WTXlB8eGdiOWVvUWALbzAe1DRGLZB2ccahZiyq/yK3IUOfu
04BqZ7cXVuXG7NPKPWJZ2Rwz1QPESvxPxs7EpZy8l6qMpvOLqmDvZYlZesaR/UQX/pDORvChhO5v
jKtIWARtagMg/p3TJGnD6a3hfTPFSe2X9mvNr5hVDBR19yza0hAg6AxkGStOmtVDngaSXS+WlGSa
nR7OcLxlQxS4xVuOzB9HiaY2c+I2F4p4tOC2vkrXpSwgurMW2ztgZ7H+xsG741482kT5biSoA/w+
0KbqXwuIjCL3YiOrGeD/CljOenHlnNsCtil8CpBqdIvFmWm4UkmmiFWKl68wywrATZYf2QnKc7GJ
FQcZnR0g1RPq/5A+2HpXuSF+UPApqZs1Z++zOPkxh2WGzDdStjuGTa31lDRnHOCdUWqrkHuiYhE+
iWle6lfks+wbfL1T5T01MnuZbqppLhmUQfRDfEowPwmlulEnITxjQDysWNIKczUe9DVEQR4bgRHY
fRqL3VGfjLwVoRPTtXItuYRTK2AOCfQffJ/MFFMlp26IdGuVu/VIlwZW7M92C4qBw/lHp3MM7TsG
ydjP7oYAbXa7R4agl0YxVfMzTDkN+fpyDok/2zStsxviDdWNOhrSCDwMWbGu61m0Buw8XmxBUUSx
9zgoVwDa5aZUjJAG6F45hHKUpSGhXGwaWIaABLqqZkJqP9izZIRQg/hKh6OmOsMy+grUYQxSP0HX
Fxa8tbnL+c7BfLywGH5HIMGzMWva60pQ+IQwl/vf7etx+gaaHnM0QUpkuucAhUNS010OoNwD2WPs
yNbFSn7zivbNw4X8vbpyRomU1ld31gyZL1ruXiX/xVegrghFZ0eVAYkeCfei8KLIWxGgPrhJPEhJ
QQgs1Dv0ZN61IxluN+a5yD3Ra9DtS7r0fL29jEavzvrHl47lNuHw+iVTRekR2xfiaKDETVquO81j
F8lqpyWYaxWUxJUbyAoyu+JKHB92BFo0AH26C7ddsGEt1JdWHLPfVxzRjx/HaoSe8wZV8c+dUWqr
bT1QOz8HEaQ8HLu5pbC8j2HB3DN549kLgr/HXYA42jgT39uZ6g8073q08O0m9r4isr8z8t6A/3eo
WgbBJbDImy1xWOb7XQt4rHlq6lQa5qbUBUnQrEe8i+Jg4gLScUE+1ukc/BzykiEhQF1OF3RCZY6a
3NstPRoZo75uvuzQGbb17nsvBoVkX56eDReSl3lDUrZwS29obyg048NieRGtUK0gyR4LOaAwsDL2
q8qAqaqqHRpiHBd/0TuSdkva8n1ES3GAIeNHHbgH78xWqjHB2Prqkc+96+q284XVLwb7nQ06og9B
ukg0yK4D3LIU9QEpLZPYbHVG3sA7xuG5/lVftosfJpVfgiv3ba4wsM2pR+CQVF04N/GqKVZwjaR+
RlRen1w3Hwa1LCw0CJMd+FDmP4SjjDXYcEwitGOXd7nr2uxTFHBTQHsfvIXh0uW+CfitEzeNgvZo
8ks3rW4dm8mgoAkprgItLBDpKYTEoISW+r8vGlK7eHn9gdbMx3LYrJWRI9Qxa5a0cwUoXirkmb2g
hSiThBQF7vfpaqVFbK9aYP8OXL+cYvAVNKZBRE5+3x0Kcp7o6d3uvA5Uc+mcQQm1qxy1SxbjSGX5
0tgetNyIY3lXv1feDJsKIO1ExRaPp0x6FalBF0sPJXeO/qol1TNUmCBioKgJYDblm69xnEOB4+7n
Prulilt5Dga4XKDHtsjTzRLUhuJ2xrCYNPrU1rCTwIizCT1qmE0+GidtlPzy1UfxOscnO0mHLjrw
dXgO62blxik6C4Vr0ZMsGXJg7XD6Nii0Rpr7kl7LiB6GeHsFb/s7dJllrcdkq1FT2jtWRlTk6fkr
AHp05taBlyfGjYknItLk4Pr3J6RWIZ4o0VE5XkfQiJeZN2nlIOqiyaSjfhFMqcJRH967sIrevp5Q
dQmu1eU9y7tREqNOJAzTJAVT1mTL7BG7ZYmK5FhI/bln17fLExwBf9EPAwQxUbf8PC2nWNxkAtNy
lRwSYgS5jjjpmRDzVGnH39/dkkKWt12D7EAJPSlKpxrzQ00SazEakOcq2c8Kc1SaEw/1J7poQ3nt
lVXatrP1qK1pAmonRWsmAgr/SRXond3Mlmg2e2CzZfp97lP45S9+B3xYPT0mqaeCtFXou8u4hfAU
615j//amjxrbo8qK/nPFsfQ4yQVqHFvNY4QEnMP7WFQ8zc1OMLVgRcTa8eGD7nI7c8i17EQ9pvTM
01Ooq2iZOcJUVNsOTML8X73CwvxsTQZPi3B9rZNViZj/s0PZOrR3wuCypxu7Xg7TD38930v6xkQG
a7/4HXCqG1lSHdAK1x9/Ke0ywGB/nKZKeK6IeLDI7cMElUSMTp4AZ4tR3BD0SBypvFrSyNNFuxLF
AdMhyCMDgb7X2TOUvj2UpUncesT8EHvsCs83dc9HIpKLeAhu6CX6ZtbjNoxZv3nP+lu1DGzM7DLf
JXrekY83BOoAIxzUefdsHctxU6cwWOhudZ3D9IMH6UKBHkGHKoG/1ZCIEbi3NJ0pMVNLY39UFUG5
V4Koglr02yWsei5/GlCU7IeA2VXEGGJVzMDO+TQeq8cIFCTm8oGkDyODryitkuLxiqmrblWFePBa
HvHsiiFJLn2d0lYbhm31fvHsktaUtHNskrOfMCaog5cVNcCFyT5bVKLbM1I4S8U7hwVdIWbubcF7
PzWDutHvWQaD7UcHslcdK3hEASdG6XWh4/xe5GUyu7VFVKqDqttTkeqa9GDV8GSfneT413DsBQ4o
gYiB1FZ8+An/exaeOIKKI8i1y/I0SnoIHPTvcjWoZml2sfr4TT4CvsG72iGz/ki6Qyel1hMvhtXq
5ikSkhbxUKwg/UZJhhxO52QiO2+yi8ye2006aiTrJfO5+qIQgARcRr3OIyg2GsBnO7UJZ/3SxnP0
b4z6ejlZD282q5ORoA1m6V9zmo84/zLlzIcZ4ZvRqc4m7EPmHHci4RlH1XifXn10mPfEXsRqTfSO
vozW5zDMI8asDN+zQy0sBSbL/yW2eadWa3Z1gWJnjFaC0gBen3Fs6sJvQA6r9dG/NCHbVaIYPMhq
TfVyzlLAzYA98lrsZ81nkdYxi/X/m0hrV90g3RDlSGECMvsHXJkkpcjNhsNK3vznlB/YB0mgUcDQ
MfG1oqPJ47T8qiDi9icEpJvxYeNOX64NOGmpP8KUgOX9WMxQ4He9Pb1c27tKrhj3B7UrsM3dQqs7
TvfaZnIZjPIzAroWwxzU3H3iqaH/IwEsuQsv9CC+YGcUdWeFPbKxGSyHv1hZIWM4UkX1+3F6lQg5
ktkYahC7o7wC1zXkEDHX/H2BthUOFEQ6D+zZC4W4RI1JRDGRJCNxp3OfuTfc6IvdmIC7gbtf0ZOt
UeOaD6ZVDooYMyl3cqBuUwyISbSmTbOXaeLQ+rE/65vofraHZ6GakXqP1s8T7CMhKh6Q1/MaUDkB
YiGliuZ4H2ciTEvFb95oNrHl5xkTla/aec5m6Tba9DSxiBQ8hScgaH3qS+c/gB56Y04LbgDq0/qG
Ixf4HVXnuvk8yDQExwPjwZiCiUhkARDepDEpi8XPNJIBWKfrHjT8whYx9AlIn/Sw7aaO1COvi81H
s1LcDEJNJVL/GQo+rH/A/fN/6RLPvLOYMRLHHdkTIwa8U9fp91tKyRwr3LUD1AIwhGzY3lvesGYg
CJouAf8k5fP7Z2Z7QI/maG8J7VS5PdoLONJ10rc1wsDlps0EvDt3W61DMEOWdC4WoyhwLJLVJDWn
Kab3QQJnd0VTSX5NUtz+JiYEjL2wubd3nfpkXJFoxu2JJiWrpiTGmxMZm6G067hPHaZ97cl9ZBew
bddaGAC8vbFQflwJamDKojnB+/B+zEXDNUY7/1by070EmoLVO5RzSozk1Ol1XrqXQ/h8qOXC1Fzc
VpR/L1mbce2V+FU/mZkACrZJXQe+J1bDkd7hV46HI7z9sm3eLbhDqzW6SnoYZQEZkg/aOq1nVx2N
/FvcZL8xjEfG19Doz4H94cyqJmZrGWNlipe97khN3FgwDo0j32M5pnPIvK3d0nlcYgMFmGRu//Wh
ZplW1xEePs257U5djaLazpQRh832mdzwCsPGvJyPV83QDgAyvRg5dUO350lvZUIjPxE3hiq+DPuy
U4QB8oGs1TpLKexiaoUGvCnbaDJKeVtEltPJTyqcMq9stKh8wKJzhBArlGOM5sfGWpWBuH1wbgOi
MIXIB2pcJ1/Bm2V9EpeNKuQgLL6ZgdFLk/3OKBTBt1uPH/o81LEj+GtPGzHfnxYaY4gBYrDLhzrQ
usvFrk00zWAKPrZZcgbUcpekiy4js+CCpMrnR155aOZAn3jgPfDaT4W/9Uh0m1v8e8pzCMJeHl5X
ELxYQJaTM21k2nsPkDBbmiIVaJM7Dp7qN3EswjQjGW5brHRpKZR9jc4bEzi7i6/Oo914lkdeubi9
LSB0fUtcIeu3/7y7Qrncd59CcywkfoIURlV0/lcsKHmBxqKwP8iyCo6CCzBuu1To62FhpP74MCyN
eiu7uC1nfrEz4vAnx54lG/744g2aIcPuGmJR003GCG7/3iri358zvLO53wQAAezFETMy8gKfrYWS
P6SND+a/y1BMH3wvdHUSkWTSbfjDa19sk6qKvkNSmxX71EEb6zW37Jo5l5LGWi0PFq43ldmGxHm+
zIS5Hqn949vawFhRGiPWvdkpYcppAkSM/VlA64Jdo5q4ORZS4v5sVRKYLL6gijVS9+wmDCDZX/xE
LDXmqwgTzIYKzl/KrMhr0XQZ4KXmp1GBqmYOvXdtJJbFN1/fal6dNsI55UEJmh3uQWz8c6KiznyE
OOcFDOvmgR05/0pP8Jf5wVSAukVDRNwbFEMuIlVFNHW3uQcoykSogXi6tuW5ngIne2TwQA4bn5V7
XNk1UMOPb8ezdmPIxjSNGCmIMscEN7slD1twX3JLspB+tWRkXzZCmWB6vgtvZbULpmC3l5CU5JeC
ug+fTtmOyTj1KuPRv0adbIdC2KcquUwWnaqwGdFjRaexXDOFYCpSfeHOXbR5FyVBYq1uO0Ybrd0q
apNfZwW05rukh1eLzNZcTOl1aBm/J5nWHb4fsqtoZF4mBOuNr60lpYc+zDAw6392fxKdITitttw+
u6Nf38jIbXIdynMdPxH7fYFawMfwYEVrbgtHWik5efUcUvmP3rsMeTcFjLwAmk4rq/Y30SoBoCBG
6Ln8dcHwdNQpoQp3pCWNggjUqkYFQko1snybwu98sAM6K0CMStqTsIro2M/altppu4sXGM7G6KZV
8IA4HCZgUbHZUt4xHzdc9I4/XXyRHkLyKL1BpiwLx+Ni30uA4/uObKftugZno36KDszw/ABWnbq3
GlYjvt9rfuQ71+fJIWY9BXoWX8puSOU3jLIAzG9OfZc1+cL83yuYeiUQAzrZdCfRyK3sK5PQ1eBv
9LqvtgqB/7xI0kKPRJOq2ozyMI9Jtpb7d6JhMv/zejNRc+mhc0vK2hPp4MqmWEgkDA0IiQnigEsq
qRiqCfRBz2nVptNUQl/epDTWN4UkTWetYx45lwJYpUG9nC51xcNEC0WMDEDXIhySKXzpQJJiEb3V
uReXXIMI8cHqWV8yltPEQnatMALRl0ft7ukJS1XNLQfYaabfBl7vN3omaImdyKod2nf//8qmqCjN
l2WYyoHn46i0O5v8pDyboyY5ndn5O/Wj51DpknO+C+fhOwm8MFFrC//Zs+gkVXSIQeLwIdT6amwg
PppQbCnE5+mkdOOqN7Zk2djsYPj8iO+1H3JrgbaCMnZDIDlxxBbLQ1d9rBV6e3rzWRP2l7MVlVli
CoK9dBs0/X+5waVbpkVF1bAZykzxeZGiuxMuCBklEmPeyHmXzbPXcvURz6ghrcUwnZ0geewM2lkw
4LRFV/uua6iF5iJM56l3g11RLgVSyTvOch40sgA7roZusJ0SGqMu8npnqARiYjDqhRJKcCOAWxNO
1IhXQeVBoyi4I5jq72EvWdutUp4OQE7e5bBwsmBFgFLvWys+RfqlCMobGwqMbojSjBqY5IHKoYs8
goCVz2ZdMVsQvTJ41LuKH4XMBT94EFA+nZhD36fRNdBFO/EsXX8AJXyuK6VYHHAGI3mYWjV1QltK
ROOM0t6JRxSTyxVhP2Qh3b6Zu0D3tQxFB2MlT/4/gE26icstWcmuMOl6T9VnvzV+M5mMwMcWbcq9
8ZvWJTG7maoyK+eJMxMdsmI+g2ASyJvyiv8zo5leNW3P6zNxf9MieLLVcDbh8WM0o/DvM9gkdVaP
+yKNqh3DDSUf4hlPhstv0DlSCo976PC5mfMuUhwR2Q89I5usH1ukHLYBQ2TeDjyYcYxavQEiGsU4
nEUAEmM8dRERlkFWRwqJ9mBu/i2M5K7QLLSqtOueJztNZdHO5UshfI7infosYHlgX3fI6ksrrj8q
89gy25E5HDizlEa/tSFZ3EipLUrt2LMn0qkqPGfEmCgDIpZFEEQxE/jvpy518E5xOsKCvKzAIOB1
pt07bseP1/lIQYl/Ja/ZNp/NbRHDZTFaK/TIrPErFMuGpMTMwy8Ws8JIBaRUAWst1EPRXmq06qoG
GJNd5GYsrW6v/I9a2UYHfhzebDSmBYrWOKSy727Cu9xgDEcTiS4gAIe85hrdxrBO15+LYvHX66G5
sR1YDGTps/zSfc3VzAybWHZptUx/9x9zx3hBSylC/sLMybu4qpKcoAYyC4fINjv3Ef5jCiQUD/cv
mGPYZoY9ePzIRCreOLrr7zGtShr5TSQVYi5025fxBtNFIoHZBGjXYsEtLqsdnElRb/rVpAoptyaK
tS5Nx+3RuW9/J7WMSaAQ5cTRc/T/DbzTh4Z2T3L1iGSLaBmRKeJA/uKR/+UpJNpaFyojzFM1yG06
TMsEZwME7xuTWq4zqm3Yl9w7jSBI9A517PjlkTfA9jlm/hzmMhp3mDBdEgTvulao6BtL5fuClJzs
KUbpGq57FOtKfQFeqcG5w+TPFmc1P6Qo4Umc9KzSzgDPetI1imewz84b0UolJBTEA6Vds5TBPQUE
a3RoUUjN5Li2EzV49CQ2qUiFKy16as2tXv2VSRbEl9R6jXy0XB/stj/3bajXAgv6Ta39qGa3wXk4
8lZtPFX1XdyViKFiR1wPokF0aMM1mdnnnaL2Y7Sp8qbtvvAq7XYSfWj6TTbK97DVg47pHCivE24D
M2aF1X7ZzgRkqBiUEkT2rpGwMmuNst1mz+kc2/J03DSeF/3I4R9pMtJ/FQn4i6ywK8k678+dqkD9
LteO2hz7T6bubZXLpn35lDLN2ScNM+y2nHDSfWFJduhHhocd/VbJvApaXe1qI/PirUjPkka8qgPV
70KsEy2RunUICsuZv5R+nSzhomhourgZfj0aNGtv3W2COG6xt6pALlfyJ9U80/Xed/2vjVHG2cbC
KZ+ivDwICEgcCeXzT/yV7a//YtDsyHJWT9vzrW5KMKok5da/4Hbu0To6zxwwwraRmXMhh4sFQSCV
wbFM7uyyDd+l0EBDkt4yhiqfPZg4sOZ1xUNfepXQ/f/cz/nDwppFuAHC+cjSjKadkR6aOp7G1Drg
SAUfn6mw8bmiL3FwXhm6RN+qVoH4z1xkNe1PrIuOWnOZNyqgl4vYOXc6QPM+5pAEmHETMROCX0B/
+ebUJM7RfAWFIaEjMSRORDbIikpAcW8Mdexi5+r+kGWP7yiLx0CWu44T8z3dyV+dVH73CQ4s2SPg
8SVaXs53LuZ/Zn0nGTPYupciNv+F5MPcgj2oscP5X14kKd2a2K7F+orBwvgY0/5FTNajXSHHxW7L
CKe7Vhi8ZzQzcGmMk+WP6PLLfy/LsKAfrIvGs32BrAVB4cIJofqS+oqOSQpeglvBNlLz6pCKc3fg
zPtxA/STzsbOVaIcWHsVwzeOLqwgaoOTz4+cgc4AifIXTxp2Yvq4him3GxvZfgX0xonfjKVXhmEW
Rd+bB03x1LLplIzWHSNrv/GG8KzfoniL/AXpc1vq3vE0NRQbK79iCskogtBsrq0RlTF0LrQXaTpF
Q5Uxfh0v2KU2kaXZqBcUMTIVfBWB9GwD8ivPLAB48z4cNbjjinCnhhkH3nDDR9ulK7ypsCnYNzlA
kcXAGF6OT/a+q6HSY2M9loLZocbPjF/luYDLrjllnIauNK4+i+zHyrEzlMxDzFxIyIj//lFifFbZ
3CUhjQ9ySPAjNs+YJ4KqDo3lFBMW6KUWhLlxCFMmVIFVe0lHL3uQoJNpYlSzqyklj93LmQ1fnRSn
BHfe/WujZzukQmMtTeOIxdGu7RG2JAoi/A+RudpgxEKdf1O5lwcy1oaWtEEBGWd9cj5ViXn6EBTB
hmP4CbswgQO4sHAmDPFbGdQRARrE3EpMoXuvDSL/Cnfg43zMRSsFPFYgmTdlcBMfnuutyDQL6ZES
bpFkRuF7H8nqmLbUu8yGRMvBAYGk3hqZg+McSYbEnxrorvHBJxWwUJOQKvhbm4F8p88B1sfxaUbH
a73clSuPG8CBqQh9i8o+ZEoKyLy7GCFYFT2RYm8kHjQBCAMrtP4gC/5xhuO1TtWw8JqKUBAOJ+P3
DoxDwnxWItWTim2c2BMKtxOyhbUnKodsTzbkirEzMfd19WqVnUVqTzWMbZL8IwMFESzw5lu/3sD+
+6w/6SBpx5WMwMXza+CesGbiFeAioK+gsKUN8hIpmacgP8zqDfIIAw4kShY35iOw/2F52De287ia
MA3a/OZVNKr9ACsiRFffHyDqh78yKzpwYmdf+1h/VSr7Ys0S/uYiU8nbS29NumFs10bRQTbvqR/1
GFcwOLe1z3mVNAJvglJxo2tVW8dXQG+ruoBZMmNDEtOHwPP1Wmntj8KqYxjmoXdOqTY74B+a64F2
MQeNXgJWpybB6zLI686Fo5trzzesiVjREie+FTpZxT1TOtDcgorfh9wroUvOMqiJwZRUmcm+JRaf
qbRDRICLBhpEBmfSxndiAOcSCuWB5YLGlEBROEuGMQyzf4US0PLdjfQ05IyhnyPWA0q2X+B8+RUE
ADiXzN3u+awT3P7e7yy+Wm0s3KTL/CWvF6vcHcOygOxNRl/NO4TKpWccE1/F6AUbpt47Ag2toWvv
gsMbDQuNISTSYH1MrGcRTw02uJn1gP1ec1A9U9CZwW81u8MJbElY97pkRJZtqyuGwbGeWFjNein9
u7a1L0v+HvgtCukHRTZvaER3pBogXAzSlyXaGxm0myLH79twwv6M89+EsN1Xi2BbsGgm/BWT2ZSf
RDXnCm+eT6ZFszmyyQkwHf2UMriDOf1CvCTs/5g+osxg6OpoEcYbc5wEqfdcCjvR38mfs62YWjdl
bUe2FMCn39IQE6xTaVEJZlIggMTXSLnJN1tjBO5Cw7zRaPEwibh3upcWPZoRuTixS2fz8v5jgGin
FD8uVbU0QUd9mt7GhqL5QQTiOWV6LwvllrEcxLsFxIfr17J/N9sM8w+O27+yySDusOW6SLdFKD4O
5X60WUa9w9jaDrfFmcavWGclVp1hyUiWysSuq4jj/yNvbwDrYhH90hhyjagX6kNe/VnZeZltDmRk
3f4qIAl16UhQpmNuZvsx1xeSMhoOGu6HLYOcvs3cyi+qVE+M9gFpHzc3QRvJO/FhF8gt1DJ3DGbC
bAKu9j2jUJGRrJvJoSuA+3WVKX2TyBsSfdJj+i9fWkc6Uo+un9UF+zeU3r0+3kCSTB6Nc1MhwozB
3aE2Qpl57+oIgqPHzW13LapZBzn5/N01zGYBvZUBLx5Hzq98kIhvqaEF1VPWhgmNOiD4E1mba+VQ
UwrTCgT/CT17ViJBWzb5LM5srcEHJWs+A1B6UaGngfY5CoGC7S7Dxyw4PeSgsV+wsaoHV7i4rTGX
OvBZowuq+tWmDMj3tK+rF0gf1QMLk5fEUfL6ks4IbuA/DfRp/eLJs9zDJoJ7/I2LHra45MHCotaC
qMLX9qkETbCHC+REUm7AZaR2HS2frXIHCZzFp3JpFxuQ+9VOArHUWcNl18k6Z0dhXFE9JRfbQLQH
Gy7xf3w5/jdKA3h1ZahjDrOZ0UTqXkeNDXbirRqC8+KGxRdJX4ao+muoUpC/ULhYl7UHGamzSQel
91z+uW6edLAkPE2CkRBpamnnQZhSS+4Qy4DK1/lKt1rq9hyzc6kIgVMdu9+TgIGMvX5udxIBKF+r
m6GvBsWdC41wk51l3QRkTwXlEbkX8L2gu4/EolXm/qKjMYmfAe+k4A3nEwdc3zqHoVX3E6PqOmiE
1sA++NOQSD1PUOwQmgxboFMmVwk1yZ9hqlxh4l8iHBlPQ+hnsohnfCom0bSQYb3CREB+fwRy5B8h
SGpqzK/6qzg+zMKE6HYXp2SlhjB8DJ8/pzP3UrYQ/2Onj9AQXO//3KSAzqhWl4MfnJr1pEFiHJIB
iw4LA2X/2gRX1NGMi6doH5kOPTnFbEJ7RQT7P5KUUZ7wnNKIhXLl+gR4f/CJm2U4ZeAtEjJc46Nb
w6Ix7B+pxAhekqGYXcdu/aP6SoK34+77t0nYWnMDdZ9aOVi//MENweHsSdRpBd//uwT61cmO2po+
BqiL8nzAF1rGC59Hal9+TppgW2ZOriEruQuM6sjiBscbPe/IxEA7WscTrxnGkVs0Kjs+5I+Jf333
crdLB2g8ZuUzvQDuqRw/qwk04hBxatexVPZ9yIHFdmNLhh1xHvmUgvqJ9feqhbaEfjs6cowaRi41
Mf16s7zA/u3HAwgaPjvDZCQ19FdePSuo8hcGIVvWSGZYJjUMH3Ss1j2Se4Q5an1cwt39gCIxDmim
if16cjozo+Chyvbq8hsa4KLId7GA7cATph/aVvRXavd7BgpK1Y2qpVzHYDtpUfordfEjJ14qQmeu
n6ZCwIvGKJuBZ+D1btpNzwgpEOjKGdxzqLRJnX48x9JhV0Rs3vnJ+ZHdLEnsx2x4lLPZbbXS5Jti
YwMq8VE793xpsCcFRo5yNlCd0qWWbaliIMHODcdDZ0oyiQN0CSUpJd9XTohC2UH54fEF9VY3ztM3
6etsdmmwAdpPPfvJhPx0ejdCGx/S2IkEJ7L1OC4DRwwRAlIYn8NbVU35l9Ep0VPxZn1Lp0eQbccs
LC+XChJ/V83vt0ymSZIzWqVFR+bgZK+9GLj2m+quNyor7aSfc81usnpgcT3yidouGj5A5cnkj4Em
FyKH3l2acj6YchHt8U5j1gxJ0rUkaFLOvZ0vJ73Q8Wv6vlxVYZeLhqaVYLGKblPNGdEFUr81CfBm
VOlsUzhZyKx1BGRxqth3LC7RsJtnmh+vLQCtL2I6EnPzK+L62DCCIKEh2fsdLgmjzUz3zdKcCGoC
+jOx6t+6ZaiygIHhamqFLQf9h8u+Au8NkxNrKXUo9xBlngSt4V5eNkwQ4jntNYQxpxEIcRQ4sx+6
vTp4t52ixAW82u9BqKWQPHEREXI6Af2F3sCUeE22xH/FWeD+RNpyaYf1biEwayuPEgSaHHSwyhvP
8A+6HChvNRVtSlBAmttW/jJr3xssRyUy1TfvB4St6o9H5Lw6mYQuPEoAFdXXTFVYRKMfbCD4bHBI
nmfcoY32BwoOTcmrhdfehdqcZ0XLPsODshKP2dBI2k+PMo4+2/waXG2eGPoNP6V57kxm1DRC1k9P
BOMxOdC4OuR4n9+i5Zy44N1Xtt7apfLToFho4NWeNOrPQbiqTpkSWvf5cwYY0Rc8TTICXRfTsY5b
w8pHVpLtgoxsc6+Fc+EMGIBU81njq3+o91MaBBePoL+H5I94QQ1nkWSnKFuMFlJGsjMY2vzQlbQd
kS/7jvtQsn0GLMoP5BC/di0Dvxmny/zI4eLuiCptQROBJgJEvW6ldx7sEUJluLJ2m0/iOTPa58Qn
XvAClKkDQtCZ8UPVH3nJzwSONnB4OBebj4kxO2gXKxQrZpk6I2rOZPNRxi3kfzHCgzeWda97r1X2
BKFgxF2syGk4+iBgoming75NIeNzry1wcJ727POHJMm9CZsVtE64aplbcKKml1zLDj9LnFl1mi1H
MbMcl2Y3clM+h+kFO4oI/JwrMQqpt8xHnds1Cu1muH6PqyDU6rYkBcMXnP6Jy/Qwl/6XT7f+vpUq
RNk22o762H0q8j5jkcm5H5/KpZATY7kIKWMiNqA5mBqBWhJAKVOXviZMb4JGSNK47zrhjwtErwpE
FxJr9mWK/JN9GHWtOMQYideRt5PEMYHd6kChtbaD/SM+AS3CPmsLoPXAT+wUX1l0DvLHfzX9N9DH
KX5HjkX9jJJhIKPCub1ZjAbIE5wnUMaIzx2AHg5ohbzPYjAzNyW7/usqO0DzmyVi8VktQClyRM4V
/YM7JLL0yT0JZOWP1xmK5yVlpfS0g1rqeXSIwdloN90/v9URTY0zpW9NtUs/tygIZLaN9DIWvVF/
PBr0LIKAJaH74fl8y3XixknCJ3DGzw4iV0pcQg/J/kz4LZ6W2HcED/7t9yPUtAnJWMvamMBMwGF6
haPUfVlt0W0Y8Ov72zR3lbBQ8kghXt4zTkfFj7JxUMkwQChmukltM6Oxs72XDqxa9b1QYkQpGZD0
I0o9xnYlKDt4hyDXRtNvdbAJgcXQ3SE2mZhag9AdxwkWgrHS0RNmvsOsfR04Cc8mCrQY1ri8/1ld
ApFCHux73P6wp1YosqOt0Rn4iarxoKbr1L+ab7eUmpC+Gql5vj7+uirm+ia0w2qKK945aRnnGCLd
JTCNGXzKq4hoo53xMOFYHlaGIJuPKzogRewGX2g5M8Q5PL3Z8BsxcqdblgPTAotF/mlwkIdRtdBY
KSpJ4xjjxYtkkM7hkkg2uaBfUoeCWtiFDZgPuXkH3OyRfmuNDQlhlYinm54gK4n/CtkAn1x3/+A/
qd2boyaPJz8nijAprRyUPy9LyXYR5YvXNB3ltOALohjEQFmIthyp7JWMGD9yQEjoCnQYKQmW7yv7
MqjudkkxVXJHaasEwTpJRQGoCdKANVhXb2rO7zxh8gqEp9SAi5rGEfGZ69bDCPFQEjZGonZPqFzK
Cq1L8ivrxgw+XkI2e5l9oxeRrpU/wSV1YylvA1mwSie229nl5nlk9PPVt8U/5XbcDBw2w8CK6tbM
4e0l0+SCKf5jhAGRvwCwVOgavZF3VKFZBXnv7M0m1J94+enKjv3syV2dRPIRcN0i91B1N0mYPBxI
l4RDdCSTOYnIKZjVxPDCDENCpx1Yh7hNow87Ittw1Bt5ZMWZMW/nGT5kEopkfbEX0RQHs6kqWXXR
hQo5dsF12LrpYRpt3fM4953Hl4WLDRizBTZBSMy4IzaB1OLMP7P6MxAuBMtk/WzM2R+pTNHoAKSm
/dilP30OONjBv0DNHDdN/b2lSvWlU+xYWhDnqrDbLaeDH4dSb9s1NCMjdEqdmjTIaxlk0UrKw+XW
lx9I5xWjpV3ERm180t+4OxRb7AIVfVWCFVQMmoY9b16LkwHbDO2xO0X6R5s1ms3AOHoQqHA7E10X
GpG1WJdk56M9fQWyoO+PotGpOGV6z4jlyUJBStB9wF61OE5OP6DuEDgw0WNyTDQ9odd3TdqNXOUp
RLfDbE0QvOBDEGFRwStDIkSJpKM1YVyzH6tmlpBJCn5mLU1M7EpKhFOneX3TELFojGzOZp/YrmAa
WzuSrwSZEz4pJ5hVA6K4qtVGX5YeCWx3ETMhXYd4yOpTlM/yllV3p9uMq+fCijlpzxcNf79a6hZi
AmKK04jyhBvvJ60buXHxgq2nHONOa1wrAXl25SZm3sE6HPKymnuCr3ukIpxRBqkQEZhqRfDLAcgd
8MI4df45hvpAX/cycCqdt9CV8lZ4t6BhI3FV9yS2dB6fTEqGn0LJy9syfYnDdxv/oIeP4c0nxJ38
LuMrgmpIurXGIN/tF8Kw8V5X4p61wklDQBX4tEh7LxBD1L1KfUCPg/d379mWxGHv3Hh80+kGiNLw
LjY2XKoqupwyDWj4ROYQ5HLe5fc7A5pxDGbkP8fwUhw9cuXJcTmNIHPFNU5yAVTaOhXV9X5alFIe
IyI2DjIaZwPwgrfWbY2xrnfPAR5p4kW0gBKb3MRaFiOxou523D2FCXZ2xFrflET0/ipAE9hdlV7C
YRceiNOf5DzRDiAyfcLgLynlLighxBKqwiWuM3e3bBoZNeDiLg1rNq1j8cJiLP46KnkMaNCaeKdL
s/GJdu6kTfFcAMFfa8iUKe9iMi7w7MKs4VxGz8LrCUExlc5gqQzGy9m3XOK5qnnIMifPUX+qLVQY
XtFoAByXjfpOk6v0H67A+Y4wsece500Inctnz7wHTaPxk6xNr9nemEsLRSNDZCnMvGz3rWFtcXCO
5gtSv9zdoHYWrUVGu3WbJkNsLSYrmHh77sQ/lqYVW7CMUpzI/llZtHcM8jJEAT9raAIy0yxeZf1F
9Vt/rWwNM2hfSW+91IlQ3Bc7OjaVY+ee8GaajakvFOZNdLAjihgL/zgyQcFuMFteT7Ege23IkMs7
ftZrXK7BO/MqGoP8d1eGs4gdZ++euTia98WbYafSF3PC95Z9WaH7MdWs3+Jbd4PQQtX3xR5W9M44
5Nryg8NFAb+N5YFApXnZqWektzSzRVxQJ/ltxYmLE3WE38k9BMxY7J4gKIiyTvWEw/+HK7OncuqB
afbmkyR9lAKFEDuyEWa6NGJDEJ9JYAWQ41wsvfYQVk8pL76pLqAPKBoKtlpx44/SWXdmWGtd4Ka4
Wqj05xFrFUyQCpWOceRaf1PmC5TGn7RFmMIRrslJtAnm+TNHCs1AQvhQNH4KEsfbkHKscBn8OeTW
RvabqEtpb6BnwV0WcnYSEiwbeRj06/o/npl39fd0HmjZY+UWPYhZOH24ybzMhTawCLBMTYgGO9+i
4oBBSHy3O8UROKzSP4u+u8hWyq5JC8WZ1s2W1gv6MOSpvy9WfvxRaxlVtGT5HIApeoHOt3T/F5L0
oJZIVb+HH4V0ck5qfYnvVdg73KfwrQk6qYljPQZ8VHZxifKSXUa0S03l/fzbXdEjQK9FM7Vhmy8f
3gc6FajqMcGvl4noWskycW2fFsP2AiMr4RTPKZ1ER0w3TpYVxTmKrJ3TjsOxIysGEkPLWfE9Yb7d
nGWwcm+y400H92RHV5KV6MI9swj8Ry0d+6SH4obVbErhl7NPEayDX8IWIrMcI5Lyefcy95Fqxd/g
hsOK0qwqY6hRP1uLQ5vi61LSmQzMMuWnv3EHiAszqyd6Na6dBxDBYd3XV8sONIASOUyKJvOpe7VA
Foj9DjCo1MAwC6GbBZf3rAM2Vy+I0/IcTlJlKcjBulAo3Zj5bIT0ZIxL/J/ajWuKqwbjqN/KYQxV
mfpgc2GpI8VIIByIndUJbZ97WIk1hoaPnlPHDYuhzQUTTvIRyaOn0KKoluVR/nq3BDQaYhRS/knQ
mhg871SZJkm2M4lsZN6qXQpIrKlhvuhjCVjqBirdC7XgdEGN9z2/1C2woTXrDj1wy6R2Xgqg1kLz
AwtKpfoazL7rOG7aNdkYWvNT6v3lI9YJ8r8NC+fp+r3GAEvMiDrO/b32JlyXfMyMzXZ7X3TlDUyi
sZU9yYOE92fhebvymImNGMR71YqRHKLAcVXxwn5wEkBpLRwj5EFRG/w7qT+8yDVYtU1pWFLZPKgn
d3hMMke++67/mV2GYeUTconFnQv9MZsxtsXNdVhidLYOplJQGgLA2TwhZ2AitBztuNqFw3k4Kbsp
KiItHwInm7HpXDotXk2onnuC5uSmAcHZAH2WR72YaSR3Ozh5hOYk7q9cryKT66dv5A1IKDC9oPWb
LdG1rYwcxjsNLUEynU2H09Txsmd558jG3G6sOWCMByH7Q3PwrPIcp+IC/MVYVfYef2L4NCYHBoNj
Dpu1TIKOcATJ6adQj94ylmeYDsVg49ygIWUz1Afq2qmJ2KJFroq6PNsdxbADjiEpnpXkxtGzvquO
6Pz3KYO4lkjBH/k30QuPdEqf0gbxDwsWaBKGoLQyL0sw2YadGbJM1BmuqkvB8Q9BMoOYT8ZGgHOP
e1HZUEznsNrOqwW53dIeo5199hVs7iPmqinL7ZpS4vHHk20xTQwvkB26RoJGNsrtItkr+uIDCxNy
qZq5NbsGuOPjS2Llwi1zE1cFQgunGQWJXxtAHNMDO8LfYqjkpkNLiDK7QmXOLQsS5OPHPCXekMR0
6f6jgJEP5ZHjTkWTn/ZE0tvz/UQQQLWUdunn0z7BA/0r0/UgmI8c8siD+NzP0MtOwKyOXx6En0nL
VSJOSq5wPF/JZG4Nc/iPzcvjg+HkSW1GhOoX91SHsIYDdpfeESxm7W8k6j95tDp0VKJRAR5zRFo3
k3l4Ymt8i3Xf6L+qGm6N2TJ/I0dIwicy8o/Rha4MeIw0iwPsaP6QmzcTLLUsKd+UJXgF/IQzFP3g
wva42FQraNAww3numm5zBU2adtENcTS5Hgq7NIsWQHOjoy0XKxRE/uen9akc3a92LVOR7DfA6SwZ
jirfeIdWzHVESM1DvddOaMx53bVg0+ixt6I3gJyoteEC4h5tulZF+Wx8zDpgrg8LOb5kbS8blhzT
0RVxp9NiM3ru8lUVoYqCGlEq0Nkktte76ojd1Cvsyvd5M1NBr4MaB3e32uCKFYZH943iJD/4hzcD
6F8ZIdNULZcsvn3Yua7FkpChHHXG8NL0wOWo8VD9l9ver5nfxP+0DHjqVq8cbLc8tqqqnDpLat4Z
pr+MSHnvJrup6api+Lg600KsFy67jOxcyLfquxZZix8edQUc/UrMyMnTmjz+OEWW2QsQx0CS8vF9
ZuL9HArscSroT0URAf0+J8InkAa0a7ps7KPvWQnkCrlJKHS2+Hs1Y9tjwukxvSWOYCuxn/MdVa+4
7ZeJr0y3jz58ZGMIXq4eCm9ze9AKs8nTJjxqURks1Vi3QRKh3ZXaQXQjOfSYhI2N8uit185gHAe8
JzWwOMQ1XW8JyZ+nYxe4Kxc0MNu+UDh+TR3HPBTQdkVbF/OIRpbUOdTZWMCK1nwtJbPpDofGwFoj
p8ygf1is/YQhlcKWn1H07QyeJ2S2QC5H3x8+BiuZ3Nv8q5EfmpjoS4zXEpB/eZ4b5isVj6gvT4gw
dg7stQPXW2HMiuQ5VBHWaP3frqpBMYHVXR63lCvKjloqUNbaHO1LiZEGt9Msy45jXYb8JhLMBpK6
Xdi2ZhTYu6JvQKObhUXjsrFwOpZ8QigwvbJxmvqaX2mrNH09v0crqetXqHoTO3SXTwPx/r7awp7y
9He2b9P7bFeg3bHsStkLrx6strFqpf2CZHW8mJppsPeVX9bBb5TXB5f3eRZz2FC6hackyjZy5izv
ZVYtP0dDltMzvkPVM21jjtDHfuW24AsMUe9y1AebBYTckC7+W8tzOm7Gtl+jphdSgKDfx4Bo0L5z
MEB15V+oLvn8tdiwh0B80eFX7YGsg7t1yWvELOoyWYgqiAuhtSz/x1KKh2TBt+GirtYSxBmdgVKF
vmUHS9gcrZfarmYL38DrcQR7t3wCBdSYfwKuHq/cCPaPl7CURaLB0HPFlI+ukVOMJWSiHgP9uGcr
lSfzC+8m+WBv1YcjA1laatDwG+uBK/24hLI8Q7RJJXO9vA7x+8b7dpUeapEfEOjZe4oZztiyM/Rv
LURk3efw0fj3WRMJDZaKCWuWd37/lBwd3IHQ2rmff9+FDNf67VR3iVn+yfr3bSchp2v61HLPBoGh
h8E4pKxImxyHsCxNlgpMSF6o1/jZCrkrVb9vSrUWnV2uhzwpp03uY+q7Mpx4U6vvPuuSAbrzPWtV
kRWQYkdWvBlQcVLWYvmBoPsOJ+EAFMg5vaHCKLwUu0doPY6b7X2XEQcDyttSbcI+oVmLy7GR6DC3
k9qOh4+LCeWwxEkneXGm0H4wsh1DNhVpx8uovAjze6nCR4zOJfyvNYsKEYpIWFC6OUm5SOz5ARRQ
HcLZog7iQYQ56+FH8aRNTYm2AVzuydwMq5vGUSE2SQtMRM1fhKpat3qseQBPhVQg6jsZNImsWwx/
Lrt4Iqcrfui9aGgEh5LrWC5Ui3x5KGOYsx7CTpaJoFBM9l3AlKwIcQYmk1lptAKP7aIZtA9NrAM7
dnyKRpiwzcEo84j3pg9YGtt8YfPs6R1UKguhUJ/DWsM/xkiqWKliQHfm/7uExCLrPXGoPfCWJkWP
MEjPWKSpbQv62ur8FtIl5bk+Sj7Lb2LRdAgoSF19n5cPFBOU7mkj0rzS5kdzGf1C1DA4+IclzU1W
qM5JRX+ZgLz5/7Gzjh/UzYREs+lAVjJwSGWzftBLnFdmnq1b2ce7ds50ES9Hk4kdo3M51kpnyYIA
2Np+gfqzRHATkoitGI0m+YWuevdvLHvcmbildkNoBng/orRars88KBxdGXWI3osWw9p5OE1qhC9M
HnbF2/5PvLHQjZtHRtX26RutcWy/BeeOf5hpid9lRlkwt1eRgtVt/k+SdoTeNH3nDtnTYts9FLw6
Pe/goXUyYp1ieDMSli8yDertDNPp72qUXvedHGSp2wLUvI3dM49M/q7OPHvdWaH3e2ZzVhrNj3Ur
Ivx6PtnU1p1AQJNZ6qiDnonCXcZmuQAIkDgQQ+UH4KwlQeqASPsLHYjru//cQAtWVosZ4hhp4ebw
zkVKj1wSt8mt7d13R994uMcD9pgh94IDjSDVRgPnmFJg81N89bRftnlnuacp6kZ6u3HJqONhNrhJ
KOa7lO7+YAsNfB20V1Qlzsc0WghF1ATQhmcRYlnOswHu8GvCSZEx0w8rIQsH+ZIo2HnUmBE9Vr+a
cwapzG2lOgaOv9R6+pgT/upck4g7PzZ7V8qtcfTcGWN98+4QedcsFzAqHNJZUihRP3QcNMZL3gcW
HuD3DgqDobjVcIO57OVgtoGAxmZ2+20FCsgZ52m2DkdYd7H7iN52Ve7f3seG9S/uolDG5Bwa12UD
VWtS+WPm17M7/KntI0b4Eg7PxyFEZbvEo9eYFjWFA+ejNvclGeQS9hqvKaHV08lDX2a7EH0VBqC3
yCPAZjGb4QFD67QwnuUTfnZqlTSCpC2syHksYkJ4nNtbEqfF4HPjlgDnBt+WmQeBH4FgQEc5zAvZ
6tJntpKZT6454zKNgM9Ih9ZITGIz+3Ipdle9BO/qA0XJQVvH4/GU9+2fqNgALp1+dZWYB7yZ/oSz
Z/v7G7kiylESz0fTPsoiN3C0sVgMraPdFqrx/r4EQM9A6JJDBd5VrHEPBXzXBGhGzwGJF4nlEt7G
WhRhIejEGKDNyT4KSeHYmwqq5XhXZ6NeI0Qh10B7XOBk5irfAs1S3wYFw+6Uzv5crAA9OvIcN7P+
5fuJZyhcKHssQvLjqZ5z5+T2HhEsGVQSNY/ZG+eq8AvloFycw/56P+Hj2goY5rl+N0ys4Ici87pT
F2BKCXEQurCk07UXKIv7imWBwjs4PR7DIQM9Fr4axmedGnP2GC8glMXH6eMNaSA45ZwhMs9QSH+t
L2AUzsQJvR/6/XUq6DsYbVHx1CaprudsxCbgkepWbbBe3nKt5PIwJVgAjeoatdxiFZijW+yP8nFy
woCsECg4budKlDXIzgbasQ7mhX4io/6NL2HtdHwuMKKHrlTsrtRJ0ckloub1+HqVVvIPZUBzkrd+
pv8iAcl1El7JXpzIsorkRWUAbBPTs83tmkj6GC3GIV+erl5NUro59EPva+RLBKeGKRMfVvDmKhUJ
MQS1JL4AccCCSWspfJZ4fxuOmYws2Nh3IWn5ozl0SfS9cw+2srWmH3bI33mL93UMKosSIUZGOrDb
HeKQa9xgiJrMjqksL0wKcTKPftXxbqb1yNJNGIwx5B0F4SLhzUECrord6PC5iaA9F1nwQPCkwNiG
ruPgeep6F9fyUe/KCygg5FPUyG/hQNqLUAM6DbfH55er865PrWgNzQCuddPxe2zp+HrPvQG6qJGV
T75lzrchG9VTwJF7aSFpk7jCkuFY6wUCjpKHL6vi8hHFQQvImaXlQmQNZRMU/oK2BlrpbvPpF9hZ
BVYz4jupvRJl3SUb7uoTustf+YcljWqrHm37cliQTTo5bYfHVjxT/EbbKbauYg5nnjcpwDKN3wFW
xMWe7cTFH5+oAWReLVAAdOvAcQmp21mJPIfGYeI9uo4Mnt3ym0W35xCOkpOclnmW5ThmRZNeP3sF
+wbwcIF060+nSFlL4aH46kPr55E2eyb9NAKd7fTY7FF0n6wV++fud1a+LuvQAO/RvaHHvwGd+5nE
FLRV88MoeH5+bNOX6Sv7Rux0N7aoRXCHpP5UW7FBG4uB52Oy2kwbwi02Vamgruew6RpQweTevWiU
CY0VK0kuUgkfbJkKvVa+SmzfFu/ZeUFqdCBMpJKLofi7P3/kyoLPjTIDBSRTfq57O1YUX7iyeA8k
N3b2as7+NqBS/VpLrV6TcsUp5SPOMn2er5qyZdnPT4g4AxhBihBGtDhIOpmWL6HFD5AOB70YIKwb
3hsgPVS/lhD/CMSoIQ3ehvluJrPwrxcDFH1YvrKflB8O1Rfb/tGiDDHLJecorqndr6IO4F0husyL
sjb+cDFL1RMMuB9XJXXG+RDRjy5Mde9hBkYC2DpaWefxl7xBPK5/f4WssTdom/7zoPJyuNiTGM3O
5XS0B6B6j3qFv9YgXkl09dIkzSGyDx9AdByIW1M/fG/KcqADhCF039Uke7GEcknSsxvvCt4rZrw1
IRx/UduwN8GyVadT2jxHRrnJWhACXitxlAB1SwnsEAaSrgS5M9OjQ9yW1ydP4cp3XtFIh4aFnel0
Xj5l56AKwyzZ09/FJm+PSY4y8XFpEHIpfkQ6xAOSLxramXey5IO8oNiPIvaVINu44I+SNA/mXffX
ryUdDgBzf4rR6+hcvdz+vExStHWGmCdXeOlSGqtDsWShpsUbRNpl5SIbyEbeZHgMMW90KEFKgh2v
oM25qLDux7/e+6EMyd3yoGEuJVnMeZ/dZEaQqNMFRrsiaA4t73t8nIt6BmMwGle3ATwa7Tsmowe7
4SX0ZxUGvFSeN6MFDyT9s4kNwqLnh6xw6/XnUJuV1+JKU2OgpGirEysDsAwpkQ8n2n+cBvS+QyYU
oVSCJdMfLMDnuXRaUqvE+2YkLkSOVJdfluovK7UzNFQlkhsBfDrlOya3GbSBRpJmzNsuTJcr3K6v
yg5DGlzPWoTq1xQClnru+K9W/f+go7QnoHGoA03hi2iNHBEqNyLWygiAKtjiSs5xm6lsEzMEWcAA
iNbva+v4wpOcEF53s3/+WGUtH10dmb/NpgAb6FMZ2sF1kSjK5J967BopAZAPiCtcUm8JiC+juXzP
/H5TSk1R1oQOCJNy6FWdUNJ+fBxildRf4J8gfD615pjEX6RdNAmw1MGamppH4/vv0Dw1+lgim1PV
kLa/C6MYzBprnb6tFINReySFpsl7gctyEnmUEg27m27BVLezrf4yaAm6MO6RVZbzPi+OTcpV8WCo
XXRMhXm/0wr3abF0YlNaHMS6rKT18xxSBFP2GtswfWUlewi7Q5zOI28jTjndno/l1YZlPomP/XqF
C7owdcHrlJoh17499o+ZSJZJbdqpofm+8sX9rtZHvuiHxX+StX2G8fabe2v4EiTp6AlmqVbQPwGY
K3KpJ/rJiMnLAiHl5CPKFF3JhDp7BvC99fFIZmXt138oY0GXgys4vsUcJ7pZk15reW0ow5cXHC6H
YY0rXJ0WNxwSduBHnCSRjQ7IEqExfa4CATcWavlwPKeprhkwnczS7aHZiaVzAXpX0VFgm2lEdLXQ
m7Vx/tYPhKOIxrjv7wxDvprHxzKr4ambtqJ8PrOXcE/GvCtSRqlA0D09hhAK9URIlNfYi8rw1TWa
2Ri4XbsBLdpSLzJrkDLuYEL7iEPPsyMK2eIiqBDx0OOehfBOpbKAciZZ5mXkTqcJq4TKY0p1Hem3
l4iosy5zsgv3wzONU4fNaUXBvDbxC+eqNFE6ZeL/LkyosGXQMPZ9A/pPbhpaLD6oh56wpD3laOAr
/AmxcEVEdhqlbbbKQA9/Vp3qCXB2Aj64ySk+oG6rxqH/z5gU7R3zYttS5sAj1MffWfEHlZKp9N3+
NjpyBKBxhFPZZ7Kif2k3uh22zYbEXS676J69dLQplI8i59CQ7f9RiMKdi9tZlVYYcCGTpGJ4s5fC
bm5IRCXdwucZAuT7LcYYmSfx+Q2nIv1HWAXdPbtqT/3+sq1q4Wu1XnftSVPacDU8QT2t/zg3boTL
TCM8LkYzgLCsnJlFI7P4i7IYnvbvvwT2I8kMA3ynAVnYZmdmErxKlzTZMCADD9RGdbyPeSAb45WU
wRt7dHoeyAJ0XLnOa+sZ0lee1eHU+CCgazjXfivd1ELAD3TSeEOJNxRTpztxmA0Y9rt/2zf9lD9L
FB3XPR26aGzgqZuP3tXdN42b+bdwzizg2SY5WdYIgYecvfZqvShWRN+aJ8DuqFbVCnJ/McIEDvBK
nbnAsrn2m+cl4v88+tuNzDfetEIaHsHYHBabnI45akXCVQfbxKPGcYOKtZ+Myon6jdv8XdaAuezx
ikZwg8VWaoOWtAqOWBjc4R9JNnFKwU8e1oVR4gIsBIex6qrJZMw4hKXqNvSD5aM0krecFTzcJ2qc
gdWHlcxoHM8pbO5IYF8KTHUEW9JclWJSXl7xXJafBN0ftg0x17u73M+iqEXDeCyHZtAP8HxA/mVN
lrz54ZZcMMIOo3t7yy581y5JMx+8pFfN2bAQHsOU+yxuSEE9u1RPB8RoQNMweTk1RJSrhasJrZBg
PH/O4jYBGvW4xRIQJHqszcKU9dCxXhamXs+FZwwPxx6SefmDghlvMsUt7ws0+KFHLLxyeZlsd4I/
poOkXiy3FykgrTDzLxjA9zixGDmDPJAdq167oX7F3jd/3EfglspDuIQxtb696+sIHkADgH4UMUfH
+OVMnBapu3nB51HBs/4LH0RnSEjl7ZUeJit+zIErsCR//37PNksBxE9dd1TD3NRm5FXgzN0+kiOa
jWieBOep4GZR/zciSNThRJGLgGMNQp2zJj0EHWX0oNJKMZFdL7//pMc8LjpICWrttbiIAg/Rg21c
+3O3mNcP/RoLcKEKw8gy8R+ibiC8+9ICMcic/UblAXHvOSiV2Z++Y4SjSK+V9hCzVjAGQXrb652C
eh57PUrnMxTuynGdpWihROZpEnx9FGqo65TPkXwrpcF44sT/6SL2BWP5uiEe2q82DCmN/4BDsKq9
bkv6GEMr9mto2aektKCr6DdcXHha8/6cSgz5NM3GdBmphqbXi246vuXepJ3XjKFXD5vwjupnJDQT
1YTZRkf4WC9808QmIzMnExui7dF1PosISJcf4DA0Eyek02vzFmXgwtdEWYgL73qzaz72fJtj2juO
+UGmLyEWQ+TPP6sGfEPAZqBpVVZi+w7CF1m7dPYEQprI5qH6LTra/aPVLzI0y8trhD5QdEi6rRa0
ApHs0TEehFVFpTUxLiS4GJJRr08jistao8Dn/26b6ZZ0zcwZ46aOAsv30VcYsGAaM6ul8TRHk5Mq
O9/t4pS6dPYnlqAUl7zSBlfOMOzGN0pizi2XqPeWVzX2VVAPOIfagd72ABUgWTCvTnLh5K1Xjpsk
2jJC1XS6JiObo11fv9khQhmMBGpnAgk1vyd8UxAh/6lQ6vuPdM9dR6cGi7rEfokdgTuEIXm27RRr
HNBOG/P5tNyEcBC9OL+1hlK84+Er8ztZ6oRJRM4IktveBaZwP1/CJnYEo1LfpY0oPsZ13bjDaP6+
43s+D4O5TJFNbfUz0NRJIJUE7s6wqyTdLwt28mGQrzUtYeF6/qg2C+6rsr0DRdWthy/LegPOEtB6
440OE9nmiKWVKPoteBBmgfNzQ2OrFmZ+IP4fcFKWQx/kh05rCCGg51Di5+3cMNx0+9cWfo5hG5pQ
9uvGu16ZIiCfRDxP1oSXXBGQZCPvzXRw/RDMh4a6TuwWlAGDB9lYZlBRYxrokUyuPBlNg5sWxgg+
96gKVGMfPYy4/MHyizkryOmD0ss0rQXsTIf01gI6DmwnIhfXOvwM1b6jAlYCtGhShwjwP3YR/ygy
eG0Rhx3iFEqzXqH+wFZMlxF/bzx9xjV7sgdNmB/cagKyuIhYHz7avImJKNDBwyVO68C71P2wXl8z
gCyyrilo1Ninq9foCoPddtAeUqKArtXsTSNrvQ5rcSOnYhVqd3DQfXQynQRNaMiAkOA5RY3EbQNF
RccqZWZDhG1uvt0ue5LzGzPwQbuCSpnI2KEipaVHJT8HbJ+JwmcbimpjtUp+avynkta8QINg88Gw
dqAYh6hVRI1sYUaX6XRbupFuiuSzMXMcFOJUcS48glgam/I8+Wy5mWuILpJRGrIVFnw0PkfQOdAx
DlbS9Xt02TV3FUqI8u1RsI2WVfLMi0EsvU02sfBT55pMtsjY9iqC1KMf3XR0o3gOhd7orx/eNu7F
SaJqinPHYb1i9ygdSf0ldoJuqueYPBUxUCvLD7RMMWV1f89heu65O+lUQ7MiO1B1Syf2YlfiJRYZ
1keEbw/lazqUgAtE3BuypYPPfaE/m86WxPQVYnTUoF5mivVGrrV88AEutnKMTQFL1tXyL/n9h6s6
DVCn+hG53joBPpJPsIiTIm4+jf/o9WbNeM031b2Qn3QxqFl7EgY5Pr4b+hCSC1APkJOQzGCIkx5D
QCQh5pxnOlzM3jAV+eOzCuCtN25PLJiQbTUjxGBhd0U4gMSMWCDlru/tndS5CBsl9W1BB1LLCd/F
N4jawiwwfrqs/w55RKE6E9ggzI3aNupWOCPkzfc7fu7DgIaqryQmtUYdSRclZuLT4ht0HTR2Jd64
rPLC0wnl4Vxa8O882hXlpNevxhYD/nhk8arm8Y4TP3phGVanpbUw9JHWXrBEBi7sbXe8IMas7tcp
ACWi8IjIOzO5JcqorNmexg7Nj74vWpYdop9ISiSf7nUcoLELHAcGcPZm6uaLp4XvtWDEoCrSoK6t
gkAO+JbWHedkWsxz6L9qbaiBIwiZpbE1VlmOWJbxjB9XqaUfYeZ23wB60MU9RCl3MJc+knncYMBN
oGSqrUVP6b6KNAl6NGwcwe3lFQDxluk4DcOF73cw+4M95RmHPJh59r8X7H4hIvEfrmdO+v2O4KvV
f1PCCVADiaM5oVQ1MsYOyRlXQCjigSJnM5wMP13ZhevhmcuMn4nZiL4CxjhCYNUHIoRXTTBbW/2g
1vUk0gLU1vpLf1RHtTvOxe9SwgKCn3IWRYRde0J/4KXdTZ1lj3QYJpFsknJfCIoO0vOattbKUzzo
IpNmLeS82kM6HHta+mnvdkHIq6HTSzndQx4U4pjbuDpgHpli830hlV8ZZWnezWixnHB+bXj8enHi
Mxgq9DVrTD86SsnhFymae/HDUY1O7jSBjYTuw3Tcgt7UrQIVeFUkFFcm/qnHMAhV9QcaW45Wi8D7
RkWNw5bhWwO0sfQ2gwY+Dk3o+ZfikroiYqL38GEImZriwpK3o53elRqq5AMzqtqm117GjocoZXRK
y2z9OhSmSZvjGsSWu1T3Gifmo2v32JMuwFDBSIHPFIxXCm8ZOzhBrO8d7lJvXdETDv5tqUzdRSIb
aOhi2VMayH4mXZx3O31aCKh5BqlrEHU+PFAQht6ufqeP/+iyKemmKDUWl6hp1n//ym0kdIsFikih
wq/Un/OcCpzO6zWkvjmBwTbPJD+UrWWrwNOzuGcmSFw2L+FfeCQkZdiKCL42hnB3Zq/r/QJIX7oI
H35zuEFDD2n1W8cR+HHt+ZEzoqaocjTcf47MZRv2EaZEN5mPTeJhJzGElvjSgCBS5qpkxFIK+isU
Zn3dn82isDjsnzezyYwlDltaEGilWGLVYiVExRA7gdnU1RtXLeZAMTnh0m+vyfUGd0ortsgwmMLb
vVkOcyYAhuIBlCoaY1vqsNr+L0bu4OboIrgtPEHlG7eo5jUCSj/D0UirdvUCqVAivIjqFZ4y/9e2
Xeyer2Mrtqr3WV5yDIaK780VRr7jnvwvMmkaNgQ6QpHoSGIQnJUtjt+G5ocQDPDaT4nRJT7wYTnI
NpHrWYw5R8vOrxo/weMgeFE4800VaMAxcb72DGTLBuaI+NVuL9lW5XhWPTShLdKHZNqcJAsMEVZf
NkmneM4EVmU/dDYgVEoo0CDQ4H3NX0zbyKgEsBeWTEelvpV+CMOzZMP6hg7ThPK/GpWmygoZ46lR
BXDExVkiI+1l+hNhgFZo4FafZQScapAz/2+gSIXvqz+x+eQNDFGGgyuxSaunxnz0JB69R55qTW5n
rFY84Eh9jTwCY15X+8YPmF4H/k/V46rFhamoEfEBy6N+RY+zvie3cJNa7q9QVnYrG4RWMgZja91H
G9O7KMSBwaaE5TUvU9AL3VKEC+CMoaZKd4EcztXlw7U42rauzWHFTufH6DU/SFVqdAlR5+vAdMV6
CqUGLAJxrYD1RARnXQYRnCG+8JYaurfZj47/cwLuWp4s2s92NNCTW6dXZ3J438BPjLxxek11nouB
DtDEbXzD09VnIk8FzkPVE3O9WJttGOBP4LdoxJaVl6VzEoLHpbcVL2qgp9L/VV0nlHfHW6D+g94q
+E/IYCgF96Iq/zukFcJjzmk2UiuSziuFDXVmiTq14DeZqblUBVRVY/3r2tCVHj1U5lQbVlryGvZW
cjAzLyQaZgPb3pmQhJ+tuVD65W0XnMnn49tfORiU8e90ChfBHV+mDpZ+kD2DbUWnNj10WCGNfQoi
rdFKxYsisYpl1UDiIeaDT+p97uKCXqmf6V7QEjEX734g4ApeeD/u28+e8fvTtKcT03PK5/VCME/q
hX16aEmdbnQdXyAIzznE1NzGzx+ToWQIBhy91sWOIUyUqHpw+GkTgSq8Ywg0hdjcyTBD3ht6Uk9C
+FbpLYYfr6rRGD/IQ2nKYT6triI4OsT2M4IG1FNVPRK/bqzWuGM96YXWbHZMXixTFC3UOC7Pp0ox
edLxv4ayisgNfE7/NvOCmwUyEOFiF0sAEln4Q7re2RdUSZj5gPX2MVRtgz2xBx3Y4ueNI9nh7hHO
iy1Y3fNuX2tiK2VYm9BcrTn3O7TfDTjGoLKyMnDaxwiQIZW1l3wqUVbcqPAO1fK1HXkKa1Ine1DM
WFsrLjMfVfYYvEB7BEsA02zla0R82YCAY8KDjYZdnFMTjiZv5RTlhFPlRE6B4u4S/8Sqj2/Ljn3l
fXBYjeB6033+WxtWaRimW/5jw+ZkxXE7/B7/PrK3a8m2secp49wVzvQvcBnA7mhviDUHqAmtkFAI
XFjI7DYEzbH238x/Xws1IlXPeeHzctkGcAqAe5B8CVAGHHnhlBprroDSO3nGkrGkhN04WsBPyRYz
LhSmjDcwci1IZdCYKUHi2oNCZG8aV8a6tigPoSWF+sfZwzKV7b5g+N0TgRXg+DwMfQ6m2iOlKI76
AeI2VQKM76obKBRi+333KQy51sHHs7SUzt+j2S5+Ir34kz2biaku64AcIWKsWB87aHERrOh9iVeh
a/qppaNFiWtunxiSwaySX1iKl41rMlGp7JioML/DSBajegeA+Wk4pXtC8ZVwztboMEFKXvo+5Hoj
VyVIDYPKqQHuRYttm3DOB7Ay6x80MYNjsX/FqskeCLgHcRBAULnbk5ksThhD2pYu5qhrXpZAWQOr
+9Bb3rwvTDlnoa2Fu1LUG+lB8mxgZ2E8fTUYpd9Oyg+/xCvbNWWqK95vt1JwT/vIQhQFjcVEXXFH
O0pBZh0c6udkBpdOOHZKWCA852ZJr9zibZhSHFGVa/rnDWOhSfkvVH37FuSFUeFQgi9lEyQEKpLL
Qr3K4FztfwjeL6b/kyjpCdZSVGBtt0q6yVOL/UvDMbtpv3Cuuu0YCUE50/DF6kMOHv2njWhMVl9W
EpbGDHbynsJezO5DLcjbGgFNBQJf/9CnDit1MEXKzmJZGPk0nfBVluGvc83rZZgnzAFRCzp9w5MT
1UOrCtPp690xdih8+ZveENPO/kAzZFM1ULPmbAyHMetEyOveJS3xYgLrQbr1rngM+p3INrkrVJOU
L3Ay65wuJPmSliAfT/YWhoJeN623CN6ygn48Fq2yTRamygl5a+gSIcCViDI9pOIsi+XhgYoAR4+J
0dejDFXlqL48sgYWhuag8E53zbWvjf9eRp0SIwnwSLAJovzT/w6XjN70uO1oexA7jIv2pyb5FqXM
caFo/MBbaZ6LoMp69Fo/UvgS6+JPXoRTxKIPY1sdjLSSV/5clbCpYKZ1NFhj010/244kM2C4HV7F
5Tvj49i7mtO6YhUtQX8huYhM2KYyRMimLSCM2aiBbF+tHtnI1uLgZ/aeU5bKyPN/0Y5xRVvrcVDn
xpmQ/faRnSejYtpJbYRqcltH9U3BdkWd+5niv5eZU0Q/kLNU6ZVQQi8JCvHICoW4XjxIlNnbmJ5I
LqydiGfHU9Ns29+3LLaYfA0fUJ9DqZEcagVhJi2PVv0MCQS1B30FvosEfPaRee/Bl5wWWlTVVEqr
e1l70gnwZfxbcQXr+s5gPkGOCiZJGH1vGDzf3qyo7Lr5Oi9w3arwhDxVvoOhT09iI7Qa1WlHrTdu
OcKJ3iSsib3LPD0BBh4SU0bIuX2en5YriNf9yIcYrf4geKGZWl4Ku+66NVRQhQDAuA8ohuVGqaJk
mwUgBfMVRNKOy7MoaDto1c64cmM1ool5Ui5sOH2K0ArJBfuS2uq+IndZM++Wg8n0bhAXvAhGX79a
o8BoVqXJi7lqSXNOxK8gnc5AH4iQpLyQ/Ea9Ivy1A6QALT7rEHJQxw8/DJGq/1QI3T2WWNW5ukkV
HV4LCb4FA2HRfbxkjqoPG9KirJaHKwv0UI5Kt/hln60ag1Ung5unZEx1T3IdQZqILY3L2TUu7kKX
Tn9DUjqata+qcYjJp2ghG7F4WKNOiVV5vDWreQI59i1fL69Nexcx2CmC/XeRFpZz9Rb9Ie5/4z7T
hDrTRwWefkqpgT4YsY1OK34oaDWVv9nWicZdBL13IIIO4hq4PpevnzG4kRgG2m9QlhjX/mbG9yiJ
Tt9TnMej3OM4UQO0wZM4fGiVNybdcVr3OhwOHTh8u/r+4Z4j/akgcoKCGlwsA6sjljYQe7X9UjZk
UeqqtmG336dK/o4GY0/8y6XXYx+oUq7WGnb2tWQqkBIKUw1Ua62T3d9XRxotqoTS4+UiJmaT+tsn
Q8zydDJV+CLqm2x5bc9nS49DpU5QfQUwP4ax3yxgqSa/7uIyPEnLzpPoJ9uutGlaE7ql20wDlfHM
1aLIjfhP8MNblHEZBIqnMJ9Us6hajtQXXWE/neM9RLPrNf5qq4TqqXT5eEg/OJy7ZKBDIV8QLaSr
ziFmoW5/L+7c+VDnSRWKZGy2/xniZkebCBra4BD3JnyZsctpn65pNcMKC1PMuhLmgofTk8QX+Zcr
SIBR9VvTpqdMj0GCJ9IKUdB73NKIRPYOxld/N8f3HT1a/jaXiGYEKWyo57OJ0E87kQol2Y2JFAAv
w8ODZPj1UKRfqas3c/Xx9273sfc7Sd8JtCga6SP+aARLhpgr+SCOuPERVXs2CUS/zC9BzNWeSlX/
oKApjs5p17zbzfjpgjfuTSEHirDvlSevI6Lgf8VPxcNuYkgLv81DS+JHRo5s5h1PvbTPaoom3BIv
Hrn6tOhJkeWG2uWl0LG5VVHjmOeB8s6luUKFG9bkxuaKzeNdOsxeVMvPXQBk0J3vH9mV6MSZGOsE
9+pMQ8D1h7VyQYQrufdw6G8tfoSCCE+2PLWaozkWEKvzzy4xIcvrpXT0mYnolP58bS5WG2wPd8GH
ZfLLVxtZtsnKV3hiJywrdRmlGMw35bDqzPRil7gcDDydN6TDlTh4UI2vZpmwGb9nGJwBl2iL1H8X
dkEY6LWefBO0c3YfwDF7yJfRYDqCZqaLQHpt9t+SDBDIlp6pcvHoS57ZZPu+B0NKKExutxABBxIQ
/l5Q5EAH2mCrXHjcAwxov/MZh1SGSV60ntwYDIAIW2hLcPzbt6c3dfh+6b8pW01K3NrCQdPqxnCx
R9d2T7K6RIFRmrMM+MPNPJaa3415y2n8IguM2biKO/c92yp7Z5mTOQjjU80cF+dwLURjYuW9wTey
lvwb2jlCTsEh/w+/T+3PxLYu56bHZu+Sru1RV+fOM7d0KEmaopsFXBCoYquSRFCtIhXOJw7Pz4ud
XA++3iOGDuazN+8ZRx11N6o5vfUOWPMdt6dFoR5JV3t4+2ATUDq5XA4tlRdKH1/c5vZkmW1n51Zf
ehzwA2CUaQiPE0q6I7LRXSi/qfwmbq7y1VCJYHrPkxEgT6pKBZJTU5X2pmSpf4dsM35LYJ3+1n8J
2yGP+1xo4XTAQVYz/D6k0Qrk8WsPJNNdzm6KutzF6sTUHXLLGYOkCuhjXZ7fMJFISrjGCQ9TWux0
1aAJ57ZwpvKUSP+epqJSSxdqnMoM/pu6yT8XcUzgfrLiUAkQMcVF1fyS+pv5nZaCJvKJJwQUzAdh
fyqS4eEEn/qNuBt/+mVxicRVes1Uz/hBN8QiqP3cCQj+4+derJyCqyfvsH6AfoCEJA6DNF94v9ek
tVToImd1KHwhs538yjpHzx1Ok780qWLSoznQ1f1MY1hfTET4KMvUpopIWSxzpq1NVTyU16J2wb/4
fLD0WkvjHcZeSwcde2A9Mp0FlvTvIXyjSCSEBpg7N/mdvsXRS6p0HaoGyZZzAYaiF7lGctdd1P3w
PWTcg0XE9LECVkXiG0RbpyvRJwrmII6i47S09oTsIrVUWU6SjxddQpJilLK4iiT2uhGDj+Sdm2Z1
tqcal/Jq2Zhb65UxwAlSb/lkmfoZwoJPnCxfzEEdPfNCRIfC5WYsb2sE8n+byRK7iXKYaErf06Kk
nfaB0+EDwQ6GcN43MMC6vGmS9Ky2u220GAtlCnFFC1IcLvpVIRaOIRojBxIFAD8P4fCOOF4n1W0Z
zaZbPZamfAfJWeD/cbr7ZkEfE7UdMQsDPYh2LPofNbj2kynNcCQDt1p3Qx5SKgqNomusbFB5jvqI
j49invnGrlG7TWLu6cTqmvlYG4mkaKaurXtrfHvJQ/e7IJgusZZw/5DQUN6vmzSPpZLBTJ1O+Bru
mUw4K/fgSxcFI/GQzVsH5ZjyI/q2u/kctTqu9cCtcUFiFzfh5liKDsKU4FnDSHUZIwd4n/lbvdLM
L7Q5Vk8buMVpCIlCKmiWsiSnR1wEECKyouMhjLVZ0CPvy138xowmbsTpiSuE1O2matmZ+HrWywrx
zFBe6aJdL6KDeHAxT2dZAtHbUxpT/7Uj92s4wg3beiESeO/KuoXu3VIl9kLPevF1TbSC7KoazzBC
fXiFaM9TxNEvYte9cVFBxORupRgM0dtKgrd3HN01bUwXwZ9Hm55yXj8d1AlcbdEfBxwDPGQxt7Y5
21XzjJ/PMXjOaZ/1Ub1YmCEkk2T0XKSPoQA0+ldAbFdssaZNa9DCm6tNwGUvAKDmr5OsEvJr5VMq
lDfs1BqpNPExam85ZzW5dRFhshe/yIyh/7stWXHLMbcQggz3zh1zlr3DPprKJmQ63yAMY6CSqagM
rjrUnGbOkNCupmdGfd7hwAR2gXeRy6ohXNPtNdja8Oz5KnlZMT6DnAKnfdEOsfCVm1NlQ602XxRS
TNPYfqtEZIP7pBQifjqO+RCmyoMtRwSmlkPr2bbVe7A2wt7XGbQNX+1NCdxHUg3q46gIAlxuSzNk
Y+HOU6+kdzrDUKJl7GjV1gKcWfigxm2dKqqHtGL4yqOzfbjh1Zp91BbQ4nuqBzkQvpjXCpjkRtpA
slXtJ6qu6iK1DO/CaD/0PleXv8JCInGwf7UUreSZFw8CcOTz7pxjlbyrfEmDagHhYOHyN85GBUb9
ifdGXFv99Rxuc68lI/cUoL6iszweHcSsemId4gBUDrUUr6HvDhLuI10VF3Fe5eQbEL0v68QUewS+
fC2lZ5rBfZzqrbBKiDE+6TgjHCgzPJIj5FTdaP5xgTYtJDKzr6WNhFOePh4pFpTnqRemMjSH2lv5
AmewXWeo8Yo1f7PPFmmvcMWb+C9k4erDlYyrkA7zk3DshTV0bGKvH94tdf4jNtCz1LUssa8Pt4Wz
v5PP/zuwuUiSA6OfdgM3Cq1HFPF8bZpp5fvyHHiTyG0oyr+gOcwUjyCfYMr2ZF+eEVPCcXBLfCUr
V2w3FgdQ5ARV7g8eVo7obTWa8MuZWs5HlKmPexe1E8w6+VmggM/HISc9XNMCAPipf59ocka27OAB
r/TjuTEThD/l/BfBDDsxfh8OYvIrONh0CER1AFYWxO5ERYEGD6ctJfSfs2stz0VA/g4iRVaKfMXZ
wMhrG+u0hsHXi5MY4Nr9D9ptUJ/mf5Y2Ob2W5TG01v4C34WzIDwA2OiwzrYhp51uKKxn/JBpGbeH
6RkCkfwH0uXcemLUGgAqIZFS1aZJlDQ39CkrFSIp+eu5C1kl3vZTauomFxj1c4L6QuPZvPTJtGFN
JIP1YhPrPRRk4ZBGbNVYaRkW7993y/IuQoEd0nGrT6EvmKKjMER/XYlhHphW++XgNdTT5JqKm8sB
9lhobgStNnDI8JBC8OxsZ308WKRz+IeDMCnMUQGbFiCIMy/FZ5NOl8w5YGbBlicNVcsrZ4d3gfiw
wrcKwE3KvV2E1hv55NAvOZcKk89BbMfdkCPMzAaaFrQBcHw1sSFgMFDlEp4TZ8X0027Pgq1xivnX
cK19tIKBTRTtdafKa+O3Jlh4uFGdzfyBgSvSGLtRFzAJMopmZTyxwqfDZLeA3goHngRon20N5rSi
9ic7E2O/GrC7+4HmX9nD8Rgo9FX9N13AXDbB+2+PXO+d0mS1eMdWp8rV9ajQ2POnlE72MVPfEt5w
zA+nsNrTK5o8zpzxOVoeuOfcYJAzGM8M+aQarKm6RCekNWR84khs3hRzRD8xIlWy5D6fTnTNtGFd
pRpc1FmzoEEBxJN2UrzMGimC6YCfRceQ/Mmg8g9TQV7FxT66biH/gk1NwkFWdxPQxwE2QO/asKj0
HyP0shZBtCcoIDo7cPIsyjXKnjbgoyxSZuEMvAJZR/rnLoB3xAKNHhDSiSEJ6XCi5L14a9EloDoh
cbDL/sQDGspilFNVOPZfKOKQo8+o0tSmH4AWwVRj8aTjvq6gYCb8LyI61+aLKaxMa/iaI+unJ+0Q
JlB34lXg7iUS9Uy/zO9g9w31gTwyESgSDnjFFhkgl1YlSBiPA/xfU2nCVxV7fcdEFFMs7cnc/wbm
o1fQ2VYJC9xw/Lsh+7yPp0idtBIJ5voMwDe461P9ZLOOYxYdVterOM3b0YOxHTole583SdavZ/zi
LxfuIgupb+8AERE+7tFOkZdL3XuVe6krrPJLCTNEdlHhaMuH3ch3Gy+QcFS7hUtE9kzHM5l2215o
9BWrzWqp1Pagyqys2/v3Lb7lTq9ug2TC3DdtfRm1iyCA8IutFsEXX1WwVutO5wbjuV/CATv3rDhc
UMkekTPVkIjWw3mlHqDcQo0aGDd4UOOy17F9udYdZpWl7wBrU4py/2oi7DItRStizzdfWWw2hLwY
1Md+sl96XzIuV3pd5Tsfw1yP7VtMkQLeeB7zjiyyGb04g9zQ15e6BDcOOPip7/URr4zNpp/mMoM0
GlUbvtikN15Z/YkVcA+tufQMCn3Qp0zfmK5km6FxN1TNt18Qk06dcEZ9FDs624U9Iweg6Bgs4FxO
CrgXgtvfG58OBEXah1ZtFrGD93lns6LMpK+ymkZIpzFzPk+IWQnK2vIe3zlez3nYAit42Oxm53sr
fxZjy20rqEX7tJdnglAy3l6UYptK5yPRX680zDorOtVwxJBfUgA1wQ7JQGPDBt8vidbTRRuyGC1T
fRE7EtGEickJ86/SMY6aQS+BsRPJWkCteluPQo5bXcY2pnOkOKCLgcDdjnjFybxNkEjKcipEObmt
JfaxLEhoKrKfAbO7KUewgjXi6n9ECDTZX1iE07Y2aKF7Q/DjjMGqpYiGk7Nek+Q2yVU2P8j6JWSq
cLKG+oJJimDz3Iv03KfKwp834TQ9XtiUHBtN46cnXHjfQ0fHNqr6piRJ2SgJVmyUceDh95O2l+60
ym51OoJrdBScHLBJQjpbjJpviCLjvs2TX7ZDMWEOUM6R5YVhqiYRN4jXPLvM/wb1Rv4GSVbNCtd1
vrcmClDfbpU3M09WEqulsT7DlZAH72ZHMrqsmswLpHevDK240M2Dgwh6PafuPvGxbgqLAtIaWgVv
wYcJ6ZdBm4sNg5nXPFdi5XWBg7Q40Jup86F70M1IGEXHRG4EpGNsUqMozB69J5dsNcmVbCxv5iRa
BjvFMgnUhbmuiDhGR5VGaEqoFqJBIOj3RF181UDyNfFmPC4fGIKvY5NwhcHA1cMB/hZ/MAfhnCZe
jmw9vF3ypGy/KxWChPEv6RjDENU9FBLtWizYbLHK9ko6rAva3AZ37K2gQZ0QkZSiLhe+J9P6Gyng
UNmbLWPTvRf6Qmk6pywwmFDzaEvCi+XWPTNeNEOAvu7v3D/GEZhz24h5rAZOcdD18NryFPMluENs
lH67eOcNnwp87x2QOHFfIJ7sYcn+30EangveinU6ROXkXFEzhrQlv3Pke5Cj7NQcmiFeFi7SvRbI
ArwYlfqXjaqggskNflOFh+aLZnXzYFXF/385JodGvp5f9+Yy96kquyVBUFh9mc6WK69Nipzvllgw
0Kpk9nludfsXvdvxTB01t71Zh9f+Rh8KySd80dwd5kYoXaxbCQfc5Me0HZr1NDGaSyntDYNJ2kUk
z0UpUICy4zqLoWm9HHl53tFbzOm4+V4EClFht1qpAhMRjjRCCwCiPbTjfjnLeUQnU9lLl8I+Cu2n
5mNUpMWPmfFVJkfAuqZLI+7kjVAERmgHGzKefKgN5yacNaYhlNXWkcM3+6Pv4mVBFTqrnQb/0us3
oxjMzaBdv4xYUsDMgh0mXgWXbYCSNY2y+qaVTW9pFv4idgFXTMy/U+/34UruSHvHFyJVcfWFQlE0
Iil8JN2e1IHnmC+tQkA0E1Eyt2JzSjnDMoY7jUP1Lo49xHP0iMrtDjIVSLByZytowKHjdh4+wC3W
qQbgPJblKQwuXtuni5etfgY9D4uPWe15JCShtohyHnPnyQpuv5tCrvXMVROo6hJu/k23JwfADNNg
2N65BjfG+8alEVl0Yekxz7TkZj6Dlu0c4Dau8vL3b4yUx6NM6GVShLn7orzHhpJ0oazgyt2pDM4A
I3bAsuwDImeIYrNeBh9BXf/K47V/qKtXpw6UluRVbEUGlDIzrLiGnIbLhYmsIm+xk6OJh12rb5ev
7AuBzZXdVHVMLcYBrPNi7jYD2p1UFDvuHQneiNJLmFej6LE7Eosh7c3z+ulefJtn+dqC8zaEkEWc
wM6jqKR69Ljp6VD2DEhpgyCLr9xlEQ1qhE3NwuSvZK0kLqO2LR1/KXMWUy6LpZsRcUtCeu/3xos3
Vgt3+cOV5YpChyu0TxtPlhuOvbm5BoqWF9OTJXDxJ2420TKuv/SjVySh3mUEk+J6OxT8xGJ3/Z+z
arzHb5MW+WC0ygrfQiv2wI5hPOqTAdSGC8fiXsxYpR+en6ZSga2be++nCeUhIi8c5jC0JJ80TWRD
pon6md0miuCr2p5trI2JF1kVtxMa9PwV/4bYg7ZDvw/IvQ01ePUN08UmRF0AbMdO8askF17u3mYh
Fu7OOWyhWjX0Wubi7g+b2H0pJcBJWX4D6IuXvjZSl8frtfUOjHDJGLD4wWa0tUE7Z42AFM+coNoz
kfSReTF0Yj48LKNkxYt8F6G/ayhQnKSD8tvxd43kMVnS19JV/0PFscwNzDkc5BMh1Qlk5NC7WfX+
7xbJ3dHk0q6FJ/gHQvJ5Uyz11y2V0qHt/WqmRMJUWV/ur3V7fyCqZBk1YrKH1I0iOJmOXZ4tC++O
3fumBc2h5ybF5Jtjklf5ceubWejpcbtSkchIt6jtApUzjml1dOgYLlht4NZLg7hUk2IlQydHRztd
aAf1jygndLyvlhdu6ZwhxLwEWNuLZG7gk9I8ZG3c4qtw32+xqZkJoONZd/0G9wklj+bX5v57xkPA
uRaV5j4gx1W2vyWmiDQd0XWpz6FPFrvKzoO/f4bnJXnEXMs5W/GN0edGfgAVrlPSIRe8vg6bYxA8
MyScH951B/Rl1YD6hmVGml7vKFgZeJalZocbzeaKJ8nnXghfbk6Mp4oAdO0g30ESeWp1QS32Rrdo
tBgIHFbYOj43xvS8fjfX5o0Cx1PdQ+IpiP1X2GeFXbrBuaOhQFDT9J2EnjnqN65JtNw7FQcnChaw
KdMDSns9hMj/YMv9q/pCJfAmDtMmNDhiT/oXKxyhHJUeZ/5O4+c+Hk4sloFOfd/wOuLR/CanIPtW
qb7rmypBm8Thv7R0RT4C6mfrjQ3/MTFjVtqJNogXNvFNc3jU0NcNPzDygTIgnoxtNvJrF4a5ete2
CbDEMd6u2HH2+++ukZyhCdXzf2ssVo+UVrU3HGWBOGzsSuP7J5hF8EmMPaGgtoa8KWs0X0Pu2jgD
G80OnYgVcfh7TUDh2xaX28bqDhQLDEqBXFiH5/0P0ybZ5H/LBKyeKuqTTa3PrhZ5FKdxYkUTQxR0
O6HqzmDlDvx5TtKUwk9i/EtCDxa1Pw8GFdzLvRR8FP0vYIjtoTULSB4k6duDupcKaeyk+gvnEbMu
NW99arEIumn/VWKFJWLwvcZ7jCS4CvdNl7quW8qCtLSSpVas/e3mPca5EJqm/L0xjGWCtPstjVmO
Gs4uH1WhZCuYtsiEsknTUUr65yVnZJdjwFtQG2B4RtyPRd/+p6XXqcJT3MoxkglbqZ2f9tiaPK7F
2WZRVjwXZK3NHnEr4z9fDHdnVdiXXHeG3uBNxHjJm5MYmWhpw2d7wz2Cy064/ebDt+1r8b7szYj/
L6nTyn4NzxmEtOVMoORzX2UdNOaBe9JCpfaBRZC+jscrCFvlnj6G9D0OOdKUZra5lYe0Kxc3eTu/
5yPvPM398uUQVwVr3yixrIHTZAItb55V+v3R7GW9c5fLuSJUWRvaEHzq+8/KEpqfnntOgs7ZqL/Z
p6rHcbVO3FltKB4B9hjPibSwtemfEjNQ30+LtZP7tFSgNu1ZjtMycuKtY08iFgyupFwkDApxGchF
8bf4DII2SGnuDjQU690yHq+Q8YVAYyMCWpjsVGl2YarQWPW3e/6juKh0jvYrcTLzfRDKsfd1TG8h
Y8Cfw4mnIeBWXi2q5Kt3D+z1IUqPOGNNwCZHdvMkPOokCYwc1zuVGM22tHsvVmIVgxyat69gvnJV
M56xHE9rPUo4p+Ls+f/oN+HHvfTHQsObjPZ9WL3Yxnr+O2bVL5cQobwkxt5nIe3Qy3udeiyt+c0n
8RWnsws0XkSaR4p67NcEsuF2JvNDInEhbhdogKyguExc1KvDEwKbOmQs+s6dLHYVJUqjWx7n9u6g
JQDzanBltNuFof7Wi5Or2KeaqqyF1kDSAn2vH0NU3lb/SuvY+/meycJnACzAi+JK6Wa/lfwu9+L6
TLjRcZKmwTBgUSUhb/TgwctIAXzmWU+P3C1Hmb348c6uy5AGwQrf2KJcmyBm7pMEaj9sGwZuLkLS
ANTFEKMPVdiH2iGlI7jDJb1EzgeRzXqqaJeqyMDnY7m526sx/wntyT6yppZtcVjje8RYncisTIMW
ZsSJPlZRQ6U93PdqViIejY+NsPEbyQANos1pp6jbCrsRasyLw57IwSfevVzgpVcIpAz6a8fbZ2Fo
98NyLfoMwDQXm2JrNJewBHkF8KBnsfyd9l5jEDEYa4VO9bJAjjPd/aWQkyi0G2jgXAg1KZr1Ael7
vTAL8QHyNzjQvaR1lxGwVjq+xYJgbUoiPCXFQZQr9IItwxYnSE+d2diKauEPNh9upeJeFfCsHP83
Tvx7VQ2Mo8sP6hkqCqMFJ4CHV72Wim/Ah2hSw7SzuuP0sbBBOosWrc5VQos19nPEtb+L+wA9S0W0
OjJz44pdxDyBzL+sOzMBc8GLD3vcMva+AgQUG3j+ovNRJpwr3Wt7h3VdeqToAEBAw5hXy1rrZnYg
rvn24Dwc5/rgKLAPVTaBISKUoFQ4J72fr3eaZ+j9AESbnuf772EP7it4MLBkvCv6fqKVSDeQJ+Cl
NTkuFbLcZSWGCfO+AfRT4blM14CSPuubk6lJLbsG+W/aBjFKaa7WEaJT7h4Edvp5Ls+sTEhR2afI
o0bGfGlyVON0/HtF+m9xn7ULu3vyDSlfaZjambCk+mTivC6zag4/z83cT9MR2CReNfZpwozOUvh2
mi2MZe8jpBiJbBpSyFPkqIaaB283qdnBmXsZ/z8UWvDY6JqfpbsilTFkPuiQRGaq1l7ozfq1TFeo
kUUEdhWzZErdh9B7jansEgKRIrE/RdEc4KeNgJ2AGx5zkPn6cw/uECuoDNDMJRwo9UYZEESM1rYA
lLsuDChxv16ifA1/W0E8osU8ZJ48XEbC9IMyZY+i4fxMcVh67hoaXttbI3sMZ3cpFmWeHjv5HpwL
fcSWmXOHIpeJl4UP48l13174m01qInTWAnxVfMZjwxHDTdvoT2kEl9tjyacufn0Ck2CYa3Pdfrz4
tM2c6XdigztBV2akoSUf+VfnIcCLaMzfFa/ZOUcySAoxMMFuSewlLC7WzzmcFaloPwceCiWN6ifN
2H8gd4kcGLKA5F1M75hzER6y9FJVEBeuJDNgB3EUMt9HFLlKjRHuB/NhQHCHHbsrOnNyIp7J6bNG
fqklmiGMRKk9NvLFuwp87bhg8Q6j0oC9X7lKSsGWHv5T2iRl7XqG6etD/zTc76rk7MlWGHuMYMi6
S5VWRLZcakjqYC4TTsjAxh9uotA+kdVAyCy0VceWp7GN43FPWBdnGBLTep+WEOVNwtGo7Uz2ogEz
KJ1WKjBenKVR2Dc8IM1+p0XVkQtyr+8mQHoEkYqZJ8Hs23MMw+Zqr5iz5ccPn/Sk56Z6f6S8CUi/
OYRT5cgDZNOjje2UUuHatkCr2nhgIXr2F02Cv5j2hbB2zrqwGBVPskght4OBub9g18r5RPhZSWjh
ksHvbMdeYgKOshlbYo6QhWOkFSVmRylAg+1XB+p4/2okwJyCX3+LRP8GfJTWAJ/LwAy0zX775W7P
vUwJvzAc1tQm9yBIzzrhDA68xUbYV6MD2MK/xKkTBb7EWfXnokPnstoNmhW2P24u2IyapACp6eiV
ZMwtfngcjxzjRnpfd8gat5WEMFsogfC5J2/epvsmwlTesN63Y3ru95QpZDG+im8y3ClNPjYt43KJ
JKoV4qOJSMQonoxRECl8gBEfLwfn/3lmizzbAggThM+ttamWUPs4ABHS782TYHEictmwZ9N3tvXj
C6dJXbck76PtyXziKDEkS6TdDVOjzXJSpzSs6D52k2mdmN1jvqRm8wTLx39MlHk7FuzCkwHuhNsV
KLi5mYsCV7AHF/u30BXJ8TUxhUknWfMIG6V9S4Pijb5+sLffAX28uZLDVnD232m60P3uOxzlyVNT
e9haKN9XZD7PAg7tHKDzNqwHkR0wOxHQc3wFZ6uqyF8+ZgwOTb7JuC6NYRWHuFbfhRcg4qg8qHHO
ltvb3eSofh9DcD+bDX9ZPiik/iIn6H2URdUGitlplmgukET4Mfsw9As8ULO048NQ6BTqttK7V44T
BwrEsxkKWKGHn3u09/jSW01UFgO7bPC8b9MiDo+tyiUbpSfJaVAz6X5nIqcdkNDbvAxojR+5EkpM
lt+dRzOOpIAJc2+lnEgB3RMti5PTpbkR7hNS1lVgOrcnIC9T0p11UnswS/WFC2EHyDvnD62K12Us
ctGP/u98C/UmvzZY3KGFteW5ftJkVs0dv0BHz475rmEKvLv7Y6MSyE35oyD9sSTHe0lSqlGTkL+x
bY6RG7CKjOvwoHbuDao2A1L5STmk0tzCo4yg8QH826lwQ6n5VYxpRQRzWAF1uB+T7KqPUkiqBQl3
D6HP+UexRa//MTsOxR+xXN72xahGXiEgIA8EOntOQ/Eodg9ZpHXU2AOR+6FOULNXueQAJr+K0Ts7
Hb4n4dTFFLf3azMbAmJbUbSEQIoEOkGjp5zErkkLfqF/oRKcjCfuCpYfTjXINB57vbN/TIoY6ELN
p6ZAq1KVTT6ny2ooYwM4m+ux/B9UVZcWnohDsPeT8e9MW64IO12gHKBvsVdyzYpep6tAZLHrsqbb
tfYYDeicJ3Wy/NMaXkQ+N3dDxluej4OW5aPoIz5zjQJG6yDeqaJM6HwFtO3URRA2W1R7mMRWnNaW
/0RPZH1cZ2tdwh3qwjsi4OIqZGNLxm16ak7LK8VHFQeZdJhEz+X9/WtIz+bNE24OyTL7yqWZvu2N
QbSnOalkQAOhO/CMi9CVRc+aADvOVjLks+5/ZJYzrKmG4vj1JIoXPwDsXnhPhH1/5wcEecB+Y4dV
RmmyzNfQzySPCaO2Xc9dnkQ1rfTNnTSvAgQ6YNv8GvqCg13L2pVqacF7jd1jNXUMm+zkPNTW8JjQ
eoRoPEoIAtrtDKGPkm5iEUuHirX6nYxJcHskfIniWKj/KRGucIwYiHodSpRw7DFyYtcEAKcJP53K
PodFPD0r2j+RKKmCxVeb1Al0tLBRes1MpUhGSjDyD5NzgfmUrhscGA/8MYhbIwx5V08I3R8B9dcg
0xQCXZemktM1Q/ab9/imVT/jJrFTZBsioFFy33VBfXQoMQFV/ujpzk5ghC95gGqE9AEZp1ybyaf5
CDrDTSFUZENLtuCmByv00svLXnXqD1fh3LIyjVBqcjHqCN2Rqsp1MWchfERwXC8T0QOyRb0aXahz
+KRSZ6bXSwPkzdazB1RV7H9yrqsx1mTSbZa2xTdjwL0vMdZycIQXuX0EozgnzgmgZfuQWrTm+T9b
FemyC41u9x9IDWSLDscloA1Au9u9lK+Drwm3q+Jzp7b6yPerCy0hea21yXXRpvzW4IDODvQtflyw
0UThIHZDZcryUvy89ZdaIbVsD+ijBF6dVD4xOc48q7v4Rz8WwOQKQQ3IAAydbQA7sUAENXw3arVl
lGqj6nDihyrfihvk+jRsIB4K8+tWQTx8IVRwqC+unVBw64NNYYgDayvM1JNB0SbIlAxqa7VV+9tO
4Zzl7rmCskmYlLwhT9TDIAK+8d+oU679tY8FckHZO0lJfgKpvUMOPTEf/Yf0iYUm+DhXJETPF8o7
5yV07Gq4KhLAIxhEOFxQ6KbGKX2qiIr2H2LB8w5dRYJnp6gXrX4Jo+NqSFk5LOT3Zyk15lLaMb8Y
Bnca7mYwX/xQ7Boo2WPSST531jZNjcrzz9jZJMJI0wltKjAq+9+3vSHFo3Uq4ZHws8V4v6OhphoR
fOIKcL4y1WL7Yw0EhhtH+WTOfR4lSHBLWQZaa0yDlVqZ+bMhG7lvJoAE4Gh2pFPeTLviyKYPlp9c
KbfnHddruhhyXzcnJFCvdAX2FTqR7zcgsoWd8a7yyXSzMDRiltcJGpKKO7Du44OgzT1PCXWG/E/2
u+DQOgUoM1J/Tvrc406ifTKLJU7cweftJqlBWyvtTLb1Uk6os3r5jF1UwodTU0DRJADtzuFFhKS4
z650wPFISamkE7OS1c7u6P5BmDSbr1lC85WSI+8JitFtHLB1LF9otid6Hk2WhYMRFcI8btRvR+w6
IrhUXW1aOAchl9SfynvMcnI764aSzZOjdmjTzHB1mu4i2UJCoI6k/HnQ88p8l6jqfJTbLEyClSWv
kcKp3FbkD0mvpiUim+/sCJeMvG1nXE+1FzudPD3WhkVqWgUj7o+r3POwgmT53g9Gh0v9Gl3J2Ext
jWUEzlVH4242sjVKF01CVyzBnpP7WmkX0NgA8bgrcXSAuEeb2LlnosuHYArCGQc/wB/r0fkogs78
P6B5AOwe8NrHHxzVR7k9vgW6HUx5GeSnqbPGtbEGnbwQbmPtMqkOBL6Ub8p8rYqrq7jL9wTBCRIY
4QDuD03i8bhrqX5DUz42FjHCugVQ7y8iqKBjlPQjFgG0ZGGNQs1uuNo3OOpacQQmsFL11Niu8aJI
JoVEtdbbA7enEK3clvjkDAY3q3DKPD+3p9NbcuAfnLEEq8r/FP9guNqkleODHji6jCv8jhVOuHsp
3TJPTxzFfoG06eqwarGd9ai6bXxeuEllYWx3lR+XVJskWFJiKwpi7pZNEANkEcNjXewc72CRRDTx
yFoW1+OiW4uLQNlzk1FGuH6bdF8dboom3Es+T5aWZ7E/enDPX+6kO+pi2lYSDnjcuaSN/mm+IWzy
jeCoc1e4yRzqK9bSCnKtZ1Aj9XsN1H0hmDJ+x5kPcSrbyLxNgIdmRe+g9UqP4b1E81Lj69BW6Ovv
nEH97lSd9hQSdMRjb3eu+6nyODCUzpiNTiul1Evwr52h2JLzuap91WT7EZoFr6TvaP0t00czYWWF
+aaFTFIOUE9+6WyvHSF6gipL29KStg+Lsc9eLSec87+W8Qw6Zr5oRT1LSEMeEBtFQoCy9ZcR/da7
5vYIeujdSdRZTlraL1/UrjrG3LYDCp86OzX2QZvcXl+JYLRJcG6BuzGQhUwLXh1UYZzRFfhKLVSU
8kGxdnr2lGrECTW+Yl2oc94a4cinKcM5a5cO9mQz2v9TUMNuGLJAqlqAlhAJDhbKaSsOnALxZo3L
1QL/+7TPy20z9zXmRkwbuOWREy9GcnU/wF78NYxdAy+wv2nmqI1UdJmtW1NuKb+SGacvon1GJGcn
tALlDOr/PLCMXvlH8UbsQMMxMCoYBWDJOpeES+cZDrvv6ADogd42Ec9RmKLbWKycJ9oG/s8ZLcfg
ZMRH66wEM3f1OTMMoyyVowLtW0zVWuYbRaTZxQuOEb6ZTrzbnH/XwwFe5r9ynrcNt8/YOSpa62lG
8KjwwFIIp+7jT0aiDcnUGJsT3RmKEkuJpuKdhEZUcNJ7gseIQh4y0Ig9WtsjdIHmEeZh75iIkU1K
l2sY1p0IX11Dbntgg7PU5dQoKbT/b7xiulRuHqZAsPh1BAOpzQGTxFNqMvuK0BgcEOSBk8KKq0MR
9WaRZEPbIhpcLBBrFYZfb270Apd2pbCPVduQqKkDuETaz4E+NCr9UPUnrf42/nVM2fH82Ly/X5P5
zl0gaRfIng3K2LfDwd/opcpN4QMwyP00GBgOuzQyJbpyB+b1Fe0dT2h5Zt6wL8+y+CiwiJbww5s6
wE+vMV1nQ51q+pg7ZKn8Os+5rY2i1t93qUwfjcY4eTjfNTbour16Js4JNEBNi8ABXZueT9pmVAFP
bvvOU8RtoXIlk2lEnz2DEg13S4AVOJGumNA0Bzvk47/ThbadHTwTVGhByaUulZBDh6AN/Y5INYBd
yMFic+jj/+Fiwaby4lDnSRh9XrXwYay1g7yTkDtVXvgMga51vX89lEWvdcIm/qI7VwvrFv0C9FmW
vfC7UupIziMLfNGrBE8PjMMh5BEqpE8eLY5tyk3Rci1Z/aGo87KN6X2bQnz+GL2ZQWocig0OHviK
cguREz23c+XV3ekClhu5pHaBvTqScN2aKDLV2KVjGzqPmewnE5MF8aOFsHMMepADF3D28LfYAHqN
6LQhJUb5Uhy2/qgwxqZexGlC7aXN3AJXrAPb/LRIphPZH7sykzS4xOmY4KmfG/LHDdeb7+QPzaS+
JDbPQipPaU38pgEZ0DgSBJsHyUkBhzkbkDTdHoHqk2crEbOz6Ko1vSSnUH+VglFckSPxxYc5ISVB
IH/6Dx/7hhr/D27G0Ro4ODAx+RJXgrfssrLIXqTIofRZMx8zeFsdbq2S96J4bjihnVnjQFPEif0Y
n5CJdgK94yrpb1xFuzbWWZVjkp3b1BQVZrO7fM3N9wOu9dQndz0PAxbBF0HB0MED6SAb7j7vs3Vw
z+X8ji4q4I6FkubszsjKip1xzOff1Pvva/NlmxVno5381gq3ggqfGsPSeCDxRPGrNxXN65rKjDKp
8B8V0CzqnBoDt3v1kqul8cDossVGokmClRKRoPKwpVhdwVIx/lt7gLnUIQsgRcyHjppnTYflHLXW
C1hCB8Jeib8QXc6Nn/TM1XbN+qmYkgOVaMffVmD3HFg/RfFgUdK6fqGZeUMHiewY8z61P70IFaVo
l2E7KSuacR+3dMRm8hqhdqn5mFLXmvBqKIquJYPZV1hI6BNzZssc524aG4a8iPaRJBhCamt1/0MH
nkUkNZE5WEuVq0HOVobCUPpfoh1rtmH5Hq0E3dtYYm86P6RJEQtT0fb9h+X+CpmgJ8w3/yzArKkd
yHDHTo2FAHMNAHhntOkyayCUZehZUOPx/42V87V5R8Bc/KEIspH5B+ugdJiDD5KKnJVe7WkKMBd2
lk0Qw3iQoP6J+uqlhHC9x18pzgdMSgQi1ly1kqzW7L/NkhubbKx1EEmkG2gtS2IpAP352WRcY3wp
j/EXHQa0bcQ4mIzhUlYCWUUmfa/2oPcpKCQnuLSCjPZv1HdqIosan2VbgOs4ra+udh5leqqNzXkb
FLvuLoaAGxHuXJAbDMtUCiAzEgHH/9h+JXiEEoVzKorI7cXvoL1IETmitcf5vfpQE2yCeetPZyut
6GoqA7/Bn3QVi3R+3GJDZ8dy4HWm6t/wf1rsvGBPYymfmcCIfqqTj+wOkvfUYjpyCopjU4TpiUIb
SMU3l7X8Ecrnjj6+6Ac+1cJjfbOrSy9eGWiLxJCSexjCNrUoYET0VVAv6+FmBrgIu51y1sCYsEfT
kaM3ay576fjNc1chFzL+4oGBZfazwzd+owS/8d+dCv9GFpvN8+61WTbsFNqe1EkEWICy16oz4jVo
INcvYVBFScxq5hbhNIrfTXYSYr0sO+uFq8j/wUFEv5azoKHgZtxG4YCjfxyz85zlB4CNjt5QgoOL
5KsbuM+5tMzbD96Y6uIE3MieyDYYHcG2BSQwWoLZgckvuEuXLjw7VEpWbAMACd/8S8DVgG60VTQK
KrZhuz9ZNXB70cjG8wTSSdbLuJZeTqSa795KBb0sU7GN+iiwIObNjs7v72Qlkx6E3bsmVa48UjZB
jMPLf9XRgGoEMHFQKTpfAN9KgAsmiwSGqiQS3Pf3IxgcwmvAwIzosVRUHxISKzxIAQsDS6zJvMFi
vn9o9A4fu7So1nTl9l+eQiP1PbYsoo9MmiOtaSmv/ytYhQt+SDH7uEZaDpVZquk3YIdSeQg09Scr
ZpujmPGD8KVrxK579H11zSTPUOhfGTtvRXxQF4Jkgr47V2b1IDB8DJCYW832n22M1GBkgT76cZWz
QkZsri2CgNdszUhSexzA0rIOv95i1kdVxwQiQ2Hjve2JfUq75qM/T/7AL4gzoy6pR919ZO0Oa7Uq
NygJst7seGxox1kZQ7PWtwz3PFTBKgEEjBe+bYwLw8Ln9LlG/5wEF3ljz3kiVghkqzzWv5mvmuyd
ZpRye+Trc64FpVdUJjeEU21WVVMuTZYOC0QZktcz5vik4S331fUYncxLC/PCLH2LncKs038RTWh5
ZMRyxpqv40QRHQEdJK0t6+EI7mgRIRAg1H4k0JJd5r6rY9qiwtlMp16PRWMDLQEABEmGCFvk7JYU
EHf2nDpyA+0jcxxPwnGAor6TOX+3r/Riebiv71Z1mZinQ3Rj4nt79elYkZiLqad83iYqxOdzov1E
qm9BCAwk0xm4TO716Offx12OxMRKsQbhB5AStJOGsd3os8YmqjEQbGeUkJMXMyXoDmeEwjDW7ObQ
hc0e/UrZw5szFf7JTO4pGd3eXliYQHChNK4xdgupgdfWLLt6QdG2MuuM+9zSV6vF2ndizN82rc99
GGNddvGNYx0nVYrew0seXZStwd2Qk11M3Mwf688Wk5ij8RmBFmmTy4EA0BFCN8fYNI41pd66X0h2
1otJk+6ipa0mHeJSvjUFkblZ/NdzfehIUmcX89MUNHNzvSJF2CgYzLqH3sZjTZtb2c3u/451HSTp
0CNrJK8d4tKcFjIh5rKMlbNpTnY1KsjZ8qa9WQKOMDMkmTDi2BJpUgAiRczEgZ3T+BMhovrP0w6J
qrpw3z1djMU57dU6hBEWCPJvY7Hd1/97CGCuoGOjHeBo4Cle3iUs1jqUjQwmIL7Jz9AQcJ/B53pM
ugMfPkyYT2PqWHAeFPlwA0utSqbvzClfbPfcCLVsAQnJhA4sqVB1LmCqReAQt1q8juAgPfrBSWy+
10JkfHMX3ufezbRI5ox4xZ9qbFqzqqi071P8rpcQXav+eqT6mD71K0XBOT/+KaVky0KIAEJhv9jT
XUprOtZibNfywe21bw9jLGoUUrKVgnibXyQ4Lb90j6Eqt6vXugtnc8b5a3JcfoWet/lN1RFfPnpH
Dri4w3zcGVK30fOECT/ikgsY7rqhnqS7PZcGfen7Ug4rsIBOxptLTwUfMcHg/K1FN14DkrVJdYkw
2rxuN5d3IdC9fjiIAP2yBpeW4JYd5o3Fi9sUsHatpAU6ApH1f/giwfgM99OKE44vKGIkVRqeu7o5
TGJE7HRPuEh1tSRJBsTweA6+2xVF2FGi0Rn51U+6jVe9BvwwKwJS1hD/y53LjBnEal+x+fZasoX3
NzKj/OciJFpuotQxwT9ulzEQABpvrM/wJF9xH0PTxMsjyNvkUoS0ppcI9lsgHrlhDAlcxjqHHgy6
TLZzo5yxjZkFqpAq+/zNCOS45n6O5ckLULz9+DruHygamYutSCmU41u+xWf7mhZ4dztfjvrXEcRw
7Ql+uDzrDfSOPJy3XMBX1wEsfUf8URmJW5dopCZc8OC0zsaRDEgmp6YigroSX8miV2cMfRnTBrbg
f69GfXrXxHFw4sYzBcYvus1cwYZKpRMbHT6p8FycG95me62nsco44MUYzbUGv/6nEHjbrMw5sja+
0hnSZK1JqUIgMgGRpOo8yu+OUKuX7rHfgCuYnXzoCEqpZyh4IOrMxecf5Ru0YUcVSxC2j/qMLDMC
+kQJfK+cJNNF3Ue2h+dEvdccwGOYqEkle3FibVVO5bUcofr+WKnJ3y5G/647xaDoF/gB3+Ug0mSq
qzSkJSoY+gN/glzXRPa8Am0MHwpYkRVsd+1AznBvPBX2UJz5W0vUcMc1JWarFVdK1a2KfR/7yXpe
XPvMF/wXhtXmkGYFcnHyDFlop3xb1tq8wlJilXRIIwQ9mpwGfPOHbF4x0sgBZUGfPMl0dlkgnnab
/IpxHqUz1rSFZHq+SZazgtLS2P171uxLmleM8z4Rh0BOZtfzbi0oZ91igFdAfy6X2K6mvNKABlAu
rlHV1Bn0q7DmBmeyWeHb6YF012efDSJFsU1e75xEe9bKlJ8Y64VIbUPjW6DusMCG2ruQavIP1JYv
zYaTWrvPjNAhYFnquP5KOsAzIG+uh95/jHhIOP26OlF0JwFcTlilM5hTNgR6cAma+JwnYgj9rCBL
S6K8GoxF1f53TJAFsD4kpCmGqcPctcqnSIBMoPRd5IKOV827pbaNUeyf0j830V5oC0Py9YJpefvg
Ua64hjKVD5lOcrMb7/gw8Zw6fEKY75c6bJP+tXOGWbOU7VtSgkd61uxtE0asDPIZxaqaJXCVgDQp
cs9rihDT9Su/OwlefB7kVM/ai8yMd/E7Rg3d8fDQsMHRUSG9CLT3swEigCDa1kWqrQFsPytZuSNP
Fqm0tPogEtjO/jyj9nnDDRbAmdzia3Air6Ye8Q9zcAYc9UCw4JCJmsnDrR6GP5oszFHYL7nYdJxd
DKmEpom3Rz5Gdy7Og94gOhhRSMfEmm7Logs8Ju30Q+N1g2JwPdgE8lHlSFt2zsYlFttHMuwQje7A
hnfRpaiIJlvRAjniVFGsffrTyuh4nHcsElexNF+HAcZQO4i8kjT+Wyr/chpJhACswyKQWC1eQpDl
kgN/fWi82QXPLS+vnct2EK9uzK2U2AYyZ14ycKNLnvLi9OxM6qsNI1rfaMzWwk5KQZ/YVomiXuIh
ZfWPbypl7oGQdQn4MNWijhFrY88WCmF0n5IMToBxjQFB7TVIRGGj8BrHYrbcGCDdsRoVXiXCsCrG
I6MZgSbGGxr80pLVGJKnu5vsvR67BwzzFygbJObmKMf9/bwU7JdtKRsix4VAW0CuPeOLz+xWbSyo
5V8MvV2mnFdq0Qx8e0hIbK1qF04TuxJhlioslHSLQEQ1z1TS/HyKpMAdX7sO4jGOZCpgY8Qa1xDH
jtY3YiRor3qzA5i88bSkDC/+QIKppYK1V2IbSK6FF1He+XaKOE/mDMYN3KZHTW5XqJfTlBxhh8yu
lowyi0EiGUjVwuRVZ12bB9MiBFbm3/Ff0GjaTzLUsHpfbj+MLZFiPf6z7u3zqObte6J1xzjJvkEu
avUgzLGIjhSCzAIjnGXJSz9Xl11c8IT+8Wjm67qomaRWjMVazkMRMVzx2C7uzlPN9zMpu4xazgYp
IEK/W1QAzDjkk7v7BmlSG2nsDCvdSxktALplDKmBXX9UixjXx0BXArm7eICEsLPeMQzL1Gzn8J8p
vtxca26K4xhM5rUkyGUqXNiCT8ot3qLufcUUggp/J/1k2U4HmyK4fKmSfcvxZ/R40e1OLCMVEMDE
jn+qk+X7xfapybfC+macKUmJtySmYfwXSVFrFmQeanBOutgHNPdppjulAAmASJSkcZMc/LyBNXep
YvOpm0ZuU/2tpQ6MwcIBjZ1/2V7b059d1jrIYktuLJTA+O3lHye6ImDdVnwswEdwyogTI4+FNJx/
u8c2Ku2QYkV70f946qhWQ9ybR0Zx5M9Yht4OGkubs33pS/DtkCC02Bhl7yr+TnYpxmMWdvh0GciW
jlJtD5L3xgEXl8nL4aVU5QzJ9oq8N/m+KSnx4Kcl1fSuw1bh58o19W0MVEqrInobMzmpbInTWtzP
nB14rf0MuQyV+Duv6k4tkMUQbgvDkOmF4k584mQ3R47zbLGoiy+o3+uwWctGAVHvOcsYCLvcPpkp
EZ7BC/T+Paw1MuLEXl5oOysiz/pFirA66ddUPYRXhdyP1fGaWF7yHuPMeimjHuJ0mhcsB6wv0CZN
dI1QJLkyaFDiaGUGO6qW8eZaGrWkUHGvTyztrHfnYd3JGKvkj0TwNKLP/pju1UljMpb0HKNudSF5
eS8MkD8Xvv7vSsssaJqBoiZbN0idbAsnKOIWvSXTN+5sMnV9Z+BrbonfWfvBUO22B3kS2+SXPy5Q
pRUth7QjOPvu8Qryy5wI7Oj2nDxGt7gYZbyKhEIQVfLV7wB5yhCeL1oWjnKa2Bjq9Gfyj8rVio2d
KxSZs8EdTKB2b0Qk81DZrnRmVNY96iRDIxAuNd2LnBPIujNLisHqWtqa1lxz3KA8lU2AK11DPujk
HrcVxQMi5AOevFKpJqbTm8aWxUi/evQpVdqej/hTpfDCJnYLRqFRhkKKpZ4oGsAaGVlTwUY9z5KF
UPzzsAqjR5Ap6xgzB/gbOT4SdXTuCh/5m8jA6By9c1AhuopXW28yu/Fq0jM2k8qQaCPZWsekYIUN
jEzqpAtNQBSz3Lk7GOXa77Jr+zPk0prON/v9uk59tyY5OVS0FsJeVUSQYaWzMTH2J7uK8kOcXays
Jku/S5J6B+/kjpChW9jtkxub2OpCPZM+F/XmTCvaWgoThO2JOOdhOaaxc3GQM/7axYFg8W1H/Uae
0CP0tp7wlFzwx4dtOs5xdlWCHab56wYXCV264T8X4Kutvm/tdYu1SQbOMe8m0X8Mj8NvfQVuNJ9/
LILKTIZ8KlNES80S9uRO383fMa0tT4+iMwgV5KYPjjDgQCP27Izx1oMBXQ1Lq5Af++WPJUMuqGeb
SS2MmCv1S+3ykkIxsMyWdAA9CAJ8UcqiCnA1SARWxImdPdfBVN54VXkyK14sumgzP+rkEuCPhh6y
FXl757HjV76Zz4ISKF/o5fKHETMSXdtlTLQTnNbugLi8BSG3a7M1mmuwf+gILHEQDoQzuLggDswa
PVHTFhu6iziiMXyJVafwcTUA6FGLYCeR3TMO7G5Z0Izn3eDeYAQumcN3ooczmu1UyXGzgeKYyJHp
BqwOfe8kKqZB2G6c5M5TjywlFi68RI0hH7KAz0nGKypGNPxwvyvQZKM9tIF9yNWuOY1GHF+ODTzo
Ad1vg9DiwZquKvZF2tCUYXQncQT1WOHPv20XY2phNXaMVAJ3I3IuJ0/Z7O3/PlemFtHbAYgw/P+c
C6NzhWNCQztnPG11BOrmpr8UPIW0fjs62a1hp8ByoWzipaqQLgkp8TyyP4Is0CcicQkVlVujvXE3
mSfm4JYTPju+dHo4ThKzTOIHVWfD5cUQH3l5eGYyec6K1y+u6kkJj29ZsnK7vIwBrY2VeS64ZweF
d9MuxYWTRjvKN6+jNrt+CZren0VvmRNWignZiYLKo2Em1B7oDdhTuiRFMl4sBt8+QjjMdasPeL8l
i8ZCS/dej0XiLklY+u9Z6in0CHezVq+t/PGRDZ2Ni2etfmjpk0E4E5yZPs+O+Jo01ncOFDIa7aVB
MzgrfZ+2ZvjJ5zdQ2NuOyVR+Y+/1DtRSiSmSFwX04sUTV1epTQ/HTZldFpu7DCoGc9Hyu4nRHGi7
uKjlMfYPyVg9OV7PqDxBogFv1g2O8DCNT4muO00iRPaCC06ZJSCK0N+MnQ/BkOBOV/TKo4FifdXp
fAQZiQi01HzbjcnH9V2k0GuCf0kVSVdaw20bPOPLC+ABLsLddghgYjMSZiIkxBkDmN/g2E7wtYDV
1nSQI33v4zEYf3Pj/BnT70l3/N821bzHCe8Q1TG1xrb/hViIU4JaetWOJ6xz4XMF//H3M1RO6/ip
K8PEGV0G/atr2zimPGwUiIWkIokgPwTDCmr4y7izFTyDdII7O+rQRCoEE/Xw8vPL+0M10944HMWM
HDEoMUlkhdARTO1yCdBiCRVP9tqbuk218TrbwWDq1VdFLyx+CKujFY8PWFHkAqNcJgikKWP9qRbu
fYFeVq++lhbu49Prc4NHQKXN7T5ACQDVAgrLYh9N0wg2F/nJC+lLvDsRzvSmjmQiti/2VrHAVwmg
3gFNPU2LXgp90R2Pr4vBEvkomT1/aNT1JPyFkt5DDuIQtajqQKL9XqfbnKp5ib5myG6T524Z6ieq
Kv4MRzIqp13ReUnJJJrMw0Qxij8rf2gm/xyUNtRyDaHcr6tcTCvdfnbMrueQmrReNDigQLhGsoEn
fgD3rRFaJtQce18rdT09HFVdFwVXe9ZJTlH3d97CGcz8Uj0Uv6Bxlqnm+uVX+I+SRLqVpb+KAxHG
5Wg05bnQURxGKqJ2V/pJPzRxbUNslPZclNh44ieCgTC2oBFpGVhAkhDC1Vm+KSA3vwELleAEj89K
GytkYZBllmi7RDrNmv1od7cea3orytQzD2E/WFJ9x4POqFA1I+foB3Ww1Fbf0tJgWUQsuKI0x1wa
um3gyaMxfbf7IX5ZAK05BMRKA7YDLk0jO7VABb1szNGhx90JabOFy52PvK/ia4qBsxMdRoF/90FF
WTB6foeabTEMwwcIEj6C3jxWkMmGDip548XCXhteeGtFngedcEUAv0RJ0txRulbu5wxuNuE+C+tf
K0TZsaosUEHjzC4urkpS5Btg5RZAHfBw3ro/E/BSzbx8jORB9xTrQ9VCRU5Jpgj9XcCSGoaL5kzX
3exa4LrBLNAjKVatsp0XQDsjc1IaWLq/DjJf/0To35iCVYDoDxDALXoje2elXqV3xh0vgtTJaukc
VK8saxEGhiQeXKoaDj4XXCdWWYztfKkKL323l69kcK7WhvzdtaqkaX2j0MsaXxGSg9TrsgbU4ord
64gUWTmJXOF0H4muZioeKKiqjqSyRTCSLbvRErH8RChlYvISKNR+//OEAqDC9q+KpxPxtQiT7qJI
sWhFLVZb1M+ku+Wo4HxKKZjO42Xs0ZCE7JW3RqO4okJ4K3Mzv7hH29yINYMD3p9HKxnxV3S7Pywh
KIvEesQe/36NBfoJoXK/XaEQA8m8NppLzd8TmMF5mBp4JtQ01T2oatpcJ+Fdp4xQBFn5JBsh83hx
Aa1zZpW1bYBcRep08Cwx6hJblL5UCN3hpR1aVWDFlqOJrz7Bhyk4U08O9TzRfc6UpuT3TjQegRzH
vo7mPDQxQu+zhYRA1RXKkM+jbOJaMe3tlrqiqKDijwl6nx7OSMCdCI98IOW8tMVhAXd3hVa4pJ6C
M/7J5WORgM9TAB9R+XdWavI+z7wphv2Z51A3WSSaZV4ZcwHaMxsX2SQiEb4dXLTXzbv0mKRmW2In
UnoTwjlJK3w+iw1qwMv/0df0jiDd6ZtDgmXkFNFMmziIu1+zGmZanqo9fWkjNDPWmQ4WpVh0DtZx
OhJoqUTQxi8/hFd6QGd/dmeVH05XqgXOKN2MNMCnoGhcbN7RS8pZcMLuxYJw4sVhqQdW8syvAEnc
UEPN3slv175jOC6CZ0qP3bLi/Cv0PRRYK9BEGQsB48MBmMkwRJ0bJvSRqVmkT/arFMZvjNniwcaW
qWdS1sMSFzkPTZzCJ4B4wjjB75lcUpmLlYNURCOTNpqlaxkeDaqKNcO5+wQM8U9DIQN/Antn4exD
RGbe7ecSCMdJVfWTOUpf6sEkcp0OieHFVn1Y4izF9RRi6h1MghVTe/efRfC0zdWxAUIq3d1iTTfX
w5ty1wKMCV6YSkQ8PMAAbLKdXJApn9kmxV4f1Mvn4sdBSwQ2x6tU/uFHeYK5+/mnoWB8F/FocR8I
uFAnbRVMs1Kx8bUdhR3Qpd/d4ahACxr9yGUC2LNW4tFvveTYajzTYxTk+y4BAWb4kpK/63euxt7P
ayNZ1ubkvARpskRsGVfCxLm2E/tVqsiJ0FkflXYwiO1HCu/bBjr+20gjvP/eGT6AyqjjrphitfZS
rNRVDsniosvXxswgPVspPYbJFDJcLo3pZ+A2T6lSIV5UGnlOqzfxbXvKDdpF88IDNEWQV7zU6vkq
q1VHyFnTK65HYB25F4oAiT5fAHt5oRQVO/qKFNZcIC/Bm9JktMHXiR0+u5GnxlguZXmwVJMLNC9/
5wgkT42sEMD8skpIdduWwi+uOGBABUxKgR+iBXHh2UamZFmp2qyKCgVbkarf8Tq4ofcjs9cYj7hZ
u6/+z/c09zUNBf2deQsRbT7djdZ+Mc6c9u4v61DwxruDVXeiivLQnD4xBtpP9xU0+1Rb7NZErVBm
dyy2Y781hZGs8Kw58oKKanfXFXCZAXrOfcBq7zkRJ4KRya3Pqc7MMarM1z9tgPx4w0PPG2MBUObc
Fa8FWVXHlgAuyiVQKWZtVfW5gAJGKfaHlDcVSjl98YL483iCUVLcpCrzAFXjM/MpdZmvJnhosI3z
Aek3Yu85bnU5/t1uim0NMdJmk+QDSXb3ZOvdzUovIaTLaBi0YHPVYDyObBdCBf12reE6vHQHo7Zn
oaYZLgakF/g4OGPBInVwQdz414kwRsJD/rzR1PKBQEy2EjESHBwk7JC8ROsSpgFA9Trxfj5XFoHB
HLnUzVujDRDgVaxgLwJatMWTnsqlx5pAoYwIRrYyH9LQvYCBGqiNFyYYtOjC4U5kS4CBSVENcHjZ
LOh/p2VYJHFazGaQzz8tUtDEWr8lkFDIYfGQ64azu+CtO11jSiwqJfIXtTTdRclGRAxvnLZbhfbC
4Rr39SrDkiqB/MapChiaHwyFG1lStL+KrIArnEoFSKnCdAz39W5h7cZKpfYIjzhKuVCeJb4Ep8WC
O4VUFoaEJgtTXcvnQtLTgpD1oxeEC47sqQZgVHqbcs6HX1V3927wMx/s4DOw+ScYuq/YQnqhrNOA
WnDi5DjtvrZDCk0Xw3m94Xg1AbyayfDCQK8KBPAJ0phGSF6G0yyC61tj9+eo9sbEemMR+h2wGiet
RsNP1tl63C+dAh2729mdyA1+DM+AzthASMiPnUnH8KijbRekakiq5+S2sVaPI6X+ymv8Qe7/8LVg
/UWyxqb9UiU+zRWfYOWJJ3aLuuN2GrCTqdR34y2rRBnk1xEwX2IknU2ariCmq7/1ksb4323vzlYw
B0h010d6VanUI4RNMBTCGm0EcC0IsW5F680FoYs9KStwr8tIeyVMtpS80ztpB4zB9F0wanz0cnKY
Gyds51rMzX2btwIVih1fZlL0kuufdZpNNlI+CcRu+Agk+L35tuV1/pN7VmQdKlvthnkJez76TBd4
HNqRf8Ln/Dqkn0VT7sn+TguYaGHFCOlXNiG9/mSYCJZMDDdlBq/LZ8feHDGbBoHaduY/Fn7bq5s7
beAejNq66w4jGvkQXUcinyaOn93Er3n5s9si0S/1Zuw2AhJPkmCCR3cxPCgL5Tg7kkmExJegDTpH
OS2zqEsuD1pVdn6EBDdOFw/jKAIAaioAT0gaUfH1oW6Sf51YGuqX01B+Bt/lSE8RPngLgzCCYSsv
6U9U3Xh5aIu/Lt2OebzDKFoA1N95E5oNoXjwdyG0joe0FnTBCL57NNB11XJvnxE8YOh3P8whQwMX
NMu1bbHzfTYpiCLqR8CSoAccr6B89E2M+EpgsXU+aqR+w9zr0zyThGqE1Lat7oggzqEzvRVgT/AI
5WAsRat0hxWo0QWwPgu2A/OvA7gozyiUO5zsbo2TvlESp90hKUothx/PePnjcGmQd9XUOkNu4BuD
gtWv+ycDgNve2RAjy32CuuywzQKwhZQtgpKHhpG74AGI9B/zKQwAHRLj8V7V9CPNdg4Php5knS+1
F3xGBpujNT4WbZCJkHCKvFW7FsjvsjkSSW6ixFCrnxhxfAs9c3DdvzFFUvXoE8P3NOltipFaOdF0
QOkR0xTtc39C/hPbx6XbGpuMs9O3GRmKkAm4k6YxAnbcrqs7JotEkjyy/bc5MDWP/dUGhOj+VHDK
KtB0GyQEG33so2G742U/kO0yUCnDFhpfBH4yvYh2FoK0L2Uqa3AyO+dfgEkf2XrrgX0q4TKhPwy3
umq5KWNQePhyasDtGIDr11nory6ol3xaP1lvZpvjUSo4kYefOQuTfzcsb0pfKzex0a8WeOFiYBgY
/jpAU/XxjvTlE0SmY67r+VVreyB2q6JDfH3j74+VBWTrev12uj3o7/BcX/yQDddhovtuD1qh5G8m
dDLrEJHj9VSQ+WJOSOiwBlRl04cIABUg4usUBl2xeaP4ywL1zkyCplP45N9aqSpFAArLwOCmSRKE
rMwRvgfL7c6mQFt40sw6M6v+OEToZfjDNJD0/bBUP6zNFanHFBqbnj6ZgYmXYVXmnw3bobypK/nP
ZaavLGiMtNhE8VhCQY48wTvywgzYqBpRhMclHx24fy4EDVHCh0bGbl2/HXzAO5CMJ8IS5iN+Arbm
z0/Aq6N7BVLkjMNPKwGTju+khEEo1E70JCsCv55+8xdcQ+2op1SFyk3jht8VycF4neOIN9mPnjf8
phXwn1YLuL0Fb/gGo2Ci3mQzgp0ZfUjkAcVpDdijdyKwUCq/A2MWWBG5V+nD19d0l2SGbcIbZbcn
m8BLRer8l7yFRvFt12pMTuoJjScB1FbWPIHDQJvZQX78IhT8A7t2lpMFZCSZX9HAk9UsXnbKqcEi
hgPX9YQseplsRBkVxF9HKs6pLUpeCw6KuimrqNjZ9jm4gwzNcgXd31vH9vWc5DNpEl40E/zEhgz5
gpGFfDgeg3wmpOFNeNFiJKmt+Y0yTisptDtdWMXQC/WavNkUn0yhkYgDUDvDqZ6rrM2J+XbWeeeZ
FMw0ySfKAXCMUWU0djh2Q2UWcRjDzlZLrZNRF+npQyFj7bFwXUYBA03pSbnFmCBv473GQHyxo4Jl
fLxkaPxkhAodVBrf3IePZGwEa8/rgJB97JmEBsFmAMMAfEguDFjsGUxv/GC+1EcbdzgO5qWox/+r
4B+5BGNzP+lOdu9oiHnXVQTVqEqrtfLl/k6FvDtDDVl+XyEAcAdcgu91m6bDQaEUuwOv+PM5l1db
4s4K9C2M2KrqqrXa2Vvw8CKaBdZi5ugHbHHacbjrcOVBTmmYKCvpGheMefwKNf6W/Zq2KVz7YuTK
WSF7Fns260PLrhTelLWJbcJYRrtT7aZOfQvM400Y0aOsZM4L6bvLu9Rjg714RT4TkVVdR/Eir2tv
yB1ybQWvGQVj/lMUgtzZTfzy5aQrk7kxivHWdC+C+MdDvavsWzzcwh4mCmrldDW+H/IsA0kdKRpO
PTCXJ1BdRAMbZWMuMD0kiyzg+vTu5+btPb/X8oo/FxOPQU/PcEuTO5ARdPRKSEv5GCZh6pVRLyRd
H1NQ6R0kxC+eOYxQAWeW349Hs3jMH1IICtaMwWcueIALCXb+Rv1vEZ7D0G0HBPB6jFvEUW7ef6hw
K8vIiDaoZhleyLrJlRzF1ONAYTXUKxy2pMYOcfgQKeDvBjllmcX9NwuKBiGZQp/qyW1YWhCDbo2p
1oz/5yeJwcLpcMhganlXsr3zajjarGJyRLBmGWkOcNDoR5+bcEFdXKSbIDrm60u+u6NhoJe5uh1/
XP1hnE5SFM7ktce+jkKnmRXUxfDXjEXCfpE744xFE2qpvY2+Me48xJHtrUy6auyrKNKlpeXwZrN7
k9he7etTV79h/S+ZUiVI34EBvx5ihgsrQv3GwP/YR0GieuTCFY4IirEru5+m2A6ENpDChQjxHwE+
7S3GE3bzabCBPq9LLMsYzRChNJ8He5uBW1zkmTiIjSSPifWtRkkwr35NPgfpNV62JllWtVaXp2Vk
1ZLgrDmbsjUrm0z1MsNsrKlKQ8ZUD8st9j9tsGQAinzU7+wDgqxA+WJQ7WQMWHdN78CoMcoJfToI
q/Soalcz9UohZqQR/OVA+IjkMJDFYGPnPMuBcHsa81+PkaVg6whCegV5n5iLE9cjPWUHUZZniF/h
zw7nixZyFSr2rB5a3TJWd/gpTxm/vg43u2h5Dyx+Q/gH2w3bQDKydFXr0ZvEK5LNAspw2FBlbxdZ
RSHCxc0dMFTXhxAWBxBFGucBPwVGW9oL8e9MgNNtyM1fvkpRMsBe+5vd1WDhESGL1gmw3l2Qt+Ps
3B7QXvMuc08+qgk8PTibDEUsY0h0RRcWZRIJ+pUdq6L4qqV2Cp/eU+1tFKRYjb/lGnS0Ar+/7g6T
UuY+YYkqhM7S/tQtqrp3daV+IfAGGxxYMK4WvL8jwTxTT0auphbD937swsCsbaTpfjuKjER9Z892
VaCZq2wkYIzjxH5oeBrcsViGO6ZQzuynDdMj1DvraRFnhUeH+Zn3GffCEsU/4wgLKJwNIJMsl7GS
raPw7Dn4jtBPk67dbEelXD0cySwbc262GuJM8vqFHmqbjuhabhhu9hQDQipseG+iTgRrzgz200bk
WoWLk27QkObgjVhu/HJ2Lb+g0NBgY2G4FnYpHHPud7fjRw2XU41BmOq51BWdOfUGM9dXZUXWIJgS
4sU+3RgYQXh33HONbmrOQen+smgW+VLEuiE9dkwPYpfBbDV3F/kkBZOhRfYXEan8t41WpP7Q16oe
NSiZRAz0RBxPGmpw10QBS8nt4D70N5joCjGwFfP4n4RlW/7i6Mc/V7I0S14OgK0AqHge3zVhdh1N
g3smFLOGZe326ljbF2sUXIT7M5CrcYHnLnb+ydkdfj6hp0CUyWLb7lm/Nue9jn8UxQHzRC90TNoI
n2clHglggGY472k64zCSghPxfPB6V6AxrZhnrkrsv5D5NjMBgn3zBRh5IjxJYgjOT8usCFpQGtNL
Yz3B+PxjqD5AQ7wx77s2aA8qVH6BY22yJVtnK8P1i63PB2UeELJ+7626DtltuNHilP2hUCK9d+3t
NocjYv7XBySCz24BqQnKm+9pPKvxw8Qq8cIGpPEmEbzsOUsscCxG2rS97vHbAPvWJxaq29B043JR
IZzGoIbocEqF/6FmDv0CpOUtVzl8V31qSaT2bn9nEBXXxVTXiNPLi3nSzbamhXvBGb5t0HsKa42a
i5GH8ZB88cM7PdrTnZPFVoABlF8G4Jmn4O0iCzmpSM1B0uc0FPtSqXeKOAPxxG/rIFEkWgz2IfzF
JtErffEXW9gXNhmLLHknJ7KKe+/14bbdGPSfRZ4oU3m6alp+isLa75TLlPOKDJty9HQv5G08m4xw
3vrklsYxDQVHo29aeLce7WqTwat3nn/6GxI4l7s19sNUWDJT4jSUmgXcN6sQSO6E2fA1REhRa70T
VEj5VJdGu9Y0w1omO/wpF4pxR2LGbSV+0FYLTOHWzBcAN38nX/UWEZtcqkdC7kl+dqhrc4pC3fij
q5aKNUBiajzdff3sqWOakr64eUc97o7aoatvrUvhwZwzHebogkYxzKTctH5fXp7QL+LZTt7/gvZw
nXL+DeEkyYqu+XNnNAhso7xNsWYQyFVCBM2XQJAv8IcRddgLx87OGMCKZR80VI+oKf5wQKKf3SJR
dkJUj3J+kbJv7B9otLubd8Y2YbbI0hsq0jySTSM5rH1C8bW1U9C/XMys9Jvh1LQ92BMC+Nsd0rsC
24DWSgJMH3Ka8yWuxQ68cZWBCM/Yq2qsjS/5A+AamsQ08s1S9yVNnme+KYEq+BmtbwicNwS/T51/
7sxW9zSI20GK9sFLnwZTczUyTA8TjJBOU+YA3+zyUeqHSxiVao20NV2zub1MRivnCswmCw59SFlN
Kb6gKsam+ckzfwUDcvV/TcFuFhaUURSqK+1uZjDtGbaPX1+MXVH75Mi4rjZf3UWMQSsntz8PuxNg
+LFp2bC9FUJi2yYdGvth6bTSOHjOXZgfpOJmBbMduSous/F/LDJSl7E6D6tMid91VLcU6f7O7z01
vNeyR97axEHiBtgqY7cnePZvogyUMy5k6DgULPAL2htRBVs1GiQkPzvYkxYV5fx4lgF5eCPax+Da
vBbHUIq5Vw/VLc6986J6fk+eLJdPTZZZiAnhiL1jPHGErYU6rP0EQzChUSgo6AeHgRUOHYoqRjH3
cEXVB/qXUZQ8JE8ZW8rX+Abw5NWhetMlSMJPilHfqriDnTDEv1nRhN3L9lwBUXU5z3osh29xfl5n
wB/k0LEkjat9jW1PpSW3EN8/zInQSB/ril6/IkA+ZKEH59uh/R13VmgwZ2543JTuoAZIaINlA/Kt
zhgyvcyVs6wvBzNtI4/tgmRvF5nsGxc/Off/I70B6KQpzMzG7x/V9XyAtSsKpWdisnjyHL+ERbPU
f/KgLecnuKKa6ob7zQvylU94WEHZixClWTvmNfIUTdxlqPrib+HQCQXTxQ1Ho+sfa0iEakbjMZxx
Ro4vT1/YdJIL+1W65A/PJp5SMJ7IC28Slc2jidoaPm5bsjDwnSkDMoHKrCNHqo+pLofV+a5IF5/e
mvrjpg95eccoWub5viEVxVb2GGXWeL83wO4cxCGjU8V6enNe0NUXYhIK4f/HNcgbXFVOdVox89fO
f2pMFGYqIsodKxXMs4pKgK578xGhhUQheHob/OVDZHLvcMdBnIqzE4myI03R/V6ICpHwTA2G3jCJ
AKg0TlYAfpG3r5qM84ecEWa0LyqnqQCR1HfZU7CD/wEP8cSguD7TKAJkMGelonU9DFRwMV4OtfHh
QvmyLsNkURdGAm+p9DF7Tsw2eP1aNoiY+iBoQ0vmFEY7rYwqeAnS4CRQqL135K5ABeVlErDX2nBj
N1s7ffIiS0qrVGQZqzrm3UrBha95cSg5gN8mWiWxatQDMTW9zhu6dNAaEwNcjYQERocGo2+9z8TV
BRbl/bJKcXSpCu/lmgb2oyD6qWCGKaV9EnR1rO5XWPKkhXUWQvla6OqvderoA82babrN+EKeSFmi
EkZcvab7+nUROSm+DcsM/ybjZ6UY3KLV7z7S7Wc+8wTcUVQjTsuLPE2bB9XuqeMcvpcxKtuuupLL
t5qg3CnlThuyHcH46A1LxOunDkelYybgFFnyasd2DXxMSJxmgTM7Xh/0bfvpyNP4Aid0bcHyOR8y
QT9pb9WQvOGMgi9DIVnWbIq68uTE63zqQmnd+S+6i02oarLnifSXLxFsmtr+KYW8PfXA44rnizsw
WsoxN5UgXBU5xvZIaNpVbSwWkPfXoIkOdgtHWg+loCKDk1DbxAfPhNBva/S+8Prs6OT7WQslwHTj
lPedZTeD6hZluzKxVD1VRBzL88JtNOfLSkUJDbZDzQzRkxMgkgCbEHcpbe3vEm8FueVXTVRgDuB7
i9BdIZYGiRRQdYjif110L/LlWJkKotWp2NMYbQkPcKLP992FOyO4K7MwmmMnih/09tUTvWFCFpvP
ZPUKx58Y1X1ERpVpPbz0BseUYkz52f8FA37hF2NnCPey+odtY4av8Q9hdxPAHUtg8sBW8hi/FjLW
oTsSBIs5HJO8tIDgYTWNI1qxsXEImiJE4ePuO/Na0b8KxXPnlY3UVli6mueeYFrlAohxL//LahoI
mNS/qS7oozQiRRzRLp3gxUs7vndpwfGeBbbVhcyUUDi+Fkm9+pzz51k5e1Oj+locWGRF+wfZHfc9
D6GYZ/q4eK+Dv4+Trm0eIpDd9qkCru97tfKPvEVRmRmCq/Z3JAJ151Fzv2MuVDrnVlbxkQazzCXi
0dUA9RCC8jM2jPgj0BooXqjtYlr5EgS3ZyQUNGS5mc2+94pl9MdC5g6gPGFO8w3ebTtKgQ9f8CTl
IpCdOrWg/nq3718gcSODZYRT3Mtu1NnZM4oWCVgUNlLXOL3f2S+H1oZeQRJyn6LLjDdW5NstuMr4
gVUbN9CwHdPfhjXbNAd3OhDMMPvuaeoTUIOwDFs9finRr1zKn4vgj4G6D+MIu8Nq+Wq1A5U8rSt2
8bIsMUpc0mI7s/qPcyHEqBKmb6K4Vk6bXzUQAnbtbGoW45ONvJOS/MwKrrq9pZ+izxP1LrlKxWdz
TzhrLP4IiGjCvWm8aJzC2pirhTnGSn8E2Ub0YhdhCw9qARU0fvgPodsr/h83c33cbIa96gVd9yJZ
iGVch3eSmdZ3FLC/VltX7XXtghku87XxiXZp+mFJi8fq5qlg3xMI4WoTcvMzO9DQyw9JipoE2m/6
zldC2IuIURgfomktULv31BlijjRHPVYDLuVZBZfN5/agM4twPqGKtz7IgO+VHUoJz3D9oEOx28nW
TJMxBpTNWapCkjYwjB8ITjmWe3RBzoMcVl6ahytRWMyzmMb7RFQ25GwJvR7/zItW7ffaM6QbM6EH
jcyGQ0fdWFuS/XhCK+YQepcibCN8INhtwt9PZEAKfZiPec5htvoEUN5CUGEK2vJixURQN7a5BKhI
1P1Dgvn+qIoqtb44NgYITeUVe00DH6u84cVSqKap3uGqcMT4AFAYH5bJZqPzIbRknSR/Xsg27ZlN
wDoiad9RCe0UoNWunxl97oxX3z8XcKL1o9N2K9nRJI1sZWvSE3bEDmStbOzJKV1nYTExgnOeYSUp
f7pljGZYvAseM8Kl/6uYKnOcaCd1FyitOOl0yY0/Y05zk2WqYq4xYzgeLmJwrczjwiYgEp2Ywum6
VuE23u5Q5owiXfqzQ83K60MofU6g/QXZ73MgbqPUcZWPZRc7HYx5a/BQm2PmjxMYJp8ohIPMmd8X
VMphYuo6aBTbj7QBGUehueGAzLsXugAtTgDXxQlU6FX3laqHrlDrhFHncOMhoxQQfqYk2kYdaT6m
LRh9/g5v6S494baP362NnH0OQGHcL/10OSWDXcHiWfnh48Ga/RPwCX1vavsyk6f/HS6Cv6g62D05
LQYPAmLduuJeo7F3Q7P5ioAP0N16BiCHeMS9YPpGPUBwwtIGlBZZjU8cyK7xG5mXbBZemdOr3vBN
44+rqpjSKlxLYvQvYKu3Wr8cMsW7MK87RBprjlDRYczGXtNjZP0LOAn7WBAzXY1uk7qEiMvvvAFZ
TWYcXyeLZ2iiDJU+hH0W1671MxbJiTIVvh8kGWnGGGmF/QdH5C80CeBb5xJQ0nepKv8Qo9RsTm8u
kKPH6XZLS68Gys87xTPfmqdfy/r8JNkmb6KA/eKCck3rrNKc674iEjNY92GQC4WQ8VLwX3FuRv1J
HUDdvWiE8VIf5ca0I9o/7xpwVo2PAKC2u5PqphC0SSc+aF6B8j2MAVcIjVHpSv9jHj7EwsbqOdCp
pYDHj1zkZ+CVWN48UeiAL1+SEVG/P5EySC41RFH+cXH4TUTxVG9K4wPqFDOJ8V/fGy++61e1n5Uu
UyGmjL+cA28dgukABHNdb4TjE+FXHxM1FuYx4ovOPPkPh5uC81N79IgfpgU6FsbKryCzVl5AYrar
VdNwMCNcaOoxZD7atjAaT8Yoxn+nzGz1cTNGrCQe9IXGj2Sv1oJ6/NsGUFt/pOC7ANqLd9/4IZRt
mqwEA/UQOSPJRieEeHIWfoi/KvwnxJgQUZaqdm4LC+ZxT92mCis1zxHD9IrsaSDWvrbqPvMTTxCU
lbbTUD/AN1sQabJA4DPBSmr7X9K0hLA+evSCHADR6xVUpSE6qCAJXsi4qbW5Vk8ZvWWRt0OZPcPX
qnVt41oGHjuKhtEP3/1wA2aPz8E2G+AM0xnSdTj9R9VhZStS7RXnvSghR029/XlifmYvbazFfLiX
4mb5iHk38gbz4FgRlfo/E4zXmO2QCNu9657QcYp5d3a4H1hHSlLSTDHOCerW32FPcVheiZ060f7m
kQK7cSUJ98mxDMwFfqLxfVBdr0CpbGpTULgVR3F6ST1NEBlfkIsh2Yj8yZYzfyOWo5RGnNK0si+T
A/Dcbh8SW0+y1O/ZTRFDl65ThRwAaGYhtZplWsUjmi6rpSC84xbmyCOmBd6KEXH4ob0R3n0ZAzUk
NLtkBE98mgGYYyMsRXZgT6zRB3kgfqQa47BT5jkFUNnbSKlXCh1r5NMVQOTbvvObi6TghrJ60txV
AR6uDcJNWM4XCOwYP5UNj4O8jQt+eY8XlnQpZ37OWqGZh9m1fFwQTZX1/zr47/TV9H+TIoaZWk2A
xLc+4wLmm2T81MQjKBHjLsYRLgftpyGdMiFvcvotfZ5np+sNLPj2P1vR8k/Aq3VzSv2cM2zMHh5u
TeTHSXDBXvZnh5fosxxa05IeeGtqgV9gZo3lfiv3E24f6fxRYfxZqOumuRl+ItldnPS7nlffSbj0
vIwdAORdJht9uEg3RaCuISB8y2m/IPBZrv3jsCHSbSe0SXodzVYaHeXG0+7bdLgreUSNmnu2DMst
MH3eNx2qy1E2g6cUMVTZGbjE1dFBEdYnYabBZCA5BrX5aZm0rxq0vQbEiES8ahgKytfxtBE6H+sm
D4ismvgyF1Rv2qK8Rb+APkiyGRi2PmUZVpAXFkLu+ROXYJ6uAMb3bQ0CMgJz4S/6ZSy5saDfFJlu
qHITrs1dFm0V13VpqUFoFlqisQ17Kle3ltCEP7z2V2r71C6+IyW6hs3oCD40hn/eLVVNyAW0kuiw
lebolySRMa6OrwmCtow3zpd1Vc6p7GhW0Y/rEi6h4k1RTQgEIX2UyFArmS14BX83gUNMnVZ+nea3
RXmVx8hpbpaH1lGuu37WZ/J7mmkcgbw16wzm4x2Tu8eXuuKIKjvY7cftrnvAW2cKHyMXBfUbKUfb
VXZCklcqPPU+Njet252JOmCG7Zu8n41cvwsTxV18+rsb8s4q9pMcFhksQ+fohplrBrGrrzJsotvN
KXO7od0i+5HJoLF1nzuuqqe1HxG+Lo4j4+1nbZv8OcD7lc45u2WONOAKwAU1O2zlCY9Q3PPPBZEt
fLFkdp8Vw3UPSpBSOi1LF7n4Ag4b5/dFhCFYHqrHWu2pDChiRaphsEfUWp4DVV/oHFkSD9LOd7KJ
42guxM3n48AwhfEE4lGoFrV8IjUzQtv4W0GpYOSswhgQKv2cZgINd96W19uUL3O3QlW5UypC56J5
ISxIta221UkkpURws5Vnrq+p5DM/0HMTSQeSxlM/XjkhQWY/QxDBF5l7J7Uv7TdYxxO44QV3CaF9
fAKyW0+72RW7p+BpM0tklkKHPNtrLqzwWRRzRgSecaT5yy1o7G1R6spHDMBOHkTER9teFvwtt9mh
EGzJCqaI2QAloeaD8HI3ctsq6m2gTob4Ym6plEQGI+yjZ4U7nS+r3OXWDDPJZc2wDrR8WGH2+POJ
lPwqOcqu44J62SfAH/r4VSt+R2txFbL2BT7WIBkrpCgMYJvU34p5Gm37VeHLFxbecvdxnrN/WJgX
QbFm4KMgd4rvRmfpFyq5N9F8zcS71fwpB/mnoR8JrwaqMnUWx5RH+v+tNk4JhzhuRN0Ryjar03HL
alotAXu56uHIrdy8Q45SUI6RSjzMZ/gG2jTEqF10CRLWymwpr85U3OJy6fE4Yl3K0oZBcjWAcS2v
r/TTqcV/TG5ry2jShOoFsJXvk7bYDUj+ySDqQx8KRVQr1QwkWZciZfxFwWcc/TeBcFbMkaIY6U9z
xovfKLDLb7LnB+1rtbJMWpTk5Su2IMd850OGWm0G/MKM06in9LNc1BkJXTY4ZvP6douz4xKPfwLP
1ffoEiOaRdPz1OEosaJ2JV9bB6lcQpHkHNJ+T+HXUOhWVcSZ5gy4Yh0kq9q+K/CB/sYV+geIG9db
O+TMSexarwsz+1RdtcV358+AvuVwIS0y6yPrFyepqzwPP4rlbadnL3u5Yt7ugGnaxh5RLKSfuE0K
gZELpDrS9Vqsi2celd+5F9pKJ11sa6W96I9J6Sm6C0pNAwYR4HiHnu8M7ZnWdcywO1ZV6YI1DRPl
vjevR7NS7srQch9uniffnXnMYKVja+dalCgGpmCs+Ocrzxbk2OJ9sOrgW81uYboSuXdU3r5MXrcY
EQyXjVlvw3iWm+opLi1ZY0RGu69ptS2W18tArOhQmX5+CGmjukVCvv4mh1Xr2BEnjJv4reghMxCP
976CtNAs0t7uzSLCc3TfFmncUzjisW8x6zvWqIQ28NY+VAvC8dpJceebMs4Q1R8AqTSIi2LcpXL/
rUyF1P7jo/OjcCO6dzcDfp+SEpZo5OklXAotgWELR3hsYEA/0D6P0wnN89Mjm/It9RnYHIjrlSVw
xEMCfEnA6Z1jV/i9BVFTw6DwTKuuP4TYouTiYmmtG0fomlefE1aZSappE1E7F91kfozsXdrAQTy/
gsb/7FaiVeQYDl0+rmMV562Wu+3mmUm5vpOFwuC9uDonRZ3/YnZYVJWe3YEj8tYV8zmFo60rT4Ff
8Ael19Fz/ZE9BSqePcmY5DiNv1HmJkVX16Cb7XszXNFOP+VmQ/LwOco9eLD2pO/h6E0X845nfoxw
ARQI5InIeLeC8QY4RamLaffuTIwDsHIycfYtnzOIgxSiDrTv6N6FzCRcW9iDZIH5rwCBwlOJ+Flk
e39oE6OQfJLSLuSaeZXioRZ72t2La3QUaHC6+Cro+rvEQW+enATUBZYPDV8q+t3k3ecg4RyeMqs/
UN8Qw6LFTO+UXBFZhYrWn8OzclV6nwP88/ew6KpaGQybD9D3HhPGRlZgvFpNprGpuN+NygiNpOJl
/aIM/rlOMz5nXSne8T0mpkHy2YlIK7pr6xi/cXQ5hKndGgd2DK98WAYMvRvobagfRZdpYR3ItdoS
+/V/PVA+HOQJl70rdp3RrM1FlMfC6b+SbP5Kowaq0rJ54ECmUB4nQiOUrreHiZfTAHiA8DSYBWLu
fYDxjrc7OSCiJN2gjVjS80n0aaJRyhS+J5fWoScxHmKudl2CUSMuL+qMNcDhHshiRLp7Zjq50WnW
gZJSSDHrhYDs4a6w3WxH1ZInVa/jZW6iKz6RKUcVUk8CEyimlmo7k2YLNZBzOhMBdU3n2MIenyoO
u5GJ3s/ORsXefte9PL+MvbNjuQI7p5XOwXitn03fO4CrIMgFGIEgcyYf51uORZ6vemjlXCWEAw9M
1iACI4IijSy848ep2WynYpP66r/+8akWWrqjt+0EybO+h3vcQZKsDUe321RCXSEPW754Fp9q3tcW
3hxJlQtZacRKZhgzq9bTYBx6Td9lxwC1YL0PGeDUZxijW18BTDRG/kGUUBvn7UYh0A55edTE0p1T
odEPS9ZMvPyZoclh3I7RAISXeFI5njhWHXa8AYeTrTv1m7o/ypp0Q5TVeq3fcA7B2pvzBWgGbzcJ
O9sC4k8xKa1GTW+CWYzvMI3atZ8Ny6VgdVtN/iOBxMdGshuSze9tmwnnolDSWHmfseeF+T76fKT4
62kJVenGDb3smHOqdpAptwobJhhPxxFK5XqXvXIjAEI+pdjtBjBAUwhfFJvZA3FTS5CcIlWy/wAd
2qykzM6BI84wbKX8VXFOpBkw0id7aJ3DkpQedvSQUwPrGp5jCcR/wmn80P3yaO6HydjD/Bz6rgVG
aCIW3ZhCIAW7DLnizNa7HeAB5CfGy8/q9CJWs7O+1/P9Csp4ylxE65sD6X4coSkdNyE3ugrW6AK1
HLxdZYiErPhhSgsrV2Em36H1SMrmLSgjFbsxrLmSBXn3hI0HN/4steCcPjHuA8HmGQvbHwexvRgA
hirSoDmLxLaCYKZ/mBaVHPTrQs+6G6/X6mQFlRp6DkhuUU06KcZofd/ngGKa/LkGI5t84DFBw4wZ
rDbOjjvXZg6qTYCnohJoHXbRD8BMtbIxfbLWDFus0go/F9r5d0NUO2EFeFOnIpekNTVqJz79e6pW
F0/VmSgQ6UQdOV3T9bSS1zUqcKt6lDfrduTxr4xD1yOOVuPBf7SizMnqFhn1sL3uiWaYm0DFyXA6
zbJibfYM/bRzgz4fHe53iWJi2jfYkVhZU/n05i2ouJhZ8XsYdHVNs+E4CV0BoyhnnXcAt5p1SoqA
wIFveDwNrs3LLAGqck25K8lNk99lr0GkV7H4E923xD8oysBuY1sSRDjXuLoV77cnTiqFYdUF14cU
YPZNtuGkELxu3Il2vKvmz/ei1XxG3EfEOwGbATXXAk5uRp6rSCDpp9byhKWKuy+COTHROg3kz392
SyqKzRkNPxrX+noaZv9zKPPkymhWfGxDHZSQkb/1pfV3mDY4u+J2CQRffMovpQkbX8JekervTvQZ
1SBd75WzbuyXoNFYsC9WXMoraIinLJNEB6K9186/gLHe3HymZOznhLKWJMMlbHc8I4rEb7ie8Hsv
qp1Ht2Gsby1iy3+AWOlYJMulnBUMNKJ5hVkQxZHTsalwSoB7PhdcWTvv8Ud1rEMU7glwRhks8ksY
9XZrJ4kztMliIgYqSGx2NA9tjKZLD6y5kb9Jk6l0CyH7+BkbJhspvcNgSPY7RHsr70qVtcje//qw
wETKRrMIWe0Zs8viNOWDSrrd90jo9ZnxSq14VQuI+LQmrsfMkMwzZIRRgij2EzqG0fZmTHSO6Ofr
flvrdX7vDqCqvwiAJzRSENSeEp2YtObhpfdvYAdIgoNBrxf5gDh51cXvrF7zzeR3FYDoiTbkT1vC
5l43FjhgFEboqdqyN+4WQ6TTBs0EjX4DajIT36QixqZ1VRwYDQoYsrlNbc13onHt9JUIKmGHU/YN
txmdUbMUKKcOxo1cHy/0KthGSm2VANe6cTtxVJIwPeSpXYnwl34NqIVWUf4hxiIg4zy4CVpBd2fy
GPV1wbgtwHYMtFyayR06Wj9yYhRbMLFVTOLIJRdCTZJ3s6SJreRgglPOnv6ODWk6TgrLcVRBnlOO
TeqBEvSNVti34S7pdfZ8YyY25JIaUfKkmB7UrhD35++KDvpYdF6ApWGAXGIfH3leGgvBvYpAtJeI
uDNfCWr8SNDSikzaNilugPfQE3XCMcVgqH7hgW7kY8pvqdD3KHpKjsk0daqkJD0QbwpUIbqKuqMN
65VOTwX2QkPUsj/ffZxvP55gWyPp6x/5JPvumM58IBCIzzPPlIE13OopFJ2EGV4Z1s6sdb8eSlYf
d7EyxL06UMO+QENzAucnmpTH70+w89OpGSLWKSquI7peCvSjEw1HQg2XGaTZPlhvmRGTyRCohKvT
GMnMDKkN4QN0ACVReH6uKn0+P9PEreySloodl0wC6R/A+RaQlTYuLmOi5W8vEfzVzw8/eErYCvip
Xd4e1FdbzmM58YRaOpebc/q8IINeEjopvc4aFY8Kpn8Q8fvuHUfSNRv0cmOIrbGpUwxPE8/Jy8HT
+hbncjxWMhgVlgUEbLWeSs6CRGtkfw3HvMffq+BQpZAdommLEf5dOY8AgmTeh32MzMLv7VVPzqs3
SAKZwrkcrZGKWtFDPCkGiEP8ogbtD3f3jvWWFvO1bzxcKR5B2K7V6UrTORiuOZeKuzzn7MF0Wv5C
Otyu1M3l8E1E5Kv/CVtVgSApzkOhgPhtLsCVS9m62/PInv5cmeZGFYtw1IxaN/Ycu/wTPu3j0x82
AiqRcwLHoLxDR8D1ax5JO7OpefVOOw59QGn/aFpmovKo0NnEsU2WY8fgRd+acE6/OGsOt07Uz1Oc
qLvHRwT4nFJcJONIeMA5Pzn26plQIl2FsAdeImgQ4OdlHGpU10Y9o2791+Ui7zPOEV1fVi2ax0Wr
nlc5d6MVZ7q46+4D0qxZKo+a/MVbOGyioAO97dp1rYTJnIG4l1FZf+mWZ0Kwg1FHMzyCFn4E5qsN
pCHV0DmS9pPaP6cm4EFLle0aZUoFjxkC06ncFAF3Vyn5srqGxb+7RgNyxJ1Zkz6nINLRm2uAj3Qf
Cu/xCrPS/YISeS6q4WfAhK/RYIl8AfimsPYZOkbAM1cJIjxePpdWnBqFiLB6AOHyY3AyFsXrk0qI
hVyr5m+VTEFc3AHVEzp6h2AB4hK/4AkRfxjc+9Gd0KdBu7xcSPzQRjXKXfpqBbFM64zxjXnc4baM
Z5rUpHsZNfRBiQRCoPUH5qAaK7KsV7b1Vc+NR3t+NrrwLjnhX+YHtXUJ4dsE7uquTwSdmYLY5Nmq
zg3vEG1CkLSqRFnsMMYsrw+l2ZlVMPu9xzO3HcsARNMTIIDhPoc+q8ggefJ9Ujp+u08UQswnKnf8
dQVq3mgZirhrphl18P3UcijOcuDwS0Lthq6aOeEcBIXCjnbDS/V9piUNZvf3NdajfhIxdD+y0lqT
RuBGedHalnS21Z18y5ODaOzW+fCSg79jUw5r+N/PKjFbfeVAbvzYAllQlCOL6XJkzePWWtZfduE7
Ey4Et65QeWzEVzoaAINqPVKPWcMDCXfK5vG/oOP4n9u9lok4/qf+a5LioeuBs7CmzVOzCOYJlMjF
LnQ6TWprDiu0hBB3yIiKDf4x77PDY5rySv4WUqkBieU9kwETRFP9sOS8WRHWprePDdEf+i9B9qcu
UFfl6qQnKvcORhT7svTo0cJMvHuBEcmlbkqfk34kAtBzCaZh6al6p4tONT4DFbVtN9XPxv8wHQfJ
3kyU2WK4QWN17tj4Qb6ibcD1sXsOXDkfn4oZpfsa+3r08BfXWDB7VH6yKXXFJDY/rbzAU2nSLg/M
4qCbO3lWdm4y54MEiDstdzHokQshVtvy8ix5mJPdUSbB0GOM1RkvVA4sgww+Ep+6v0n1a4mphL0q
dbvWFgSY6z2tN3PdvnPotRLOQSqTRMtG7vkP3AyPMzNpg2a+SXjdEa6bpC/OdIWcHFhmKdxjtBpx
N1DuIGGJLsejSZM1agaAV5wPMcEw3WB/DuGpvZ5PmV21y3cmB+Ev3+xYqYvpKCHMRDDVcQG9IIqP
RsYusK47ddVp0Bwb1IaZGZlvXYsmF5RXySLaN00VzUsRbczBovUIdHJ9yzjlXERY/i3re2+MLYd5
ggmSbaDZqo+gudJwckZoxF8q36PdbzB+q7r0QJYH7fgY4U6B3eREC2pRJy8xxHK/zSurjRFAJyBE
+e+mTraba0VzdeqMXz68Eunq3LhHJWn1UtBXCqHkT/YKZc0IYOFsOUz4wplcfjf0g4tJVba8wKPH
ZdZVm1He2kQYPJXU56buyizQMJtj3JI5Rkhtw6bceHY4crclWYTvutYfab97b71X/TbI3dQxc6pq
19BCkoeOFJMX+9BkNzEV/NDpOOvwsKJ5/OHESllYyfFSC3L9Y0/qyG3MJA2yTP41l0eyQEH6xim3
53kG1b6rNWn4cJsMrtZZtj/fVW+kmTTfn61DsvqX86SvJ+0rHWPLTqvmU21WH3ZWC+9NMWNjbzSM
cH13msKZ/Eazs0932A+dsMFT6pRerBnozGdltkvxgPH/TF3AFz2aY/e5H/zaYIZ3BuGiFz1lHcqK
hkYR/dx6z3lUtEmfr3CnR8NC+vNYfCFO4fobnTjlyzFi0dXXVj7KWs/nP8aK+Asu/wo3jpXzmGR0
IFAJq5ytWyg6J1L/ZgL+0J7kfKFq0Z+gFFq9BORJqzAcOSDTwbiU3tEro62uyAVnyHOFLUGv3VnA
AJI67uXjrPPlo4bHUY8JR6L2SQEbUR9al822HeXRJ+Kim5YHsU1cIac/xdv9PYyPoTZ0UE00u4B7
yf16XifetpbBmhuuvPv4EpfMgeSsFTHSHwtzePIALcw0d83UeHmrPP7aFBsYBxz3P3pqo/lfBa9Y
nL2PLMbT7/q8XZwcfs3zpCYpNL/9nETULjyfQoBAU4Ip/9SlYTbxclNKky5pPAzCOwC/8OGfla93
j3bmgVpQK+c4Qjp24e6PLp8HG8tgos2PydRPcKIJTiu9l06dCDoS/siXu0YTPQnVkaQWLbHD09iN
R6hI8N4TJ0IJATROeBiwxb2vcp246bfsznkoBr9gGyeLPE9EQu2M3A9uwjtDHxrc80St//J2GGqh
c1GLrMFTOdtpAf+FwdwZ5KCOEkepS5ncDxliMWlxQ1+5NlsaK7ZNFCQWDOlLrt43GqYp7EhEN7Zj
v6HoFs0nNz5pb7RtOdOoBpuoy4otTfra/Y3yXbZcH0fhZ1pCkd3X84AP4yG1sU0JRMXhip2DgbAC
v78XsB01C5GSE0ypRAacrv3h/z1SZKLAcoJAhw1LMVin6HvewwwEOnWc1vDnCoPLChk1G9KtonTS
8EiKSW16Kc1qHhzmROnr1L2fHqnnGr1/ckUYUvT0bzyKki5zkKFKlOuAuigs4eHdPRdnt8sAi5n/
TDKPqz3908u3rgOR0ayo1S1VscLfOZuM4Ilqh8VIkJWuW6xbeREeIymoIhroElrMmq0tojIWn7Fe
uuZz07ttvLEQWN2S6ttWX4VF9n5RUrnN0TnBHNvS6pl/2mKkmE2n+RADhbuL+du6/7Aqr7SS+9Ep
A/giJ48stz26abNhJU6qMRRkWK4uyJf4nHRw1FXlHv6cMmnaiySZgGv7C6KFGo1VurPYQyTWklR4
tICK0kWS1+q19HVgBQGxKjI73UCu7kotS0FRXVg1mVa6JysFjUwe/5pZjOFI2NSD5YnhbUrQNGfs
nipHI5cL5l+jRhRxjeFlxOgctpj64yPuXV7PqHGvkRLlLbGi5Lhw0QVbm9PA4/M0KM6OFyXuzFwj
eyRtxYI7fcUVwET1o+/p8bLwu07dd1v89B2iyJFXG2nmku2+MfeCohxySqWhN1XrtpOPsjPTT02W
FQULsFwNOqahWb7NuR9lRP2B5pKU9LewazW/MRkOXdVrte3/FOo0zsnoNZ20bZlYad9Dia27BhvY
EiT0eYDZW34mwjP+VC52cHBWjV5nluoDsragoB2QylaO5PRfw3I4GCPSNP73nlM7MobqLvUogwbm
jfPl6HmIUj6BfQNnE1V4HOpn8EzrYQ4kY0NkxZ7Q3S+tNYrV4SFm7c7gHgRnKUuaia1dFIpbt/A5
lvuVCr9DaKC19fWc77J9AbFZrGNuAw8orUTT0YCW584/m325ptnqlAJ7z0jw88pTRXmM2ah0Qkgm
b7/Qo2syjLx2a87PRF6utEygR4fIBceZn5T5Dm0TpSc+gJl4tpR+QKlOhgVXVSG31SlgrBbYAwUI
eXME5/9+Ng9KpMlrQSodL8wDE/FChNe936yzHy8gHA7RXokK+ITU1bVC+PQJaL97iavMRDiyS4Q9
LTovcZPWS9zeVMozzUiupmY/cVswS5Y9X+45rL3AZ7F4G3VTH/RL+0WYSs2cmPUxaPKMFp4Y+Y7T
dkXyPLADETfGxj9mGghWHoeC1Zj3Ft5L4y9mRSb2mpvV4/1UfvXMe2ZYwT3LiEavhkWTb2CNx8Id
HvOVFZyoKfUwNI/m+F/aGBJ+07unEL/Zb1t/4i/FtzV7dk60UKEnYs3F4PYJygEnEicnO/8kq6n3
0BrUWSwY71KRR0NzpXXVbrVY+qPF/h7ghOy4Rtg+DayWHITjibG21DLez3AcEuzi8c0N07D0Tv2v
UucgGcTWKe4ccd711WPNbjzDQRlVDSqth1u1by13h5g+vgqxBR7kceI7rD8tyLP2K5uct3wVkZ/d
3qgfODpZ7x5sdoPcntyOZwIwnMiwTEr6tj1gaTaqtQaKcnSu7muc9JMSQn4NRJRv+NHAvoDihXAE
edPujzXHFurF5PhJ+NXQdxpL3YSQCZbCjfer7KTXpdlgRTYamM74V3lwcloU/xLIX3s8wBJM9xFV
c9yOOc5q/3aslvgv+GdiGURbQOrIwVg3e7xvCMXI0/eAvlCkww3PTFp5e68DqV5rMZWlDCkcZj7/
603TywMDSMnr5HhIMCC1EBz0SPhls2/a/fNK3vRLU0dKQwfwVSUWt92Swhh4nb7ofwVOH5lQZz9a
hbuv3SGHvd3ftT6OsM5pvwg5cSjAjHJeLnD8RGoG+IvM4P8PxGtLNYsLZiIdcUyqGSwTqIPYJLFI
08BVqHg+A8QAwm/YwBHXRqZXFV+UeJ1FT3MwmBK0baElFvxTVRb9Mx/8r1BqXP0r5ZJcOmb2Dt2U
Nqx7AP3OCXQ/hOzmyAbNVKcVQbTQT0Xxayg5yUFTjINONSpQNPC3lyE3YY0pRReE1yMVcAleiWL5
3gtaT60zz4DmlbSj3CDQhRa9bLe7vu+mIPEp/T3yWjArOuJdlsIACy2riKAdEVUFVuJWuBiA1kg3
s/RQmVbutQNOuNGXBAR8Nys57PKseSLF4QxdRDfNhOWQX08zo1B9CujVg/R4awrycB9uoaoGk6mE
HOci1a37M5tPUvCgiBNgrO0K/nrK4BRw9I/eKe5DEZuukDrMpSlYaQn406qzB5RAsRguFoHIZfRy
7yaX0szhzflzTmWOqI3VIeqpQF0k+biskR1wI8ks0QqL9s7afF3mGbYY3oVNnblolMq13ySDuwWK
OsFlAQVC/Cr1JlZF/AA9Z5JuyaXqCKkBb5GB5QJZNc8G/Pe/3T0UZ0PvJ33M8aE1FfSt1PbMrZgK
wFLBs2rG1ucj8mgzaOTACHfayMy2cueJN5IWUbf3+Szufk1TXOgo9LURMCiEtezSjX/QG+jOkaVw
uidnIQcbws6bj/8XzhJsAT87FAAEEMIlFciYRkKy54IeAP6vEjtl7toJE9nBDbXGVDVrb9/6jobE
RYtEj4NjuTBDAo5j9ATrW8stVC/+IlKz6ITGp/DsHOA+FdpGRh2PQijCu7KWYQT9oayhtwEniR3b
lFfRYl76zwAqI7elY0RnoBVqV8nLgKQ0nLPBu61MVrP6wKhjFkPLAh0il4gXfXfUctWSmhtphOOP
Wf+8xvI4VEF07TJsgEjEih0IV8wPjGC2OZcAzJvZfLjgNRuP7v6rDE/AMjelwQQBSfpamvrW5bDV
sEydL7kNBzaNwuspob1dsFIN5WZ4ZkG3hvsKxTOIjlRCAj+7+LZ2qRlw9Y5Z4wQGAms/9XlPwl6v
nru5M+6aMUYJllllTYddL3vb83fCszVZs7rnr6cong+4Zt3LvN+vLTRXhkBixZkqr1VFYyFVzYWl
dsMUM8RIAuMv6dPlU6S6++14tbT0mDNkOiAcqvExN12VEIWqknH47TuKRP4W39XjC4L1sov1/EMK
CpN05S9VDcalYIQhlsI63upK0ccsdVL/JsGOPw2U43xerxFS9Z4+qWSG6fxAYcMmb8V9G83KaptW
szCqBUE90hlSqYmaTYDFDHpk/HI0lEUfWo/oUsbXFdmbTRn1YcOC3gTm7rfFYY86HMWn2H/6J3cu
O1hwnnnpknhAM+lYdhSpMTXk1rRe+fHwutv/Z1rN31hR4GLMYBAICqKX4RZULmRGzCZHxmom5SAt
3z7+E4aikJ0ffVFRo5+mjTMhHhFbyImnxUr0lww6GUwxQ/F3IdKiwh7z66rRIiTCN6Dfi2m7mrpb
vsgc2oWMG4WBnm2hBYh8LP9tE54WrNdaxEcExdFwV4Nk9a3ls8QWG2HXPMpL7HI9Y4A/zmWq5bCO
Y0LljOCal8kjagR5Fgwd9zB7gquCYcK401BmzSGl6m7UjHCWW31gZc+r8fdPGG0tsdDFmViu50Zk
na+AINO/TFb0T+QMAobXIKCOdJ+KC3EsParsq235ndAzNJL2dEYDZt3iP2DhvGqdhuWt6FgMS5+G
4JlffV31xSXum8pX0HoxpkFLO3qO+LliQ4cmMwgKyHQFvb2OptquOEADYyDNl2+DkbfjXLiWhknk
xf6dmFbyajehurSMGmoMyFxsXCVjwDnIPPO903SSuix0higxtjQVaR5S+XMZ2TLorkcWw1WI5v9a
iiCOUQPhyZgur5wDr6dhODz5QdCRemrrwzAJlCjf6talZaR5wd7xsImTDE6gSrSMGb7gH4gFG+nf
t5263kWULlto4hSs1FUwZs+U8Y15MdNmvGeWpG2CLBi/z4CfkKPo48E6iZIItIskE+5DNeVYIYlS
0uv8HVtapUOwxaVR6jIplIhHSD5ivp0UwI92a3Gy1DUlEo8hb5ZA9ym8vq4OAf1d2BVfR63Sr389
oumGTP0J0/iLmTIUjhk/UQSUxXyb5zVIoaZakom2Mb1LyZaQOhUfL3Z1BG1RBBkRW+Gmw5x9BCYy
ssbIdx+/QbBLPeqldJWTd+ivQbkSTk4yIa/S9bk5WYopa10MsQXQEv9+sSalENzxBGmcska1yHAm
VWijakTyV1RDJIM8K1lxxhSQjDZUiQVSubzAbVjPqphDnyMS8YF9HQqwSeewbgHu/++vBjE2+tlU
TfPrBCBbINGjOipDbvAYX8rR4iabMrdBAuvK14GHjkBpzRAnjOFbSMjQb4uO5XSAM27BiuANnwfM
LnbVSg7BPtLulOEm4FJPiHTjAGd6Q6CRsAxqsmSjOG/+e7GCLN/MPnJmwhVJZg0clDZKhr6NiX6l
JX4oQ7YOWt2/jItvTjEMT2RMrtq7bwDnPBOjAXyBxQ21tqh3bwZXrOjBy4FJqNC3mZRtgFN+4KQ2
PFT6so60Vuy+neLAm3GgtZk8NFFZAshMUefZbIWrnVm2TewF5442XtWeKTDW4cOVNkxbNqctf8DZ
SQ/pFKA9F1jeO7lKdGX5n4Pfv79TG3+kFH3II2yY3RPuntQZnoElpx6F1VJyuQsaqgk2ozHvFMBj
VsFrA/thuIfym+rsb6Zm4iNkHIVN49Rxsp39O0kRHKnQJDTZ1Mvt0mEe5B/L8iRCxFtNl5xKL9cN
sThJFP6hNgpOk5fB65fLIIfvzW2Wsd210+T4H/C/D9EbLHkt7V6FwJdbFXqMqcHWgrgGd+aVCOdw
sGX4eiNBQiEafzcDmI4vWRZeWUFeMa8I2yvRO+dJ2wZomG1wwGGsddGZwiLY1jw5sDaGjLqhTrku
qOvyoPj5ly5GE2jBue5647zV0qrsOpDAzYq8EI1ywHI8XT4wWwaLYaebHq/WcS+AtLGgwk93YbhB
L32WFQpPo0YixRDijIoa9nmu0z7dI3r0gO43wkH6MI/2pk0HoIWhfagGAZ25zUAjDs/UkTq+chr3
+ZL0kPSiLNo5ww73jmZtvz/jclENBpGfjjNHkkWMQmAr1uSpZ6/pa1cJVOwoyt7NgyeTqyGrum7N
57P6L8sZ6dwN3QrTudiU97/W4LhWf3NagWyW36v6TqeIHf59ztxmRutMjKJ3j6ic0LIo5rshU3Ks
ZmD0f5GOGS6mr/tNf6TQCTvacDUJmh1gHQufb382Da49ObhR5uevXK/7ucyCx3rpvirdlV6PaV1P
JQBiX6bNI8oNYFxW3RMGlEJW5BwZBKcRTrz8jL8QzWimtlJ81zyi7ChRVg1PsFlbFRqmEmXMdNdY
iFllxTT74+qZNbn1amAW0znaAtKxiTWmmokJBreRmBVcN7NYx1X81gsKspgMb5OKyqKKCE5Fii+z
i8d5FYfQfCQHuFqKjmPWeqbFV/7aQRCxqH56Ixs8AKNeRQf2DCMRhyj5z4ViUTej9d4cHwGgvbSa
6RWJXa+lOE9kTrZfLmy5ZMVte9DYTWPUSuIlXRYebNWSZQJepVmFqaQBVb4hWxHJ1lLnQ3SrInF/
NRFclCN26+m5Sdp5yhmX3ZJUqC9Aa6bRT9kUYzSsJloE+02ZI+P6l9briEPAUy2mDjBryKNgeGPv
/7Ab8nkt6bPNQNoAYQ3wuU4tYstS8AwSuPGmcQKJ3BQN/0j+4lOdac0JPboyO3ICJ5atP58HD+Ns
DbyI8kLfkGLkrQB4/HW28D9Fl7PKSMaTk0CUI6y0IwwECmb4xLlTUnLRkpEy0XhbvU4XWTJgiQRa
k1VwJEe7GlXgRYDdjvtUzTK7sQ5Mw6Ef4C7I2rI3NlqjWhN0bvioC5q4BD38yEbjJnxEffpL+cTC
nVqWhAhwcNftWMHINXcZAWwTEyXvcoA0GYkJ2y3NMksWJIwyXzBQw3VHnT14dMFksWtm0+H/ronB
LnbabthR4z5muQ65W3LoSrNcWaRaTTfMP2ywGlSJSyh3NiJlZViAhGK/qRPsW+5D+XgTVY24+RoK
q4qgJrfSaP/xpQCzUrgo6azg96nRM73GtAuo29l5gbikA2A/IwHDIykh53Ir2OSdfsnAMMb6GE99
nwKhTMuSFOvQqwvUK4/SHo8CuQBdtaGZuMpANoiLPBQSWLCHHBCYpVyyVq7NslTUxaLN710Fdyy8
iJQog+fjPHKCMupIz7jc7LRuf0/CYjbQidKCcD8A26M05cIsZe7XVuhDgwgGV9e5GTmUxmr1po5i
flQu1kRsGtR7uqtmQyA+r9x5JYjS/bG4Yi4UWUFwT0GcWLIt7IRZPaNIGZLSghgTFEwpUmkDEF51
QtIwfospSdjjhCDjaDkHEXq/8KpBHeJ80y0at2wIIEtOnzKnuUYZK3WR2yibcpR9KoZd2QKdMZLK
6p09bOnKW/IIEu8kQ69M96I+4gkCpOkaI2luvAxrY6/WWiWRUhwhqiiGkLBZi5EqM6fK1bOOMPeZ
Om9muEZecdvZx86Eelcuf4ec1X9IuFmdOZY86SI28Q/I7CFhgRLBaAXBWIEyDfpfIyBysUPXGN8z
oAAmgG740yW7isRZKd0pFjO6awf/Pwx5KntsIcLQnOwljiqRFl1RzdcjAwpww2Jmy58H2PuVQe/e
dsQKrS6CDT9M6Bqxo/dBWonadaCc3EYNKvsHFu48WTpJltLVdP/iqe/ADFbyOe67d0fsMVdxgJ6o
p1l84DIloHEpjY830l5HYdBZ1M68S6n9cGVvGOvtVTaVTkOUo77vcGNyy7B5I0Y3Jo2JP2lnvchv
d//O29/8tqoAnTupvpX4VygnMNC5uswPmId7kLR9vQHKM6Qy9Q6eALrzOZZbhtxuJzuTpdDzrYcW
57WUGCW/egzIXaGQxUR2FLiMz7zr5jOBXlhlv18G9TblEiFVhcdzfDdpMUmWKdRUZsf6ommtxQ3W
aptxIhPZbWZELRKrRJe7ATWRSR6Ll/eDFpky54mOBwzlDob8zG9ucKe0FjOhW673CMekTc9CWPz9
V1vFmfC/7pEFyB63pZed8iiIijgAEHLvP1V+yDMkfgfZAaTWcNXuHfXzrbgCD5grCQGM6Exdlou9
SjEdNpPrNOFq6vncb0Agfr4XKBQcVywhurZIvHn4z8VNA6eMQ5dWHv/TFZWH5CwmR858P3yBR9XZ
R/wtdmaEXoSsr3C1Ova9IxV24ddYh5006Zyk26eCcpt0q3uI0dmNHrfChJMWgxJONYu04isjpaxv
OG0ucPP2ZuDBe01PTweZgrakfrDJuAsD3eV8HE6TOckYDXOHxq8O2Vp5zYAcpD4r3SeumtMnUBkV
D6vtmnU0LlY4BB1c9f59pNg3BVDzxMlQYqaJ2QoV2WBxeqTI2dkiaJ9X6wvNZjiqKUQNp13x3u4T
evabuK/vkNyVUPaXW1QVKtp8+OuFJhxrHwkpvzcyAB05bQ3wAY68rVZUFp8jLk+jcwFaEXZIlJFr
X6xdTEqueMKrOeD2V0bhdoy0d6P8HAaRRaUL70R6acvTZ4XLFymz8kNMjYjYamg9Y2B1+OvlffaE
+onk5iU2c4qXaeYyjpmL3j0JWfYMC7yXbfCPCO41Qt0BhSdt/+mcLYkhq3tOFCgCpklmsMP+SjB/
TD8NdwryZf6DgrnayW83JQzzH79Kh33op8g0IfBewPvn5fqR6DyFy12UV1iHYuuB9WvvRxTfzlOb
qP92LWPhlNa7xGqnf68JJqdTNVP6q6fKKBYg2Kjzc5WKBeUx9+MCJ6q0vPl1jM6LOxl4pnCFqsBp
WewZaz39bzgajk2/K0plNMGTcPohiznWZIxqrSwxpDtNYvmmdXHRlEEFn+zTr6bgfcmTrh2JPVuO
ig3sE+Q53w6wttwyI03pnzj1iIdaudsxkpFabwMcBe0/kz8GytQrGMLhgcFDBWQyYg6NilLAE5nx
xDa5iFQBQkrb+jjs3fQ+NUxSf+He+ozvlCaqXoreE8MnEWesPPENEBjB4oarsS6VL8PbENwpBJR1
TZVpBYemXAKybnSsk3cqlRWCTUQzQ1nsgtg1/Qn1YPRgrzmKsZKqs2A9ddnSM2DyZGKw71H22zg9
FoX5ApZPqIcClXsEQ6uniK30Cxjc+/J7bdNlnpuK0bmHDQsA0H3suMvTLxsL/FVEwockYbKm3c+x
wm8SBwRxZVUt9fNPPoj12o1zUlltcktLXq5eC8H/jlkt1Ffhj5HSYjGm00f+qsfSiUP0T5rUIXyv
f2v4ej7BQ2hTonVyv3pXFrVwKxpZWY+bKkMmwKZh7mB+naUcHK7L3EC8XeNdLEKwooHf7CzaPUpV
odjxGRUzqpEW7NduBwosV0QusMNIlRjTqgZfXhHPIalLNyUB06iKIJ4bq8JkoSs1EodvqhasY/gT
H5CaMhYk9CR8WRhVBrX76x+RQGvTwJ0G3NeOC5fkPZ3x2kQarT3To2gWfTerpQRAi2ntG2ceHuNj
KGMyMP9aMgGYgq+P/BkfO2uJQKvTnW0nWgQ/Er6JSa8uEGmmO710ZxV6onK0Z9uCt526aTyM++W3
jHEgnYo69VcJCH1WW7vAJaHVvPSsiKQfCAe2DwwrwddjwiEuuIAiwCY9eQa9omPLPvIegoEN/Blu
a8f0+2CjzaMIZgMdUYyitw6W6xqWiQkeLifFwH3JjFXTnmNcxVnZGC2bX7NRXn/QmiYBMJf66t+E
KYlfV4KGtNmoAY1b/cDjkDn/m0bUhSropCel/LKe3zZWc8SuN79GbnlTxyUagQ1FAo2eWWz3+S3I
04SOJs1HOoU62wPVWImGu7hKzdi7+j6jaY0lbPkVwS1ISsqU93Xm8GvuP1vQIfP9AMbWoHbW1Sct
bTwDXUUqtzOUVhkOPjw95SPaLrNeCNC6RSLzdn7Zt9Q8mjZfxzOQlrDZoUibZNIFCEohcq3D9k3Z
1Glj2YA/qeMf9QzR9eUMSmgMoZZSpoV5KUha+o8TISS/PqejZdHF5q/0iheSXagg8lK+XIrUQQWT
Tzb7+TohPlA5F+GQ7eD8wA2PlkBUbQxH+gqeMiCYmoUTvDjWWaREAPhbmuSoRExenyrWZDkI9RMA
q6oye8FmYzfoatJxeHvyBeW8qmLp70+9sFPqlZDfUPuncJggb7OT40cBDEkvLqWRjxRQicGAJVFu
K24JR2jQT36O8bQbrCAQ6hy+BjGhvpGqFwqEblmod1fxGlTyi3M8DilWMKADWCa5SdkI9L7K8002
rvlptFHWPVKOuP/oSuILVZhQ2hYd2YULD1sAc931l9DxoTAkv8RFvMDIwpaEcyvhryaPO/nZmmGz
ddZP6+SAqcj9X67IBUBm/zMuQ/qk1YA4uC/+r6td3ODLvIsOK4zNijJ5kHvOz/alhJH2X7B24eOR
sROoysJNa08ZndCvIhcsvZe9851P5WewsryYLOaEgcBBQjdAp7L4QhGHTQ4qBwMc0bVdhok37VxD
ZHpPtvbIHBZfVJzx6xKvBNeyWeU2lITy5ACNpc0P1PAaVzW4LTCPyWCw7BT3mCSreIXgyQHPr0Xe
PVkDeKAMku/3Iunr18+8HEqxq+G6hSzlR6iBcyIw3ZcukCbrF/rX3+kBNBJwZWRDV6OAokCwr/QJ
UXYXS26rFe315eB+3oKySHUGGuZMHakg3H/WhYzLXWh2+4FqNA0GU9nXZbNaKlz4Nc43JlCFuPoS
XilELgO+mIc83f0Gi1T/VoU2HLL+xKx2ZtrN63QQRvJGamPET+slTobk1HibDEZMGJiBVK2p5PX/
0GOrJim/69qgSi3P3qoSHy6CtJGuM6/hIXixIUyCN4RjNtEMSeYQwzLYp7U6VNGShbzLdZvc4hwc
Fv9gfVAO8BZ48yQW9+gbtr+COK43sJeOdPNABcS9HIQAtMCFL8ekzAiOQFuD59euzoTi1nxurqdg
U8beT6Zmz1R1Fun7lAZ1kY1VXAM9RwXYEhQX9P+Gggz9wBu14n3sVHf3+WN4RftmRqaqYgZzWJFo
40Fo0a+GCllpGAZ9PIi2GXvcVBUKgSJ+JSb5tvQaciZChj/WBfBBHyKZ64EV6oFh3DSaAbQ4eN9Y
ixxpzQ6Xg/AgWKrk96/b1VaeLIJ5FjpUTaYSAfXHqGwD01QssCP7i53lllXZJf6BQihGS5eb03Jd
5+0QMJ84OXoODK9cEaNMGX2IZH2L0ADqxhMdJC6aioZ4Eo7WX+RnHcrNiXDJGwVActlpaR+wODW0
cnivEkxDELZaNd1+y5ukXpIh8DU9Zu5YVUmFXD+zzO5PPzlwz9SUsk94IvAZyAfJkQaacuuYZitI
U1AKYTFENgLLDpDZmWoZQ6IVaGom1g9kEjzUAwOlzS648nQtE//CmzMe1kF24B98+4LoOi8TvU8o
5ze607Lm7vyCW+Ofbt3zZ0lu/rAn6FZLtxh0fENb0TpVqbcwwIhf6bd1gN00HXPUOweYuqhTk5R3
pw1aU4GBoQijwjqEHvixaW1xfQRpzBJB8GRoRtq+bdabuUeEv9DBCK+xtfK8J5lR043uZibqvnmu
WJUb05TpjV2Az/ng1muZ9MqQxDElCvNbJ3JqrUrDS+qsIg6Iy1AYdUcB0Q3IzwpZZQQeTMnxwz69
qbg0r+Yx5bXjCGq1qsyvoYtgglW/S8lyRzSIx25q28bLnyqWdplSepnfgGq6/KkwaGtVnaPtImaS
bYzUhWho0p3stbxeH/qavMUkixhYxEono97gByObuBvDbNM5oLdDXoO/XOUkCVhcsUdJ4SzHVfW/
e2Nw34QsMyaEWmRFrt5GBifdyd/Z0oYy7GGJTcPSU6+WScT3VtYNxYlR3Xl2cH6I2tABCWn2F1gg
7WpLcuQXcHZGI+dsUJXBiArL2Zp4bnDb/tbgXkU7H3yLJgDRHGgw78mQ6o7Ouk0aguyAvzRTqRZQ
C6oXC43o6dZwpXd3bEF3hy3GLt9PnyDU2LN4udxTOIGII6YvCQuUXR9KCS5zXtLVeQFvjh4Np+Zn
tC5WecSVsTiNonyDJCbfdjFkE10UxWwrumjI0LyjG4Y3z3sPiQ/ZcTB0B31FSGVJd7mDmzJFxyxw
dd/+K+huc9q98tHhc39CQCfwYJsL0skIHs5sSOZaW/R7WUSD+ypHN0Kvjh5KpSJCsOeyWNMvxgnc
ejcb2LC9EmpKAZndJei5DIJlPgfX5B3VibHmeG1j30l+k8x1tW5cPR8nI7cvzY+ZP+jvjz6b+BY6
A6zaxNHUZodQ6ylgS0ebCG7jWnXQpyHqVI7vUkgB6Pgray6yrt6qq+C4BuSAwkPEq5KPyDS7eFl7
15uPEs/NmlEKE2/au5O727lCD+IHWTdInU8nMKYtAD3V/kg966bHsGjZ2uOVwAGWggaNiqCeFdOc
muPSjVArUwt8GtEz3W+PkJTOeLXW7klWv+J+lwjjiT6QmHoPegdS5GnVRkD1upY3STW4vCGczY42
M+wLtmoWaYokxID8gh5tUC2v4lcBeMfPZ24q3lVXjx0fw/Ovew5kPRh4QdPB2ND+aOYTYWzWmI4S
WxfBZjqW09m61VokAEJIg4OdIwz4/sJINFzPR8KV+9KMYOxMVX1JrnS/oO7IgagucgiurwHrxQAC
j5MWKcKDaQvUQawhz20kWxyQAcljQtJzuY9GjwdtsGEsP6TAGI6DMPbZn20FprEcJragbL9144d6
z56fS4cYuICDOslLsmE62MLTIm7qvAK9mTWI6A13hX3VJU+KdvTOoDfiK5aACWJU8I4c+XpZ1x04
ayL783xNGpDp0jFGd6XUFyqF+f4HJRYNMtZYgaQuGjzkwIkwnxpu7BTAVwfDVBAwBD7XaSgTVGnX
idKUUBUU1ayPS6YWCfjsFtuysrP6vCB0i1hdeM8W1t+OpsCk499G3U88rlLgMLDAk2ZqWFa1M/by
JHDSWrNDSijIPQ6jGn78pGzJ8xCKzCRgUPbuSri0u+UfFWkBfkfjo/lR5pt2aTTpMg2Dn4TWw97N
asDcfucgtWX/9ciJwXlb58+vOCGnXVWaY9LJAJqFRIuzyuJCaZUkoAIpAAjQeufl7FvnfUoyrzV7
2KwCcUCUF/QCHtByRifOqJNhVoi7bAWD9Bzvm1Ztzqjvy3kVjWxlefzxndnsVMjdkNEIDH/NFyoN
r16sD307CDd9asr0smIvDy0iJzkFq3TCXYwEDA5eTfr6NpZxEAYetBaQ03ATh66aXvKEwD3H4XBb
w6UlviBPY7HLdXcB2zvhFuULYg2Wb/kU6XotY4+fIU1ZOiL1AAS5Ia8HtYUvSezqiKNxsgLqj0r0
6E0JEZOxZeshDugfyP6u45dtRGqc+H7+GfZUItl3XDFxSj89/tWZlwz/w1XIOIMAKrYe/kJ5NJgy
ikTCbe/GPei1LZF3dkWNJgF6QgGwU+9Zb7dzcpZJ9iZJinf7S74LdvizxZ5WdbndgeTP3hVJTmB8
mODBYN+DjMeTbjplAmIk6RasSe4NeQgLVKjMSIYD2ixF2ZaMe1fH51pWSVkGVPhqMJl7Hvl1Snyy
DvZC/vFZv4IVBXiPY0FtTfGUgPH+iEEXWl5PPOfaboJf0hBAQgUvqep8J/yjg99ctAhv12pbv3ZR
ZfMIU1bBB5q9y9J5xk2B3glA4mzVBOWrvGZXwynDTwuL3brrAlWgNGKU16rhGccg5QP9cXblQPPC
dw61yquMunf+IwJ1SeZYZj0ZTMB/pG7IuSc12DSA9svTAUOg6/Uf9H+vDCSEbMZ3aBu2nm/k5tW0
qImO0A+LWhPQmRJoG63hMAoSy83u2PRJZUrqiERjWiOU/wWz7mdzn9Zy9Sl08O9Xkm+1nNQSxvgg
YPV+DmjkN3FnNzKgs+q1/jCAMC6r+91J83XzeVm92gkrjktOCb5gQcPqqDFX1lFmmZfxHiVinsJ1
VCYVHXuVE8JetGO+icN2qCVLRkBEr73AgeX2xd5bLEB/kDo6uwrWd4ESyNJ5aUtQ8CeUc+qnp0DB
/m0m7byjVQ+O4t5M3pgMx5NN7ICigpY64N0Dk+NyQEiDdItfPdFymQQUjmnqHbK6or3mQ/LfoqQp
VUMht8iI2MzAmWjNYJNO0p6i6f7uP/OTJgzBjEpwPv2NUCVFnw1QnSaARqYfB2ZXzRGc52kNU67w
oZjaTbsHzESdQug7HanG6n7HmZyIzjXyiOI7iHkxPhrLox7UDBPNlXZqx246U5sKvbGY+bWxQcuw
isjA8yM2RuK4xOplm/lxs/vS1A4UegbD26dDqLpS1DkSk5cTKl22Y2JEIEcohDOZffN3aKDDes8U
eByis6PBSonUvsq+9Ilu8ghWhp1oAG2Uksh4o/7MVee2NemivDqgWxzlFXpjz/pfg+Bny2UVjXKw
z+vM8zAr+Mv6hG6LBxdnUvyJSAMyaPfNTRpz5CVd0SCO7XTGnhwqZs+dKrJ5XqwuuEsEgd1Gro07
m1SXlee0r4GmtSzVWWE5LA8nC3uhYxBSDdBdx5aZq6LBvr7orsqyw2tpdjGc8Suk1CwXT+unEyCi
xR77mWYckH2DvMGyuJLSBN6HrvjebXmxEkSGi0iNYlAjel0mIfAU154T5hD7i6KPMyy9yW7tCE8W
LV1jAz+SDsO6lOtzXHSIG9JyobzOz/1lwn1HVkEYn/bmKCSmOCOof3BEzbd/VZ1A6/IMnVedO5BM
KpyeQQ5MV5ckYAxg4hUHhtq460w06VOuPortpbjL/zP8HeefZpYqh5IBwph0CahvRCUU2XwtGbYF
8gKVKBFLZ1ePLkAUIpdepou0WM3qBK2uZafPZLI/ua7NYj/GLW4Wq87rZBJirusmqYL5uJa+vtxf
GoT442rZv5q5lt6JwlDJSswqax0CAwSxPqhv2UPcw/U0x7caVO7UyOz4yzVfAlo3AAbu+fiHagxD
oSjK3Mi4l9fDYzxwIFFRddXFqVqmJrfq7WX3Qs8ElIFF++p04/EL14P2RUEC65aMRvfj44W0kOxx
6vr/gP7y9syHt/gvorvIB7QrdWQMgPOP01aNk8HU32l5f3woJ76j8i9dMrm0XfcahrWnRZxuXlhm
S7qmoN5s72zxt0mnwA84gU4LwvIJpKk1BDaMkRSUxsdZXy7vyjHxF9vhHQBp6Q0s85MPR+dBVvPd
pEK1waKmR6XWOnSWiBmq6qDiyluQJagxMs5gyLTMDfTZjL0kAxXUQHhZo4bLsgmm/7IP165GFpAv
ksfMw7D+ce+04215CTbi8v1i55yqywGAy+72ih2AXErBg65pYO3mCNpGbARuyHNe8UYy8PZlFaFL
EbZq7K8mLiRzYzlQjkYoaz4dGr8TxjKB/ZepUkR35bdxqWqyqSYB/iLeHu/P0WNTuM8z4ulZErxV
ENvAPO6wQGT4UdGYLPKYkCmKA8MxI2WWJYD3INIUx1BuwVD7CetBr0SF0Hd7LeEGbgKkDe0Xq6BK
fGPGK2vDSLJxePYHC4u7dcihoWwVBUU30Ks29DlA26idXX42wmJHPl/FewD6dFyCq69PIJteij7/
cSE/g9+xi4vIjZqx3GgVXP4/XdWDCxA6movPMokBfdreayL/A6JoLnIlWvjCFCLNFuvYjNKvqZES
tNGR+s6L2ohpfCUb4E8m53/kz8p6Ds0V56LFGXzTzGmZemmoRKPHgknTnBpbMxAIBLmckx9qIVK1
1CMAf4nmos2Oi+p+RaPfxO6xjlnYi5VPlRxwRZxqi27xoW559D/x6NfcXjQu9aSYEosuzoH0SzzY
BNGkJXTcGEslYfX0r93zQp3pVE+N8S5w6/fi7SJ7mfkjyKHuqswRiqBjwikAwoMHnQ/4+r7YOG/e
1ueMOpSQ+0DeuVzszQyY3r78RAbCsvAwxrO8nOEqwTRStSNthlwvTS3hfDb6EtRULZr0FBS9zu4Z
a4eEw4NQcuM7+bSB0pfQ693dIVwyqyUp5EZQbBo4u8vQRDy4ysMsPNy57qLYO3bcjZgQcTtOzKBn
UPlcAvcYMMUkeNy9f1V7Hn3LRb5UL71WLJyS60OTOgQoFPhlvzAbltpVYpfFQaND3aaXGllXjIer
CaQgWVqg+XjmdzZG4VbBM14FVYjMUe+GMlbTv8OciXSZ+QFOoSC130Fp/QC5+6svltvMfLRgj44S
fKpaiYHZhlmtVjfcN9vR1QkXLDTQxF+jg5gKkKVZHuVYbjkBTmu+rP6aGJKhoqCNrvhtZP3N8It8
j2klc9ZbKhmFmqK+3JUu/tQVgre5AMeKzpAiUNE5CoefJ3qo66/gWe/f474RiqtyJatsGyc1hWBS
gm0tvFPhKWyyH2vvd/ZEFyHGahp9AlSwcvqZpeXXPdIBrYzrs+/JfZ6DwdLMlsmR37UZpYTGOxMW
PFfaynp49skACsAblcerprT9YQ+K4tuDxa22hZg+WW0muRYRhmGzo46OEiv+86NV2a5z/e10MECP
MG4aCBl2BsmyxyopOgA1VNo2R8A3phUqqbahxbhKfOXD/mbENRDDXELJgTxKMvHD3svW1Lr8F70M
RkOGScrsIrheTmTzc1aOzN9OoGs2G7AaYEWTKfAn3MLjPVWAcuX0gP/sRZaWtx6DflCkI/5VeJFz
b11+R+BPl1PtSuPbkVU3tvvzvhUVWebHIYoLGUF49ew1l/tG+CvTtGjAVprtlF3606Kte05QTNH6
z9ATS8b/4wxxyh7qHvzkjDyGaEh8p04Z3GRXwsBui3y+Bi6eJp3Gu7xk/1LsQePY2LONKcjknsY3
CFnHPBWElcHkpoILbsNt/4xwi7xh+iAix+OXlgmZ5/HQsaCF0qPnDbsQEWemnQUpsvwXYBYKxanJ
K7BJ51wWOOBxXQHC31qcQmhw+0xUeUkMEI8wzTEbvS/IQYVxzwITo/dKgTuFmavxIy+ULJABg1uh
0RAS0O8n/M0NLvDbIPQkfPi3TptiEM7/ypmH8F4ynk/37QE/p/Co4UqXA+n0RzDUYCTQDZRj5L64
bKHAv5amwn2suU9xcREuLPZLOHO9Ggx+eO4cK9qOD027YTnWZqRtclG7KzBW7f3rjsJQmIdzBpf4
a/DPN9RLNeHzxbQ3Jd80dwC/B/2T8q2TN0wY9WwJc3X8V3cCR51UWaCQhXRXvnt8Q/bEV/uFF0AJ
aoOljbpyeRjVVl4l11dHR9PisTuzqNUT5pMgacABXARdn/jRuJs4O301r2ySUAKMruTCHEgBF16a
LrIvJSwlXlEgS58aj41smH+3nOqYwHZgrg4NI74qiJKgKw5N6dzPRlEq7pyQN2mx8Tr0h2vrLOAV
TKw5Zxi+Ns5gkv3IprXA05hfa+i00NZjP5RNfpz7D/n36Y0dgqlbyC4GTHMaAy4ONfTFxoVjM9eH
HY0njmG97+RB1zaeKM9S2XEANsi5yOR+TW5q1NYR0B15kOeewjvBCtah6CYZXFLm8S4MtzUEREr3
5vmLS2+a8RnGZxzs8CuQ/fRo64yJz8DJqYkWdiJ350HLQamyV6PUVL9wS/a1s+9SQPB82XFYEFAC
FkrSHxVyqscinOZIVnVB2lsmvABNSEbcDK0PlsPTjaauipwVxxXOx3h1OrqbwUaNi2UC7FQC8HK2
qIKwNL5Nn7+TdJRDJDnGgZ5KYRRfQfOvP1AAlv6IKCWGHdSgVtYDsniPM/EMYOo0KWE6EwPF099/
UEBLFtxTue/DuTYXPMy5m1SPmajDuHoGJ3dHBBt9rAhm2gAtlOYdxcmzknx/IyynvZ+0wdb6H8qT
ZAlMcsqkjWbYg4ZoMCn4o0aFEJCXL4YQUO9wILAwgMr3K2BM2mpfs0njMQBKunb/WLvlAptV1d4N
0Nk3vpFqdqu1uj4omSEEBgdFQUu5JpYTrK13ssOo8CTNFjg7nOmaOzLwm7yCL7UkYjMe1W+HiOnX
Sy2vbQR7WbcxtUxTDxeHPs40VS+MsmQO7y539yLcHkFIsb4fCrhnrZ36EPZ8QjKzEEv0QTOUxU9r
GMxr/ot3F6PB0KhnpxYjgRJV3w5r3xVi4yyUOrOG25FgOvszoIBvAvevLn6HmDLkHkfXfBMblTy/
NtaJ/Q6eU9/puZoejoZKB9rXGHkYmRiXonGNMbZL++e598u/pyPvYhYiF21ZK6Gkhsom487GTmB9
R+B4hjYyCjXsBtykJAIzbzut+K3l+qj25JhPaZQgIuGL67N8F/zhhDzF8lRF/l/clH4+bjUBKRxO
BkP027QO/9D02HoH4CgLsvOQW5KTdo0BnHSsr6OkWDhxVnKhbzleGhbcZBkzRkYTczFgCHMZSRnR
QigTpHjAfLmYL5ZJNLd+PZih0Wfkprj3lVjKNcfD6mtCP0tpsoIWbo7farRjWpW8ODCV59djVHwx
GrmiDu26gzLlRBsZrIhX8N/ZLn1KM2P5uGuGJ1sHyd8VPgNnS/Iy/ZoJ9A0pFPXe6a8g+/74SJxd
Erp/2t8HdfxzZq2viOB3fKDeK2JqCpf3OCCw9BSozIF2qPG+6aTsdNHKE1qmP5tZH4rIGOO/TVV1
mnQpW60N8OP79elN61ZSwjQtGEzLwNk2FdwM53iNydsf/kjF5oZoMa7sKqghloMb17lrkpPy2sIz
NMg3rTdUkAH/evqTZMfMM9wb+lAj0yc+/7t8bW0Sg7R7xDbryzaEi1vvKZH02DM1yAwusb5Y/6qi
w5p9KYcedKhNUcx2PzZh630+7232psIWx2frmNcanvEFgBrW+n80paSAJRJY1Ejdyeahtl1H6JNQ
/bTp0dS9nshNqJBIPuu+WSfSfSWOz2qTdFPDx81XLZW6otGAdu6n9dCa9l2KGzRW+tnWTYCaP3tP
0uKZgisATgbyLkdqJV6yblkmC+B8HMcl1dhe6QVsuW/NgxuNe+POBCd1mW4Z84NCUXwNc0yotgWI
Ts95pwIfXO/38ZI6PUhYJm9d8iBpK7Ty12j5ZjNzXL+fId5c/1DwaXaDy4j8blVsaFY0mliXvkfR
kMgFtW0CCUGgy2KeWl9Z16MNoK+wpSTK7FP+9ppkA2h5bH/ikH6kHADgnVcONMu9AgFH6wXIE1rj
/rVKni1Olwq6Cq55wgonzMjUvvZlg+HUObYiNUt0KJxetwL6wEeiRnS/LQm/bshnb9BoTsoCE3JU
UlEzEQEi2j+3YmMwFGDKdVggW3yaErA3jtDGhjgWK0ki7CfmWyIzI7ZRUy7HjpE8IZbEFpK71+CQ
f0ip5wHub7NSJJeMQr48pBEHMk+5r1IQApDiDqvNipWxXWlbbN7kefrU7/JqOOhkDFU9k0+Eok6c
kNn+ocUj8In9bgrsqvwnLUUwM9gGqjVUnFE5LBcfxe0pAABjsJR4rIfJHbF/odrcu2bkV7aY4lRH
YtHl3CAv9myYdcz6zoivzyAFLPqAPAXypXr7DjjZEr3lGRT8Q8lIABceAfLQvADVkREBCrArREe3
2tUGeRZ4o6NjBAdkAaoEUuZSaWenTKBrrkOLFjzIbpQsAdviaqGTfNwAOpdfFS0hJ1HNQDRYAtvq
N6gERuUxX+ZlhrVniGuVPFgh7uSZUg+Dy1lxd/KOWokLXiuBEG6z+exwHLtIAw/ZTxhkiAEr/rda
p5zYyR42v1BopoZITU4a3NxLtmLfBBIVsc7GRJw0sz4BflqVR3L8YPBvL/tsbhhXHh3Zg7K4sjQB
iV48dUX1ahq6KtxdVrVuavAeFSWDZL2JTBY1wtPvoQmztzP713nnJezerwB8N4mruxiiGUUw6tt7
bIERoIwfQr00hyhaNU4upDL8FbzT1rtPug3NzUWGE6xXLK0jwTXpRKYpiNqWpud6QUMkqiX0Gels
LjEODhYdPoqQPwyScyybwOzV9hSaq4ufNjLWYFCpCVJaWsxvslp3hwpZpyekUUbEWxUWHM3Dl5fH
cQHo6tmWZEx/P09TZCgo/cPH2pavfoFddCaplsAZ7dKnwgMWkb6Fe2N2EmnIk9tBKXNbi6yo1wP8
PrD22AmKGtahr1HoBEHXEoKuAc6ETzTGWgcvxWYRrCJlTik/VqGv9J8AsWxX00MZkkwrKB5WjAf6
MQXtMH0j2mCOf763r8rWqQ0X6UBgA5GtRbcTFT6Yx4ZjmjzEVtbpNuld4Z1FmspQXMpa9nRGtj6+
4SPvCe469WjN6Yx0E0BKGKeX00TZZ4EPlsLDkohG/zp73LWDScnoqpgw1DpuIMRaMd0phPjPV68o
1cF6t0NUrXbR/uxTmhjwNDNxa4/Qy7Y9LQtSKQuCzFzrC/IyxDTiMsqGzTpzlIAKBb1cs+VREjv6
d2jDKPdXEssilWKEuS8BhzJjbHYisqRZcFaQLk2sVrUbjKEN65tL+lp+Iv2R8T+Fu9GY0MYGDYwl
8PivYcDv2YeFtvCuAW6h99qxYaJXV0eaZ9PFaZ0gT1Z/awklZ/m9Qc7CXa9s1CNPj2V4ykv/hGNO
pPOIPrxYCpTV/32Bl0AKn9Xzx4z+hM9tvUynKxo8zAHr1Z8WuyKBFVQ6TfVDeYTH08nV35PK/qH1
Y73xP1S2+5KnCu8/sNhCXlEV8qfVGgSK0RyzzEP4iedsMKuQC65P4hEiBkS2ZpKVoe75AhbXmHu0
S2cgoP2GJMxrjTaByD7fsMOWgPN/HW9IEHMq/I1J6Uv+8r9Agysj8Se/ch4IZSZhQ2vP1MpfuSxP
7di32yjeMNbTbyoU+Nim1d8W9aJ2Dh7LeViz8uSdEWto9PJTOpcsJwETlz0p2jaupxNrHIxfTttC
9FiiaMWeHctOfXvSnMUo623yDGHfWW3PvPP/e5SOf7R/2zTJ2lz8OROLGAw4T0p9vBn8wqLK3AO4
ACt2UnFmG81WcI08a5opw+5B7a3T9JqsD05cQS6ExD8fs/eDohrgM/+t7FsUoFe+QHyxTuBy5FC0
BeB91/kd0N/tEfbc9WDF1COAXvSaAjDbYwY8gqCK7aupoJTeWaEyhjKkLRrvaBiljvS+CukR277N
V3z2TGer65EbC52TH6UYv6+b+yCZtqtJzDXVP9/+GSJyJfKrK/6NviAOo6fwAldFAI+LQAUGDXpl
pMK6iNoVYOEfk/ldaT69psSlOrFTRtHJkdKIzZsEUewMjp8Kct6SFO+9kTcCyrGi7dL8TOyEqD8u
P4aIYj8mtmGefoNzU60ieXKGLW+ePpSkDSiiGN5jTQvD20y2oAazI4/W0/OYy+4DMntiNYHTf1O8
4lZMu39VecMApeKe50paljkVfE2ikjjfqr4zi/CO8+Vjfr8ZyBhoLw9An55CfpLDLJylmPgbE8Sb
FvX9zivCJ1wNAXQYUMDJ+9dLdhg4+APi7rjasOHeb+MBlUbDah3Zhdxf/vchfjzOX7iEoq/FsMNb
6RxjSd1OzlK6m9j6z8kBOIxJeUiLqDbfLBByxcgOANXzT+HNGCJXKlCaxUo0aP9CP/GJ+ZbLRx3N
jhHbHBcgzGdPWFKGQOOBaSEqis+cwp4qnRR/PIi5x/m2ZnDQzdDHJmfkSapxHIdFUs1fRlJLznM0
NEFTOw56Mw/XNptVfvG23Zkodqk8k30dNs2JetpYWB40yo/tuwFonrNLnvke22GaModhlKI9lwkC
Vs60wFNBFYhQ5FutkLwe+URDgqQpEjNLqj9jQNHkGxSx3Bp7q8e53ercXu4SncDMv4GFUy42IA07
/JmM0TiXeBZoZKl7krSqoZ61/nCFcxfLETMHPVb8b2Qgqmm8mWOTdOMwGh7UwTNHWkwHQScmSfaH
3VstfsDG0PFlIZsLwvrllw0Hs7XA7YyYgxzYN4k6Qw7GyLlE1G822o1t6tTDaYKi2iqYiROKvLIo
8esIM5rcf3NrY46hVU7rGofHSCL6VVKpW5Ya8CBdbJY8oFg0/Wth5x493mHcInrdqa5r4hwOYcx3
A4ooccnqV7sGy8P0ZrlZnq1L01YnuiUwDuuaASDLt6+YpGkpGq1zkuI2Wsv8HotuWJJaHthGLDe6
Fh8jzI2/5zKir1GuyXXBXxcSY5KnO+zOnC7GWA0VfnTVQnKGB5MzsEmaAAfklFdukU+iEdpU06Z1
HPNqYUh1EOE6IFq/pmsQ7TCvcVqjpSUe+VeJSfhXDJ24f/WM6tPssPytjoIo+o7Mbx+88uQnjQjn
wrYPiM7lCW7PNQ/wNlzeLEEZMQYPwngpptGDa9hziw7D6IgJiEBMwEpOvbv00+JGR23dja3Wy3na
l6ZF0udCgLLUepfSCTqL+/EWuJT/xUy2HOvwexBojT9eu/V533/tCis+gZC+3eEBOaGjJ+fVz2Iv
UlIgSQU4Va+izLk59vJ6yOXdIwJrZJlUp1z9TYaO6MjBhJLHkPxWRtbzNRBY4N9O+UG1ARNTRbRq
GwEbWwsPb5aw7LH67ppnJhzbT9IMr3jdpyp0norDsXkwov0PQgarptYuDB7zJ8VsbaEGTZGH+lyz
RReKdpAWPaXQAQFtUVBD5ahKolMVphB1NdSWufVFfx2YJVjD8aELw8LalWfOzouXZXiUH7M9Exc3
rv3m7Ne4SkAInxNDyHRZ/WxWKWjiKfXwjBYQ52ztuw0UaAwFaGD0fTJbcJfQ7wNO3Ag0fTsrkzw4
5OTKY1tqxwXnHYG+UyT0cC4rhml+7RU+fm4fCt/z2Y0VhdXRAAebq5BtEUuEDvK7qhg3VYvbOHyR
6fvWsuYBKK86sFuTdmfYom8orwfi8Ewzf+Z2+5SuSXO9LM+vyXguJfu2tmD0ufQSY7X9Nkv5a9/l
y6UdihmT4ol6SuD5SZvvi4Tu3in/K9CnyLjKKEBiaqp44+2PCtrukOhbfmORld9C3WNqNlmJVDjW
jdzNWdeQ51RzGoipV89VqI2DgtGql7huwgr/IbJMmSLA0YlOBFUSO8IsytrRiYvkxfeS74FK5Rnp
XK7Ld4i9CjfB6PXKap5OTA3B6V3FIo+Rzs987HnouOn+lEcO3VN04+xvfgEh1jlqTYKC5hWERw4y
RvRB18Z7LDg08oxRvNEQy3aAicTeP3tbae+SXQM3H3YaNKTgdwkJjiRtbCdM9VqGG8vDFT2MTgjl
aTZdVOlzUZwSzGqFOeboyDGPYoU5bR2RLe//EbRi+IPueKCtU0itotYn62pvO9KCqDD8A/xv9QBd
McQXUfcetdgnp5uf/+mPe+LmN5Ugo5+6Nly40esH0V/t08DcOW1d63watgJ1dr139KwhSfM5Qw2J
kTIOsq7V8swPrgjL+L3byNA+WgAL1leX0iID8mFub3m+/16xvS2dA3gY0kL3XmErRRi32J8jTDlt
Z+7JSlKNVU5GFtvvs5UeRbSBIrYn3/gfw2jJQSN1whzwelL4FRJUrJU7YpDU4xx+3WDY4UvoP9e4
VXSW9yqnAB0+ITu9xbsGEdxFYwt2yJqYhrQboRWU/wMPg7dju1kSxljFWBILUCkNBSBFzfuZANeF
AbSt/gaikZxCAt/eQcqSIV5A713CZD7cufKedsIxguAPsUfxLe0XAUCoerq03j1OnJfiqF4qrxjm
vYAzw3iTUfVAzy8h+yHFd6LNaCASxVqjHarESfieDiznURWsJrfoGChaEYDY1v1q9u6COBKAxK2R
MNvEX7qbqqLwTWtgAmM54tUAL3xXASlBB+xeCa4KFemp6K3swVqxp5xGvv1yRqKE2OVqMj58OTwP
BDQyl4cyjzuMVGEq8NxLtE1epZKAol5N1QEKD4dkcuJhRz0AVn5mAgxttSRkZ765SvI8XnIBGGIY
hsIoc49EbieuHufd2DhuvhoEImraAXsSJXk48Kv2MMQfrfw9dFIbrfW1SHnBZrhNl7heWDM1pVu8
R2zehSsXZIv6HOUwwnB9cRFcG51WOKaYNrd41cE9LEI9+3WOF21v/oBewpw4snEYu61UK51z0Epv
xf66TPgjJRQLLKwgdGOMMRDBoRZdRiKVZ2yhKx5j4cscQBiEsaLa3hU9UQnMzTVQJHYgzOTTfCZl
sensNDTHAGhtyn/6O4AxPYUZwaI/Q+sAfNHtf5toeNaDsjMcF4UI86xmB0WVzjuXQnnYyoRliWpw
TRVRgMbue0O3nQnuxuFU1ZkkjDHskQhuECS+8myOp2vgJpaVlKey4gZVU1DeUj10YyJL2/9Hz1jN
Pmi39EuBMfyZ/BLbK/Q+dz00H7Qhrd+Q8ekbxTTHyxpYG7cvLEn566t2VvuRDxiHtQZ0aaP4DDNK
W78GKlAAnK9nShNX8J74NI/Eh53wHvvFMcDFukokzjiFKg9ycGWXk3DWACZv2KETJGL8QVSAjO7D
xOcxytSivFTXUVjYSD1p8xb72BuKwyRy2KUkKaFctCnGjGP5NfJKIhkR6VPqMS1PYlx7NTWbb8aJ
5jczA9M0YAUrgaD1Ngfysq4NXq5lB6CHyRP2GaRFpakI1E/fPwsHaNCwfZnPSEslc427uMCaW3Io
kwM9FL91k4D2o+TkybdlDxl6a/k2gIIUumr6RnZtibdvKSe1bongzaNHV1mFklK7dUydek5p1uLO
LuS26myg2KMDr7+miOLmdKbB4MnOT54RxY/zH6T8SeDO9qJovu2IUuUtILxV6IipKfVWhV9xql+h
sV89DsA0kyDPE6Y8OyDo9bcfGHirBF2oPQVGhv7U3S0wM8xa62o0c0jKKzQ3f4e1/lTfWLW9zn2L
Y4k/42GflhO0Q/rCCoK7Ay1gWgEB7PE+8lSc2VxlJAVJ4OcjdPFXNWkt8HI5NQA4GDBa3eSlp1AZ
hptSdGdoFOUlWpCBqgmJVntPvifRDQl7QRVQDxwD5DT+ROC81LUf7loVJsVUMSLUzTkdmF937n37
j5gMBGwCO4DxYRDEkfyNGa+C7UT2IEu+/eQlA6Bvlmq15153maAjtS4pKNFSB/PJfZU4Hmn9jgFo
9HHyP9TBEjVIdakKxWOWxYlPfXtXAbq9LjhBG0vYMkx6VqS86dqdM0TCFvRilBtQcHIQ/z4VJJAH
ulmGFiazrVo9kBteaUgV4VTf3OM9UKcHtyykjbMy1LKyKWa0J74RW5InGozy5l8+/ZhjTUzAhBMq
In8au4Au3wZ4RVH6RJZ91VWAmE830eDPrHMmz45uRqQ/+wkOqseuoDuZsTcO7IU1dowGqq+Yl+lk
faXQrAm2Qk1R9fzrxy25A/GW+HbAbLbdBa3TQvR2kZYaAJ20mownYYCnP6PgW95bSkwcIOc20edc
4t2p7/+SrcdwoXC7Dnfky9S7feuT+A6Sad64OhMG3jYzxyUnjXiQU1NvWFLSDg2rbqRuxcL7Cb+f
r7ECF15LdEMrmOMxPnwXUKo5K8aF4Thdp0PltSREH08OvuYHiWxJioVciK9sC6IPQnAnxGc+L+tt
Huy8SkfWJ/LSY5yBA2znAaOf9jeJmQwdSvy4VoXQDQ0PSVakEtIN2WOsFsPjxPeshx4qAB5KlON9
1ila0Mks3RnzMWSHmkylEUjSHW40ErWEHay9lrkMvciH0kOAWerc/sG6gKO5QfDJDOEZAHrwJQVv
0Aa/f3fYjBzF0Anv+PD7qYw7X2LHAXT9MBHrCZ09oz9ouvljIOx2EY6WmWdLSNFWgX2PHDu/n1tz
OBoAXhe8Rb2b2CzTs+b5kc65OLr0iGFbtEqpA34e7hYUfQkl0m+zeHPFDHQdGDXoc8KejtOa+nkN
Wd8BK70hQdqViCQt4GQsGR9VbIbKRZDc0dSquiXdxb64cYiHaI945bK8Ez3v22ggGG3UxcLN4h9c
2Vn1S0t3KYBh+tf/mD5opbOMv2/P55wc8s3flflfmG3Wzvqvy6kUST+mQzb/lhvYmyXhaWVqwhuK
F4pRpJptYA073VNZeUdNl1SijIGF728U889XMDqJwbcxZVMEx+qZIoQcwcfy7P6qfIITqe03SbD7
KI69f1zbk1JlnIG9AeN/4e7DOTD4SAPzAE3RLyOsPBXLi+8IOggbBaLt6vjwC9OKdEt1oLBdz0nx
4bULcT30NKBTtljmdmWtZjvAFXBRIOlE/MfJBpyvEmoR+FTarnqeHHvp1gDOP6A7gv62FFrrKz1g
ev6Nowrt2PLjflOEhiCSqQq9U6ObQWcfKlxiGgf83mx4i3vYL+C4CpQhvCC1Ng6Dao++o/Mc1BVM
5p9bnIeLDYEx1sJoCJApMP9qU1lXzlV76aSOUeoXpk7ww6ysgZKVkgJItc4RHZKYcANtFlhcH4c+
GutaRaSJQBzGzKUuZm/7rZYMl2bcxVBhZNWANQCXqWMUdPlvjFPL+1QBagxMjS3rhB1XI7VevzoN
f7t/JilWvw4j1iCyqUkqKPQzmDuCMIn8uDvBvDWKreXIrxmPLescZxQBbv6Q6M4CqIaCAfxugcCO
gI3+VSkyhuK6Q1/GaDwd1oxzcZIwdUXdfb6hu5HB8qO1c3ze+g8OXKJd1hL6TY23NDb2y1CE9Eo9
chjDr44AGwYXTO4657WgQaMvOLv2n1SbFihsUet2Wxs98WL93pPr+TE8Kkn17RJp9UADd9v5VEzV
vD7TmtAZtDiSR7hU/pluC5tFh+YVQl481A7aUHfB0fwLJWETMOrulhmiiGNB8R0Q7xJbyZzz7plg
QSwRYhg/7I8mRzBhu1cuQDk13ef28KpqMMQMOG+te57iB/e4a1mbNufHC/27ata506xKaoeeLSdh
F1JlmaZYbaWjnbweMcrTDGur/wdHrzTKu5zvEpwAfqoWiNnoHBhy59RG+k/0ZoKN1GCdNcfw9b35
mTcER5a/qMvnPWXpgtLpCwN5YE2q1XlTT8CoWcalXtqrdeiA08G05WK+OV+iqAGMQRnKnfzSlPKa
SDOhM1OgOldrjG/zehfhapxn1FYMi5GVC0/9fBUZnlYfAlcK+MMaKP0ebkJLd/065y43h+Z3/wzO
86TrB6cLmxVAWqmj0MO8NKhY5XGn4yoyeo6PAb5lwg8iRa+dIFHsE9JdKFiISsa9dfiAlPQNnvTA
G3rXP9IZsz2tDCuZYgob77LUcvT21Ss552xPNNmD1Kz3WDq+5dJYFpDeeDr20qT9Z1WN1297V0FI
RYRkF8iiLexZRl09GShO98k9Rf3Fiv6Xo3RBCDrTzoIgGMfmril+kqCqtMspWiSWOcnelMqBHyC1
MigI26UkujKfrFbj5yfqquqzdhXZ9Jtv7PAI3nNk3KYMV4QIWJRhapfPhfn0B/rVud9pEibLhqfw
/QbLUo+wz9bjn8da8zF/vsds1AGRavYd2hT6aO7GfMLLulpSsih9KQ7D21/FvmjE6Gh93HywzBHD
4yktps/D/+s6T3OfofkYBxFX7J+MubmP8Zi7lg/fpuslmEgZTzJVOh9OTkmAyimoLXhNLPneJB1A
tB9vBsztg4hg0waphZCYcJh71BKtk6sYnUFRdARiHt/jKaQOvwUddsjKjNzHfZNhUyrT+J+dGCkD
rvEzkGIqsVUoTrrAekzuATymQvsdJioYbqjhHYJgumM2Uegv1v525tiuK4Nr4QP84oA6xTHd7sct
PP5yhYA0TgwBlMylvo4ZGKWpv3t4hyf1MtQ1TOvZ1B5IaQLYkbd5Nmchu3rBNU8cL7hEVgw1NxaW
EewZ9mMERv6vX34fl/jZu1OxIDt9KwtdrYEWeEPBE60V1zwAVGS5GfNQe3pjHgtrAxiELy3zeWeA
KWNOqwAmBLWmgq4SlJ4Yw8H4mh5nfMcgYDlvgMbrAjl1Cf905skzUw0IVM1zKcWn3J/aeQRqkIZA
jwkoWO3H0skVOqhWiJwLMLsIk9JWhuS0ftrMZemhb/GCryRLPrNQf+vNwYd4BbJmFAntlLzkKu3S
WVQi9BpRXcrUewT1txhiIafxdUvtS9GW9nnT2EfyCsWMYzhSwwLDMAQeVdiY9yGKJ5iXukD/QcI9
TzmFAktbqWATNIpM2p4Mag0UVf/jyns+FtdEh+o7lRv/subaojV09ZeVZUTuYza1qveSIp+FPEu6
rALCnJvF59rNC2mh2az7y900tc095WRPc/XdFCY1PmxlCUXuO8U4s24aF7iYhvwNXCrLW+KPwxqP
E/a0HRekE5ydclXuTzEVN29784QE5QWifckSqG9Hw4HNG0a4yEHSMtaAYouVaayK5f/nxKRoHpGi
3R6xRT1AzIf1e7pATUfXyypJEE1YCin2m9Umq/hZXO5LqJW6DgkZVIA5aKm+NycHsJrOoYV7K3mr
MPOfnf2USEgZLByEhVp6buESSGW4/Wj0aI55TqZAiD7rlLFw9BELrTNQ3N3HSZNxpAPsK8Df9pi0
yrQOmH46l7ncw0UC9MhLp+xggYuutGyqnxaJWP2Q0pbkuH7Ig3gACHo5dZLEWP2SBo9evgJ7w1jq
E62nwGf4b4xesYev+Gl1gNbM5FBI97QoWwu28cDFZJ1MCMILP3Eqi8iJ5XjBBsX3fhyJfSfD1JFR
3BtEgndMvfsR84HBgchewKA37Yt//BhaSAVDR+VYZICv1Eemx2e/3Deuf2BVxrQyADOsd4lgM6bn
UM1C1+g9UfNuQrLYplzBEfI+MXqIUIQE1IL9h6/j6kvAE3ogLYSwtnFU+MnYsONEtfuTw2A4Sy5B
R/dhjLifbL96v91NSp79or3e/WxWUI9DNtpUVLuRQLoDxJ3Ha92rkxIhKjKiyfP/QFy2T/7l8Bhe
gAGxRyMLuKLv8eUwyxEO+rDQqnqq+LOFwbDLXPjvzXdbsM8W4SBsY6b2Lefr5R3isy1vguAxLSCO
O3NNvQOeXxPtj3tx7706DMOARZYgDrmD15okzknyBaiopOPx/JsOliUMOjUt3RxBmry8MbCPg0g4
kP4LfbrvMkqO7ZEdtNOMxTHOXyfUyntor9LS9xztS9FjwO7ET4KdedjVjHOam4ucoFzjadL9b+aO
sHoy1QNp5O0J5Tg1yWsDdj3cFOsnZ9AjYgPOf9Oj/u6EXLjH61jZkBqq/PsZYclHCRo87qTdutfJ
uLSU2pN7Wia8pPXaoaaw7REGOVctIsNkgI7OoPv7XMZeG/KqXlr7zbySIFSW5PB2pg8LNsjcorv5
1M1s7wz45SEN4LxbynIoLAZM4F3hgPU6N4y43UPxhf0xePqbvVloy/lo81fFKA6D9e3E02t78c2t
2ik1VugIhW2AsrPltNI4fZTgyo7BSndAQAu2oFgpjKwCBu2l2M4wzJSJnK5uVqvmJWmMNmq1BwEY
Of0+XYtM1ms97qPFbQ/j+LfGHM48UQUvB6Ct+CLUm4Rw3WQfQicWBjAH6tvri4HuMUFa+XN+ZdIU
DlYfqSlPsDPdum38fMpc6ywwNSB71Lk5xl6ik6Nz750aYx6dtC70otKEhE0i41CW8/aDPkCrRwHp
DqHUoKIBMIFuPm2obdBVJjfTX36V23C8AsKF1EZbPJ3OGluAkEzzLM9DM2d5aNIKXe2KPbxd7HBU
pX2kOeM7z4ai7vlbrjnMSjHxfPFUPYbLEgwgWeypDdVvJ8g+LT4YT5MrWnJMIRoIp5fdT0Xx6Yj1
xBdr6tjqxUSc3QLXBHFAL5zzecHezUp5yvEka7tM9c+Ix4//D6wlmQwm9ltOaGUybm4luoDLHBvk
n4ow0zDU0yjaSclWqXFoU2aXsOKQxV5cQu9I/ZWhEUCrdnvUcUpq8OpDDhiRuoq1KuGqPco9f071
+FaKAQo1JmYuGHMvQur0lOn1mdO0tpoWtmDoRfzR2jrQJA8Cj5AZ/z9GEB+azhbRq3WN8bHopzBX
Arz8juZDoEHzTNreRWKi9JPyYFe9txY8C7dM7rWXWZfElh+xnJQW/PfB9ueCTuP+DMRqnFVDebVR
tY4wGwuDA9LMY3TC9WjB3y8D506oAyNhCWQQQl8HVqu80PARLmImtWnxNZdkkp24sz0/AVFKfmYk
Lj4zFY2U9H3DSzUKLO2pHZL/8C5KZCOFnEVSfo0/mI7mOsMzBiS400LwGZMsqGlo9csHFBh1o0Hi
Pea4DEeMCn5EC9B/q4l+KyoOGRJt4Ln9OCilEZBxyKMbuxrxTF03d+TbJLlOhOtZayRhcrXHMgIS
MKwaUwatbEzx5Swj+sUC0vwpMxb1hx0u6qgpxjWph+6JEILCRLkvUa7YfqVcG/TNYFHhhlNSv3ch
a0a+N82YF+BUkXCI9NoMdhIuhMcKKg3vN1ImL808ZlymsIyfdxC7F6vuGueyN6Gmz3K5zNCLuwqL
+i0cK9igCx/bZg0bINPgiQNvugfDAKOmDzZYfisgWQ==
`protect end_protected
| gpl-3.0 | 2d9bcb4ceaa6346121c7bf0f723094e8 | 0.955138 | 1.827806 | false | false | false | false |
Hyvok/HardHeat | src/hardheat_top.vhd | 1 | 7,904 | library ieee;
library work;
library altera;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.altera_pll_top_pkg.all;
use altera.altera_syn_attributes.all;
entity hardheat_top is
generic
(
-- Number of bits in time-to-digital converter
TDC_N : positive := 12;
-- Number of bitshifts to left for the filter proportional coefficient
FILT_P_SHIFT_N : integer := 0;
-- Number of bitshifts to right for the filter integral coefficient
FILT_I_SHIFT_N : integer := -5;
-- Initial output value from the filter
FILT_INIT_OUT_VAL : positive := 2**11 - 1;
-- Filter output offset
FILT_OUT_OFFSET : natural := 2**21;
-- Filter output value clamping limit
FILT_OUT_LIM : positive := 2**22;
-- Number of bits in the phase accumulator
ACCUM_BITS_N : positive := 32;
-- Number of bits in the tuning word for the phase accumulator
ACCUM_WORD_N : positive := 23;
-- Number of bits in the deadtime counter
DT_N : positive := 16;
-- Amount of deadtime in clock cycles
DT_VAL : natural := 100;
-- Number of bits in the lock detector "locked" counter
LD_LOCK_N : positive := 20;
-- Number of bits in the lock detector "unlocked" counter
LD_ULOCK_N : positive := 16;
-- Phase difference value under which we are considered to be locked
LD_LOCK_LIMIT : natural := 100;
-- Temperature conversion interval in clock cycles
TEMP_CONV_D : natural := 100000000;
-- Delay between conversion command and reading in clock cycles
TEMP_CONV_CMD_D : natural := 75000000;
-- Number of clock cycles for 1us delay for the 1-wire module
TEMP_OW_US_D : positive := 100;
-- Number of bits in the temperature PWM controller
TEMP_PWM_N : positive := 12;
-- Minimum PWM level (duty cycle)
TEMP_PWM_MIN_LVL : natural := 2**12 / 5;
-- Output maximum duty cycle on enable, measured in PWM cycles!
TEMP_PWM_EN_ON_D : natural := 100000;
-- Number of bitshifts to left for the PID-filter proportional coeff
TEMP_P_SHIFT_N : integer := 4;
-- Number of bitshifts to right for the PID-filter integral coeff
TEMP_I_SHIFT_N : integer := -11;
-- PID input offset applied to the temperature sensor output
TEMP_SETPOINT : integer := 320;
DEBOUNCE_D : natural := 1000000;
DEBOUNCE_FF_N : natural := 5
);
port
(
clk_in : in std_logic;
reset_in : in std_logic;
ref_in : in std_logic;
sig_in : in std_logic;
ow_in : in std_logic;
mod_lvl_in : in std_logic_vector(2 downto 0);
ow_out : out std_logic;
ow_pullup_out : out std_logic;
sig_lh_out : out std_logic;
sig_ll_out : out std_logic;
sig_rh_out : out std_logic;
sig_rl_out : out std_logic;
lock_out : out std_logic;
pwm_out : out std_logic;
temp_err_out : out std_logic
);
end entity;
architecture rtl_top of hardheat_top is
attribute noprune : boolean;
attribute preserve : boolean;
attribute keep : boolean;
signal clk : std_logic;
attribute noprune of clk : signal is true;
attribute keep of clk : signal is true;
signal temp : signed(16 - 1 downto 0);
signal temp_f : std_logic;
attribute keep of temp : signal is true;
attribute keep of temp_f : signal is true;
attribute noprune of temp : signal is true;
attribute noprune of temp_f : signal is true;
attribute preserve of temp : signal is true;
signal pll_clk : std_logic;
signal pll_locked : std_logic;
signal reset : std_logic;
signal mod_lvl : std_logic_vector(mod_lvl_in'range);
signal mod_lvl_f : std_logic;
signal debounced_sws : std_logic_vector(mod_lvl_in'range);
begin
-- Main clock from PLL on the SoCkit board
pll_p: altera_pll_top
port map
(
refclk => clk_in,
rst => not reset_in,
outclk_0 => pll_clk,
locked => pll_locked
);
clk <= pll_clk;
reset <= not pll_locked;
-- Read modulation level state from switches, debounce
debouncing_p: for i in 0 to mod_lvl_in'high generate
debouncer_p: entity work.debounce(rtl)
generic map
(
DEBOUNCE_D => DEBOUNCE_D,
FLIPFLOPS_N => DEBOUNCE_FF_N
)
port map
(
clk => clk,
reset => reset,
sig_in => mod_lvl_in(i),
sig_out => debounced_sws(i)
);
end generate;
-- Change modulation level when debounced modulation level changes
mod_lvl_p: process(clk, reset)
variable state : std_logic_vector(mod_lvl_in'high downto 0);
begin
if reset = '1' then
state := (others => '1');
mod_lvl <= state;
mod_lvl_f <= '0';
elsif rising_edge(clk) then
mod_lvl_f <= '0';
if not debounced_sws = state then
state := debounced_sws;
mod_lvl <= state;
mod_lvl_f <= '1';
end if;
end if;
end process;
-- TODO: Sig is internally connected!
hardheat_p: entity work.hardheat(rtl)
generic map
(
TDC_N => TDC_N,
FILT_P_SHIFT_N => FILT_P_SHIFT_N,
FILT_I_SHIFT_N => FILT_I_SHIFT_N,
FILT_INIT_OUT_VAL => FILT_INIT_OUT_VAL,
FILT_OUT_OFFSET => FILT_OUT_OFFSET,
FILT_OUT_LIM => FILT_OUT_LIM,
ACCUM_BITS_N => ACCUM_BITS_N,
ACCUM_WORD_N => ACCUM_WORD_N,
LD_LOCK_N => LD_LOCK_N,
LD_ULOCK_N => LD_ULOCK_N,
LD_LOCK_LIMIT => LD_LOCK_LIMIT,
DT_N => DT_N,
DT_VAL => DT_VAL,
TEMP_CONV_D => TEMP_CONV_D,
TEMP_CONV_CMD_D => TEMP_CONV_CMD_D,
TEMP_OW_US_D => TEMP_OW_US_D,
TEMP_PWM_N => TEMP_PWM_N,
TEMP_PWM_MIN_LVL => TEMP_PWM_MIN_LVL,
TEMP_PWM_EN_ON_D => TEMP_PWM_EN_ON_D,
TEMP_P_SHIFT_N => TEMP_P_SHIFT_N,
TEMP_I_SHIFT_N => TEMP_I_SHIFT_N,
TEMP_SETPOINT => TEMP_SETPOINT
)
port map
(
clk => clk,
reset => reset,
ref_in => ref_in,
sig_in => sig_in,
mod_lvl_in => unsigned(mod_lvl),
mod_lvl_in_f => mod_lvl_f,
sig_lh_out => sig_lh_out,
sig_ll_out => sig_ll_out,
sig_rh_out => sig_rh_out,
sig_rl_out => sig_rl_out,
lock_out => lock_out,
ow_in => ow_in,
ow_out => ow_out,
ow_pullup_out => ow_pullup_out,
temp_out => temp,
temp_out_f => temp_f,
temp_err_out => temp_err_out,
pwm_out => pwm_out
);
end;
| mit | 2cdf1e533b187ee6a09d77db4f7d5c88 | 0.484185 | 3.938216 | false | false | false | false |
zhlinh/vhdl_course | Assignment/IMG_LSB/YUV2RGB.vhd | 1 | 1,681 | --YUV2RGB entity
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE WORK.MYTYPE.ALL;
ENTITY YUV2RGB IS
PORT(CLK: IN STD_LOGIC;
RESET: IN STD_LOGIC;
FIXED_Y_IN: IN COLOR;
U_IN: IN COLOR;
V_IN: IN COLOR;
R_OUT: OUT COLOR;
G_OUT: OUT COLOR;
B_OUT: OUT COLOR);
END ENTITY YUV2RGB;
ARCHITECTURE ART1 OF YUV2RGB IS
BEGIN
CLOCK: PROCESS(CLK,RESET)
VARIABLE R_REG: COLOR;
VARIABLE G_REG: COLOR;
VARIABLE B_REG: COLOR;
VARIABLE TEMP: INTEGER RANGE -512 TO 512;
BEGIN
IF(RESET='1') THEN
R_OUT<=0;
G_OUT<=0;
B_OUT<=0;
ELSIF(CLK'EVENT AND CLK='1') THEN
TEMP:= FIXED_Y_IN + 113983*(V_IN-128)/100000;
--调整
IF(TEMP<0) THEN
R_REG:=0;
ELSIF(TEMP>255) THEN
R_REG:=255;
ELSE
R_REG:=TEMP;
END IF;
TEMP:=FIXED_Y_IN - 39465*(U_IN-128)/100000 - 58060*(V_IN-128)/100000;
--调整
IF(TEMP<0) THEN
G_REG:=0;
ELSIF(TEMP>255) THEN
G_REG:=255;
ELSE
G_REG:=TEMP;
END IF;
TEMP:=FIXED_Y_IN + 203211*(U_IN-128)/100000;
--调整
IF(TEMP<0) THEN
B_REG:=0;
ELSIF(TEMP>255) THEN
B_REG:=255;
ELSE
B_REG:=TEMP;
END IF;
R_OUT<=R_REG;
G_OUT<=G_REG;
B_OUT<=B_REG;
END IF;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | f16435828fd81e625937950ecc816822 | 0.463751 | 3.392276 | false | false | false | false |
zhlinh/vhdl_course | Assignment/FREQ_CNT/LED_DISP.vhd | 1 | 1,489 | --Led Display
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY LED_DISP IS
PORT( CLK_DISP :IN STD_LOGIC;
DATA_IN_1 :IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DATA_IN_2 :IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DATA_IN_3 :IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DATA2LED :OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
SEL2LED :OUT STD_LOGIC_VECTOR(2 DOWNTO 0));
END ENTITY LED_DISP;
ARCHITECTURE ART1 OF LED_DISP IS
SIGNAL DOUT_OCT: STD_LOGIC_VECTOR (3 DOWNTO 0);
BEGIN
PROCESS (CLK_DISP)
VARIABLE VAR: STD_LOGIC_VECTOR (1 DOWNTO 0):="00";
BEGIN
IF(CLK_DISP 'EVENT AND CLK_DISP='1') THEN
IF(VAR="11")THEN
VAR:="00";
END IF;
IF(VAR="00")THEN
SEL2LED <="001";
DOUT_OCT <= DATA_IN_1;
ELSIF(VAR="01")THEN
SEL2LED <="010";
DOUT_OCT <= DATA_IN_2;
ELSE
SEL2LED <="100";
DOUT_OCT <= DATA_IN_3;
END IF;
VAR:=VAR+1;
END IF;
END PROCESS;
PROCESS(DOUT_OCT)
BEGIN
CASE DOUT_OCT IS
WHEN"0000"=> DATA2LED <="1111110";
WHEN"0001"=> DATA2LED <="0110000";
WHEN"0010"=> DATA2LED <="1101101";
WHEN"0011"=> DATA2LED <="1111001";
WHEN"0100"=> DATA2LED <="0110011";
WHEN"0101"=> DATA2LED <="1011011";
WHEN"0110"=> DATA2LED <="1011111";
WHEN"0111"=> DATA2LED <="1110000";
WHEN"1000"=> DATA2LED <="1111111";
WHEN"1001"=> DATA2LED <="1111011";
WHEN OTHERS => DATA2LED <="0000000";
END CASE;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | 7babd3f92403538ed2c91353248cc554 | 0.620551 | 2.712204 | false | false | false | false |
JeremySavonet/Eurobot-2017-Moon-Village | software/HPS_FPGA_LED/fpga/pwm.vhd | 1 | 5,515 | --------------------------------------------------------------------------------
--
-- FileName: pwm.vhd
-- Dependencies: none
-- Design Software: Quartus II 64-bit Version 12.1 Build 177 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 8/1/2013 Scott Larson
-- Initial Public Release
-- Version 2.0 1/9/2015 Scott Larson
-- Transistion between duty cycles always starts at center of pulse to avoid
-- anomalies in pulse shapes
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
ENTITY pwm IS
GENERIC(
sys_clk : INTEGER := 50_000_000; --system clock frequency in Hz
pwm_freq : INTEGER := 100_000; --PWM switching frequency in Hz
bits_resolution : INTEGER := 8; --bits of resolution setting the duty cycle
phases : INTEGER := 1); --number of output pwms and phases
PORT(
clk : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --asynchronous reset
ena : IN STD_LOGIC; --latches in new duty cycle
duty : IN STD_LOGIC_VECTOR(bits_resolution-1 DOWNTO 0); --duty cycle
pwm_out : OUT STD_LOGIC_VECTOR(phases-1 DOWNTO 0); --pwm outputs
pwm_n_out : OUT STD_LOGIC_VECTOR(phases-1 DOWNTO 0)); --pwm inverse outputs
END pwm;
ARCHITECTURE logic OF pwm IS
CONSTANT period : INTEGER := sys_clk/pwm_freq; --number of clocks in one pwm period
TYPE counters IS ARRAY (0 TO phases-1) OF INTEGER RANGE 0 TO period - 1; --data type for array of period counters
SIGNAL count : counters := (OTHERS => 0); --array of period counters
SIGNAL half_duty_new : INTEGER RANGE 0 TO period/2 := 0; --number of clocks in 1/2 duty cycle
TYPE half_duties IS ARRAY (0 TO phases-1) OF INTEGER RANGE 0 TO period/2; --data type for array of half duty values
SIGNAL half_duty : half_duties := (OTHERS => 0); --array of half duty values (for each phase)
BEGIN
PROCESS(clk, reset_n)
variable v_compute : unsigned(bits_resolution+24-1 downto 0);
BEGIN
IF(reset_n = '0') THEN --asynchronous reset
count <= (OTHERS => 0); --clear counter
pwm_out <= (OTHERS => '0'); --clear pwm outputs
pwm_n_out <= (OTHERS => '0'); --clear pwm inverse outputs
ELSIF(clk'EVENT AND clk = '1') THEN --rising system clock edge
IF(ena = '1') THEN --latch in new duty cycle
v_compute := unsigned(duty)*to_unsigned(period,24)/(2**(bits_resolution+1));
half_duty_new <= to_integer(v_compute(31-1 downto 0));--(unsigned(duty)*period/(2**(bits_resolution))/2));--conv_integer(duty)*period/(2**bits_resolution)/2; --determine clocks in 1/2 duty cycle
END IF;
FOR i IN 0 to phases-1 LOOP --create a counter for each phase
IF(count(0) = period - 1 - i*period/phases) THEN --end of period reached
count(i) <= 0; --reset counter
half_duty(i) <= half_duty_new; --set most recent duty cycle value
ELSE --end of period not reached
count(i) <= count(i) + 1; --increment counter
END IF;
END LOOP;
FOR i IN 0 to phases-1 LOOP --control outputs for each phase
IF(count(i) = half_duty(i)) THEN --phase's falling edge reached
pwm_out(i) <= '0'; --deassert the pwm output
pwm_n_out(i) <= '1'; --assert the pwm inverse output
ELSIF(count(i) = period - half_duty(i)) THEN --phase's rising edge reached
pwm_out(i) <= '1'; --assert the pwm output
pwm_n_out(i) <= '0'; --deassert the pwm inverse output
END IF;
END LOOP;
END IF;
END PROCESS;
END logic;
| gpl-3.0 | b084abc4119073e171fd846f639886dc | 0.492475 | 4.588186 | false | false | false | false |
quicky2000/image_controler_640_480_1b | image_controler.vhd | 1 | 4,501 | --
-- This file is part of image_controler_640_480_1b
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity image_controler is
Port ( clk : in STD_LOGIC;
rst : in STD_LOGIC;
r : out STD_LOGIC_VECTOR (5 downto 0);
g : out STD_LOGIC_VECTOR (5 downto 0);
b : out STD_LOGIC_VECTOR (5 downto 0);
x : in STD_LOGIC_VECTOR (9 downto 0);
y : in STD_LOGIC_VECTOR (8 downto 0);
enable_in : in std_logic;
hsync_in : in std_logic;
vsync_in : in std_logic;
write_enable : in std_logic;
write_addr : in std_logic_vector(18 downto 0);
data_in : in std_logic;
enable_out : out std_logic;
hsync_out : out std_logic;
vsync_out : out std_logic);
end image_controler;
architecture Behavioral of image_controler is
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
component ram
port (
clka: IN std_logic;
wea: IN std_logic_VECTOR(0 downto 0);
addra: IN std_logic_VECTOR(18 downto 0);
dina: IN std_logic_VECTOR(0 downto 0);
clkb: IN std_logic;
addrb: IN std_logic_VECTOR(18 downto 0);
doutb: OUT std_logic_VECTOR(0 downto 0));
end component;
-- Synplicity black box declaration
attribute syn_black_box : boolean;
attribute syn_black_box of ram: component is true;
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
-- Signals needed to write in memory
signal s_write_enable : std_logic_vector(0 downto 0);
--TEST signal write_addr : std_logic_vector(18 downto 0);
signal s_data_in : std_logic_vector(0 downto 0);
-- Signals needed to read from memory
signal read_addr : std_logic_vector(18 downto 0) := (others => '0');
signal data_out : std_logic_vector(0 downto 0);
constant controler_delay : natural := 1;
begin
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
your_instance_name : ram
port map (
clka => clk,
wea => s_write_enable,
addra => write_addr,
dina => s_data_in,
clkb => clk,
addrb => read_addr,
doutb => data_out);
-- INST_TAG_END ------ End INSTANTIATION Template ------------
-- Block to introduce delays need to have control signals
-- synchronous with colour signals
hsync_delayer : entity work.bit_delay
generic map (
size => controler_delay)
port map (
clk => clk,
rst => rst,
input => hsync_in,
output => hsync_out);
vsync_delayer : entity work.bit_delay
generic map (
size => controler_delay)
port map (
clk => clk,
rst => rst,
input => vsync_in,
output => vsync_out);
enable_delayer : entity work.bit_delay
generic map (
size => controler_delay)
port map (
clk => clk,
rst => rst,
input => enable_in,
output => enable_out);
-- Process controling adress of read port
process(clk,rst)
begin
if rst = '1' then
read_addr <= (others => '0');
elsif rising_edge(clk) and enable_in = '1' then
if unsigned(read_addr) /= 307199 then
read_addr <= std_logic_vector(unsigned(read_addr) + 1);
else
read_addr <= (others => '0');
end if;
end if;
end process;
s_write_enable <= (others => write_enable);
s_data_in <= (others => data_in);
r <= (others => data_out(0));
g <= (others => data_out(0));
b <= (others => data_out(0));
end Behavioral;
| gpl-3.0 | 713fe1aecc03856c9fd2d075c37b096f | 0.623417 | 3.757095 | false | false | false | false |
aylons/concordic | hdl/top/cordic_rotate/cordic_rotate_slv.vhd | 1 | 4,250 | -------------------------------------------------------------------------------
-- Title : Rotation-mode cordic, slv version
-- Project :
-------------------------------------------------------------------------------
-- File : cordic_rotate_slv.vhd
-- Author : aylons <aylons@LNLS190>
-- Company :
-- Created : 2014-05-13
-- Last update: 2014-05-14
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: This is a top-block for rotation mode using concordic,
-- constrained standard_logic_vector version.
-------------------------------------------------------------------------------
-- This file is part of Concordic.
--
-- Concordic is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- Concordic is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- Copyright (c) 2014 Aylons Hazzud
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2014-05-13 1.0 aylons Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity cordic_rotate_slv is
generic (
g_stages : natural := 20;
g_width : natural := 32
);
port (
x_i : in std_logic_vector(g_width-1 downto 0) := "11000000";
y_i : in std_logic_vector(g_width-1 downto 0) := "11000000";
clk_i : in std_logic;
ce_i : in std_logic;
mag_o : out std_logic_vector(g_width-1 downto 0);
phase_o : out std_logic_vector(g_width-1 downto 0)
);
end entity cordic_rotate_slv;
-------------------------------------------------------------------------------
architecture str of cordic_rotate_slv is
signal adjusted_x : signed(g_width-1 downto 0);
signal adjusted_y : signed(g_width-1 downto 0);
signal adjusted_z : signed(g_width-1 downto 0);
signal mag_temp : signed(g_width-1 downto 0);
signal phase_temp : signed(g_width-1 downto 0);
signal y_temp : signed(g_width-1 downto 0);
component inversion_stage is
generic (
g_mode : string);
port (
x_i : in signed;
y_i : in signed;
z_i : in signed;
clk_i : in std_logic;
ce_i : in std_logic;
x_o : out signed;
y_o : out signed;
z_o : out signed);
end component inversion_stage;
component cordic_core is
generic (
g_stages : natural;
g_mode : string);
port (
x_i : in signed;
y_i : in signed;
z_i : in signed;
clk_i : in std_logic;
ce_i : in std_logic;
x_o : out signed;
y_o : out signed;
z_o : out signed);
end component cordic_core;
begin -- architecture str
cmp_inversion : inversion_stage
generic map (
g_mode => "rect_to_polar")
port map (
x_i => signed(x_i),
y_i => signed(y_i),
z_i => (g_width-1 downto 0 => '0'),
clk_i => clk_i,
ce_i => ce_i,
x_o => adjusted_x,
y_o => adjusted_y,
z_o => adjusted_z);
cmp_core : cordic_core
generic map (
g_stages => g_stages,
g_mode => "rect_to_polar")
port map (
x_i => adjusted_x,
y_i => adjusted_y,
z_i => adjusted_z,
clk_i => clk_i,
ce_i => ce_i,
x_o => mag_temp,
y_o => y_temp,
z_o => phase_temp);
mag_o <= std_logic_vector(mag_temp);
phase_o <= std_logic_vector(phase_temp);
end architecture str;
-------------------------------------------------------------------------------
| gpl-3.0 | 0d46ba5255a8477159d03efb3be048a1 | 0.492941 | 3.771074 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/xbip_utils_v3_0_vh_rfs.vhd | 1 | 163,693 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CZI9mRKf0aoInZ3aN9hyBBG3fhR5mVJ3DtGbyJnDe/yb8ZUE4PCy9mcfgPFtsj6tnfRR4o2tEgUl
23EnAWwNnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D2dHFrzqQjWCktNOXtWCNtYcY8wEveSn2VgFO17ywv8tLb1KRCTvM9zsuz9h+sF+MekOW83w73B5
24Dc5sRUqWBNOE38svtalwED7B/e4F3ArxkK5RlSELSemoJ1uH/UAdiw+3LCgaD7OHf7p8PAxlk9
zenShQHBxwOlJcFt5G4=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J+JuBPRUs7njHRgrhcTwz9ieS1Ra1oP5dQJi/WBk+qg/pu+s4lIxPkIXgAZG1fqKganmTatS24i+
i+NajRGAAzpDLBP37dtMX+bU7tywBpxdYxzcF71vQBX+qkMcy5teKLcZJZBv1VOYUuekYmRn8GPb
gtko7OmG2OOOF0tZr4M=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vX6N5Eb7nbHGVxY6SzIAspbLeu+YO4wzq+m/QQ4udE1NXQXQdss3jEvtzvIZFIZQzhh4cF+Yu4RZ
TRwYDzyGSbokP4/D2DLriI3EuzcXr4JlyO28WR73ZhfvO6FJdi/IH4nyBtd3PuFiqGNiQHvcl8J4
BMO5bs8wQg68cylpw3wTNrWpLNwALCLrZzH/r+0j7WkLxiKgLjQSiBoZExG30pjQWAkEP2hvCl9Q
TxdbJs6DuDc2k0W+o6tWM1znLCq9XA5yGzaVqZ5dB4jvrTn/uVQ8SqVa9fR62lJNbgwPqhm61Ko+
QK+XTtk6joJDR9SlMa6DVs0gnV0CXp8ztFxn6g==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mNjQ/Mzz2nQ1gXVxXh/r8+kWXpc0YPhpW4cueQZtJEFqJDuEgw9aV0EyD33BuUphNYin2wBPjvxk
/TtNZ8hqdWfJ+5MjYEaQ/ymw8tTJKRCTq4ZNG9wBjFircS4OpppEhEE2lUIxQDlVAE0NG05791jm
7B5f1Mpv22vYqne5ZxqOXlxx10IUOF6WwK0xO00XcECSdkuy3F2NeWOyQEY7sZjO8OWZKn7lrNc6
Ga8liq19PoYHFaoueTLg9MhZVm8lQ+o02p0k6iFkaZhhXb3eCSgDcbOZfolipoHa0TXY0JTlyDhG
L8jIIuXMYOx4iQKm2+Z95pZQ7tfm1DGCiS8arQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
puPy5ExV1UJRnayUIXR8cdvv3h//aXi0hwGJXOdh+VGfxmfM0QVFKILayYxT9Ikj28EUKGbPLHMw
MnjYEhjXcSqpUxp/NbM+CY6EXe/xDjktNF2nBwXoijGBJGulEB9oBv346XBwcCsWxbSaRTDp6hHP
GMnVzQygx5sh9VBQtj8GA+AF9dRWx9SVDuFDlSalQgFbTpBrzeqZfUE6E1X5vfI5QwyqBwb3HHjn
05CGvTlX7M96OUJWQY+RcCVAawkLNvnP/iJju68TJi84h7ANEl/T8NV/lrCNU8WEKC2FnmV497sx
j+Y43E9F1uvGp83dIpts8CuyAvSoqeV/z91uJA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040)
`protect data_block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`protect end_protected
| gpl-3.0 | 610a17e51d018d2e562931e4939c8f30 | 0.95406 | 1.833643 | false | false | false | false |
iamllama/EE2020 | ee2020.srcs/sources_1/imports/hdl/MouseCtl.vhd | 1 | 48,811 | ------------------------------------------------------------------------
-- mouse_controller.vhd
------------------------------------------------------------------------
-- Author : Ulrich Zoltán
-- Copyright 2006 Digilent, Inc.
------------------------------------------------------------------------
-- This file contains a controller for a ps/2 compatible mouse device.
-- This controller uses the ps2interface module.
------------------------------------------------------------------------
-- Behavioral description
------------------------------------------------------------------------
-- Please read the following article on the web for understanding how
-- to interface a ps/2 mouse:
-- http://www.computer-engineering.org/ps2mouse/
-- This controller is implemented as described in the above article.
-- The mouse controller receives bytes from the ps2interface which, in
-- turn, receives them from the mouse device. Data is received on the
-- rx_data input port, and is validated by the read signal. read is
-- active for one clock period when new byte available on rx_data. Data
-- is sent to the ps2interface on the tx_data output port and validated
-- by the write output signal. 'write' should be active for one clock
-- period when tx_data contains the command or data to be sent to the
-- mouse. ps2interface wraps the byte in a 11 bits packet that is sent
-- through the ps/2 port using the ps/2 protocol. Similarly, when the
-- mouse sends data, the ps2interface receives 11 bits for every byte,
-- extracts the byte from the ps/2 frame, puts it on rx_data and
-- activates read for one clock period. If an error occurs when sending
-- or receiving a frame from the mouse, the err input goes high for one
-- clock period. When this occurs, the controller enters reset state.
-- When in reset state, the controller resets the mouse and begins an
-- initialization procedure that consists of tring to put mouse in
-- scroll mode (enables wheel if the mouse has one), setting the
-- resolution of the mouse, the sample rate and finally enables
-- reporting. Implicitly the mouse, after a reset or imediately after a
-- reset, does not send data packets on its own. When reset(or power-up)
-- the mouse enters reset state, where it performs a test, called the
-- bat test (basic assurance test), when this test is done, it sends
-- the result: AAh for test ok, FCh for error. After this it sends its
-- ID which is 00h. When this is done, the mouse enters stream mode,
-- but with reporting disabled (movement data packets are not sent).
-- To enable reporting the enable data reporting command (F4h) must be
-- sent to the mouse. After this command is sent, the mouse will send
-- movement data packets when the mouse is moved or the status of the
-- button changes.
-- After sending a command or a byte following a command, the mouse
-- must respond with ack (FAh). For managing the intialization
-- procedure and receiving the movement data packets, a FSM is used.
-- When the fpga is powered up or the logic is reset using the global
-- reset, the FSM enters reset state. From this state, the FSM will
-- transition to a series of states used to initialize the mouse. When
-- initialization is complete, the FSM remains in state read_byte_1,
-- waiting for a movement data packet to be sent. This is the idle
-- state if the FSM. When a byte is received in this state, this is
-- the first byte of the 3 bytes sent in a movement data packet (4 bytes
-- if mouse in scrolling mode). After reading the last byte from the
-- packet, the FSM enters mark_new_event state and sets new_event high.
-- After that FSM enterss read_byte_1 state, resets new_event and waits
-- for a new packet.
-- After a packet is received, new_event is set high for one clock
-- period to "inform" the clients of this controller a new packet was
-- received and processed.
-- During the initialization procedure, the controller tries to put the
-- mouse in scroll mode (activates wheel, if mouse has one). This is
-- done by successively setting the sample rate to 200, then to 100, and
-- lastly to 80. After this is done, the mouse ID is requested by
-- sending get device ID command (F2h). If the received ID is 00h than
-- the mouse does not have a wheel. If the received ID is 03h than the
-- mouse is in scroll mode, and when sending movement data packets
-- (after enabling data reporting) it will include z movement data.
-- If the mouse is in normal, non-scroll mode, the movement data packet
-- consists of 3 successive bytes. This is their format:
--
--
--
-- bits 7 6 5 4 3 2 1 0
-- -------------------------------------------------
-- byte 1 | YOVF| XOVF|YSIGN|XSIGN| 1 | MBTN| RBTN| LBTN|
-- -------------------------------------------------
-- -------------------------------------------------
-- byte 2 | X MOVEMENT |
-- -------------------------------------------------
-- -------------------------------------------------
-- byte 3 | Y MOVEMENT |
-- -------------------------------------------------
-- OVF = overflow
-- BTN = button
-- M = middle
-- R = right
-- L = left
--
-- When scroll mode is enabled, the mouse send 4 byte movement packets.
-- bits 7 6 5 4 3 2 1 0
-- -------------------------------------------------
-- byte 1 | YOVF| XOVF|YSIGN|XSIGN| 1 | MBTN| RBTN| LBTN|
-- -------------------------------------------------
-- -------------------------------------------------
-- byte 2 | X MOVEMENT |
-- -------------------------------------------------
-- -------------------------------------------------
-- byte 3 | Y MOVEMENT |
-- -------------------------------------------------
-- -------------------------------------------------
-- byte 4 | Z MOVEMENT |
-- -------------------------------------------------
-- x and y movement counters are represented on 8 bits, 2's complement
-- encoding. The first bit (sign bit) of the counters are the xsign and
-- ysign bit from the first packet, the rest of the bits are the second
-- byte for the x movement and the third byte for y movement. For the
-- z movement the range is -8 -> +7 and only the 4 least significant
-- bits from z movement are valid, the rest are sign extensions.
-- The x and y movements are in range: -256 -> +255
-- The mouse uses as axes origin the lower-left corner. For the purpose
-- of displaying a mouse cursor on the screen, the controller inverts
-- the y axis to move the axes origin in the upper-left corner. This
-- is done by negating the y movement value (following the 2s complement
-- encoding). The movement data received from the mouse are delta
-- movements, the data represents the movement of the mouse relative
-- to the last position. The controller keeps track of the position of
-- the mouse relative to the upper-left corner. This is done by keeping
-- the mouse position in two registers x_pos and y_pos and adding the
-- delta movements to their value. The addition uses saturation. That
-- means the value of the mouse position will not exceed certain bounds
-- and will not rollover the a margin. For example, if the mouse is at
-- the left margin and is moved left, the x position remains at the left
-- margin(0). The lower bound is always 0 for both x and y movement.
-- The upper margin can be set using input pins: value, setmax_x,
-- setmax_y. To set the upper bound of the x movement counter, the new
-- value is placed on the value input pins and setmax_x is activated
-- for at least one clock period. Similarly for y movement counter, but
-- setmax_y is activated instead. Notice that value has 10 bits, and so
-- the maximum value for a bound is 1023.
-- The position of the mouse (x_pos and y_pos) can be set at any time,
-- by placing the x or y position on the value input pins and activating
-- the setx, or sety respectively, for at least one clock period. This
-- is useful for setting an original position of the mouse different
-- from (0,0).
------------------------------------------------------------------------
-- Port definitions
------------------------------------------------------------------------
-- clk - global clock signal (100MHz)
-- rst - global reset signal
-- xpos - output pin, 10 bits
-- - the x position of the mouse relative to the upper
-- - left corner
-- ypos - output pin, 10 bits
-- - the y position of the mouse relative to the upper
-- - left corner
-- zpos - output pin, 4 bits
-- - last delta movement on z axis
-- left - output pin, high if the left mouse button is pressed
-- middle - output pin, high if the middle mouse button is
-- - pressed
-- right - output pin, high if the right mouse button is
-- - pressed
-- new_event - output pin, active one clock period after receiving
-- - and processing one movement data packet.
------------------------------------------------------------------------
-- Revision History:
-- 09/18/2006(UlrichZ): created
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- simulation library
library UNISIM;
use UNISIM.VComponents.all;
-- the mouse_controller entity declaration
-- read above for behavioral description and port definitions.
entity MouseCtl is
generic
(
SYSCLK_FREQUENCY_HZ : integer := 100000000;
CHECK_PERIOD_MS : integer := 500; -- Period in miliseconds to check if the mouse is present
TIMEOUT_PERIOD_MS : integer := 100 -- Timeout period in miliseconds when the mouse presence is checked
);
port(
clk : in std_logic;
rst : in std_logic;
xpos : out std_logic_vector(11 downto 0);
ypos : out std_logic_vector(11 downto 0);
zpos : out std_logic_vector(3 downto 0);
left : out std_logic;
middle : out std_logic;
right : out std_logic;
new_event : out std_logic;
value : in std_logic_vector(11 downto 0);
setx : in std_logic;
sety : in std_logic;
setmax_x : in std_logic;
setmax_y : in std_logic;
ps2_clk : inout std_logic;
ps2_data : inout std_logic
);
end MouseCtl;
architecture Behavioral of MouseCtl is
------------------------------------------------------------------------
-- Ps2 Interface component declaration
------------------------------------------------------------------------
COMPONENT Ps2Interface
PORT(
ps2_clk : inout std_logic;
ps2_data : inout std_logic;
clk : in std_logic;
rst : in std_logic;
tx_data : in std_logic_vector(7 downto 0);
write_data : in std_logic;
rx_data : out std_logic_vector(7 downto 0);
read_data : out std_logic;
busy : out std_logic;
err : out std_logic
);
END COMPONENT;
------------------------------------------------------------------------
-- CONSTANTS
------------------------------------------------------------------------
-- constants defining commands to send or received from the mouse
constant FA: std_logic_vector(7 downto 0) := "11111010"; -- 0xFA(ACK)
constant FF: std_logic_vector(7 downto 0) := "11111111"; -- 0xFF(RESET)
constant AA: std_logic_vector(7 downto 0) := "10101010"; -- 0xAA(BAT_OK)
constant OO: std_logic_vector(7 downto 0) := "00000000"; -- 0x00(ID)
-- (atention: name is 2 letters O not zero)
-- command to read id
constant READ_ID : std_logic_vector(7 downto 0) := x"F2";
-- command to enable mouse reporting
-- after this command is sent, the mouse begins sending data packets
constant ENABLE_REPORTING : std_logic_vector(7 downto 0) := x"F4";
-- command to set the mouse resolution
constant SET_RESOLUTION : std_logic_vector(7 downto 0) := x"E8";
-- the value of the resolution to send after sending SET_RESOLUTION
constant RESOLUTION : std_logic_vector(7 downto 0) := x"03";
-- (8 counts/mm)
-- command to set the mouse sample rate
constant SET_SAMPLE_RATE : std_logic_vector(7 downto 0) := x"F3";
-- the value of the sample rate to send after sending SET_SAMPLE_RATE
constant SAMPLE_RATE : std_logic_vector(7 downto 0) := x"28";
-- (40 samples/s)
-- default maximum value for the horizontal mouse position
constant DEFAULT_MAX_X : std_logic_vector(11 downto 0) := x"31F";
-- 799
-- default maximum value for the vertical mouse position
constant DEFAULT_MAX_Y : std_logic_vector(11 downto 0) := x"257";
-- 599
-- Mouse check tick constants
constant CHECK_PERIOD_CLOCKS : integer := ((CHECK_PERIOD_MS*1000000)/(1000000000/SYSCLK_FREQUENCY_HZ));
constant TIMEOUT_PERIOD_CLOCKS : integer := ((TIMEOUT_PERIOD_MS*1000000)/(1000000000/SYSCLK_FREQUENCY_HZ));
------------------------------------------------------------------------
-- SIGNALS
------------------------------------------------------------------------
-- after doing the enable scroll mouse procedure, if the ID returned by
-- the mouse is 03 (scroll mouse enabled) then this register will be set
-- If '1' then the mouse is in scroll mode, else mouse is in simple
-- mouse mode.
signal haswheel: std_logic := '0';
-- horizontal and veritcal mouse position
-- origin of axes is upper-left corner
-- the origin of axes the mouse uses is the lower-left corner
-- The y-axis is inverted, by making negative the y movement received
-- from the mouse (if it was positive it becomes negative
-- and vice versa)
signal x_pos,y_pos: std_logic_vector(11 downto 0) := (others => '0');
-- active when an overflow occurred on the x and y axis
-- bits 6 and 7 from the first byte received from the mouse
signal x_overflow,y_overflow: std_logic := '0';
-- active when the x,y movement is negative
-- bits 4 and 5 from the first byte received from the mouse
signal x_sign,y_sign: std_logic := '0';
-- 2's complement value for incrementing the x_pos,y_pos
-- y_inc is the negated value from the mouse in the third byte
signal x_inc,y_inc: std_logic_vector(7 downto 0) := (others => '0');
-- active for one clock period, indicates new delta movement received
-- on x,y axis
signal x_new,y_new: std_logic := '0';
-- maximum value for x and y position registers(x_pos,y_pos)
signal x_max: std_logic_vector(11 downto 0) := DEFAULT_MAX_X;
signal y_max: std_logic_vector(11 downto 0) := DEFAULT_MAX_Y;
-- active when left,middle,right mouse button is down
signal left_down,middle_down,right_down: std_logic := '0';
-- the FSM states
-- states that begin with "reset" are part of the reset procedure.
-- states that end in "_wait_ack" are states in which ack is waited
-- as response to sending a byte to the mouse.
-- read behavioral description above for details.
type fsm_state is
(
reset,reset_wait_ack,reset_wait_bat_completion,reset_wait_id,
reset_set_sample_rate_200,reset_set_sample_rate_200_wait_ack,
reset_send_sample_rate_200,reset_send_sample_rate_200_wait_ack,
reset_set_sample_rate_100,reset_set_sample_rate_100_wait_ack,
reset_send_sample_rate_100,reset_send_sample_rate_100_wait_ack,
reset_set_sample_rate_80,reset_set_sample_rate_80_wait_ack,
reset_send_sample_rate_80,reset_send_sample_rate_80_wait_ack,
reset_read_id,reset_read_id_wait_ack,reset_read_id_wait_id,
reset_set_resolution,reset_set_resolution_wait_ack,
reset_send_resolution,reset_send_resolution_wait_ack,
reset_set_sample_rate_40,reset_set_sample_rate_40_wait_ack,
reset_send_sample_rate_40,reset_send_sample_rate_40_wait_ack,
reset_enable_reporting,reset_enable_reporting_wait_ack,
read_byte_1,read_byte_2,read_byte_3,read_byte_4,
check_read_id,check_read_id_wait_ack,check_read_id_wait_id,
mark_new_event
);
-- holds current state of the FSM
signal state: fsm_state := reset;
-- PS2 Interface and Mouse Controller interconnection signals
-- read_data - from ps2interface
-- - active one clock period when new data received
-- - and available on rx_data
-- err - from ps2interface
-- - active one clock period when error occurred when
-- - receiving or sending data.
-- rx_data - 8 bits, from ps2interface
-- - the byte received from the mouse.
-- tx_data - 8 bits, to ps2interface
-- - byte to be sent to the mouse
-- write_data - to ps2interface
-- - active one clock period when sending a byte to the
-- - ps2interface.
signal read_data : std_logic;
signal err : std_logic;
signal rx_data: std_logic_vector (7 downto 0);
signal tx_data: std_logic_vector (7 downto 0);
signal write_data : std_logic;
-- Periodic checking counter, reset and tick signal
-- The periodic checking counter acts as a watchdog, periodically
-- reading the Mouse ID, therefore checking if the mouse is present
-- If there is no answer, after the timeout period passed, then the
-- state machine is reinitialized
signal periodic_check_cnt : integer range 0 to (CHECK_PERIOD_CLOCKS - 1) := 0;
signal reset_periodic_check_cnt : STD_LOGIC := '0';
signal periodic_check_tick : STD_LOGIC := '0';
-- Self-blocking Timeout checking counter, reset and timeout indication signal
signal timeout_cnt : integer range 0 to (TIMEOUT_PERIOD_CLOCKS - 1) := 0;
signal reset_timeout_cnt : STD_LOGIC := '0';
signal timeout : STD_LOGIC := '0';
begin
Inst_Ps2Interface: Ps2Interface
PORT MAP
(
ps2_clk => ps2_clk,
ps2_data => ps2_data,
clk => clk,
rst => rst,
tx_data => tx_data,
write_data => write_data,
rx_data => rx_data,
read_data => read_data,
busy => open,
err => err
);
-- Create the periodic_check_cnt counter
Count_periodic_check: process (clk, periodic_check_cnt, reset_periodic_check_cnt)
begin
if clk'EVENT AND clk = '1' then
if reset_periodic_check_cnt = '1' then
periodic_check_cnt <= 0;
elsif periodic_check_cnt = (CHECK_PERIOD_CLOCKS - 1) then
periodic_check_cnt <= 0;
else
periodic_check_cnt <= periodic_check_cnt + 1;
end if;
end if;
end process Count_periodic_check;
periodic_check_tick <= '1' when periodic_check_cnt = (CHECK_PERIOD_CLOCKS - 1) else '0';
-- Create the timeout counter
Count_timeout: process (clk, timeout_cnt, reset_timeout_cnt)
begin
if clk'EVENT AND clk = '1' then
if reset_timeout_cnt = '1' then
timeout_cnt <= 0;
elsif timeout_cnt = (TIMEOUT_PERIOD_CLOCKS - 1) then
timeout_cnt <= (TIMEOUT_PERIOD_CLOCKS - 1);
else
timeout_cnt <= timeout_cnt + 1;
end if;
end if;
end process Count_timeout;
timeout <= '1' when timeout_cnt = (TIMEOUT_PERIOD_CLOCKS - 1) else '0';
-- left output the state of the left_down register
left <= left_down when rising_edge(clk);
-- middle output the state of the middle_down register
middle <= middle_down when rising_edge(clk);
-- right output the state of the right_down register
right <= right_down when rising_edge(clk);
-- xpos output is the horizontal position of the mouse
-- it has the range: 0-x_max
xpos <= x_pos(11 downto 0) when rising_edge(clk);
-- ypos output is the vertical position of the mouse
-- it has the range: 0-y_max
ypos <= y_pos(11 downto 0) when rising_edge(clk);
-- sets the value of x_pos from another module when setx is active
-- else, computes the new x_pos from the old position when new x
-- movement detected by adding the delta movement in x_inc, or by
-- adding 256 or -256 when overflow occurs.
set_x: process(clk)
variable x_inter: std_logic_vector(11 downto 0);
variable inc: std_logic_vector(11 downto 0);
begin
if(rising_edge(clk)) then
-- if setx active, set new x_pos value
if(setx = '1') then
x_pos <= value;
-- if delta movement received from mouse
elsif(x_new = '1') then
-- if negative movement on x axis
if(x_sign = '1') then
-- if overflow occurred
if(x_overflow = '1') then
-- inc is -256
inc := "111000000000";
else
-- inc is sign extended x_inc
inc := "1111" & x_inc;
end if;
-- intermediary horizontal position
x_inter := x_pos + inc;
-- if first bit of x_inter is 1
-- then negative overflow occurred and
-- new x position is 0.
-- Note: x_pos and x_inter have 11 bits,
-- and because xpos has only 10, when
-- first bit becomes 1, this is considered
-- a negative number when moving left
if(x_inter(11) = '1') then
x_pos <= (others => '0');
else
x_pos <= x_inter;
end if;
-- if positive movement on x axis
else
-- if overflow occurred
if(x_overflow = '1') then
-- inc is 256
inc := "000100000000";
else
-- inc is sign extended x_inc
inc := "0000" & x_inc;
end if;
-- intermediary horizontal position
x_inter := x_pos + inc;
-- if x_inter is greater than x_max
-- then positive overflow occurred and
-- new x position is x_max.
if(x_inter > ('0' & x_max)) then
x_pos <= x_max;
else
x_pos <= x_inter;
end if;
end if;
end if;
end if;
end process set_x;
-- sets the value of y_pos from another module when sety is active
-- else, computes the new y_pos from the old position when new y
-- movement detected by adding the delta movement in y_inc, or by
-- adding 256 or -256 when overflow occurs.
set_y: process(clk)
variable y_inter: std_logic_vector(11 downto 0);
variable inc: std_logic_vector(11 downto 0);
begin
if(rising_edge(clk)) then
-- if sety active, set new y_pos value
if(sety = '1') then
y_pos <= value;
-- if delta movement received from mouse
elsif(y_new = '1') then
-- if negative movement on y axis
-- Note: axes origin is upper-left corner
if(y_sign = '1') then
-- if overflow occurred
if(y_overflow = '1') then
-- inc is -256
inc := "111100000000";
else
-- inc is sign extended y_inc
inc := "1111" & y_inc;
end if;
-- intermediary vertical position
y_inter := y_pos + inc;
-- if first bit of y_inter is 1
-- then negative overflow occurred and
-- new y position is 0.
-- Note: y_pos and y_inter have 11 bits,
-- and because ypos has only 10, when
-- first bit becomes 1, this is considered
-- a negative number when moving upward
if(y_inter(11) = '1') then
y_pos <= (others => '0');
else
y_pos <= y_inter;
end if;
-- if positive movement on y axis
else
-- if overflow occurred
if(y_overflow = '1') then
-- inc is 256
inc := "000100000000";
else
-- inc is sign extended y_inc
inc := "0000" & y_inc;
end if;
-- intermediary vertical position
y_inter := y_pos + inc;
-- if y_inter is greater than y_max
-- then positive overflow occurred and
-- new y position is y_max.
if(y_inter > (y_max)) then
y_pos <= y_max;
else
y_pos <= y_inter;
end if;
end if;
end if;
end if;
end process set_y;
-- sets the maximum value of the x movement register, stored in x_max
-- when setmax_x is active, max value should be on value input pin
set_max_x: process(clk,rst)
begin
if(rising_edge(clk)) then
if(rst = '1') then
x_max <= DEFAULT_MAX_X;
elsif(setmax_x = '1') then
x_max <= value;
end if;
end if;
end process set_max_x;
-- sets the maximum value of the y movement register, stored in y_max
-- when setmax_y is active, max value should be on value input pin
set_max_y: process(clk,rst)
begin
if(rising_edge(clk)) then
if(rst = '1') then
y_max <= DEFAULT_MAX_Y;
elsif(setmax_y = '1') then
y_max <= value;
end if;
end if;
end process set_max_y;
-- Synchronous one process fsm to handle the communication
-- with the mouse.
-- When reset and at start-up it enters reset state
-- where it begins the procedure of initializing the mouse.
-- After initialization is complete, it waits packets from
-- the mouse.
-- Read at Behavioral decription for details.
manage_fsm: process(clk,rst)
begin
-- when reset occurs, give signals default values.
if(rst = '1') then
state <= reset;
haswheel <= '0';
x_overflow <= '0';
y_overflow <= '0';
x_sign <= '0';
y_sign <= '0';
x_inc <= (others => '0');
y_inc <= (others => '0');
x_new <= '0';
y_new <= '0';
new_event <= '0';
left_down <= '0';
middle_down <= '0';
right_down <= '0';
reset_periodic_check_cnt <= '1';
reset_timeout_cnt <= '1';
elsif(rising_edge(clk)) then
-- at every rising edge of the clock, this signals
-- are reset, thus assuring that they are active
-- for one clock period only if a state sets then
-- because the fsm will transition from the state
-- that set them on the next rising edge of clock.
write_data <= '0';
x_new <= '0';
y_new <= '0';
case state is
-- if just powered-up, reset occurred or some error in
-- transmision encountered, then fsm will transition to
-- this state. Here the RESET command (FF) is sent to the
-- mouse, and various signals receive their default values
-- From here the FSM transitions to a series of states that
-- perform the mouse initialization procedure. All this
-- state are prefixed by "reset_". After sending a byte
-- to the mouse, it respondes by sending ack (FA). All
-- states that wait ack from the mouse are postfixed by
-- "_wait_ack".
-- Read at Behavioral decription for details.
when reset =>
haswheel <= '0';
x_overflow <= '0';
y_overflow <= '0';
x_sign <= '0';
y_sign <= '0';
x_inc <= (others => '0');
y_inc <= (others => '0');
x_new <= '0';
y_new <= '0';
left_down <= '0';
middle_down <= '0';
right_down <= '0';
tx_data <= FF;
write_data <= '1';
reset_periodic_check_cnt <= '1';
reset_timeout_cnt <= '1';
state <= reset_wait_ack;
-- wait ack for the reset command.
-- when received transition to reset_wait_bat_completion.
-- if error occurs go to reset state.
when reset_wait_ack =>
if(read_data = '1') then
-- if received ack
if(rx_data = FA) then
state <= reset_wait_bat_completion;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_wait_ack;
end if;
-- wait for bat completion test
-- mouse should send AA if test is successful
when reset_wait_bat_completion =>
if(read_data = '1') then
if(rx_data = AA) then
state <= reset_wait_id;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_wait_bat_completion;
end if;
-- the mouse sends its id after performing bat test
-- the mouse id should be 00
when reset_wait_id =>
if(read_data = '1') then
if(rx_data = OO) then
state <= reset_set_sample_rate_200;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_wait_id;
end if;
-- with this state begins the enable wheel mouse
-- procedure. The procedure consists of setting
-- the sample rate of the mouse first 200, then 100
-- then 80. After this is done, the mouse id is
-- requested and if the mouse id is 03, then
-- mouse is in wheel mode and will send 4 byte packets
-- when reporting is enabled.
-- If the id is 00, the mouse does not have a wheel
-- and will send 3 byte packets when reporting is enabled.
-- This state issues the set_sample_rate command to the
-- mouse.
when reset_set_sample_rate_200 =>
tx_data <= SET_SAMPLE_RATE;
write_data <= '1';
state <= reset_set_sample_rate_200_wait_ack;
-- wait ack for set sample rate command
when reset_set_sample_rate_200_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_send_sample_rate_200;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_set_sample_rate_200_wait_ack;
end if;
-- send the desired sample rate (200 = 0xC8)
when reset_send_sample_rate_200 =>
tx_data <= "11001000"; -- 0xC8
write_data <= '1';
state <= reset_send_sample_rate_200_wait_ack;
-- wait ack for sending the sample rate
when reset_send_sample_rate_200_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_set_sample_rate_100;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_send_sample_rate_200_wait_ack;
end if;
-- send the sample rate command
when reset_set_sample_rate_100 =>
tx_data <= SET_SAMPLE_RATE;
write_data <= '1';
state <= reset_set_sample_rate_100_wait_ack;
-- wait ack for sending the sample rate command
when reset_set_sample_rate_100_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_send_sample_rate_100;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_set_sample_rate_100_wait_ack;
end if;
-- send the desired sample rate (100 = 0x64)
when reset_send_sample_rate_100 =>
tx_data <= "01100100"; -- 0x64
write_data <= '1';
state <= reset_send_sample_rate_100_wait_ack;
-- wait ack for sending the sample rate
when reset_send_sample_rate_100_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_set_sample_rate_80;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_send_sample_rate_100_wait_ack;
end if;
-- send set sample rate command
when reset_set_sample_rate_80 =>
tx_data <= SET_SAMPLE_RATE;
write_data <= '1';
state <= reset_set_sample_rate_80_wait_ack;
-- wait ack for sending the sample rate command
when reset_set_sample_rate_80_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_send_sample_rate_80;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_set_sample_rate_80_wait_ack;
end if;
-- send desired sample rate (80 = 0x50)
when reset_send_sample_rate_80 =>
tx_data <= "01010000"; -- 0x50
write_data <= '1';
state <= reset_send_sample_rate_80_wait_ack;
-- wait ack for sending the sample rate
when reset_send_sample_rate_80_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_read_id;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_send_sample_rate_80_wait_ack;
end if;
-- now the procedure for enabling wheel mode is done
-- the mouse id is read to determine is mouse is in
-- wheel mode.
-- Read ID command is sent to the mouse.
when reset_read_id =>
tx_data <= READ_ID;
write_data <= '1';
state <= reset_read_id_wait_ack;
-- wait ack for sending the read id command
when reset_read_id_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_read_id_wait_id;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_read_id_wait_ack;
end if;
-- received the mouse id
-- if the id is 00, then the mouse does not have
-- a wheel and haswheel is reset
-- if the id is 03, then the mouse is in scroll mode
-- and haswheel is set.
-- if anything else is received or an error occurred
-- then the FSM transitions to reset state.
when reset_read_id_wait_id =>
if(read_data = '1') then
if(rx_data = "000000000") then
-- the mouse does not have a wheel
haswheel <= '0';
state <= reset_set_resolution;
elsif(rx_data = "00000011") then -- 0x03
-- the mouse is in scroll mode
haswheel <= '1';
state <= reset_set_resolution;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_read_id_wait_id;
end if;
-- send the set resolution command to the mouse
when reset_set_resolution =>
tx_data <= SET_RESOLUTION;
write_data <= '1';
state <= reset_set_resolution_wait_ack;
-- wait ack for sending the set resolution command
when reset_set_resolution_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_send_resolution;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_set_resolution_wait_ack;
end if;
-- send the desired resolution (0x03 = 8 counts/mm)
when reset_send_resolution =>
tx_data <= RESOLUTION;
write_data <= '1';
state <= reset_send_resolution_wait_ack;
-- wait ack for sending the resolution
when reset_send_resolution_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_set_sample_rate_40;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_send_resolution_wait_ack;
end if;
-- send the set sample rate command
when reset_set_sample_rate_40 =>
tx_data <= SET_SAMPLE_RATE;
write_data <= '1';
state <= reset_set_sample_rate_40_wait_ack;
-- wait ack for sending the set sample rate command
when reset_set_sample_rate_40_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_send_sample_rate_40;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_set_sample_rate_40_wait_ack;
end if;
-- send the desired sampele rate.
-- 40 samples per second is sent.
when reset_send_sample_rate_40 =>
tx_data <= SAMPLE_RATE;
write_data <= '1';
state <= reset_send_sample_rate_40_wait_ack;
-- wait ack for sending the sample rate
when reset_send_sample_rate_40_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= reset_enable_reporting;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_send_sample_rate_40_wait_ack;
end if;
-- in this state enable reporting command is sent
-- to the mouse. Before this point, the mouse
-- does not send packets. Only after issuing this
-- command, the mouse begins sending data packets,
-- 3 byte packets if it doesn't have a wheel and
-- 4 byte packets if it is in scroll mode.
when reset_enable_reporting =>
tx_data <= ENABLE_REPORTING;
write_data <= '1';
state <= reset_enable_reporting_wait_ack;
-- wait ack for sending the enable reporting command
when reset_enable_reporting_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= read_byte_1;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
else
state <= reset_enable_reporting_wait_ack;
end if;
-- this is idle state of the FSM after the
-- initialization is complete.
-- Here the first byte of a packet is waited.
-- The first byte contains the state of the
-- buttons, the sign of the x and y movement
-- and overflow information about these movements
-- First byte looks like this:
-- 7 6 5 4 3 2 1 0
------------------------------------------------------
-- | Y OVF | X OVF | Y SIGN | X SIGN | 1 | M | R | L |
------------------------------------------------------
when read_byte_1 =>
-- Start periodic check counter
reset_periodic_check_cnt <= '0';
-- reset new_event when back in idle state.
new_event <= '0';
-- reset last z delta movement
zpos <= (others => '0');
if(read_data = '1') then
-- mouse button states
left_down <= rx_data(0);
middle_down <= rx_data(2);
right_down <= rx_data(1);
-- sign of the movement data
x_sign <= rx_data(4);
-- y sign is changed to invert the y axis
-- because the mouse uses the lower-left corner
-- as axes origin and it is placed in the upper-left
-- corner by this inversion (suitable for displaying
-- a mouse cursor on the screen).
-- y movement data from the third packet must be
-- also negated.
y_sign <= not rx_data(5);
-- overflow status of the x and y movement
x_overflow <= rx_data(6);
y_overflow <= rx_data(7);
-- transition to state read_byte_2
state <= read_byte_2;
elsif periodic_check_tick = '1' then -- Check periodically if the mouse is present
state <= check_read_id;
else
-- no byte received yet.
state <= read_byte_1;
end if;
-- wait the second byte of the packet
-- this byte contains the x movement counter.
when read_byte_2 =>
if(read_data = '1') then
-- put the delta movement in x_inc
x_inc <= rx_data;
-- signal the arrival of new x movement data.
x_new <= '1';
-- go to state read_byte_3.
state <= read_byte_3;
elsif periodic_check_tick = '1' then -- Check periodically if the mouse is present
state <= check_read_id;
elsif(err = '1') then
state <= reset;
else
-- byte not received yet.
state <= read_byte_2;
end if;
-- wait the third byte of the data, that
-- contains the y data movement counter.
-- negate its value, for the axis to be
-- inverted.
-- If mouse is in scroll mode, transition
-- to read_byte_4, else go to mark_new_event
when read_byte_3 =>
if(read_data = '1') then
-- when y movement is 0, then ignore
if(rx_data /= "00000000") then
-- 2's complement positive numbers
-- become negative and vice versa
y_inc <= (not rx_data) + "00000001";
y_new <= '1';
end if;
-- if the mouse has a wheel then transition
-- to read_byte_4, else go to mark_new_event
if(haswheel = '1') then
state <= read_byte_4;
else
state <= mark_new_event;
end if;
elsif periodic_check_tick = '1' then -- Check periodically if the mouse is present
state <= check_read_id;
elsif(err = '1') then
state <= reset;
else
state <= read_byte_3;
end if;
-- only reached when mouse is in scroll mode
-- wait for the fourth byte to arrive
-- fourth byte contains the z movement counter
-- only least significant 4 bits are relevant
-- the rest are sign extension.
when read_byte_4 =>
if(read_data = '1') then
-- zpos is the delta movement on z
zpos <= rx_data(3 downto 0);
-- packet completly received,
-- go to mark_new_event
state <= mark_new_event;
elsif periodic_check_tick = '1' then -- Check periodically if the mouse is present
state <= check_read_id;
elsif(err = '1') then
state <= reset;
else
state <= read_byte_4;
end if;
-- From timer to time determined by the CHECK_TICK_PERIOD_MS,
-- Read ID command is sent to the mouse.
when check_read_id =>
-- Start the timeout counter
reset_timeout_cnt <= '0';
tx_data <= READ_ID;
write_data <= '1';
state <= check_read_id_wait_ack;
-- wait ack for sending the read id command
when check_read_id_wait_ack =>
if(read_data = '1') then
if(rx_data = FA) then
state <= check_read_id_wait_id;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
elsif (timeout = '1') then -- Timeout ocurred, so the mouse is not present, go to the reset state
state <= reset;
else
state <= check_read_id_wait_ack;
end if;
-- received the mouse id
-- It means that the mouse is present and reading data
-- can continue
-- if anything else is received or timeout or an error occurred
-- then the FSM transitions to reset state.
when check_read_id_wait_id =>
if(read_data = '1') then
if(rx_data = "000000000") or (rx_data = "00000011") then
-- The mouse is present, so reset the timeout counter
reset_timeout_cnt <= '1';
state <= read_byte_1;
else
state <= reset;
end if;
elsif(err = '1') then
state <= reset;
elsif (timeout = '1') then-- Timeout ocurred, so the mouse is not present, go to the reset state
state <= reset;
else
state <= check_read_id_wait_id;
end if;
-- set new_event high
-- it will be reset in next state
-- informs client new packet received and processed
when mark_new_event =>
new_event <= '1';
state <= read_byte_1;
-- if invalid transition occurred, reset
when others =>
state <= reset;
end case;
end if;
end process manage_fsm;
end Behavioral; | gpl-3.0 | a5a8fbed6bc452f09b85138979b9dfd0 | 0.51304 | 4.474379 | false | false | false | false |
JeremySavonet/Eurobot-2017-Moon-Village | software/qsys/pio_n/pio_n.vhd | 1 | 3,094 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity pio_n is
generic (
RegCnt : positive := 256;
ByteEnable: boolean := false
);
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- reset.reset
data_in_value : in std_logic_vector(RegCnt*32-1 downto 0) := (others => '0'); -- data.data_in_value
data_in_read : out std_logic_vector(RegCnt-1 downto 0); -- .data_in_read
data_out_value : out std_logic_vector(RegCnt*32-1 downto 0); -- .data_out_value
data_out_write : out std_logic_vector(RegCnt-1 downto 0); -- .data_out_write
avl_mm_address : in std_logic_vector(7 downto 0) := (others => '0'); -- avl_mm.address
avl_mm_writebyteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .writebyteenable
avl_mm_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata
avl_mm_chipselect : in std_logic := '0'; -- .chipselect
avl_mm_readdata : out std_logic_vector(31 downto 0); -- .readdata
avl_mm_read : in std_logic := '0' -- .read
);
end entity pio_n;
architecture rtl of pio_n is
begin
p_sync_rd: process(clk,reset) is
begin
if reset = '1' then
avl_mm_readdata <= (others=>'0');
data_in_read <= (others=>'0');
elsif rising_edge(clk) then
data_in_read <= (others=>'0');
for i in 0 to RegCnt-1 loop
if i = unsigned(avl_mm_address) then
avl_mm_readdata <= data_in_value((i+1)*32-1 downto i*32);
if avl_mm_chipselect = '1' and avl_mm_read = '1' then
data_in_read(i) <= '1';
end if;
end if;
end loop;
end if;
end process;
p_sync_wr: process(clk,reset) is
begin
if reset = '1' then
data_out_value <= (others=>'0');
data_out_write <= (others=>'0');
elsif rising_edge(clk) then
data_out_write <= (others=>'0');
for i in 0 to RegCnt-1 loop
if i = unsigned(avl_mm_address) and avl_mm_chipselect = '1' then--and avl_mm_writebyteenable /= "0000" then
for b in 0 to 4-1 loop
if avl_mm_writebyteenable(b) = '1' then
data_out_value((i)*32+(b+1)*8-1 downto b*8+i*32) <= avl_mm_writedata((b+1)*8-1 downto b*8);
data_out_write(i) <= '1';
end if;
end loop;
end if;
end loop;
end if;
end process;
end architecture rtl; -- of new_component
| gpl-3.0 | 8cdf2d61ecd43df27a0899e9c205e381 | 0.450873 | 3.773171 | false | false | false | false |
zhlinh/vhdl_course | Assignment/IMG_LSB/simulation/modelsim/TB_IMG_LSB.vhd | 1 | 6,397 | -- Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, the Altera Quartus II License Agreement,
-- the Altera MegaCore Function License Agreement, or other
-- applicable license agreement, including, without limitation,
-- that your use is for the sole purpose of programming logic
-- devices manufactured by Altera and sold by Altera or its
-- authorized distributors. Please refer to the applicable
-- agreement for further details.
-- ***************************************************************************
-- This file contains a Vhdl test bench template that is freely editable to
-- suit user's needs .Comments are provided in each section to help the user
-- fill out necessary details.
-- ***************************************************************************
-- Generated on "07/06/2015 20:11:56"
-- Vhdl Test Bench template for design : IMG_LSB
--
-- Simulation tool : ModelSim-Altera (VHDL)
--
--Testbench
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE std.textio.all;
USE ieee.std_logic_textio.all;
library work;
USE work.mytype.all;
ENTITY IMG_LSB_vhd_tst IS
END IMG_LSB_vhd_tst;
ARCHITECTURE IMG_LSB_arch OF IMG_LSB_vhd_tst IS
-- constants
-- signals
FILE INTEXT_R: TEXT IS IN "INTEXT_R.txt";
FILE INTEXT_G: TEXT IS IN "INTEXT_G.txt";
FILE INTEXT_B: TEXT IS IN "INTEXT_B.txt";
FILE OUTTEXT_R: TEXT IS OUT "OUTTEXT_R.txt";
FILE OUTTEXT_G: TEXT IS OUT "OUTTEXT_G.txt";
FILE OUTTEXT_B: TEXT IS OUT "OUTTEXT_B.txt";
CONSTANT CLK_PERIOD:TIME:=10 NS;
SIGNAL A_COL : COLOR;
SIGNAL A_ROW : COLOR;
SIGNAL B_COL : COLOR;
SIGNAL B_ROW : COLOR;
SIGNAL C_COL : COLOR;
SIGNAL C_ROW : COLOR;
SIGNAL B_IN : COLOR;
SIGNAL B_OUT : COLOR;
SIGNAL CHAR_OUT : CHARACTER;
SIGNAL CLK : STD_LOGIC;
SIGNAL DETECT_RESULT : STD_LOGIC_VECTOR(2 DOWNTO 0);
SIGNAL G_IN : COLOR;
SIGNAL G_OUT : COLOR;
SIGNAL HB_OUT : COLOR;
SIGNAL HG_OUT : COLOR;
SIGNAL HR_OUT : COLOR;
SIGNAL R_IN : COLOR;
SIGNAL R_OUT : COLOR;
SIGNAL RESET : STD_LOGIC;
SIGNAL SEL : STD_LOGIC_VECTOR(1 DOWNTO 0);
SIGNAL STR : STRING(1 TO 20);
SIGNAL STR_LEN : INTEGER RANGE 0 TO 8192;
SIGNAL XX : COLOR;
SIGNAL YY : COLOR;
SIGNAL ZZ : INTEGER RANGE 0 TO 2;
COMPONENT IMG_LSB
PORT (
A_COL : IN COLOR;
A_ROW : IN COLOR;
B_COL : IN COLOR;
B_IN : IN COLOR;
B_OUT : BUFFER COLOR;
B_ROW : IN COLOR;
C_COL : IN COLOR;
C_ROW : IN COLOR;
CHAR_OUT : BUFFER CHARACTER;
CLK : IN STD_LOGIC;
DETECT_RESULT : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);
G_IN : IN COLOR;
G_OUT : BUFFER COLOR;
HB_OUT : BUFFER COLOR;
HG_OUT : BUFFER COLOR;
HR_OUT : BUFFER COLOR;
R_IN : IN COLOR;
R_OUT : BUFFER COLOR;
RESET : IN STD_LOGIC;
SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
STR : IN STRING;
STR_LEN : IN INTEGER RANGE 0 TO 8192;
XX : IN COLOR;
YY : IN COLOR;
ZZ : IN INTEGER RANGE 0 TO 2
);
END COMPONENT;
BEGIN
i1 : IMG_LSB
PORT MAP (
-- list connections between master ports and signals
A_COL => A_COL,
A_ROW => A_ROW,
B_COL => B_COL,
B_IN => B_IN,
B_OUT => B_OUT,
B_ROW => B_ROW,
C_COL => C_COL,
C_ROW => C_ROW,
CHAR_OUT => CHAR_OUT,
CLK => CLK,
DETECT_RESULT => DETECT_RESULT,
G_IN => G_IN,
G_OUT => G_OUT,
HB_OUT => HB_OUT,
HG_OUT => HG_OUT,
HR_OUT => HR_OUT,
R_IN => R_IN,
R_OUT => R_OUT,
RESET => RESET,
SEL => SEL,
STR => STR,
STR_LEN => STR_LEN,
XX => XX,
YY => YY,
ZZ => ZZ
);
init : PROCESS
-- variable declarations
BEGIN
--只作为赋初值使用
RESET<='1';
SEL<="11";
A_COL<=2;
A_ROW<=2;
B_COL<=1;
B_ROW<=1;
C_COL<=3;
C_ROW<=0;
XX<=0;
YY<=6;
ZZ<=1;
STR_LEN<=12;
STR(1 TO 12)<="Hello World!";
WAIT FOR (CLK_PERIOD/4);
RESET<='0';
-- code that executes only once
WAIT;
END PROCESS init;
always : PROCESS
-- optional sensitivity list
-- ( )
-- variable declarations
VARIABLE INLINE_R: LINE;
VARIABLE INLINE_G: LINE;
VARIABLE INLINE_B: LINE;
VARIABLE OUTLINE_R : LINE;
VARIABLE OUTLINE_G : LINE;
VARIABLE OUTLINE_B : LINE;
VARIABLE INR_V,ING_V,INB_V,OUTR_V,OUTG_V,OUTB_V: COLOR;
VARIABLE INCOL: INTEGER RANGE 0 TO 256 :=0;
VARIABLE I: INTEGER RANGE 0 TO 9:=0;
--SEL选择不同功能(00和11)时DELAY是不同的,分别为3和1
CONSTANT DELAY: INTEGER:=1;
VARIABLE OUTCOL: INTEGER RANGE 0 TO 256 :=0;
VARIABLE OUTROW: INTEGER RANGE 0 TO 256 :=0;
BEGIN
-- code executes for every event on sensitivity list
CLK<='0';
WAIT FOR CLK_PERIOD/2;
CLK<='1';
--读入数据
IF(NOT ENDFILE(INTEXT_R)) THEN
IF(INCOL=0) THEN
READLINE(INTEXT_R,INLINE_R);
END IF;
READ(INLINE_R,INR_V);
R_IN<=INR_V;
END IF;
IF(NOT ENDFILE(INTEXT_G)) THEN
IF(INCOL=0) THEN
READLINE(INTEXT_G,INLINE_G);
END IF; READ(INLINE_G,ING_V); G_IN<=ING_V;
END IF;
IF(NOT ENDFILE(INTEXT_B)) THEN
IF(INCOL=0) THEN
READLINE(INTEXT_B,INLINE_B);
END IF;
READ(INLINE_B,INB_V);
B_IN<=INB_V;
END IF;
--写数据
--延迟N(N=DELAY)个时钟周期
IF (I<DELAY) THEN
I:=I+1;
ELSE
WRITE(OUTLINE_R,HR_OUT);
--WRITE(OUTLINE_R,R_OUT);
--以TAB分隔,VHDL定义的emum里tab就为HT
WRITE(OUTLINE_R,HT);
WRITE(OUTLINE_G,HG_OUT);
--WRITE(OUTLINE_G,G_OUT);
WRITE(OUTLINE_G,HT);
WRITE(OUTLINE_B,HB_OUT);
--WRITE(OUTLINE_B,B_OUT);
WRITE(OUTLINE_B,HT);
IF(OUTCOL=255 AND OUTROW<=255) THEN
OUTROW:=OUTROW+1;
WRITELINE(OUTTEXT_R,OUTLINE_R);
WRITELINE(OUTTEXT_G,OUTLINE_G);
WRITELINE(OUTTEXT_B,OUTLINE_B);
END IF;
IF(OUTCOL<=255) THEN
OUTCOL:=OUTCOL+1;
END IF;
IF(OUTCOL=256) THEN
OUTCOL:=0;
END IF;
END IF;
IF(INCOL<=255) THEN
INCOL:=INCOL+1;
END IF;
IF(INCOL=256) THEN
INCOL:=0;
END IF;
WAIT FOR CLK_PERIOD/2;
END PROCESS always;
END IMG_LSB_arch;
| apache-2.0 | 49bf4c2e92d19857ed03156c014dc31b | 0.618035 | 3.051841 | false | false | false | false |
jz0229/open-ephys-pcie | oepcie_host_firmware/HDLs/xillydemo.vhd | 1 | 11,024 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.myDeclare.all;
entity xillydemo is
port (
PCIE_PERST_B_LS : IN std_logic;
PCIE_REFCLK_N : IN std_logic;
PCIE_REFCLK_P : IN std_logic;
PCIE_RX_N : IN std_logic_vector(7 DOWNTO 0);
PCIE_RX_P : IN std_logic_vector(7 DOWNTO 0);
GPIO_LED : OUT std_logic_vector(3 DOWNTO 0);
PCIE_TX_N : OUT std_logic_vector(7 DOWNTO 0);
PCIE_TX_P : OUT std_logic_vector(7 DOWNTO 0));
end xillydemo;
architecture sample_arch of xillydemo is
component xillybus
port (
PCIE_PERST_B_LS : IN std_logic;
PCIE_REFCLK_N : IN std_logic;
PCIE_REFCLK_P : IN std_logic;
PCIE_RX_N : IN std_logic_vector(7 DOWNTO 0);
PCIE_RX_P : IN std_logic_vector(7 DOWNTO 0);
GPIO_LED : OUT std_logic_vector(3 DOWNTO 0);
PCIE_TX_N : OUT std_logic_vector(7 DOWNTO 0);
PCIE_TX_P : OUT std_logic_vector(7 DOWNTO 0);
bus_clk : OUT std_logic;
quiesce : OUT std_logic;
user_r_async_read_8_rden : OUT std_logic;
user_r_async_read_8_empty : IN std_logic;
user_r_async_read_8_data : IN std_logic_vector(7 DOWNTO 0);
user_r_async_read_8_eof : IN std_logic;
user_r_async_read_8_open : OUT std_logic;
user_r_cmd_mem_32_rden : OUT std_logic;
user_r_cmd_mem_32_empty : IN std_logic;
user_r_cmd_mem_32_data : IN std_logic_vector(31 DOWNTO 0);
user_r_cmd_mem_32_eof : IN std_logic;
user_r_cmd_mem_32_open : OUT std_logic;
user_w_cmd_mem_32_wren : OUT std_logic;
user_w_cmd_mem_32_full : IN std_logic;
user_w_cmd_mem_32_data : OUT std_logic_vector(31 DOWNTO 0);
user_w_cmd_mem_32_open : OUT std_logic;
user_cmd_mem_32_addr : OUT std_logic_vector(4 DOWNTO 0);
user_cmd_mem_32_addr_update : OUT std_logic;
user_r_data_read_32_rden : OUT std_logic;
user_r_data_read_32_empty : IN std_logic;
user_r_data_read_32_data : IN std_logic_vector(31 DOWNTO 0);
user_r_data_read_32_eof : IN std_logic;
user_r_data_read_32_open : OUT std_logic);
end component;
component fifo_xillybus_8
port (
clk: IN std_logic;
srst: IN std_logic;
din: IN std_logic_VECTOR(7 downto 0);
wr_en: IN std_logic;
rd_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
full: OUT std_logic;
empty: OUT std_logic);
end component;
COMPONENT fifo_xillybus_32
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END COMPONENT;
--asynchronous communication channel controller
component async_com_control is
port (
bus_clk : in std_logic;
reset : in std_logic;
--pclk : in std_logic;
--din : in std_logic_vector(11 downto 0); --headstage communication input from the Deserilizer
dev_reset_in : in std_logic; --a temp debug signal to mimic the communication via magic number to the headstage
conf_ack : in std_logic;
conf_nack : in std_logic;
conf_done : in std_logic;
conf_mem_in : in mem_type;
--cobs fifo output
async_fifo_wr_enb : out std_logic;
async_fifo_wr_data : out std_logic_vector(7 downto 0)
);
end component;
--configuration memory controller
component mem_conf_control is
port (
bus_clk : in std_logic;
reset : in std_logic;
user_mem_32_addr : in std_logic_vector(3 downto 0);
user_w_mem_32_wren : in std_logic;
user_r_mem_32_rden : in std_logic;
user_w_mem_32_data : in std_logic_vector(31 downto 0);
user_r_mem_32_data : out std_logic_vector(31 downto 0);
dev_reset_out : out std_logic;
conf_ack : out std_logic;
conf_nack : out std_logic;
mem_out : out mem_type
);
end component;
component hs_com_control is
port (
bus_clk : in std_logic;
global_reset : in std_logic;
dev_reset_in : in std_logic;
hs_com_fifo_data : out std_logic_vector(31 downto 0);
hs_com_fifo_enb : out std_logic
);
end component;
--a simple clock divider
component clk_div is
generic (MAXD: natural:=5);
port(
clk: in std_logic;
reset: in std_logic;
div: in integer range 0 to MAXD;
div_clk: out std_logic
);
end component;
-- Synplicity black box declaration
attribute syn_black_box : boolean;
attribute syn_black_box of fifo_xillybus_32: component is true;
attribute syn_black_box of fifo_xillybus_8: component is true;
type demo_mem is array(0 TO 31) of std_logic_vector(7 DOWNTO 0);
signal demoarray : demo_mem;
signal reset_8 : std_logic;
signal reset_32 : std_logic;
signal conf_ack, conf_nack : std_logic;
signal conf_mem : mem_type;
signal hs_com_fifo_data : std_logic_vector(31 downto 0);
signal hs_com_fifo_enb : std_logic;
signal bus_clk : std_logic;
signal quiesce : std_logic;
signal user_r_async_read_8_rden : std_logic;
signal user_r_async_read_8_empty : std_logic;
signal user_r_async_read_8_data : std_logic_vector(7 DOWNTO 0);
signal user_r_async_read_8_eof : std_logic;
signal user_r_async_read_8_open : std_logic;
signal user_r_cmd_mem_32_rden : std_logic;
signal user_r_cmd_mem_32_empty : std_logic;
signal user_r_cmd_mem_32_data : std_logic_vector(31 DOWNTO 0);
signal user_r_cmd_mem_32_eof : std_logic;
signal user_r_cmd_mem_32_open : std_logic;
signal user_w_cmd_mem_32_wren : std_logic;
signal user_w_cmd_mem_32_full : std_logic;
signal user_w_cmd_mem_32_data : std_logic_vector(31 DOWNTO 0);
signal user_w_cmd_mem_32_open : std_logic;
signal user_cmd_mem_32_addr : std_logic_vector(4 DOWNTO 0);
signal user_cmd_mem_32_addr_update : std_logic;
signal user_r_data_read_32_rden : std_logic;
signal user_r_data_read_32_empty : std_logic;
signal user_r_data_read_32_data : std_logic_vector(31 DOWNTO 0);
signal user_r_data_read_32_eof : std_logic;
signal user_r_data_read_32_open : std_logic;
signal async_fifo_wr_enb : std_logic;
signal async_fifo_wr_data : std_logic_vector(7 downto 0);
signal clk0p5Hz : std_logic;
signal clk_slow : std_logic;
signal dev_reset : std_logic;
signal hs_com_reset : std_logic;
begin
xillybus_ins : xillybus
port map (
-- Ports related to /dev/xillybus_async_read_8
-- FPGA to CPU signals:
user_r_async_read_8_rden => user_r_async_read_8_rden,
user_r_async_read_8_empty => user_r_async_read_8_empty,
user_r_async_read_8_data => user_r_async_read_8_data,
user_r_async_read_8_eof => user_r_async_read_8_eof,
user_r_async_read_8_open => user_r_async_read_8_open,
-- Ports related to /dev/xillybus_cmd_mem_32
-- FPGA to CPU signals:
user_r_cmd_mem_32_rden => user_r_cmd_mem_32_rden,
user_r_cmd_mem_32_empty => user_r_cmd_mem_32_empty,
user_r_cmd_mem_32_data => user_r_cmd_mem_32_data,
user_r_cmd_mem_32_eof => user_r_cmd_mem_32_eof,
user_r_cmd_mem_32_open => user_r_cmd_mem_32_open,
-- CPU to FPGA signals:
user_w_cmd_mem_32_wren => user_w_cmd_mem_32_wren,
user_w_cmd_mem_32_full => user_w_cmd_mem_32_full,
user_w_cmd_mem_32_data => user_w_cmd_mem_32_data,
user_w_cmd_mem_32_open => user_w_cmd_mem_32_open,
-- Address signals:
user_cmd_mem_32_addr => user_cmd_mem_32_addr,
user_cmd_mem_32_addr_update => user_cmd_mem_32_addr_update,
-- Ports related to /dev/xillybus_data_read_32
-- FPGA to CPU signals:
user_r_data_read_32_rden => user_r_data_read_32_rden,
user_r_data_read_32_empty => user_r_data_read_32_empty,
user_r_data_read_32_data => user_r_data_read_32_data,
user_r_data_read_32_eof => user_r_data_read_32_eof,
user_r_data_read_32_open => user_r_data_read_32_open,
-- General signals
PCIE_PERST_B_LS => PCIE_PERST_B_LS,
PCIE_REFCLK_N => PCIE_REFCLK_N,
PCIE_REFCLK_P => PCIE_REFCLK_P,
PCIE_RX_N => PCIE_RX_N,
PCIE_RX_P => PCIE_RX_P,
GPIO_LED => GPIO_LED,
PCIE_TX_N => PCIE_TX_N,
PCIE_TX_P => PCIE_TX_P,
bus_clk => bus_clk,
quiesce => quiesce
);
highspeed_data: hs_com_control
port map(
bus_clk => bus_clk,
global_reset => quiesce,
--device_num : in std_logic_vector(LOG2_MAX_DEVICE_NUMBER-1 downto 0);
dev_reset_in => hs_com_reset,
hs_com_fifo_data => hs_com_fifo_data,
hs_com_fifo_enb => hs_com_fifo_enb
);
hs_com_reset <= dev_reset or reset_32;
-- 32bit uni-directional data bus to the host
fifo_32 : fifo_xillybus_32
port map(
wr_clk => bus_clk,
rd_clk => bus_clk,
rst => hs_com_reset,
din => hs_com_fifo_data,
wr_en => hs_com_fifo_enb,
rd_en => user_r_data_read_32_rden,
dout => user_r_data_read_32_data,
full => open,
empty => user_r_data_read_32_empty
);
reset_32 <= not (user_r_data_read_32_open);
user_r_data_read_32_eof <= '0';
-- 8-bit loopback
fifo_8 : fifo_xillybus_8
port map(
clk => bus_clk,
srst => reset_8,
din => async_fifo_wr_data,--async_fifo_wr_data,
wr_en => async_fifo_wr_enb,
rd_en => user_r_async_read_8_rden,
dout => user_r_async_read_8_data,
full => open,
empty => user_r_async_read_8_empty
);
reset_8 <= not (user_r_async_read_8_open);
user_r_async_read_8_eof <= '0';
-- Async communication controller
async_communication: async_com_control PORT MAP (
bus_clk => bus_clk,
reset => reset_8,
dev_reset_in => dev_reset,
conf_ack => conf_ack,
conf_nack => '1',
conf_done => '1',
conf_mem_in => conf_mem,
async_fifo_wr_enb => async_fifo_wr_enb,
async_fifo_wr_data => async_fifo_wr_data
);
mem_controller: mem_conf_control PORT MAP (
bus_clk => bus_clk,
reset => quiesce,
user_mem_32_addr => user_cmd_mem_32_addr(3 downto 0),
user_w_mem_32_wren => user_w_cmd_mem_32_wren,
user_r_mem_32_rden => user_r_cmd_mem_32_rden,
user_w_mem_32_data => user_w_cmd_mem_32_data,
user_r_mem_32_data => user_r_cmd_mem_32_data,
dev_reset_out => dev_reset,
conf_ack => conf_ack,
conf_nack => conf_nack,
mem_out => conf_mem
);
clk_div_0p5Hz: clk_div generic map (MAXD => 500_000_000)
port map ( clk => bus_clk, reset => quiesce, div => 500_000_000, div_clk => clk0p5Hz);
end sample_arch;
| mit | 373c7041831e724346a50821bf62b55d | 0.598422 | 2.969828 | false | false | false | false |
JeremySavonet/Eurobot-2017-Moon-Village | software/HPS_FPGA_LED/fpga/uart_pkg.vhd | 1 | 1,286 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
package uart_pkg is
component UART is
generic (
CLK_FREQ : integer := 50e6; -- set system clock frequency in Hz
BAUD_RATE : integer := 115200; -- baud rate value
PARITY_BIT : string := "none" -- legal values: "none", "even", "odd", "mark", "space"
);
port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- UART INTERFACE
UART_TXD : out std_logic;
UART_RXD : in std_logic;
-- USER DATA INPUT INTERFACE
DATA_IN : in std_logic_vector(7 downto 0);
DATA_SEND : in std_logic; -- when DATA_SEND = 1, data on DATA_IN will be transmit, DATA_SEND can set to 1 only when BUSY = 0
BUSY : out std_logic; -- when BUSY = 1 transiever is busy, you must not set DATA_SEND to 1
-- USER DATA OUTPUT INTERFACE
DATA_OUT : out std_logic_vector(7 downto 0);
DATA_VLD : out std_logic; -- when DATA_VLD = 1, data on DATA_OUT are valid
FRAME_ERROR : out std_logic -- when FRAME_ERROR = 1, stop bit was invalid, current and next data may be invalid
);
end component;
end package;
| gpl-3.0 | 2abc48498a646cc3455dcd37d8e8304b | 0.582426 | 3.653409 | false | false | false | false |
SLongofono/Senior_Design_Capstone | simple_core/config.vhd | 2 | 29,449 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Rtype for register to register operations
-- Itype for immediate value to register operations and loading
-- Stype for storing
-- Utype for unconditional branch (jump)
-- SBtype for branches
package config is
-- System word size
subtype doubleword is std_logic_vector(63 downto 0);
subtype word is std_logic_vector(31 downto 0);
constant zero_word: std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
constant ones_word: std_logic_vector(31 downto 0) := "11111111111111111111111111111111";
constant byte_mask_1: std_logic_vector(63 downto 0) := "0000000000000000000000000000000000000000000000000000000011111111";
constant byte_mask_2: std_logic_vector(63 downto 0) := "0000000000000000000000000000000000000000000000001111111111111111";
constant byte_mask_4: std_logic_vector(63 downto 0) := "0000000000000000000000000000000011111111111111111111111111111111";
-- Masks for CSR access
-- NOTES: Unacceptable with our Vivado version:
-- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := x"bbb"; -- Can't elaborate, but looks fine in IDE
-- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(x"bbb")); -- Thinks this is a string literal
-- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#)); -- Needs bit size for result
constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#, 64));
constant MASK_WIRI_MIE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#, 64));
constant MASK_WIRI_SIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#db#, 64));
constant MASK_WIRI_SIE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_A: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AB: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AC: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AD: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AF: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AG: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
-- Special CSR return values for r/w filter functions
constant CSR_TRAP_VALUE : doubleword := (others => '0');
constant CSR_IGNORE_VALUE : doubleword := (others => '1');
-- Familiar names for CSR registers
constant CSR_ERROR :integer := -1; -- Not implemented, trap
constant CSR_ZERO :integer := 0; -- Not implemented, read 0, ignore write
constant CSR_FFLAGS :integer := 1;
constant CSR_FRM :integer := 2;
constant CSR_FCSR :integer := 3;
constant CSR_CYCLE :integer := 4;
constant CSR_TIME :integer := 5;
constant CSR_INSTRET :integer := 6;
constant CSR_SIE :integer := 7;
constant CSR_STVEC :integer := 8;
constant CSR_SCOUNTEREN :integer := 9;
constant CSR_SSCRATCH :integer := 10;
constant CSR_SEPC :integer := 11;
constant CSR_SCAUSE :integer := 12;
constant CSR_STVAL :integer := 13;
constant CSR_SIP :integer := 14;
constant CSR_SSTATUS :integer := 15;
constant CSR_SATP :integer := 16;
constant CSR_MSTATUS :integer := 17;
constant CSR_MISA :integer := 18;
constant CSR_MEDELEG :integer := 19;
constant CSR_MIDELEG :integer := 20;
constant CSR_MIE :integer := 21;
constant CSR_MTVEC :integer := 22;
constant CSR_MCOUNTEREN :integer := 23;
constant CSR_MSCRATCH :integer := 24;
constant CSR_MEPC :integer := 25;
constant CSR_MCAUSE :integer := 26;
constant CSR_MTVAL :integer := 27;
constant CSR_MIP :integer := 28;
constant CSR_MCYCLE :integer := 29;
constant CSR_MINSTRET :integer := 30;
-- CSR 12-bit addresses per specification
constant CSR_ADDR_USTATUS : std_logic_vector(11 downto 0) := x"000";
constant CSR_ADDR_UIE : std_logic_vector(11 downto 0) := x"004";
constant CSR_ADDR_UTVEC : std_logic_vector(11 downto 0) := x"005";
constant CSR_ADDR_USCRATCH : std_logic_vector(11 downto 0) := x"040";
constant CSR_ADDR_UEPC : std_logic_vector(11 downto 0) := x"041";
constant CSR_ADDR_UCAUSE : std_logic_vector(11 downto 0) := x"042";
constant CSR_ADDR_UTVAL : std_logic_vector(11 downto 0) := x"043";
constant CSR_ADDR_UIP : std_logic_vector(11 downto 0) := x"044";
constant CSR_ADDR_FFLAGS : std_logic_vector(11 downto 0) := x"001";
constant CSR_ADDR_FRM : std_logic_vector(11 downto 0) := x"002";
constant CSR_ADDR_FCSR : std_logic_vector(11 downto 0) := x"003";
constant CSR_ADDR_CYCLE : std_logic_vector(11 downto 0) := x"c00";
constant CSR_ADDR_TIME : std_logic_vector(11 downto 0) := x"c01";
constant CSR_ADDR_INSTRET : std_logic_vector(11 downto 0) := x"c02";
constant CSR_ADDR_HPMCOUNTER3: std_logic_vector(11 downto 0) := x"c03";
constant CSR_ADDR_HPMCOUNTER4: std_logic_vector(11 downto 0) := x"c04";
constant CSR_ADDR_HPMCOUNTER5: std_logic_vector(11 downto 0) := x"c05";
constant CSR_ADDR_HPMCOUNTER6: std_logic_vector(11 downto 0) := x"c06";
constant CSR_ADDR_HPMCOUNTER7: std_logic_vector(11 downto 0) := x"c07";
constant CSR_ADDR_HPMCOUNTER8: std_logic_vector(11 downto 0) := x"c08";
constant CSR_ADDR_HPMCOUNTER9: std_logic_vector(11 downto 0) := x"c09";
constant CSR_ADDR_HPMCOUNTER10: std_logic_vector(11 downto 0) := x"c0a";
constant CSR_ADDR_HPMCOUNTER11: std_logic_vector(11 downto 0) := x"c0b";
constant CSR_ADDR_HPMCOUNTER12: std_logic_vector(11 downto 0) := x"c0c";
constant CSR_ADDR_HPMCOUNTER13: std_logic_vector(11 downto 0) := x"c0d";
constant CSR_ADDR_HPMCOUNTER14: std_logic_vector(11 downto 0) := x"c0e";
constant CSR_ADDR_HPMCOUNTER15: std_logic_vector(11 downto 0) := x"c0f";
constant CSR_ADDR_HPMCOUNTER16: std_logic_vector(11 downto 0) := x"c10";
constant CSR_ADDR_HPMCOUNTER17: std_logic_vector(11 downto 0) := x"c11";
constant CSR_ADDR_HPMCOUNTER18: std_logic_vector(11 downto 0) := x"c12";
constant CSR_ADDR_HPMCOUNTER19: std_logic_vector(11 downto 0) := x"c13";
constant CSR_ADDR_HPMCOUNTER20: std_logic_vector(11 downto 0) := x"c14";
constant CSR_ADDR_HPMCOUNTER21: std_logic_vector(11 downto 0) := x"c15";
constant CSR_ADDR_HPMCOUNTER22: std_logic_vector(11 downto 0) := x"c16";
constant CSR_ADDR_HPMCOUNTER23: std_logic_vector(11 downto 0) := x"c17";
constant CSR_ADDR_HPMCOUNTER24: std_logic_vector(11 downto 0) := x"c18";
constant CSR_ADDR_HPMCOUNTER25: std_logic_vector(11 downto 0) := x"c19";
constant CSR_ADDR_HPMCOUNTER26: std_logic_vector(11 downto 0) := x"c1a";
constant CSR_ADDR_HPMCOUNTER27: std_logic_vector(11 downto 0) := x"c1b";
constant CSR_ADDR_HPMCOUNTER28: std_logic_vector(11 downto 0) := x"c1c";
constant CSR_ADDR_HPMCOUNTER29: std_logic_vector(11 downto 0) := x"c1d";
constant CSR_ADDR_HPMCOUNTER30: std_logic_vector(11 downto 0) := x"c1e";
constant CSR_ADDR_HPMCOUNTER31 : std_logic_vector(11 downto 0) := x"c1f";
constant CSR_ADDR_SSTATUS : std_logic_vector(11 downto 0) := x"100";
constant CSR_ADDR_SEDELEG : std_logic_vector(11 downto 0) := x"102";
constant CSR_ADDR_SIDELEG : std_logic_vector(11 downto 0) := x"103";
constant CSR_ADDR_SIE : std_logic_vector(11 downto 0) := x"104";
constant CSR_ADDR_STVEC : std_logic_vector(11 downto 0) := x"105";
constant CSR_ADDR_SCOUNTEREN : std_logic_vector(11 downto 0) := x"106";
constant CSR_ADDR_SSCRATCH : std_logic_vector(11 downto 0) := x"140";
constant CSR_ADDR_SEPC : std_logic_vector(11 downto 0) := x"141";
constant CSR_ADDR_SCAUSE : std_logic_vector(11 downto 0) := x"142";
constant CSR_ADDR_STVAL : std_logic_vector(11 downto 0) := x"143";
constant CSR_ADDR_SIP : std_logic_vector(11 downto 0) := x"144";
constant CSR_ADDR_SATP : std_logic_vector(11 downto 0) := x"180";
constant CSR_ADDR_MVENDORID : std_logic_vector(11 downto 0) := x"f11";
constant CSR_ADDR_MARCHID : std_logic_vector(11 downto 0) := x"f12";
constant CSR_ADDR_MIMPID : std_logic_vector(11 downto 0) := x"f13";
constant CSR_ADDR_MHARTID : std_logic_vector(11 downto 0) := x"f14";
constant CSR_ADDR_MSTATUS : std_logic_vector(11 downto 0) := x"300";
constant CSR_ADDR_MISA : std_logic_vector(11 downto 0) := x"301";
constant CSR_ADDR_MEDELEG : std_logic_vector(11 downto 0) := x"302";
constant CSR_ADDR_MIDELEG : std_logic_vector(11 downto 0) := x"303";
constant CSR_ADDR_MIE : std_logic_vector(11 downto 0) := x"304";
constant CSR_ADDR_MTVEC : std_logic_vector(11 downto 0) := x"305";
constant CSR_ADDR_MCOUNTEREN : std_logic_vector(11 downto 0) := x"306";
constant CSR_ADDR_MSCRATCH : std_logic_vector(11 downto 0) := x"340";
constant CSR_ADDR_MEPC : std_logic_vector(11 downto 0) := x"341";
constant CSR_ADDR_MCAUSE : std_logic_vector(11 downto 0) := x"342";
constant CSR_ADDR_MTVAL : std_logic_vector(11 downto 0) := x"343";
constant CSR_ADDR_MIP : std_logic_vector(11 downto 0) := x"344";
constant CSR_ADDR_MCYCLE : std_logic_vector(11 downto 0) := x"b00";
constant CSR_ADDR_MINSTRET : std_logic_vector(11 downto 0) := x"b02";
constant CSR_ADDR_MHPMCOUNTER3 : std_logic_vector(11 downto 0) := x"b03";
constant CSR_ADDR_MHPMCOUNTER4 : std_logic_vector(11 downto 0) := x"b04";
constant CSR_ADDR_MHPMCOUNTER5 : std_logic_vector(11 downto 0) := x"b05";
constant CSR_ADDR_MHPMCOUNTER6 : std_logic_vector(11 downto 0) := x"b06";
constant CSR_ADDR_MHPMCOUNTER7 : std_logic_vector(11 downto 0) := x"b07";
constant CSR_ADDR_MHPMCOUNTER8 : std_logic_vector(11 downto 0) := x"b08";
constant CSR_ADDR_MHPMCOUNTER9 : std_logic_vector(11 downto 0) := x"b09";
constant CSR_ADDR_MHPMCOUNTER10 : std_logic_vector(11 downto 0) := x"b0a";
constant CSR_ADDR_MHPMCOUNTER11 : std_logic_vector(11 downto 0) := x"b0b";
constant CSR_ADDR_MHPMCOUNTER12 : std_logic_vector(11 downto 0) := x"b0c";
constant CSR_ADDR_MHPMCOUNTER13 : std_logic_vector(11 downto 0) := x"b0d";
constant CSR_ADDR_MHPMCOUNTER14 : std_logic_vector(11 downto 0) := x"b0e";
constant CSR_ADDR_MHPMCOUNTER15 : std_logic_vector(11 downto 0) := x"b0f";
constant CSR_ADDR_MHPMCOUNTER16 : std_logic_vector(11 downto 0) := x"b10";
constant CSR_ADDR_MHPMCOUNTER17 : std_logic_vector(11 downto 0) := x"b11";
constant CSR_ADDR_MHPMCOUNTER18 : std_logic_vector(11 downto 0) := x"b12";
constant CSR_ADDR_MHPMCOUNTER19 : std_logic_vector(11 downto 0) := x"b13";
constant CSR_ADDR_MHPMCOUNTER20 : std_logic_vector(11 downto 0) := x"b14";
constant CSR_ADDR_MHPMCOUNTER21 : std_logic_vector(11 downto 0) := x"b15";
constant CSR_ADDR_MHPMCOUNTER22 : std_logic_vector(11 downto 0) := x"b16";
constant CSR_ADDR_MHPMCOUNTER23 : std_logic_vector(11 downto 0) := x"b17";
constant CSR_ADDR_MHPMCOUNTER24 : std_logic_vector(11 downto 0) := x"b18";
constant CSR_ADDR_MHPMCOUNTER25 : std_logic_vector(11 downto 0) := x"b19";
constant CSR_ADDR_MHPMCOUNTER26 : std_logic_vector(11 downto 0) := x"b1a";
constant CSR_ADDR_MHPMCOUNTER27 : std_logic_vector(11 downto 0) := x"b1b";
constant CSR_ADDR_MHPMCOUNTER28 : std_logic_vector(11 downto 0) := x"b1c";
constant CSR_ADDR_MHPMCOUNTER29 : std_logic_vector(11 downto 0) := x"b1d";
constant CSR_ADDR_MHPMCOUNTER30 : std_logic_vector(11 downto 0) := x"b1e";
constant CSR_ADDR_MHPMCOUNTER31 : std_logic_vector(11 downto 0) := x"b1f";
constant CSR_ADDR_MHPMEVENT3 : std_logic_vector(11 downto 0) := x"323";
constant CSR_ADDR_MHPMEVENT4 : std_logic_vector(11 downto 0) := x"324";
constant CSR_ADDR_MHPMEVENT5 : std_logic_vector(11 downto 0) := x"325";
constant CSR_ADDR_MHPMEVENT6 : std_logic_vector(11 downto 0) := x"326";
constant CSR_ADDR_MHPMEVENT7 : std_logic_vector(11 downto 0) := x"327";
constant CSR_ADDR_MHPMEVENT8 : std_logic_vector(11 downto 0) := x"328";
constant CSR_ADDR_MHPMEVENT9 : std_logic_vector(11 downto 0) := x"329";
constant CSR_ADDR_MHPMEVENT10 : std_logic_vector(11 downto 0) := x"32a";
constant CSR_ADDR_MHPMEVENT11 : std_logic_vector(11 downto 0) := x"32b";
constant CSR_ADDR_MHPMEVENT12 : std_logic_vector(11 downto 0) := x"32c";
constant CSR_ADDR_MHPMEVENT13 : std_logic_vector(11 downto 0) := x"32d";
constant CSR_ADDR_MHPMEVENT14 : std_logic_vector(11 downto 0) := x"32e";
constant CSR_ADDR_MHPMEVENT15 : std_logic_vector(11 downto 0) := x"32f";
constant CSR_ADDR_MHPMEVENT16 : std_logic_vector(11 downto 0) := x"330";
constant CSR_ADDR_MHPMEVENT17 : std_logic_vector(11 downto 0) := x"331";
constant CSR_ADDR_MHPMEVENT18 : std_logic_vector(11 downto 0) := x"332";
constant CSR_ADDR_MHPMEVENT19 : std_logic_vector(11 downto 0) := x"333";
constant CSR_ADDR_MHPMEVENT20 : std_logic_vector(11 downto 0) := x"334";
constant CSR_ADDR_MHPMEVENT21 : std_logic_vector(11 downto 0) := x"335";
constant CSR_ADDR_MHPMEVENT22 : std_logic_vector(11 downto 0) := x"336";
constant CSR_ADDR_MHPMEVENT23 : std_logic_vector(11 downto 0) := x"337";
constant CSR_ADDR_MHPMEVENT24 : std_logic_vector(11 downto 0) := x"338";
constant CSR_ADDR_MHPMEVENT25 : std_logic_vector(11 downto 0) := x"339";
constant CSR_ADDR_MHPMEVENT26 : std_logic_vector(11 downto 0) := x"33a";
constant CSR_ADDR_MHPMEVENT27 : std_logic_vector(11 downto 0) := x"33b";
constant CSR_ADDR_MHPMEVENT28 : std_logic_vector(11 downto 0) := x"33c";
constant CSR_ADDR_MHPMEVENT29 : std_logic_vector(11 downto 0) := x"33d";
constant CSR_ADDR_MHPMEVENT30 : std_logic_vector(11 downto 0) := x"33e";
constant CSR_ADDR_MHPMEVENT31 : std_logic_vector(11 downto 0) := x"33f";
-- Privilege modes
constant USER_MODE : std_logic_vector(1 downto 0) := "00";
constant SUPERVISOR_MODE : std_logic_vector(1 downto 0) := "01";
constant MACHINE_MODE : std_logic_vector(1 downto 0) := "11";
-- Debug output bus
type regfile_arr is array (0 to 31) of doubleword;
-- Familiar names for instruction fields
subtype funct7_t is std_logic_vector(6 downto 0);
subtype opcode_t is std_logic_vector(6 downto 0);
subtype funct3_t is std_logic_vector(2 downto 0);
subtype funct6_t is std_logic_vector(5 downto 0);
subtype reg_t is std_logic_vector(4 downto 0);
-- Instruction type populated by decoder
subtype instr_t is std_logic_vector(7 downto 0);
-- Control types for ALU
subtype ctrl_t is std_logic_vector(5 downto 0);
-- Opcodes determine overall instruction families, thus
-- they are a logical way to group them.
-- Load upper immediate
constant LUI_T : opcode_t := "0110111";
-- Add upper immedaite to PC
constant AUIPC_T : opcode_t := "0010111";
-- Jump and link
constant JAL_T : opcode_t := "1101111";
-- Jump and link register
constant JALR_T : opcode_t := "1100111";
-- Branch types, general
constant BRANCH_T : opcode_t := "1100011";
-- Load types, includes all but atomic load and LUI
constant LOAD_T : opcode_t := "0000011";
-- Store types, includes all but atomic
constant STORE_T : opcode_t := "0100011";
-- ALU immediate types
constant ALUI_T : opcode_t := "0010011";
-- ALU types, includes integer mul/div
constant ALU_T : opcode_t := "0110011";
-- Special fence instructions
constant FENCE_T : opcode_t := "0001111";
-- CSR manipulation and ecalls
constant CSR_T : opcode_t := "1110011";
-- ALU types, low word
constant ALUW_T : opcode_t := "0111011";
-- ALU immediate types, low word
constant ALUIW_T : opcode_t := "0011011";
-- Atomic types
constant ATOM_T : opcode_t := "0101111";
-- Floating point load types
constant FLOAD_T : opcode_t := "0000111";
-- Floating point store types
constant FSTORE_T : opcode_t := "0100111";
-- Floating point multiply-then-add
constant FMADD_T : opcode_t := "1000011";
-- Floating point multiply-then-sub
constant FMSUB_T : opcode_t := "1000111";
-- Floating point negate-multiply-then-add
constant FNADD_T : opcode_t := "1001011";
-- Floating point negate-multiply-then-sub
constant FNSUB_T : opcode_t := "1001111";
-- Floating point arithmetic types
constant FPALU_T : opcode_t := "1010011";
-- Operation names for ALU
constant op_SLL : ctrl_t := "000000";
constant op_SLLI : ctrl_t := "000001";
constant op_SRL : ctrl_t := "000010";
constant op_SRLI : ctrl_t := "000011";
constant op_SRA : ctrl_t := "000100";
constant op_SRAI : ctrl_t := "000101";
constant op_ADD : ctrl_t := "000110";
constant op_ADDI : ctrl_t := "000111";
constant op_SUB : ctrl_t := "001000";
constant op_LUI : ctrl_t := "001001";
constant op_AUIPC : ctrl_t := "001010";
constant op_XOR : ctrl_t := "001011";
constant op_XORI : ctrl_t := "001100";
constant op_OR : ctrl_t := "001101";
constant op_ORI : ctrl_t := "001110";
constant op_AND : ctrl_t := "001111";
constant op_ANDI : ctrl_t := "010000";
constant op_SLT : ctrl_t := "010001";
constant op_SLTI : ctrl_t := "010010";
constant op_SLTU : ctrl_t := "010011";
constant op_SLTIU : ctrl_t := "010100";
constant op_SLLW : ctrl_t := "010101";
constant op_SLLIW : ctrl_t := "010110";
constant op_SRLW : ctrl_t := "010111";
constant op_SRLIW : ctrl_t := "011000";
constant op_SRAW : ctrl_t := "011001";
constant op_SRAIW : ctrl_t := "011010";
constant op_ADDW : ctrl_t := "011011";
constant op_ADDIW : ctrl_t := "011100";
constant op_SUBW : ctrl_t := "011101";
constant op_MUL : ctrl_t := "011110";
constant op_MULH : ctrl_t := "011111";
constant op_MULHU : ctrl_t := "100000";
constant op_MULHSU : ctrl_t := "100001";
constant op_DIV : ctrl_t := "100010";
constant op_DIVU : ctrl_t := "100011";
constant op_REM : ctrl_t := "100100";
constant op_REMU : ctrl_t := "100101";
constant op_MULW : ctrl_t := "100110";
constant op_DIVW : ctrl_t := "100111";
constant op_DIVUW : ctrl_t := "101000";
constant op_REMW : ctrl_t := "101001";
constant op_REMUW : ctrl_t := "101010";
-- Instruction names for core (see intr.py to generate)
constant instr_LUI : instr_t := "00000000";
constant instr_AUIPC : instr_t := "00000001";
constant instr_JAL : instr_t := "00000010";
constant instr_JALR : instr_t := "00000011";
constant instr_BEQ : instr_t := "00000100";
constant instr_BNE : instr_t := "00000101";
constant instr_BLT : instr_t := "00000110";
constant instr_BGE : instr_t := "00000111";
constant instr_BLTU : instr_t := "00001000";
constant instr_BGEU : instr_t := "00001001";
constant instr_LB : instr_t := "00001010";
constant instr_LH : instr_t := "00001011";
constant instr_LW : instr_t := "00001100";
constant instr_LBU : instr_t := "00001101";
constant instr_LHU : instr_t := "00001110";
constant instr_SB : instr_t := "00001111";
constant instr_SH : instr_t := "00010000";
constant instr_SW : instr_t := "00010001";
constant instr_ADDI : instr_t := "00010010";
constant instr_SLTI : instr_t := "00010011";
constant instr_SLTIU : instr_t := "00010100";
constant instr_XORI : instr_t := "00010101";
constant instr_ORI : instr_t := "00010110";
constant instr_ANDI : instr_t := "00010111";
constant instr_SLLI : instr_t := "00011000";
constant instr_SRLI : instr_t := "00011001";
constant instr_SRAI : instr_t := "00011010";
constant instr_ADD : instr_t := "00011011";
constant instr_SUB : instr_t := "00011100";
constant instr_SLL : instr_t := "00011101";
constant instr_SLT : instr_t := "00011110";
constant instr_SLTU : instr_t := "00011111";
constant instr_XOR : instr_t := "00100000";
constant instr_SRL : instr_t := "00100001";
constant instr_SRA : instr_t := "00100010";
constant instr_OR : instr_t := "00100011";
constant instr_AND : instr_t := "00100100";
constant instr_FENCE : instr_t := "00100101";
constant instr_FENCEI : instr_t := "00100110";
constant instr_ECALL : instr_t := "00100111";
constant instr_EBREAK : instr_t := "00101000";
constant instr_CSRRW : instr_t := "00101001";
constant instr_CSRRS : instr_t := "00101010";
constant instr_CSRRC : instr_t := "00101011";
constant instr_CSRRWI : instr_t := "00101100";
constant instr_CSRRSI : instr_t := "00101101";
constant instr_CSRRCI : instr_t := "00101110";
constant instr_LWU : instr_t := "00101111";
constant instr_LD : instr_t := "00110000";
constant instr_SD : instr_t := "00110001";
constant instr_SLLI6 : instr_t := "00110010";
constant instr_SRLI6 : instr_t := "00110011";
constant instr_SRAI6 : instr_t := "00110100";
constant instr_ADDIW : instr_t := "00110101";
constant instr_SLLIW : instr_t := "00110110";
constant instr_SRLIW : instr_t := "00110111";
constant instr_SRAIW : instr_t := "00111000";
constant instr_ADDW : instr_t := "00111001";
constant instr_SUBW : instr_t := "00111010";
constant instr_SLLW : instr_t := "00111011";
constant instr_SRLW : instr_t := "00111100";
constant instr_SRAW : instr_t := "00111101";
constant instr_MUL : instr_t := "00111110";
constant instr_MULH : instr_t := "00111111";
constant instr_MULHSU : instr_t := "01000000";
constant instr_MULHU : instr_t := "01000001";
constant instr_DIV : instr_t := "01000010";
constant instr_DIVU : instr_t := "01000011";
constant instr_REM : instr_t := "01000100";
constant instr_REMU : instr_t := "01000101";
constant instr_MULW : instr_t := "01000110";
constant instr_DIVW : instr_t := "01000111";
constant instr_DIVUW : instr_t := "01001000";
constant instr_REMW : instr_t := "01001001";
constant instr_REMUW : instr_t := "01001010";
constant instr_LRW : instr_t := "01001011";
constant instr_SCW : instr_t := "01001100";
constant instr_AMOSWAPW : instr_t := "01001101";
constant instr_AMOADDW : instr_t := "01001110";
constant instr_AMOXORW : instr_t := "01001111";
constant instr_AMOANDW : instr_t := "01010000";
constant instr_AMOORW : instr_t := "01010001";
constant instr_AMOMINW : instr_t := "01010010";
constant instr_AMOMAXW : instr_t := "01010011";
constant instr_AMOMINUW : instr_t := "01010100";
constant instr_AMOMAXUW : instr_t := "01010101";
constant instr_LRD : instr_t := "01010110";
constant instr_SCD : instr_t := "01010111";
constant instr_AMOSWAPD : instr_t := "01011000";
constant instr_AMOADDD : instr_t := "01011001";
constant instr_AMOXORD : instr_t := "01011010";
constant instr_AMOANDD : instr_t := "01011011";
constant instr_AMOORD : instr_t := "01011100";
constant instr_AMOMIND : instr_t := "01011101";
constant instr_AMOMAXD : instr_t := "01011110";
constant instr_AMOMINUD : instr_t := "01011111";
constant instr_AMOMAXUD : instr_t := "01100000";
constant instr_FLW : instr_t := "01100001";
constant instr_FSW : instr_t := "01100010";
constant instr_FMADDS : instr_t := "01100011";
constant instr_FMSUBS : instr_t := "01100100";
constant instr_FNMSUBS : instr_t := "01100101";
constant instr_FNMADDS : instr_t := "01100110";
constant instr_FADDS : instr_t := "01100111";
constant instr_FSUBS : instr_t := "01101000";
constant instr_FMULS : instr_t := "01101001";
constant instr_FDIVS : instr_t := "01101010";
constant instr_FSQRTS : instr_t := "01101011";
constant instr_FSGNJS : instr_t := "01101100";
constant instr_FSGNJNS : instr_t := "01101101";
constant instr_FSGNJXS : instr_t := "01101110";
constant instr_FMINS : instr_t := "01101111";
constant instr_FMAXS : instr_t := "01110000";
constant instr_FCVTWS : instr_t := "01110001";
constant instr_FCVTWUS : instr_t := "01110010";
constant instr_FMVXW : instr_t := "01110011";
constant instr_FEQS : instr_t := "01110100";
constant instr_FLTS : instr_t := "01110101";
constant instr_FLES : instr_t := "01110110";
constant instr_FCLASSS : instr_t := "01110111";
constant instr_FCVTSW : instr_t := "01111000";
constant instr_FCVTSWU : instr_t := "01111001";
constant instr_FMVWX : instr_t := "01111010";
constant instr_FCVTLS : instr_t := "01111011";
constant instr_FCVTLUS : instr_t := "01111100";
constant instr_FCVTSL : instr_t := "01111101";
constant instr_FCVTSLU : instr_t := "01111110";
constant instr_FLD : instr_t := "01111111";
constant instr_FSD : instr_t := "10000000";
constant instr_FMADDD : instr_t := "10000001";
constant instr_FMSUBD : instr_t := "10000010";
constant instr_FNMSUBD : instr_t := "10000011";
constant instr_FNMADDD : instr_t := "10000100";
constant instr_FADDD : instr_t := "10000101";
constant instr_FSUBD : instr_t := "10000110";
constant instr_FMULD : instr_t := "10000111";
constant instr_FDIVD : instr_t := "10001000";
constant instr_FSQRTD : instr_t := "10001001";
constant instr_FSGNJD : instr_t := "10001010";
constant instr_FSGNJND : instr_t := "10001011";
constant instr_FSGNJXD : instr_t := "10001100";
constant instr_FMIND : instr_t := "10001101";
constant instr_FMAXD : instr_t := "10001110";
constant instr_FCVTSD : instr_t := "10001111";
constant instr_FCVTDS : instr_t := "10010000";
constant instr_FEQD : instr_t := "10010001";
constant instr_FLTD : instr_t := "10010010";
constant instr_FLED : instr_t := "10010011";
constant instr_FCLASSD : instr_t := "10010100";
constant instr_FCVTWD : instr_t := "10010101";
constant instr_FCVTWUD : instr_t := "10010110";
constant instr_FCVTDW : instr_t := "10010111";
constant instr_FCVTDWU : instr_t := "10011000";
constant instr_FCVTLD : instr_t := "10011001";
constant instr_FCVTLUD : instr_t := "10011010";
constant instr_FMVXD : instr_t := "10011011";
constant instr_FCVTDL : instr_t := "10011100";
constant instr_FCVTDLU : instr_t := "10011101";
constant instr_FMVDX : instr_t := "10011110";
constant instr_URET : instr_t := "10011111";
constant instr_SRET : instr_t := "10100000";
constant instr_MRET : instr_t := "10100001";
constant instr_WFI : instr_t := "10100010";
constant instr_SFENCEVM : instr_t := "10100011";
-- Forward declare static functions
function CSR_write(CSR: natural; value: doubleword) return doubleword;
function CSR_read(CSR: natural; value: doubleword) return doubleword;
end package config;
-- Package body defined derived constants and subroutines (i.e. functions)
package body config is
-- TODO - Might need additional parameters to specify the privilege mode, double check
-- CSR function for writing as a function of CSR register
--@param CSR The familiar name of the CSR register, encoded above in the package declaration
--@param value The raw value to be written
--@return the modified value to be written back the the given CSR
function CSR_write(CSR: natural; value: doubleword) return doubleword is
begin
return zero_word & zero_word;
end;
-- CSR function for reading as a function of CSR register
--@param CSR The familiar name of the CSR register, encoded above in the package declaration
--@param value The raw contents of the given CSR
--@return the adjusted value of the CSR to be reported back
function CSR_read(CSR: natural; value: doubleword) return doubleword is
begin
return value;
end;
end config;
| mit | 1bbabde8905996dee1ba30c077f8e7ea | 0.630887 | 3.543803 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/1000BASE-X/rtl/Eth1000BaseXMacRx.vhd | 1 | 6,501 | ---------------------------------------------------------------------------------
-- Title : 1000 BASE X MAC RX Layer
-- Project : General Purpose Core
---------------------------------------------------------------------------------
-- File : Eth1000BaseXMacRx.vhd
-- Author : Kurtis Nishimura
---------------------------------------------------------------------------------
-- Description:
-- Connects to GTP interface to 1000 BASE X Ethernet.
-- Receiver passes bytes out.
---------------------------------------------------------------------------------
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
use work.Eth1000BaseXPkg.all;
use work.GigabitEthPkg.all;
entity Eth1000BaseXMacRx is
generic (
GATE_DELAY_G : time := 1 ns
);
port (
-- 125 MHz ethernet clock in
ethRxClk : in sl;
ethRxRst : in sl := '0';
-- Incoming data from the 16-to-8 mux
macDataIn : in EthMacDataType;
-- Outgoing bytes and flags to the applications
macRxData : out slv(7 downto 0);
macRxDataValid : out sl;
macRxDataLast : out sl;
macRxBadFrame : out sl;
-- Monitoring flags
macBadCrcCount : out slv(15 downto 0)
);
end Eth1000BaseXMacRx;
-- Define architecture
architecture rtl of Eth1000BaseXMacRx is
type StateType is (S_IDLE, S_PREAMBLE, S_FRAME_DATA, S_WAIT_CRC,
S_CHECK_CRC);
type RegType is record
state : StateType;
rxDataValid : sl;
rxDataLast : sl;
rxDataOut : slv(7 downto 0);
rxBadFrame : sl;
crcReset : sl;
crcDataValid : sl;
byteCount : slv(15 downto 0);
badCrcCount : slv(15 downto 0);
end record RegType;
constant REG_INIT_C : RegType := (
state => S_IDLE,
rxDataOut => (others => '0'),
rxDataValid => '0',
rxDataLast => '0',
rxBadFrame => '0',
crcReset => '0',
crcDataValid => '0',
byteCount => (others => '0'),
badCrcCount => (others => '0')
);
signal r : RegType := REG_INIT_C;
signal rin : RegType;
signal crcOut : slv(31 downto 0);
-- signal crcData : slv(31 downto 0);
signal crcDataWidth : slv(2 downto 0);
-- ISE attributes to keep signals for debugging
-- attribute keep : string;
-- attribute keep of r : signal is "true";
-- attribute keep of crcOut : signal is "true";
-- Vivado attributes to keep signals for debugging
-- attribute dont_touch : string;
-- attribute dont_touch of r : signal is "true";
-- attribute dont_touch of crcOut : signal is "true";
begin
-- crcData <= x"000000" & r.rxDataOut;
crcDataWidth <= "000";
U_Crc32 : entity work.Crc32
generic map (
BYTE_WIDTH_G => 1,
CRC_INIT_G => x"FFFFFFFF",
GATE_DELAY_G => GATE_DELAY_G
)
port map (
crcOut => crcOut,
crcClk => ethRxClk,
crcDataValid => r.crcDataValid,
crcDataWidth => crcDataWidth,
crcIn => r.rxDataOut,
crcReset => r.crcReset
);
comb : process(r,macDataIn,ethRxRst,crcOut) is
variable v : RegType;
begin
v := r;
v.rxDataOut := macDataIn.data;
case(r.state) is
when S_IDLE =>
v.crcReset := '1';
v.crcDataValid := '0';
v.rxDataValid := '0';
v.rxDataLast := '0';
v.rxBadFrame := '0';
v.byteCount := (others => '0');
-- If we see start of packet then we should move on to accept preamble
if (macDataIn.dataValid = '1' and macDataIn.dataK = '1' and macDataIn.data = K_SOP_C) then
v.state := S_PREAMBLE;
end if;
when S_PREAMBLE =>
v.crcReset := '0';
if (macDataIn.dataValid = '1' and macDataIn.dataK = '0' and macDataIn.data = ETH_SOF_C) then
v.state := S_FRAME_DATA;
-- Bail out if we see a comma, error, carrier
elsif (macDataIn.dataValid = '1' and macDataIn.dataK = '1' and
(macDataIn.data = K_COM_C or macDataIn.data = K_EOP_C or macDataIn.data = K_CAR_C or macDataIn.data = K_ERR_C)) then
v.state := S_IDLE;
end if;
when S_FRAME_DATA =>
v.rxDataValid := macDataIn.dataValid;
v.crcDataValid := '1';
v.byteCount := r.byteCount + 1;
-- Possible errors: K_ERR_C, misplaced comma (K_COM_C)
if (macDataIn.dataValid = '1' and macDataIn.dataK = '1' and
(macDataIn.data = K_ERR_C or macDataIn.data = K_COM_C)) then
v.rxDataValid := '0';
v.rxBadFrame := '1';
v.rxDataLast := '1';
v.state := S_IDLE;
-- Otherwise, should be frame data until we see end of packet
elsif (macDataIn.dataValid = '1' and macDataIn.dataK = '1' and macDataIn.data = K_EOP_C) then
v.rxDataValid := '0';
v.crcDataValid := '0';
v.state := S_WAIT_CRC;
end if;
-- Wait one cycle to account for latency of the CRC module
when S_WAIT_CRC =>
v.state := S_CHECK_CRC;
-- Check whether the CRC is valid
when S_CHECK_CRC =>
v.rxDataLast := '1';
-- Check for packet length and valid CRC
if (crcOut = CRC_CHECK_C and r.byteCount >= 46) then
v.rxBadFrame := '0';
-- Otherwise, it's a bad frame
else
v.rxBadFrame := '1';
v.badCrcCount := r.badCrcCount + 1;
end if;
v.state := S_IDLE;
when others =>
v.state := S_IDLE;
end case;
-- Reset logic
if (ethRxRst = '1') then
v := REG_INIT_C;
end if;
-- Outputs to ports
macRxData <= r.rxDataOut;
macRxDataValid <= r.rxDataValid;
macRxDataLast <= r.rxDataLast;
macRxBadFrame <= r.rxBadFrame;
macBadCrcCount <= r.badCrcCount;
rin <= v;
end process;
seq : process (ethRxClk) is
begin
if (rising_edge(ethRxClk)) then
r <= rin after GATE_DELAY_G;
end if;
end process seq;
end rtl;
| lgpl-2.1 | 2def59e43252069f63a9665444f1bc5c | 0.503461 | 4.119772 | false | false | false | false |
RushangKaria/Xilinx_Spartan6_vModTFT_Nexys3 | Verilog/remote_sources/_/lib/digilent/Video.vhd | 1 | 135,828 | ----------------------------------------------------------------------------------
-- Company: Digilent Romania
-- Engineer: Elod Gyorgy
--
-- Create Date: 11:44:47 01/12/2009
-- Modify Date: 18:00:00 04/21/2011
-- Design Name:
-- Module Name: Video - package
-- Project Name: Digilent VHDL Library
-- Target Devices:
--
-- Tool versions:
-- Description: This package defines video timing constants and the Digilent logo
-- bitmap.
--
-- Dependencies:
--
-- Revision:
-- Revision 0.03 - Moved the Active Video area to the first part of the counter
-- Revision 0.02 - Added additional resolutions
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
package Video is
constant H_MAX : NATURAL := 1600;
constant V_MAX : NATURAL := 900;
----------------------------------------------------------------------------------
-- Resolution selector enumeration
----------------------------------------------------------------------------------
type RESOLUTION is (R480_272P, R640_480P, R720_480P, R1280_720P, R1600_900P, R800_600P);
----------------------------------------------------------------------------------
-- Timing Constants for 480x272 @60Hz
----------------------------------------------------------------------------------
--horizontal constants
constant H_480_272p_S : NATURAL := 45; --sync
constant H_480_272p_FP : NATURAL := 0; --front porch
constant H_480_272p_AV : NATURAL := 480; --active video
constant H_480_272p_BP : NATURAL := 0; --back porch
--vertical constants
constant V_480_272p_S : NATURAL := 16; --sync
constant V_480_272p_FP : NATURAL := 0; --front porch
constant V_480_272p_AV : NATURAL := 272; --active video
constant V_480_272p_BP : NATURAL := 0; --back porch
constant H_480_272p_AV_FP : NATURAL := H_480_272p_AV + H_480_272p_FP;
constant H_480_272p_AV_FP_S : NATURAL := H_480_272p_AV + H_480_272p_FP + H_480_272p_S;
constant H_480_272p_AV_FP_S_BP : NATURAL := H_480_272p_AV + H_480_272p_FP + H_480_272p_S + H_480_272p_BP;
constant V_480_272p_AV_FP : NATURAL := V_480_272p_AV + V_480_272p_FP;
constant V_480_272p_AV_FP_S : NATURAL := V_480_272p_AV + V_480_272p_FP + V_480_272p_S;
constant V_480_272p_AV_FP_S_BP : NATURAL := V_480_272p_AV + V_480_272p_FP + V_480_272p_S + V_480_272p_BP;
constant H_480_272p_POL : BOOLEAN := false; -- negative polarity
constant V_480_272p_POL : BOOLEAN := false; -- negative polarity
----------------------------------------------------------------------------------
-- Timing Constants for 640x480 @60Hz
----------------------------------------------------------------------------------
--horizontal constants
constant H_640_480p_S : NATURAL := 96; --sync
constant H_640_480p_FP : NATURAL := 16; --front porch
constant H_640_480p_AV : NATURAL := 640; --active video
constant H_640_480p_BP : NATURAL := 48; --back porch
--vertical constants
constant V_640_480p_S : NATURAL := 2; --sync
constant V_640_480p_FP : NATURAL := 33; --front porch
constant V_640_480p_AV : NATURAL := 480; --active video
constant V_640_480p_BP : NATURAL := 10; --back porch
constant H_640_480p_AV_FP : NATURAL := H_640_480p_AV + H_640_480p_FP;
constant H_640_480p_AV_FP_S : NATURAL := H_640_480p_AV + H_640_480p_FP + H_640_480p_S;
constant H_640_480p_AV_FP_S_BP : NATURAL := H_640_480p_AV + H_640_480p_FP + H_640_480p_S + H_640_480p_BP;
constant V_640_480p_AV_FP : NATURAL := V_640_480p_AV + V_640_480p_FP;
constant V_640_480p_AV_FP_S : NATURAL := V_640_480p_AV + V_640_480p_FP + V_640_480p_S;
constant V_640_480p_AV_FP_S_BP : NATURAL := V_640_480p_AV + V_640_480p_FP + V_640_480p_S + V_640_480p_BP;
constant H_640_480p_POL : BOOLEAN := false; -- negative polarity
constant V_640_480p_POL : BOOLEAN := false; -- negative polarity
----------------------------------------------------------------------------------
-- Timing Constants for 720x480 @60Hz
----------------------------------------------------------------------------------
--horizontal constants
constant H_720_480p_S : NATURAL := 62; --sync
constant H_720_480p_FP : NATURAL := 16; --front porch
constant H_720_480p_AV : NATURAL := 720; --active video
constant H_720_480p_BP : NATURAL := 60; --back porch
--vertical constants
constant V_720_480p_S : NATURAL := 6; --sync
constant V_720_480p_FP : NATURAL := 9; --front porch
constant V_720_480p_AV : NATURAL := 480; --active video
constant V_720_480p_BP : NATURAL := 30; --back porch
constant H_720_480p_AV_FP : NATURAL := H_720_480p_AV + H_720_480p_FP;
constant H_720_480p_AV_FP_S : NATURAL := H_720_480p_AV + H_720_480p_FP + H_720_480p_S;
constant H_720_480p_AV_FP_S_BP : NATURAL := H_720_480p_AV + H_720_480p_FP + H_720_480p_S + H_720_480p_BP;
constant V_720_480p_AV_FP : NATURAL := V_720_480p_AV + V_720_480p_FP;
constant V_720_480p_AV_FP_S : NATURAL := V_720_480p_AV + V_720_480p_FP + V_720_480p_S;
constant V_720_480p_AV_FP_S_BP : NATURAL := V_720_480p_AV + V_720_480p_FP + V_720_480p_S + V_720_480p_BP;
constant H_720_480p_POL : BOOLEAN := false; -- negative polarity
constant V_720_480p_POL : BOOLEAN := false; -- negative polarity
----------------------------------------------------------------------------------
-- Timing Constants for 1280x720 @60Hz
----------------------------------------------------------------------------------
--horizontal constants
constant H_1280_720p_S : NATURAL := 40; --sync
constant H_1280_720p_FP : NATURAL := 110; --front porch
constant H_1280_720p_AV : NATURAL := 1280; --active video
constant H_1280_720p_BP : NATURAL := 220; --back porch
--vertical constants
constant V_1280_720p_S : NATURAL := 5; --sync
constant V_1280_720p_FP : NATURAL := 5; --front porch
constant V_1280_720p_AV : NATURAL := 720; --active video
constant V_1280_720p_BP : NATURAL := 20; --back porch
constant H_1280_720p_AV_FP : NATURAL := H_1280_720p_AV + H_1280_720p_FP;
constant H_1280_720p_AV_FP_S : NATURAL := H_1280_720p_AV + H_1280_720p_FP + H_1280_720p_S;
constant H_1280_720p_AV_FP_S_BP : NATURAL := H_1280_720p_AV + H_1280_720p_FP + H_1280_720p_S + H_1280_720p_BP;
constant V_1280_720p_AV_FP : NATURAL := V_1280_720p_AV + V_1280_720p_FP;
constant V_1280_720p_AV_FP_S : NATURAL := V_1280_720p_AV + V_1280_720p_FP + V_1280_720p_S;
constant V_1280_720p_AV_FP_S_BP : NATURAL := V_1280_720p_AV + V_1280_720p_FP + V_1280_720p_S + V_1280_720p_BP;
constant H_1280_720p_POL : BOOLEAN := true; -- positive polarity
constant V_1280_720p_POL : BOOLEAN := true; -- positive polarity
----------------------------------------------------------------------------------
-- Timing Constants for 1600x900 @60Hz
----------------------------------------------------------------------------------
--horizontal constants
constant H_1600_900p_S : NATURAL := 20; --sync
constant H_1600_900p_FP : NATURAL := 60; --front porch
constant H_1600_900p_AV : NATURAL := 1600; --active video
constant H_1600_900p_BP : NATURAL := 120; --back porch
--vertical constants
constant V_1600_900p_S : NATURAL := 10; --sync
constant V_1600_900p_FP : NATURAL := 20; --front porch
constant V_1600_900p_AV : NATURAL := 900; --active video
constant V_1600_900p_BP : NATURAL := 70; --back porch
constant H_1600_900p_AV_FP : NATURAL := H_1600_900p_AV + H_1600_900p_FP;
constant H_1600_900p_AV_FP_S : NATURAL := H_1600_900p_AV + H_1600_900p_FP + H_1600_900p_S;
constant H_1600_900p_AV_FP_S_BP : NATURAL := H_1600_900p_AV + H_1600_900p_FP + H_1600_900p_S + H_1600_900p_BP;
constant V_1600_900p_AV_FP : NATURAL := V_1600_900p_AV + V_1600_900p_FP;
constant V_1600_900p_AV_FP_S : NATURAL := V_1600_900p_AV + V_1600_900p_FP + V_1600_900p_S;
constant V_1600_900p_AV_FP_S_BP : NATURAL := V_1600_900p_AV + V_1600_900p_FP + V_1600_900p_S + V_1600_900p_BP;
constant H_1600_900p_POL : BOOLEAN := true; -- positive polarity
constant V_1600_900p_POL : BOOLEAN := true; -- positive polarity
----------------------------------------------------------------------------------
-- Timing Constants for 800x600 @60Hz
----------------------------------------------------------------------------------
--horizontal constants
constant H_800_600p_S : NATURAL := 128; --sync
constant H_800_600p_FP : NATURAL := 40; --front porch
constant H_800_600p_AV : NATURAL := 800; --active video
constant H_800_600p_BP : NATURAL := 88; --back porch
--vertical constants
constant V_800_600p_S : NATURAL := 4; --sync
constant V_800_600p_FP : NATURAL := 1; --front porch
constant V_800_600p_AV : NATURAL := 600; --active video
constant V_800_600p_BP : NATURAL := 23; --back porch
constant H_800_600p_AV_FP : NATURAL := H_800_600p_AV + H_800_600p_FP;
constant H_800_600p_AV_FP_S : NATURAL := H_800_600p_AV + H_800_600p_FP + H_800_600p_S;
constant H_800_600p_AV_FP_S_BP : NATURAL := H_800_600p_AV + H_800_600p_FP + H_800_600p_S + H_800_600p_BP;
constant V_800_600p_AV_FP : NATURAL := V_800_600p_AV + V_800_600p_FP;
constant V_800_600p_AV_FP_S : NATURAL := V_800_600p_AV + V_800_600p_FP + V_800_600p_S;
constant V_800_600p_AV_FP_S_BP : NATURAL := V_800_600p_AV + V_800_600p_FP + V_800_600p_S + V_800_600p_BP;
constant H_800_600p_POL : BOOLEAN := true; -- positive polarity
constant V_800_600p_POL : BOOLEAN := true; -- positive polarity
----------------------------------------------------------------------------------
-- Video ROM
----------------------------------------------------------------------------------
constant VROM_WIDTH : NATURAL := 250;
constant VROM_HEIGHT : NATURAL := 56;
constant VROM_COLOR_DEPTH : NATURAL := 16;
type vromt is array (0 to VROM_WIDTH*VROM_HEIGHT-1) of
std_logic_vector (VROM_COLOR_DEPTH-1 downto 0);
constant vrom : vromt := (
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"8430", x"528a", x"528a", x"528a", x"528a", x"528a", x"528a", x"7bcf", x"defb", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ce79", x"2104", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"1082", x"bdf7", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"2945", x"0000", x"0000", x"0000", x"2945", x"5acb", x"5acb", x"5acb", x"4a49", x"0882", x"0000", x"18c3", x"ef7d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"8c71", x"0000", x"0000", x"5acb", x"2945", x"738f", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"a534", x"18c3", x"0000", x"6b4d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"1082", x"0000", x"4a49", x"d6ba", x"b5b6", x"3186", x"b5b7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"7bcf", x"0000", x"0841", x"ce79", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5acb", x"0000", x"0841", x"bdf7", x"d6ba", x"d6ba", x"630c", x"738e", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"3186", x"0000", x"4208", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"c638", x"0000", x"0000", x"6b8e", x"d6ba", x"d6ba", x"d6ba", x"c638", x"2945", x"ad76", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"9cf3", x"0000", x"0000", x"a534", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"3186", x"0000", x"2104", x"ce79", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"8430", x"52cb", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"528a", x"0000", x"2104", x"ef7d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ce79", x"7bcf", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"4a49", x"0000", x"0000", x"94b2", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"3186", x"9cf4", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"ad75", x"1082", x"0000", x"4208", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"630c", x"7bcf", x"ce79", x"ffff", x"ffff", x"ffff",
x"ffff", x"f7be", x"630c", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"4208", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"a534", x"39c8", x"b5f7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"738e", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"6b4d", x"f7be", x"ffff",
x"ffff", x"6b4d", x"0000", x"0081", x"0245", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0943", x"0000", x"0841", x"b5b6", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"4a49", x"8431", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"2945", x"0000", x"10c3", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0b07", x"0245", x"0081", x"0000", x"6b4d", x"ffff",
x"d6ba", x"0841", x"0081", x"0307", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"02c6", x"0000", x"0000", x"630c", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"bdf7", x"2945", x"b5b6", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"94b2", x"0000", x"0000", x"0286", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0307", x"0081", x"0841", x"d6ba",
x"8c71", x"0000", x"0205", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0103", x"0000", x"18c3", x"ce79", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"6b4d", x"6b4d", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"4a49", x"0000", x"0882", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0205", x"0000", x"8c71",
x"630c", x"0000", x"0286", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0245", x"0000", x"0000", x"8c71", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ce79", x"2945", x"ad75", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"ad75", x"1082", x"0000", x"0204", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"13c9", x"44ae", x"6d71", x"7db3", x"85f4", x"7db3", x"5d30", x"346c", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0388", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0b88", x"0387", x"0387", x"0387", x"0387", x"ffff", x"ffff", x"ffff", x"ffff", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0081", x"0000", x"3187", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"8c71", x"8c71", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"8c71", x"4a49", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"6b4d", x"0000", x"0041", x"0307", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"e77d", x"e77d", x"df7c", x"d73b", x"bef9", x"a676", x"7db3", x"3c6c", x"0388", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"d73b", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"ae77", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"54ef", x"bef9", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e77d", x"9635", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"a676", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"df7c", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"5d30", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"346c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"a677", x"0387", x"0387", x"0387", x"0387", x"0387", x"85f4", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"e77d", x"2c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"e77d", x"13c9", x"0387", x"0387", x"0387", x"54ef", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"efbe", x"0b88", x"0387", x"0388", x"ffff", x"0388", x"0387", x"0387", x"0387", x"ffff", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"01c4", x"0000", x"0841", x"ad75", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"b5b7", x"0841", x"0841", x"bdf7", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"39c7", x"94b3", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5b6", x"2104", x"0000", x"0943", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"df7c", x"6d71", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"3c6c", x"cf3a", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"8df4", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3b", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"5d2f", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"0b88", x"0b88", x"ffff", x"0387", x"ffff", x"ffff", x"ffff", x"0387", x"0387", x"ffff", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0307", x"0040", x"0000", x"5acb", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"4208", x"5acc", x"630c", x"4209", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"a535", x"3186", x"b5f7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"8c71", x"0000", x"0000", x"0ac6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3b", x"2c2b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"6531", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"c6fa", x"1bca", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"5d2f", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"0b88", x"0b88", x"ffff", x"0387", x"ffff", x"0387", x"0387", x"ffff", x"0387", x"ffff", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0943", x"0000", x"1082", x"c638", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"94b2", x"3187", x"8cb2", x"94b2", x"39c7", x"9cf3", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"528a", x"7bcf", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"4208", x"0000", x"08c2", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbd", x"44ad", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"6d71", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"d73b", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"54ef", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"5d2f", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"0b88", x"0387", x"ffff", x"0387", x"ffff", x"ffff", x"ffff", x"0387", x"0387", x"ffff", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"0000", x"7c30", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ce79", x"2945", x"7c30", x"94b2", x"94b2", x"8430", x"2945", x"ce7a", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"c638", x"2945", x"adb6", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"a534", x"0841", x"0000", x"0205", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbd", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"44ae", x"f7fe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0388", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbd", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"5d2f", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"0b88", x"0387", x"ffff", x"0387", x"ffff", x"0387", x"0387", x"ffff", x"0387", x"ffff", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"00c2", x"0000", x"2945", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"738e", x"4a4a", x"94b2", x"94b2", x"94b2", x"94b2", x"2946", x"738f", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"738e", x"630c", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"630c", x"0000", x"0041", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"85f4", x"6571", x"75b2", x"9635", x"c6fa", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"bef9", x"0388", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"9635", x"3c6c", x"13c9", x"0b88", x"242b", x"7572", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"54ef", x"0388", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"54ef", x"54ef", x"54ef", x"54ef", x"54ef", x"54ef", x"54ef", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"c6f9", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"44ad", x"bef9", x"bef9", x"bef9", x"bef9", x"c6f9", x"f7ff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e77d", x"bef9", x"bef9", x"bef9", x"bef9", x"bef9", x"0b88", x"0387", x"0387", x"ffff", x"0387", x"0387", x"0387", x"0387", x"ffff", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0205", x"0000", x"0000", x"a534", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"bdf7", x"2104", x"8c71", x"94b2", x"94b2", x"94b2", x"94b2", x"3186", x"1082", x"bdf7", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ce79", x"2945", x"a534", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5b6", x"2104", x"0000", x"0984", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"2c2b", x"aeb8", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"44ae", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"75b2", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e77d", x"346c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"13c9", x"b6b8", x"ffff", x"ffff", x"c6fa", x"4cee", x"0388", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"85f4", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ffff", x"ffff", x"ffff", x"ffff", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0307", x"0041", x"0000", x"4a8a", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"4a49", x"634d", x"94b2", x"94b2", x"94b2", x"94b2", x"8431", x"0841", x"0000", x"528a", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"94b2", x"4208", x"b5f7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"8430", x"0000", x"0000", x"0ac6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"a677", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"aeb8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7fe", x"3cad", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"13c9", x"9636", x"44ad", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"44ae", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0943", x"0000", x"1082", x"bdf7", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"9cf3", x"3186", x"8cb2", x"94b2", x"94b2", x"94b2", x"94b2", x"39c7", x"0000", x"0000", x"0000", x"a534", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"39c8", x"8c72", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"39c7", x"0000", x"0102", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"13c9", x"efbd", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"346c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"a677", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e77d", x"13c9", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0ac6", x"0000", x"0000", x"738e", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ce7a", x"2945", x"7bd0", x"94b2", x"94b2", x"94b2", x"94b2", x"738e", x"0000", x"0000", x"0841", x"0000", x"2945", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ad76", x"3186", x"b5b7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"9d34", x"0841", x"0000", x"0245", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"9e36", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"346c", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"6d71", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"4cee", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"bef9", x"8df4", x"8df4", x"8df4", x"8df4", x"8df4", x"8df4", x"8df4", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e77d", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"aeb8", x"0388", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"08c2", x"0000", x"2104", x"ce7a", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"738e", x"4a49", x"94b2", x"94b2", x"94b2", x"94b2", x"8c72", x"2104", x"0000", x"0943", x"0286", x"0000", x"0000", x"8430", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"5acb", x"738e", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"5acb", x"0000", x"0081", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"6d71", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5d2f", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"9635", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"13c9", x"0387", x"0387", x"0387", x"0387", x"2c2b", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"cf3a", x"9e36", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"44ad", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"6531", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"7572", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0205", x"0000", x"0000", x"9cf3", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"bdf7", x"2104", x"8c71", x"94b2", x"94b2", x"94b2", x"94b2", x"5acb", x"0000", x"0040", x"0307", x"0347", x"0943", x"0000", x"1082", x"c638", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"c638", x"2105", x"ad75", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"ad76", x"18c3", x"0000", x"01c4", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"a677", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbd", x"0388", x"0387", x"0387", x"0387", x"0387", x"346c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"c6fa", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"44ad", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"a677", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"346c", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0041", x"0000", x"4208", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"528a", x"630c", x"94b2", x"94b2", x"94b2", x"94b2", x"8431", x"1082", x"0000", x"01c4", x"0387", x"0387", x"0307", x"0040", x"0000", x"5acb", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"7bcf", x"52cb", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"7bcf", x"0000", x"0000", x"0307", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"a677", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e77d", x"0388", x"0387", x"0387", x"0387", x"0387", x"346c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"bef9", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"44ad", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"13c9", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"d73b", x"13c9", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0984", x"0000", x"0841", x"b5f7", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"a534", x"3186", x"8c72", x"94b2", x"94b2", x"94b2", x"8cb2", x"3a08", x"0000", x"0081", x"0347", x"0387", x"0387", x"0387", x"01c4", x"0000", x"0841", x"ad75", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ceba", x"3186", x"9cf4", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"3186", x"0000", x"0943", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5d30", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"9635", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"0b88", x"0387", x"0387", x"0387", x"0387", x"346c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"aeb8", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"44ad", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"3c6c", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9e36", x"54ef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0ac6", x"0000", x"0000", x"6b4d", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"3186", x"7bcf", x"94b2", x"94b2", x"94b2", x"94b2", x"738f", x"0000", x"0000", x"0245", x"0387", x"0387", x"0387", x"0387", x"0347", x"0081", x"0000", x"3186", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"9cf3", x"39c7", x"b5f7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"94f3", x"0000", x"0000", x"0286", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"9635", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3cad", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"6d72", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242a", x"0387", x"0387", x"0387", x"0387", x"346c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"c6fa", x"9e36", x"9e36", x"9e36", x"9e36", x"9e36", x"9e36", x"9e36", x"2c2b", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"7572", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"aeb8", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0102", x"0000", x"18c3", x"ce79", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"7bcf", x"4209", x"94b2", x"94b2", x"94b2", x"94b2", x"8c72", x"2104", x"0000", x"0102", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"0000", x"8c71", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"4208", x"8430", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"528a", x"0000", x"0081", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"3c6c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6530", x"0387", x"0387", x"0387", x"0387", x"13c9", x"4cee", x"4cee", x"4cee", x"4cee", x"4cee", x"4cee", x"cf3a", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6531", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"b6b8", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0245", x"0000", x"0000", x"8c72", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"c638", x"2104", x"8c71", x"94b2", x"94b2", x"94b2", x"94b2", x"5acc", x"0000", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0103", x"0000", x"18c3", x"c679", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"b5b6", x"2945", x"b5b6", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"ad75", x"1082", x"0000", x"01c4", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"85f4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"bef9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"c6fa", x"0388", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"13c9", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"2c2b", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"1bc9", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0081", x"0000", x"39c7", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"528a", x"5b0c", x"94b2", x"94b2", x"94b2", x"94b2", x"8431", x"1082", x"0000", x"0984", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0307", x"0000", x"0000", x"630c", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"630c", x"6b4d", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"738e", x"0000", x"0041", x"0307", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"242b", x"0387", x"0387", x"0387", x"0387", x"13c9", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5d30", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"85f4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d72", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"9635", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3b", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"0387", x"44ad", x"f7fe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0984", x"0000", x"0841", x"b5b6", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"a535", x"2945", x"8c72", x"94b2", x"94b2", x"94b2", x"94b2", x"4208", x"0000", x"0041", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0984", x"0000", x"0841", x"b5b6", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ce79", x"2145", x"a575", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5b7", x"2945", x"0000", x"0943", x"0347", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6d71", x"54ef", x"54ef", x"7572", x"a677", x"efbd", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3b", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"1bc9", x"e7bd", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"7572", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"13c9", x"9e36", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"6531", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"a676", x"85f4", x"85f4", x"85f4", x"85f4", x"85f4", x"85f4", x"85f4", x"346c", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"54ef", x"54ef", x"54ef", x"54ef", x"54ef", x"54ef", x"54ef", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"7db3", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0307", x"0040", x"0000", x"5acc", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"3186", x"73cf", x"94b2", x"94b2", x"94b2", x"94b2", x"73cf", x"0000", x"0000", x"0245", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0041", x"0000", x"4208", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"8430", x"4a4a", x"b5f7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"94b2", x"0000", x"0000", x"0ac6", x"0387", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"6531", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3b", x"7db3", x"54ef", x"44ae", x"6530", x"9635", x"e77d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3b", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5d30", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"b6b8", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0103", x"0000", x"10c3", x"c638", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"8430", x"4208", x"8cb2", x"94b2", x"94b2", x"94b2", x"8c72", x"2105", x"0000", x"08c2", x"0347", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0245", x"0000", x"0000", x"94b2", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"3186", x"94b3", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"4a49", x"0000", x"08c2", x"0347", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7fe", x"5d30", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"9e36", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"346c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5d30", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"1bca", x"e77d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"0000", x"8430", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"c638", x"2104", x"8431", x"94b2", x"94b2", x"94b2", x"94b2", x"5acc", x"0000", x"0000", x"0ac6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"08c2", x"0000", x"2104", x"ce79", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"a534", x"3187", x"b5b7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"a575", x"1082", x"0000", x"0205", x"0387", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e7bd", x"4cee", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"9e36", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"4cee", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5d30", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"4cee", x"f7fe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0081", x"0000", x"3186", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"5acb", x"5acb", x"94b2", x"94b2", x"94b2", x"94b2", x"8471", x"1082", x"0000", x"0984", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0ac6", x"0000", x"0000", x"6b8e", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"4a4a", x"7bd0", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"6b4d", x"0000", x"0041", x"0307", x"0387", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"9e36", x"1bca", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"7572", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"d73b", x"3c6c", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5d30", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"85f4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"02c6", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"01c4", x"0000", x"0000", x"a535", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ad75", x"2945", x"8c72", x"94b2", x"94b2", x"94b2", x"8cb2", x"4208", x"0000", x"0041", x"0307", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0984", x"0000", x"0841", x"bdf7", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"bdf7", x"2104", x"b5b6", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5b6", x"2104", x"0000", x"0183", x"0347", x"0387", x"4cef", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"f7be", x"efbd", x"e77d", x"cf3a", x"a676", x"6530", x"1bc9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"df7c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"242a", x"9635", x"efbd", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"d73b", x"6d71", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"ae77", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"efbe", x"0b88", x"0387", x"0387", x"0387", x"0387", x"0387", x"6530", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5d30", x"0387", x"0387", x"0387", x"0b88", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b6b8", x"0387", x"0387", x"0387", x"0387", x"0387", x"8df4", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"cf3a", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"c6f9", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0388", x"efbe", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9635", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"630c", x"0000", x"0286", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0307", x"0040", x"0000", x"528a", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"39c7", x"738e", x"94b2", x"94b2", x"94b2", x"94b2", x"7bcf", x"0000", x"0000", x"0205", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0307", x"0041", x"0000", x"4a49", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"6b4d", x"630c", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"8c71", x"0000", x"0000", x"0ac6", x"0387", x"0b88", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"1bc9", x"1bc9", x"0b88", x"0388", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"1bca", x"242a", x"242a", x"242a", x"242a", x"242a", x"1bc9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"13c9", x"44ae", x"7db3", x"9635", x"a677", x"ae77", x"a676", x"8df4", x"6531", x"2c2b", x"0388", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"1bc9", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"0b88", x"0387", x"0387", x"0387", x"0387", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"1bc9", x"0387", x"0387", x"0387", x"0387", x"0387", x"13c9", x"242a", x"242a", x"242a", x"242a", x"242a", x"1bca", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0b88", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"242a", x"242a", x"242a", x"242a", x"242a", x"242a", x"13c9", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0286", x"0000", x"738e",
x"8430", x"0000", x"0245", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"0943", x"0000", x"1082", x"c638", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"8431", x"39c7", x"8cb2", x"94b2", x"94b2", x"94b2", x"8c72", x"2105", x"0000", x"0081", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0ac6", x"0984", x"0000", x"0000", x"9cf3", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ce79", x"2105", x"a534", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"4208", x"0000", x"08c2", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0245", x"0000", x"8430",
x"c638", x"0000", x"00c2", x"0347", x"0387", x"0387", x"0387", x"0387", x"0ac6", x"0000", x"0000", x"7bcf", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"c679", x"2104", x"8430", x"94b2", x"94b2", x"94b2", x"94b2", x"630c", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"18c3", x"a534", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"4a49", x"4208", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"a534", x"0841", x"0000", x"0245", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0387", x"0347", x"00c2", x"0000", x"c638",
x"ffff", x"528a", x"0000", x"0103", x"0ac6", x"0347", x"0347", x"0b07", x"00c2", x"0000", x"2945", x"ce7a", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"630c", x"52cb", x"94b2", x"94b2", x"94b2", x"94b2", x"8c71", x"1082", x"0000", x"0841", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"1082", x"2945", x"3186", x"3186", x"3186", x"1082", x"3186", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"630c", x"0000", x"0081", x"0b07", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0347", x"0ac6", x"0102", x"0000", x"528a", x"ffff",
x"ffff", x"ef7d", x"39c7", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"9cf3", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"b5b6", x"2105", x"8c72", x"94b2", x"94b2", x"94b2", x"94b2", x"4208", x"3186", x"9cf3", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5b6", x"18c3", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"4208", x"ef7d", x"ffff",
x"ffff", x"ffff", x"f7be", x"a534", x"528a", x"4208", x"3186", x"0000", x"0000", x"4a49", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"4208", x"6b8e", x"94b2", x"94b2", x"94b2", x"94b2", x"7bcf", x"2104", x"adb6", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"8430", x"0000", x"0000", x"2945", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"4208", x"528a", x"a534", x"f7be", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5acb", x"0000", x"0841", x"bdf7", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"8c71", x"39c7", x"8cb2", x"94b2", x"94b2", x"94b2", x"8c72", x"2945", x"8430", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"b5f7", x"39c7", x"0000", x"4208", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"c638", x"0000", x"0000", x"6b4d", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"ce79", x"2945", x"8430", x"94b2", x"94b2", x"94b2", x"94b2", x"630c", x"39c8", x"b5f7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"bdf7", x"9cf4", x"0841", x"0000", x"a534", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"d6ba", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"8430", x"b5b6", x"ffff", x"ffff", x"ffff", x"ffff", x"bdf7", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"bdf7", x"ffff", x"ce7a", x"7bd0", x"defb", x"ffff", x"ffff", x"ffff", x"b5b7", x"8430", x"ef7d", x"ffff", x"ffff", x"defb", x"7bcf", x"528a", x"4a49", x"738e", x"c679", x"ffff", x"ffff", x"ffff", x"ffff", x"defb", x"a575", x"ffff", x"ffff", x"ffff", x"f7be", x"8430", x"e73c", x"ffff", x"ffff", x"f7be", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"9cf3", x"e77d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"8c72", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"b5b6", x"ffff", x"f7be", x"8430", x"e73c", x"ffff", x"ffff", x"ffff", x"ffff", x"94f3", x"c638", x"ffff", x"ffff", x"ffff", x"94b2", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"ef7d", x"ffff", x"ffff", x"ffff", x"e73c", x"8430", x"528a", x"4a49", x"6b4d", x"bdf7", x"ffff", x"ffff", x"ffff", x"ffff", x"ef7d", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"7bd0", x"8c71", x"d6ba", x"ffff", x"ffff", x"ad75", x"8c71", x"f7be", x"ffff", x"ffff", x"ffff", x"94b2", x"9cf3", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5acb", x"0000", x"18c3", x"ce79", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"634d", x"528a", x"94b2", x"94b2", x"94b2", x"94b2", x"8c71", x"1082", x"630c", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"b5b6", x"ad75", x"1083", x"0000", x"39c7", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b5b6", x"1083", x"6b4e", x"6b4e", x"6b4e", x"630c", x"0882", x"ad75", x"ffff", x"ffff", x"ffff", x"8430", x"2104", x"6b4e", x"6b4e", x"6b4e", x"6b4e", x"6b4e", x"b5b6", x"ffff", x"f7be", x"630c", x"2945", x"e73c", x"ffff", x"bdf7", x"1082", x"9cf3", x"ffff", x"ffff", x"a575", x"1082", x"528b", x"94f3", x"9cf3", x"630c", x"1082", x"8430", x"ffff", x"ffff", x"ffff", x"c638", x"0841", x"a534", x"ffff", x"ffff", x"f7be", x"10c3", x"ce79", x"ffff", x"ffff", x"f7be", x"0841", x"5acb", x"6b4e", x"6b4d", x"39c7", x"18c3", x"c638", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"8c71", x"7bcf", x"7bcf", x"2104", x"4209", x"7bcf", x"7bcf", x"b5b6", x"ffff", x"e73c", x"0882", x"d6ba", x"ffff", x"ffff", x"ffff", x"ffff", x"39c7", x"94b2", x"ffff", x"ffff", x"ffff", x"31c7", x"4a49", x"6b4e", x"6b4e", x"6b4e", x"6b4e", x"6b4e", x"ef7d", x"ffff", x"ffff", x"bdf7", x"18c3", x"4a49", x"94b2", x"9d34", x"6b4d", x"1082", x"6b8e", x"ffff", x"ffff", x"ffff", x"d6fb", x"0841", x"630d", x"6b4e", x"6b4e", x"6b4e", x"4a8a", x"1082", x"defb", x"ffff", x"e73c", x"3186", x"630c", x"f7be", x"ffff", x"7bcf", x"2104", x"d6ba", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"3186", x"0000", x"4208", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"b5b7", x"2104", x"8c71", x"94b2", x"94b2", x"94b2", x"94b2", x"8430", x"2104", x"18c3", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"2104", x"18c3", x"0000", x"0000", x"1082", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b5b6", x"2145", x"ffff", x"ffff", x"ffff", x"ffff", x"39c7", x"8471", x"ffff", x"ffff", x"ffff", x"8430", x"4a49", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"5acb", x"3186", x"b5b6", x"10c3", x"94b2", x"ffff", x"ffff", x"e73c", x"1082", x"94b2", x"ffff", x"ffff", x"ffff", x"ffff", x"bdf7", x"0882", x"bdf7", x"ffff", x"ffff", x"c638", x"0841", x"1082", x"b5b6", x"ffff", x"f7be", x"10c3", x"ce79", x"ffff", x"ffff", x"f7be", x"0841", x"ceba", x"ffff", x"ffff", x"f7be", x"738e", x"2104", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"4208", x"8c71", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"0882", x"d6ba", x"ffff", x"ffff", x"ffff", x"ffff", x"39c7", x"94b2", x"ffff", x"ffff", x"ffff", x"31c7", x"a534", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ef7d", x"2104", x"7c30", x"ffff", x"ffff", x"ffff", x"ffff", x"ce79", x"1083", x"a534", x"ffff", x"ffff", x"d6fb", x"0841", x"ef7d", x"ffff", x"ffff", x"ffff", x"f7be", x"18c4", x"ad75", x"ffff", x"ffff", x"defb", x"2145", x"6b4d", x"8431", x"18c3", x"ce79", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"4a49", x"0000", x"2945", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"d6ba", x"4208", x"6b4d", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"8cb2", x"39c7", x"0000", x"2104", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b5b6", x"0882", x"39c7", x"39c7", x"39c7", x"3186", x"0882", x"d6ba", x"ffff", x"ffff", x"ffff", x"8430", x"18c4", x"528a", x"528a", x"528a", x"ad75", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"4a4a", x"0841", x"8c71", x"ffff", x"ffff", x"ffff", x"a534", x"2104", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"4a49", x"738e", x"ffff", x"ffff", x"c638", x"2104", x"9cf3", x"1082", x"c638", x"f7be", x"10c3", x"ce79", x"ffff", x"ffff", x"f7be", x"0841", x"ceba", x"ffff", x"ffff", x"ffff", x"ef7d", x"0882", x"c638", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"4208", x"8c71", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"0882", x"39c8", x"4a49", x"4a49", x"4a49", x"4a49", x"1083", x"94b2", x"ffff", x"ffff", x"ffff", x"31c7", x"39c7", x"528a", x"528a", x"528a", x"e73c", x"ffff", x"ffff", x"ffff", x"bdf7", x"1082", x"ef7d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"630d", x"5acb", x"ffff", x"ffff", x"d6fb", x"0841", x"738e", x"7bcf", x"7bcf", x"7bcf", x"5acb", x"1082", x"defb", x"ffff", x"ffff", x"ffff", x"d6ba", x"2104", x"18c3", x"c638", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"9cf3", x"0000", x"0000", x"94b2", x"d6ba", x"d6ba", x"d6ba", x"94b2", x"3186", x"8cb2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"8c72", x"18c3", x"0000", x"6b4d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b5b6", x"18c4", x"b5b6", x"b5b6", x"b5b6", x"ad75", x"528a", x"4a49", x"ffff", x"ffff", x"ffff", x"8430", x"2946", x"94f3", x"94f3", x"94f3", x"ce79", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"bdf7", x"10c3", x"f7be", x"ffff", x"ffff", x"ffff", x"9cf3", x"2945", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5acb", x"6b4d", x"ffff", x"ffff", x"c638", x"2104", x"f7be", x"8c71", x"18c3", x"c638", x"10c3", x"ce79", x"ffff", x"ffff", x"f7be", x"0841", x"ceba", x"ffff", x"ffff", x"ffff", x"ef7d", x"1082", x"bdf7", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"4208", x"8c71", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"0882", x"8c71", x"a534", x"a534", x"a534", x"a534", x"2145", x"94b2", x"ffff", x"ffff", x"ffff", x"31c7", x"630c", x"94f3", x"94f3", x"94f3", x"ef7d", x"ffff", x"ffff", x"ffff", x"b5b6", x"18c3", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"738e", x"528b", x"ffff", x"ffff", x"d6fb", x"0841", x"634d", x"738e", x"738e", x"2945", x"2945", x"ce79", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"7bcf", x"5acb", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"f7be", x"3186", x"0000", x"2104", x"ce79", x"d6ba", x"ce79", x"2945", x"8430", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"528b", x"0000", x"1082", x"e73c", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b5b6", x"2145", x"ffff", x"ffff", x"ffff", x"ffff", x"c638", x"1082", x"ef7d", x"ffff", x"ffff", x"8430", x"4a49", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"be38", x"10c3", x"f7be", x"ffff", x"ffff", x"ffff", x"d6ba", x"0882", x"bdf7", x"ffff", x"ffff", x"ffff", x"ffff", x"defb", x"10c3", x"ad75", x"ffff", x"ffff", x"c638", x"2104", x"f7be", x"ffff", x"7bcf", x"18c4", x"0882", x"ce79", x"ffff", x"ffff", x"f7be", x"0841", x"ceba", x"ffff", x"ffff", x"ffff", x"a534", x"1082", x"ef7d", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"4208", x"8c71", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"0882", x"d6ba", x"ffff", x"ffff", x"ffff", x"ffff", x"39c7", x"94b2", x"ffff", x"ffff", x"ffff", x"31c7", x"a534", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"1082", x"a534", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"2104", x"8cb2", x"ffff", x"ffff", x"d6fb", x"0841", x"ef7d", x"ffff", x"ffff", x"c638", x"1082", x"ce79", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"7c30", x"5acb", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"bdf7", x"0000", x"0000", x"738e", x"d6ba", x"6b4d", x"4a4a", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"8431", x"1082", x"0000", x"8c71", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b5b6", x"18c3", x"a534", x"a534", x"a534", x"a534", x"4a49", x"39c7", x"ffff", x"ffff", x"ffff", x"8430", x"3186", x"a534", x"a534", x"a534", x"a534", x"a534", x"b5f7", x"ffff", x"ffff", x"ffff", x"ffff", x"be38", x"10c3", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"7c30", x"10c3", x"8c71", x"ce79", x"ce79", x"9cf3", x"2104", x"528b", x"ffff", x"ffff", x"ffff", x"c638", x"2104", x"f7be", x"ffff", x"ffff", x"6b4d", x"0841", x"ce79", x"ffff", x"ffff", x"f7be", x"0841", x"8471", x"a534", x"9d34", x"6b4d", x"1082", x"94b2", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"4208", x"8c71", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"0882", x"d6ba", x"ffff", x"ffff", x"ffff", x"ffff", x"39c7", x"94b2", x"ffff", x"ffff", x"ffff", x"31c7", x"6b4d", x"a534", x"a534", x"a534", x"a534", x"a534", x"defb", x"ffff", x"ffff", x"94b2", x"1082", x"7bcf", x"c638", x"d6ba", x"a534", x"2945", x"4208", x"f7be", x"ffff", x"ffff", x"d6fb", x"0841", x"ef7d", x"ffff", x"ffff", x"ffff", x"73cf", x"39c7", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"7c30", x"5acb", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"5acb", x"0000", x"0841", x"4209", x"0841", x"8430", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"94b2", x"8471", x"3186", x"0000", x"2945", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"c638", x"4a49", x"4a49", x"4a49", x"4a49", x"4a49", x"6b8e", x"defb", x"ffff", x"ffff", x"ffff", x"a534", x"4a49", x"4a49", x"4a49", x"4a49", x"4a49", x"4a49", x"738e", x"ffff", x"ffff", x"ffff", x"ffff", x"ce79", x"528a", x"f7be", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ad75", x"4208", x"18c3", x"10c3", x"39c7", x"94b2", x"f7be", x"ffff", x"ffff", x"ffff", x"d6ba", x"5acb", x"f7be", x"ffff", x"ffff", x"f7be", x"738e", x"defb", x"ffff", x"ffff", x"f7be", x"4a49", x"4a49", x"4a49", x"4a49", x"6b4d", x"c638", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"738e", x"ad75", x"ffff", x"ffff", x"ffff", x"ffff", x"ef7d", x"4a49", x"defb", x"ffff", x"ffff", x"ffff", x"ffff", x"6b4d", x"ad76", x"ffff", x"ffff", x"ffff", x"6b4d", x"4a49", x"4a49", x"4a49", x"4a49", x"4a49", x"4a49", x"b5b6", x"ffff", x"ffff", x"ffff", x"bdf7", x"4a49", x"18c3", x"1083", x"3186", x"8c71", x"f7be", x"ffff", x"ffff", x"ffff", x"e73c", x"4a49", x"ef7d", x"ffff", x"ffff", x"ffff", x"ef7d", x"5acb", x"bdf7", x"ffff", x"ffff", x"ffff", x"ffff", x"a534", x"8430", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"defb", x"1082", x"0000", x"0000", x"0000", x"18c4", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"3186", x"1082", x"0000", x"0000", x"ad75", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"b5b6", x"18c3", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0000", x"0841", x"94b2", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff",
x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"e73c", x"9cf3", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"7bcf", x"6b4d", x"8430", x"d6ba", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff", x"ffff");
attribute rom_extract : string;
attribute rom_style : string;
attribute rom_extract of vrom : constant is "yes";
attribute rom_style of vrom : constant is "block";
end Video; | gpl-3.0 | 9431dd98be34f72fc6c7c9d3f9b9ce30 | 0.557352 | 2.088152 | false | false | false | false |
SLongofono/Senior_Design_Capstone | hdl/simple_core.vhd | 1 | 74,222 | ----------------------------------------------------------------------------------
-- Engineer: Longofono
--
-- Create Date: 02/10/2018 06:05:22 PM
-- Module Name: simple_core - Behavioral
-- Description: Incremental build of the simplified processor core
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library config;
use work.config.all;
entity simple_core is
Port(
status: out std_logic; -- LED blinkenlites
clk: in std_logic; -- System clock (100 MHz)
rst: in std_logic; -- Tied to switch SW0
reggie: out regfile_arr;
pc_curr: out doubleword;
DEBUG_halt: in std_logic := '0';
MMU_addr_in: out doubleword; -- 64-bits address for load/store
MMU_data_in: out doubleword; -- 64-bits data for store
MMU_satp: out doubleword; -- Signals address translation privilege
MMU_mode: out std_logic_vector(1 downto 0); -- Current operating mode (Machine, Supervisor, Etc)
MMU_store: out std_logic; -- High to toggle store
MMU_load: out std_logic; -- High to toggle load
MMU_busy: in std_logic; -- High when busy
MMU_ready_instr: out std_logic; -- Ready for a new instruction (initiates fetch)
MMU_addr_instr: out doubleword; -- Instruction Address (AKA PC)
MMU_alignment: out std_logic_vector(3 downto 0);-- alignment in bytes
MMU_data_out: in doubleword; -- 64-Bits data out for load
MMU_instr_out: in word; -- 64-Bits instruction out for fetch
MMU_error: in std_logic_vector(5 downto 0) -- Error bits from MMU
);
end simple_core;
architecture Behavioral of simple_core is
----------------------------------------------------------------------------------
-- Component instantiation
----------------------------------------------------------------------------------
component ALU is
port(
clk: in std_logic; -- System clock
rst: in std_logic; -- Reset
halt: in std_logic; -- Do nothing
ctrl: in instr_t; -- Operation
rs1: in doubleword; -- Source 1
rs2: in doubleword; -- Source 2
shamt: in std_logic_vector(4 downto 0); -- shift amount
rout: out doubleword; -- Output Result
error: out std_logic; -- signal exception
overflow: out std_logic; -- signal overflow
zero: out std_logic -- signal zero result
);
end component;
component fence is
Port(
clk: in std_logic; -- System clock
rst: in std_logic; -- System reset
halt: in std_logic; -- Do nothing when high
ready_input: in std_logic; -- Control has data to be written back
ready_output: in std_logic; -- MMU is ready to accept data
output_OK: out std_logic; -- Write data and address are valid
input_OK: out std_logic; -- Read data and address recorded
input_data: in doubleword; -- Data from previous stage
input_address: in doubleword; -- MMU Destination for input data
output_data: out doubleword; -- Data to be written to MMU
output_address: out doubleword -- MMU destination for output data
);
end component;
component decode is
Port(
instr : in std_logic_vector(63 downto 0);
instr_code : out instr_t;
funct3 : out funct3_t;
funct6 : out funct6_t;
funct7 : out funct7_t;
imm12 : out std_logic_vector(11 downto 0); -- I, B, and S Immediates
imm20 : out std_logic_vector(19 downto 0); -- U and J Immediates
opcode : out opcode_t;
rs1 : out reg_t;
rs2 : out reg_t;
rs3 : out reg_t;
rd : out reg_t;
shamt : out std_logic_vector(4 downto 0);
csr : out std_logic_vector(31 downto 20)
);
end component;
component regfile is
Port(
clk: in std_logic;
rst: in std_logic;
read_addr_1: in std_logic_vector(4 downto 0); -- Register source read_data_1
read_addr_2: in std_logic_vector(4 downto 0); -- Register source read_data_2
write_addr: in std_logic_vector(4 downto 0); -- Write dest write_data
write_data: in doubleword; -- Data to be written
halt: in std_logic; -- Control, do nothing on high
write_en: in std_logic; -- write_data is valid
read_data_1: out doubleword; -- Data from read_addr_1
read_data_2: out doubleword; -- Data from read_addr_2
write_error: out std_logic; -- Writing to constant, HW exception
debug_out: out regfile_arr -- Copy of regfile contents for debugger
);
end component;
component mux is
Port(
sel: in std_logic; -- Select from zero, one ports
zero_port: in doubleword; -- Data in, zero select port
one_port: in doubleword; -- Data in, one select port
out_port: out doubleword -- Output data
);
end component;
component sext is
Port(
imm12: in std_logic_vector(11 downto 0);
imm20: in std_logic_vector(19 downto 0);
output_imm12: out std_logic_vector(63 downto 0);
output_imm20: out std_logic_vector(63 downto 0)
);
end component;
----------------------------------------------------------------------------------
-- Signals and constants
----------------------------------------------------------------------------------
-- Feedback signals
signal s_rst: std_logic; -- internal reset
signal s_halts: std_logic_vector(2 downto 0); -- IM, REG, ALU halt signals
signal s_ALU_op: ctrl_t; -- ALU operation control
signal s_request_IM_in: std_logic; -- Signal pending write to IM
signal s_request_IM_inack: std_logic; -- Acknowledge above write handled
signal s_request_IM_out: std_logic; -- Signal ready for instruction
signal s_request_IM_outack: std_logic; -- Acknowledge instruction data is fresh
signal s_wb_select: std_logic; -- Select from ALU result or MMU data to Regfile write
signal s_PC_next: doubleword:= x"0000000090000000"; -- Next PC address
signal s_PC_curr: doubleword; -- Preserves current PC for jumps
signal s_MMU_store: std_logic; -- Signal MMU to store
signal s_MMU_load: std_logic; -- Signal MMU to load
signal s_MMU_busy: std_logic; -- MMU is loading, storing, or fetching
signal s_ATU_busy: std_logic; -- Atomic unit is doing its thing
signal s_ATU_stage:std_logic; -- After resuming, need to know what stage of atomic instruction we are in
signal s_ALU_source_select: std_logic; -- Switch in immediate values
-- Decoded instruction parts
signal s_instr_code: instr_t; -- Exact instruction encoding
signal s_opcode: opcode_t; -- Opcode category abstraction
signal s_rs1: reg_t; -- Regfile read address
signal s_rs2: reg_t; -- Regfile read address
signal s_rs3: reg_t; -- Regfile read address
signal s_rd: reg_t; -- Regfile write address
signal s_shamt: std_logic_vector(4 downto 0); -- Shift amount, immediate shifts
signal s_imm12: std_logic_vector(11 downto 0); -- Immediate value, 12 bit style
signal s_imm20: std_logic_vector(19 downto 0); -- Immediate value, 20 bit style
signal s_csr_bits: std_logic_vector(11 downto 0); -- CSR address for CSR instructions
signal s_functs: std_logic_vector(15 downto 0); -- Holds concatenation of funct3, funct6, funct7
-- ALU connectors
signal s_ALU_input2: doubleword;
signal s_ALU_result: doubleword;
signal s_ALU_Error: std_logic_vector(2 downto 0);
signal s_ALU_Imm: doubleword;
signal s_ALU_Imm_select: std_logic;
-- Instruction memory connectors
signal s_IM_input_addr: doubleword;
signal s_IM_input_data: doubleword;
signal s_IM_output_addr: doubleword;
signal s_IM_output_data: doubleword;
-- Register file connectors
signal s_REG_raddr1: reg_t;
signal s_REG_raddr2: reg_t;
signal s_REG_rdata1: doubleword;
signal s_REG_rdata2: doubleword;
signal s_REG_wdata: doubleword;
signal s_REG_waddr: reg_t;
signal s_REG_write: std_logic;
signal s_REG_error: std_logic;
signal s_REG_debug: regfile_arr;
-- MMU connectors
signal s_MMU_input_addr: doubleword;
signal s_MMU_input_data: doubleword;
signal s_MMU_alignment: std_logic_vector(3 downto 0) := "1000"; -- One-hot selection in bytes
signal s_MMU_output_data: doubleword;
signal s_MMU_output_instr: word;
signal s_MMU_error: std_logic_vector(5 downto 0);
signal s_MMU_asynchronous_interrupt: doubleword; -- Signals type of external interrupt in the style of MIP/MIE
signal s_MMU_bad_address: doubleword; -- For faulting addresses, pass back the bad address to the exception handler
signal s_MMU_privilege_mode: std_logic_vector(1 downto 0); -- Expose active privilege mode
signal s_MMU_modify_privilege: std_logic; -- Expose the privilege level for loads and stores.
signal s_MMU_satp: doubleword; -- Expose the supervisor address translation & protection mode
-- Jump and branch connectors
signal s_wb_to_jal: doubleword; -- Connects output of mem/alu wb mux to input of jump mux
signal s_jump_select: std_logic; -- Select from output of mem/alu mux or jump address data
signal s_jump_wdata: doubleword; -- Data representing the jump return address or AUIPC result
signal s_jump_target: doubleword; -- Address of the jump targer
signal s_jump_sext: doubleword; -- Intermediate helper variable for clarity's sake
-- Load/Store connectors
signal s_load_base: doubleword; -- Base address from regfile
signal s_load_offset: doubleword; -- Offset from sext(imm12 value)
signal s_store_base: doubleword; -- Base address from regfile
signal s_store_offset: doubleword; -- Offset from sext(imm12 value)
signal s_load_type : std_logic_vector(7 downto 0); -- Record type so we can properly extend later
signal s_load_dest : reg_t; -- Record rd so we can access it later
signal s_load_wb_data: doubleword; -- Extended data to be written back to regfile
signal fetch: std_logic;
-- Exception handling
signal csr_exceptions: std_logic := '0'; -- in order to act appropriately on CSR exceptions, drive and track them separately
signal exception_offending_instr : doubleword := (others => '0');
-- High-level states of operation (distinct from modes)
type state is (setup, teardown, normal, waiting, exception, resume);
signal curr_state, next_state: state;
-- Control status registers followed by scratch
type CSR_t is array (0 to 64) of doubleword;
signal CSR: CSR_t;
-- Others
signal s_sext_12: doubleword; -- Sign extended immediate value
signal s_sext_20: doubleword; -- Sign extended immediate value
signal waiting_reason: std_logic_vector(2 downto 0); -- If in waiting state, reason determines actions on exit
signal privilege_mode: std_logic_vector(1 downto 0) := MACHINE_MODE;
----------------------------------------------------------------------------------
-- Helper Procedures
----------------------------------------------------------------------------------
-- Handle complicated CSR read behaviors
-- @param CSR_bits - The 12 bit CSR address per the specification
-- @param value - The value to be read back
-- @param mode - What mode we encountered this instruction in
-- Notes: need to pass handle to CSR in because procedures are not allowed to modify signals without an explicit handle
-- TODO add in interrupt setting
-- TODO handle cycle and time readings externally
procedure CSR_read(CSR_bits: in std_logic_vector(11 downto 0); value: out doubleword; CSR: inout CSR_t; mode: in std_logic_vector(1 downto 0); exceptions: inout std_logic) is
begin
-- TODO handle mode fails and offending instruction logging
case CSR_bits is
when CSR_ADDR_FFLAGS =>
if(CSR(CSR_MSTATUS)(14 downto 13) = "00") then
-- Error, no FP unit
exceptions := '1';
else
value := CSR(CSR_MSTATUS) and x"000000000000001f";
end if;
when CSR_ADDR_FRM =>
if(CSR(CSR_MSTATUS)(14 downto 13) = "00") then
-- Error, no FP unit
exceptions := '1';
else
value := CSR(CSR_MSTATUS) and x"00000000000000e0";
end if;
when CSR_ADDR_FCSR =>
if(CSR(CSR_MSTATUS)(14 downto 13) = "00") then
-- Error, no FP unit
exceptions := '1';
else
value := CSR(CSR_MSTATUS) and x"0000000000006000";
end if;
when CSR_ADDR_CYCLE =>
if( (CSR(CSR_SCOUNTEREN)( 0 ) = '0') and mode = USER_MODE ) then
-- Error if user mode not allowed to read
exceptions := '1';
elsif( (CSR(CSR_MCOUNTEREN)( 0 ) = '0') and mode = SUPERVISOR_MODE ) then
-- Error if supervisor mode not allowed to read
exceptions := '1';
else
value := CSR(CSR_MINSTRET);
end if;
when CSR_ADDR_TIME =>
if( (CSR(CSR_SCOUNTEREN)( 0 ) = '0') and mode = USER_MODE ) then
-- Error if user mode not allowed to read
exceptions := '1';
elsif( (CSR(CSR_MCOUNTEREN)( 0 ) = '0') and mode = SUPERVISOR_MODE ) then
-- Error if supervisor mode not allowed to read
exceptions := '1';
else
-- TODO tie this to external time signal
end if;
when CSR_ADDR_INSTRET =>
if( (CSR(CSR_SCOUNTEREN)( 0 ) = '0') and mode = USER_MODE ) then
-- Error if user mode not allowed to read
exceptions := '1';
elsif( (CSR(CSR_MCOUNTEREN)( 0 ) = '0') and mode = SUPERVISOR_MODE ) then
-- Error if supervisor mode not allowed to read
exceptions := '1';
else
value := CSR(CSR_MINSTRET);
end if;
when CSR_ADDR_HPMCOUNTER3 | CSR_ADDR_HPMCOUNTER4 | CSR_ADDR_HPMCOUNTER5 | CSR_ADDR_HPMCOUNTER6 | CSR_ADDR_HPMCOUNTER7 |
CSR_ADDR_HPMCOUNTER8 | CSR_ADDR_HPMCOUNTER9 | CSR_ADDR_HPMCOUNTER10 | CSR_ADDR_HPMCOUNTER11 | CSR_ADDR_HPMCOUNTER12 |
CSR_ADDR_HPMCOUNTER13| CSR_ADDR_HPMCOUNTER14 | CSR_ADDR_HPMCOUNTER15 | CSR_ADDR_HPMCOUNTER16 | CSR_ADDR_HPMCOUNTER17 |
CSR_ADDR_HPMCOUNTER18| CSR_ADDR_HPMCOUNTER19 | CSR_ADDR_HPMCOUNTER20 | CSR_ADDR_HPMCOUNTER21 | CSR_ADDR_HPMCOUNTER22 |
CSR_ADDR_HPMCOUNTER23| CSR_ADDR_HPMCOUNTER24 | CSR_ADDR_HPMCOUNTER25 | CSR_ADDR_HPMCOUNTER26 | CSR_ADDR_HPMCOUNTER27 |
CSR_ADDR_HPMCOUNTER28| CSR_ADDR_HPMCOUNTER29 | CSR_ADDR_HPMCOUNTER30 | CSR_ADDR_HPMCOUNTER31 =>
-- From notes: *counteren(x) needs to be checked, where x = 1 << integer(address(4 downto 0))
-- Since this is always a single bit, just convert directly to an integer and use it to index the register
-- Example: hpmcounter17 -> x = 1 << 17 = (0100000000000000000)_2. Or, just use bit 17.
if( (CSR(CSR_SCOUNTEREN)( to_integer(unsigned(CSR_BITS(4 downto 0))) ) = '0') and mode = USER_MODE ) then
-- Error if user mode not allowed to read
exceptions := '1';
elsif( (CSR(CSR_MCOUNTEREN)( to_integer(unsigned(CSR_BITS(4 downto 0))) ) = '0') and mode = SUPERVISOR_MODE ) then
-- Error if supervisor mode not allowed to read
exceptions := '1';
else
value := CSR(CSR_MINSTRET);
end if;
when CSR_ADDR_SSTATUS =>
if(mode = USER_MODE) then
exceptions := '1';
else
if( CSR(CSR_MSTATUS)( 16 downto 15 ) = "11" or CSR(CSR_MSTATUS)( 14 downto 13 ) = "11") then
value := CSR(CSR_MSTATUS) and x"000000000005e122";
else
value := CSR(CSR_MSTATUS) and x"800000000005e122";
end if;
end if;
when CSR_ADDR_SIE =>
if(mode = USER_MODE) then
exceptions := '1';
else
value := CSR(CSR_MIE) and CSR(CSR_MIDELEG);
end if;
when CSR_ADDR_STVEC =>
if(mode = USER_MODE) then
exceptions := '1';
else
value := CSR(CSR_STVEC);
end if;
when CSR_ADDR_SCOUNTEREN =>
if(mode = USER_MODE) then
exceptions := '1';
else
value := CSR(CSR_SCOUNTEREN);
end if;
when CSR_ADDR_SSCRATCH =>
if(mode = USER_MODE) then
exceptions := '1';
else
value := CSR(CSR_SSCRATCH);
end if;
when CSR_ADDR_SEPC =>
if(mode = USER_MODE) then
exceptions := '1';
else
value := CSR(CSR_SEPC);
end if;
when CSR_ADDR_SCAUSE =>
if(mode = USER_MODE) then
exceptions := '1';
else
value := CSR(CSR_SCAUSE);
end if;
when CSR_ADDR_STVAL =>
if(mode = USER_MODE) then
exceptions := '1';
else
value := CSR(CSR_STVAL);
end if;
when CSR_ADDR_SIP =>
if(mode = USER_MODE) then
exceptions := '1';
else
value := CSR(CSR_MIP) and CSR(CSR_MIDELEG);
end if;
when CSR_ADDR_SATP =>
if(CSR(CSR_MSTATUS)( 20 ) = '1' and not (mode = MACHINE_MODE)) then
-- Error if not in machine mode
exceptions := '1';
else
value := CSR(CSR_SATP);
end if;
when CSR_ADDR_MVENDORID =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := zero_word & zero_word;
end if;
when CSR_ADDR_MARCHID =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := zero_word & zero_word;
end if;
when CSR_ADDR_MIMPID =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := zero_word & zero_word;
end if;
when CSR_ADDR_MHARTID =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := zero_word & zero_word;
end if;
when CSR_ADDR_MSTATUS =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MSTATUS);
end if;
when CSR_ADDR_MISA =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MISA);
end if;
when CSR_ADDR_MEDELEG =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MEDELEG);
end if;
when CSR_ADDR_MIDELEG =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MIDELEG);
end if;
when CSR_ADDR_MIE =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MIE);
end if;
when CSR_ADDR_MTVEC =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MTVEC);
end if;
when CSR_ADDR_MCOUNTEREN =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MCOUNTEREN);
end if;
when CSR_ADDR_MSCRATCH =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MSCRATCH);
end if;
when CSR_ADDR_MEPC =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MEPC);
end if;
when CSR_ADDR_MCAUSE =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MCAUSE);
end if;
when CSR_ADDR_MTVAL =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MTVAL);
end if;
when CSR_ADDR_MIP =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := CSR(CSR_MIP);
end if;
when CSR_ADDR_MHPMCOUNTER3 | CSR_ADDR_MHPMCOUNTER4 | CSR_ADDR_MHPMCOUNTER5 | CSR_ADDR_MHPMCOUNTER6 |
CSR_ADDR_MHPMCOUNTER7 | CSR_ADDR_MHPMCOUNTER8 | CSR_ADDR_MHPMCOUNTER9 | CSR_ADDR_MHPMCOUNTER10 |
CSR_ADDR_MHPMCOUNTER11 | CSR_ADDR_MHPMCOUNTER12 | CSR_ADDR_MHPMCOUNTER13 | CSR_ADDR_MHPMCOUNTER14 |
CSR_ADDR_MHPMCOUNTER15 | CSR_ADDR_MHPMCOUNTER16 | CSR_ADDR_MHPMCOUNTER17 | CSR_ADDR_MHPMCOUNTER18 |
CSR_ADDR_MHPMCOUNTER19 | CSR_ADDR_MHPMCOUNTER20 | CSR_ADDR_MHPMCOUNTER21 | CSR_ADDR_MHPMCOUNTER22 |
CSR_ADDR_MHPMCOUNTER23 | CSR_ADDR_MHPMCOUNTER24 | CSR_ADDR_MHPMCOUNTER25 | CSR_ADDR_MHPMCOUNTER26 |
CSR_ADDR_MHPMCOUNTER27 | CSR_ADDR_MHPMCOUNTER28 | CSR_ADDR_MHPMCOUNTER29 | CSR_ADDR_MHPMCOUNTER30 |
CSR_ADDR_MHPMCOUNTER31 =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := zero_word & zero_word;
end if;
when CSR_ADDR_MHPMEVENT3 | CSR_ADDR_MHPMEVENT4 | CSR_ADDR_MHPMEVENT5 | CSR_ADDR_MHPMEVENT6 |
CSR_ADDR_MHPMEVENT7 | CSR_ADDR_MHPMEVENT8 | CSR_ADDR_MHPMEVENT9 | CSR_ADDR_MHPMEVENT10 |
CSR_ADDR_MHPMEVENT11 | CSR_ADDR_MHPMEVENT12 | CSR_ADDR_MHPMEVENT13 | CSR_ADDR_MHPMEVENT14 |
CSR_ADDR_MHPMEVENT15 | CSR_ADDR_MHPMEVENT16 | CSR_ADDR_MHPMEVENT17 | CSR_ADDR_MHPMEVENT18 |
CSR_ADDR_MHPMEVENT19 | CSR_ADDR_MHPMEVENT20 | CSR_ADDR_MHPMEVENT21 | CSR_ADDR_MHPMEVENT22 |
CSR_ADDR_MHPMEVENT23 | CSR_ADDR_MHPMEVENT24 | CSR_ADDR_MHPMEVENT25 | CSR_ADDR_MHPMEVENT26 |
CSR_ADDR_MHPMEVENT27 | CSR_ADDR_MHPMEVENT28 | CSR_ADDR_MHPMEVENT29 | CSR_ADDR_MHPMEVENT30 |
CSR_ADDR_MHPMEVENT31 =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
value := zero_word & zero_word;
end if;
when others =>
-- All others not implemented, set trap
exceptions := '1';
end case;
end; -- CSR_read procedure
-- Handle complicated CSR write behaviors
-- @param CSR_bits - The 12 bit CSR address per the specification
-- @param value - The write value
-- @param mode - What mode we encountered this instruction in
-- Notes: need to pass handle to CSR in because procedures are not allowed to modify signals without an explicit handle
-- TODO handle cycle and time readings externally
procedure CSR_write(CSR_bits: in std_logic_vector(11 downto 0); value: in doubleword; CSR: inout CSR_t; mode: in std_logic_vector(1 downto 0); exceptions: inout std_logic) is
begin
case CSR_bits is
when CSR_ADDR_FFLAGS =>
if(CSR(CSR_MSTATUS)(14 downto 13) = "00") then
-- Error, no FP unit
exceptions := '1';
else
CSR(CSR_MSTATUS)(14 downto 13) := "11"; -- Set FP dirty bits
CSR(CSR_MSTATUS)( 63 ) := '1'; -- Set flag indicating dirty bits
CSR(CSR_FCSR)(4 downto 0) := value(4 downto 0); -- Set FP flags passed in
end if;
when CSR_ADDR_FRM =>
if(CSR(CSR_MSTATUS)(14 downto 13) = "00") then
-- Error, no FP unit
exceptions := '1';
else
CSR(CSR_MSTATUS)(14 downto 13) := "11"; -- Set FP dirty bits
CSR(CSR_MSTATUS)( 63 ) := '1'; -- Set flag indicating dirty bits
CSR(CSR_FCSR)(7 downto 5) := value(2 downto 0); -- Set FP rounging mode passed in
end if;
when CSR_ADDR_FCSR =>
if(CSR(CSR_MSTATUS)(14 downto 13) = "00") then
-- Error, no FP unit
exceptions := '1';
else
CSR(CSR_MSTATUS)(14 downto 13) := "11"; -- Set FP dirty bits
CSR(CSR_MSTATUS)( 63 ) := '1'; -- Set flag indicating dirty bits
CSR(CSR_FCSR)(7 downto 0) := value(7 downto 0); -- Set FP rounging mode and flags passed in
end if;
when CSR_ADDR_SSTATUS =>
if (mode = USER_MODE) then
exceptions := '1';
else
CSR(CSR_MSTATUS)( 18 ) := value(18); -- Update Smode portion of MSTATUS
CSR(CSR_MSTATUS)( 16 downto 15 ) := value(16 downto 15);
CSR(CSR_MSTATUS)( 14 downto 13 ) := value(14 downto 13);
CSR(CSR_MSTATUS)( 8 ) := value(8);
CSR(CSR_MSTATUS)( 5 ) := value(5);
CSR(CSR_MSTATUS)( 1 ) := value(1);
end if;
when CSR_ADDR_SIE => -- Update Smode interrupts to and of MIE and delegations
if (mode = USER_MODE) then
exceptions := '1';
else
CSR(CSR_MIE)( 12 ) := value(12) and CSR(CSR_MIDELEG)( 12 );
CSR(CSR_MIE)( 9 ) := value(9) and CSR(CSR_MIDELEG)( 9 );
CSR(CSR_MIE)( 7 ) := value(7) and CSR(CSR_MIDELEG)( 7 );
CSR(CSR_MIE)( 5 ) := value(5) and CSR(CSR_MIDELEG)( 5 );
CSR(CSR_MIE)( 3 ) := value(3) and CSR(CSR_MIDELEG)( 3 );
CSR(CSR_MIE)( 1 ) := value(1) and CSR(CSR_MIDELEG)( 1 );
end if;
when CSR_ADDR_STVEC => -- update STVec to the shifted address in 63:2
if (mode = USER_MODE) then
exceptions := '1';
else
CSR(CSR_STVEC)(63 downto 2) := value(63 downto 2);
end if;
when CSR_ADDR_SCOUNTEREN =>
if (mode = USER_MODE) then
exceptions := '1';
else
CSR( CSR_SCOUNTEREN ) := value; -- Pass through new enbale value
end if;
when CSR_ADDR_SSCRATCH =>
if (mode = USER_MODE) then
exceptions := '1';
else
CSR( CSR_SSCRATCH ) := value; -- Pass through new scratch value
end if;
when CSR_ADDR_SEPC =>
if (mode = USER_MODE) then
exceptions := '1';
else
CSR( CSR_SEPC ) := value; -- Pass through new scratch value
end if;
when CSR_ADDR_SCAUSE =>
if (mode = USER_MODE) then
exceptions := '1';
else
CSR( CSR_SCAUSE ) := value; -- Pass through new scratch value
end if;
when CSR_ADDR_STVAL =>
if (mode = USER_MODE) then
exceptions := '1';
else
CSR( CSR_STVAL ) := value; -- Pass through new scratch value
end if;
when CSR_ADDR_SIP =>
if (mode = USER_MODE) then
exceptions := '1';
else
CSR(CSR_MIP)( 1 ) := value(1) and CSR(CSR_MIDELEG)( 1 ); -- Pass through new scratch value
end if;
when CSR_ADDR_SATP =>
if (mode = USER_MODE) then
exceptions := '1';
else
if(CSR(CSR_MSTATUS)(20) = '1') then
exceptions := '1';
elsif( (value(63 downto 60) = "0000") or
(value(63 downto 60) = "1000") or
(value(63 downto 60) = "1001") ) then
-- This won't actually do anything, since we aren't implementing address translations for Smode
CSR(CSR_SATP)(63 downto 60) := value(63 downto 60);
CSR(CSR_SATP)(43 downto 0) := value(43 downto 0);
end if;
end if;
when CSR_ADDR_MSTATUS =>
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
-- update status
if(value(14 downto 13) = "00") then -- if not dirty
CSR(CSR_MSTATUS)(22 downto 17) := value(22 downto 17);
CSR(CSR_MSTATUS)(14 downto 11) := value(14 downto 11);
CSR(CSR_MSTATUS)( 8 ) := value(8);
CSR(CSR_MSTATUS)( 7 ) := value(7);
CSR(CSR_MSTATUS)( 5 ) := value(5);
CSR(CSR_MSTATUS)( 3 ) := value(3);
CSR(CSR_MSTATUS)( 1 ) := value(1);
CSR(CSR_MSTATUS)( 63 ) := '0';
else
CSR(CSR_MSTATUS)(22 downto 17) := value(22 downto 17);
CSR(CSR_MSTATUS)(14 downto 11) := value(14 downto 11);
CSR(CSR_MSTATUS)( 8 ) := value(8);
CSR(CSR_MSTATUS)( 7 ) := value(7);
CSR(CSR_MSTATUS)( 5 ) := value(5);
CSR(CSR_MSTATUS)( 3 ) := value(3);
CSR(CSR_MSTATUS)( 1 ) := value(1);
CSR(CSR_MSTATUS)( 63 ) := '1';
end if;
end if;
when CSR_ADDR_MISA => -- Do nothing
if not (mode = MACHINE_MODE) then
exceptions := '1';
end if;
when CSR_ADDR_MEDELEG => -- Update delegation of synchronous exceptions
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR( CSR_MEDELEG ) := value;
end if;
when CSR_ADDR_MIDELEG => -- Update delegation of aynschronous exceptions
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR(CSR_MIDELEG)( 12 ) := value(12);
CSR(CSR_MIDELEG)( 9 ) := value(9);
CSR(CSR_MIDELEG)( 5 ) := value(5);
CSR(CSR_MIDELEG)( 1 ) := value(1);
end if;
when CSR_ADDR_MIE => -- Update enabled exceptions
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR(CSR_MIE)( 12 ) := value(12);
CSR(CSR_MIE)( 9 ) := value(9);
CSR(CSR_MIE)( 7 ) := value(7);
CSR(CSR_MIE)( 5 ) := value(5);
CSR(CSR_MIE)( 3 ) := value(3);
CSR(CSR_MIE)( 1 ) := value(1);
end if;
when CSR_ADDR_MTVEC => -- Update shifted base address for machine mode trap handler
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
-- Note: bit 1 is reserved because reasons
CSR(CSR_MTVEC)(63 downto 2) := value(63 downto 2);
CSR(CSR_MTVEC)( 0 ) := value(0);
end if;
when CSR_ADDR_MCOUNTEREN => -- Pass through new counter enable bit
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR( CSR_MCOUNTEREN ) := value;
end if;
when CSR_ADDR_MSCRATCH => -- Pass through new scratch value
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR( CSR_MSCRATCH ) := value;
end if;
when CSR_ADDR_MEPC => -- Pass through new exception PC
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR( CSR_MEPC ) := value;
end if;
when CSR_ADDR_MCAUSE => -- Pass through new exception cause
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR( CSR_MCAUSE ) := value;
end if;
when CSR_ADDR_MTVAL => -- Pass through address of the bad address for relevant interrupts (store/load misaligned, page fault)
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR( CSR_MTVAL ) := value;
end if;
when CSR_ADDR_MIP => -- Allow Smode timer and software interrupts to be signalled
if not (mode = MACHINE_MODE) then
exceptions := '1';
else
CSR(CSR_MIP)( 5 ) := value(5);
CSR(CSR_MIP)( 1 ) := value(1);
end if;
when CSR_ADDR_MHPMCOUNTER3 => -- Ignore writes
when CSR_ADDR_MHPMCOUNTER4 =>
when CSR_ADDR_MHPMCOUNTER5 =>
when CSR_ADDR_MHPMCOUNTER6 =>
when CSR_ADDR_MHPMCOUNTER7 =>
when CSR_ADDR_MHPMCOUNTER8 =>
when CSR_ADDR_MHPMCOUNTER9 =>
when CSR_ADDR_MHPMCOUNTER10 =>
when CSR_ADDR_MHPMCOUNTER11 =>
when CSR_ADDR_MHPMCOUNTER12 =>
when CSR_ADDR_MHPMCOUNTER13 =>
when CSR_ADDR_MHPMCOUNTER14 =>
when CSR_ADDR_MHPMCOUNTER15 =>
when CSR_ADDR_MHPMCOUNTER16 =>
when CSR_ADDR_MHPMCOUNTER17 =>
when CSR_ADDR_MHPMCOUNTER18 =>
when CSR_ADDR_MHPMCOUNTER19 =>
when CSR_ADDR_MHPMCOUNTER20 =>
when CSR_ADDR_MHPMCOUNTER21 =>
when CSR_ADDR_MHPMCOUNTER22 =>
when CSR_ADDR_MHPMCOUNTER23 =>
when CSR_ADDR_MHPMCOUNTER24 =>
when CSR_ADDR_MHPMCOUNTER25 =>
when CSR_ADDR_MHPMCOUNTER26 =>
when CSR_ADDR_MHPMCOUNTER27 =>
when CSR_ADDR_MHPMCOUNTER28 =>
when CSR_ADDR_MHPMCOUNTER29 =>
when CSR_ADDR_MHPMCOUNTER30 =>
when CSR_ADDR_MHPMCOUNTER31 =>
when CSR_ADDR_MHPMEVENT3 =>
when CSR_ADDR_MHPMEVENT4 =>
when CSR_ADDR_MHPMEVENT5 =>
when CSR_ADDR_MHPMEVENT6 =>
when CSR_ADDR_MHPMEVENT7 =>
when CSR_ADDR_MHPMEVENT8 =>
when CSR_ADDR_MHPMEVENT9 =>
when CSR_ADDR_MHPMEVENT10 =>
when CSR_ADDR_MHPMEVENT11 =>
when CSR_ADDR_MHPMEVENT12 =>
when CSR_ADDR_MHPMEVENT13 =>
when CSR_ADDR_MHPMEVENT14 =>
when CSR_ADDR_MHPMEVENT15 =>
when CSR_ADDR_MHPMEVENT16 =>
when CSR_ADDR_MHPMEVENT17 =>
when CSR_ADDR_MHPMEVENT18 =>
when CSR_ADDR_MHPMEVENT19 =>
when CSR_ADDR_MHPMEVENT20 =>
when CSR_ADDR_MHPMEVENT21 =>
when CSR_ADDR_MHPMEVENT22 =>
when CSR_ADDR_MHPMEVENT23 =>
when CSR_ADDR_MHPMEVENT24 =>
when CSR_ADDR_MHPMEVENT25 =>
when CSR_ADDR_MHPMEVENT26 =>
when CSR_ADDR_MHPMEVENT27 =>
when CSR_ADDR_MHPMEVENT28 =>
when CSR_ADDR_MHPMEVENT29 =>
when CSR_ADDR_MHPMEVENT30 =>
when CSR_ADDR_MHPMEVENT31 =>
when others =>
-- All others not implemented, set trap
exceptions := '1';
end case;
end; -- CSR_write procedure
----------------------------------------------------------------------------------
-- Architecture Begin
----------------------------------------------------------------------------------
begin
----------------------------------------------------------------------------------
-- Component instantiations and mapping
----------------------------------------------------------------------------------
myDecode: decode
port map(
instr => s_IM_output_data,
instr_code => s_instr_code,
funct3 => s_functs(15 downto 13),
funct6 => s_functs(12 downto 7),
funct7 => s_functs(6 downto 0),
imm12 => s_imm12,
imm20 => s_imm20,
opcode => s_opcode,
rs1 => s_rs1,
rs2 => s_rs2,
rs3 => s_rs3,
rd => s_rd,
shamt => s_shamt,
csr => s_csr_bits
);
myALU: ALU
port map(
clk => clk,
rst => s_rst,
halt => s_halts(0),
ctrl => s_instr_code,
rs1 => s_REG_rdata1,
rs2 => s_ALU_input2,
shamt => s_shamt,
rout => s_ALU_result,
error => s_ALU_error(2),
overflow => s_ALU_error(1),
zero => s_ALU_error(0)
);
myIM: fence -- MMU writes back instructions and data to core
port map(
clk => clk,
rst => s_rst,
halt => s_halts(2),
ready_input => s_request_IM_in,
ready_output => s_request_IM_out,
output_OK => s_request_IM_outack,
input_OK => s_request_IM_inack,
input_data => s_IM_input_data,
input_address => s_IM_input_addr,
output_data => s_IM_output_data,
output_address => s_IM_output_addr
);
WBMux: mux
port map(
sel => s_WB_select,
zero_port => s_ALU_result,
one_port => s_load_wb_data,
out_port => s_wb_to_jal
);
JumpReturn: mux
port map(
sel => s_jump_select,
zero_port => s_wb_to_jal,
one_port => s_jump_wdata,
out_port => s_REG_wdata
);
ALUMux: mux
port map(
sel => s_ALU_source_select,
zero_port => s_REG_rdata2,
one_port => s_ALU_Imm,
out_port => s_ALU_input2
);
ALUImmMux: mux
port map(
sel => s_ALU_Imm_select,
zero_port => s_sext_12,
one_port => s_sext_20,
out_port => s_ALU_Imm
);
myREG: regfile
port map(
clk => clk,
rst => s_rst,
read_addr_1 => s_REG_raddr1,
read_addr_2 => s_REG_raddr2,
write_addr => s_REG_waddr,
write_data => s_REG_wdata,
halt => s_halts(1),
write_en => s_REG_write,
read_data_1 => s_REG_rdata1,
read_data_2 => s_REG_rdata2,
write_error => s_REG_error,
debug_out => s_REG_debug
);
mySext: sext
port map(
imm12 => s_imm12,
imm20 => s_imm20,
output_imm12 => s_sext_12,
output_imm20 => s_sext_20
);
----------------------------------------------------------------------------------
-- Main Logic
----------------------------------------------------------------------------------
advance_state: process(clk)
begin
if(rising_edge(clk)) then
curr_state <= next_state;
end if;
end process;
process(clk, rst, curr_state)
--s_jump_target, s_jump_sext
variable s_store_offset, s_load_offset, s_load_base, s_store_base: doubleword;
begin
-- Default values reset at every cycle
s_rst <= '0';
s_halts <= "000";
s_MMU_load <= '0';
s_MMU_store <= '0';
-- Always signal that we are ready for a fetch
s_request_IM_in <= '1';
s_request_IM_out <= '1';
--s_request_IM_out <= '0';
if('1' = rst) then
s_jump_target <= (others => '0');
s_rst <= '1';
s_PC_next <= x"0000000090000000";
s_MMU_alignment <= "1000";
next_state <= normal;
--s_PC_next <= (31 => '1', others => '0'); -- base address should be x80000000
elsif(rising_edge(clk)) then
-- Pre-execute interrupt check, only taken if:
-- interrupts are currently enabled
-- machine interrupt enable has matching bits
-- the matching machine interrupt pending bit is set
if( '1' = CSR(CSR_MSTATUS)(3) and (unsigned( CSR(CSR_MIP) and CSR(CSR_MIE) ) > 0)) then
s_halts <= "111";
-- update last instruction handled
exception_offending_instr <= s_IM_output_data;
-- Handle exception logic in the exception state
next_state <= exception;
-- If we saw an ALU error last time
elsif( '1' = CSR(CSR_MSTATUS)(3) and '1' = s_ALU_error(0) and '1' = CSR(CSR_MIE)(2)) then
-- update last instruction handled
exception_offending_instr <= s_IM_output_data;
s_halts <= "111";
next_state <= exception;
-- Asynchronous external interrupt triggered and allowed
elsif( '1' = CSR(CSR_MSTATUS)(3) and (unsigned( CSR(CSR_MIP) and s_MMU_asynchronous_interrupt) > 0)) then
s_halts <= "111";
-- special case store the instruction which has yet to execute
exception_offending_instr <= s_IM_output_data;
-- handle exception logic in te exception state
next_state <= exception;
else
case curr_state is
when setup => -- TODO add code here if CPU needs to stall during come-up
s_halts <= "111";
when teardown => -- TODO add code here if CPU needs to stall during tear-down
s_halts <= "111";
when exception =>
-- Can Supervisor mode handle this?
if( (unsigned(CSR(CSR_MIP) and CSR(CSR_MEDELEG)) > 0) or ( unsigned(s_MMU_asynchronous_interrupt and CSR(CSR_MIDELEG)) > 0 )) then
-- Handling exceptions entails:
-- Store offending instruction:
-- For synchronous internal interrupts, store the offending instruction
-- For asynchronous external interrupts, store what would be the next instruction (one and the same in this case)
CSR(CSR_SEPC) <= exception_offending_instr;
-- Set scauseappropriately depending on the type of exception
if(unsigned( CSR(CSR_MIP) and CSR(CSR_MIDELEG)) > 0) then -- case synchronous exception
-- Mask off disabled interrupts, convert to integer, convert to binary, then de-assert MSB
CSR(CSR_SCAUSE) <= x"7FFFFFFFFFFFFFFF" and std_logic_vector(unsigned(CSR(CSR_MIP) and CSR(CSR_MIDELEG)));
CSR(CSR_STVAL) <= exception_offending_instr;
else
-- Mask off disabled interrupts, convert to integer, convert to binary, then assert MSB
CSR(CSR_SCAUSE) <= x"8000000000000000" or std_logic_vector(unsigned(s_MMU_asynchronous_interrupt and CSR(CSR_MIE) and CSR(CSR_MIDELEG)));
end if;
-- Set mtval based on the type of interrupt
if('1' = (CSR(CSR_MIP)(2) and CSR(CSR_MIDELEG)(2)) ) then
-- illegal instructions store the offending instruction
CSR(CSR_STVAL) <= exception_offending_instr;
elsif(
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 0 ) or -- Case instruction address misaligned
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 1 ) or -- Case instruction access fault
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 4 ) or -- Case load address misaligned
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 5 ) or -- Case load access fault
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 6 ) or -- Case store address misaligned
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 7 ) or -- Case store access fault
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 12 ) or -- Case instruction page fault
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 13 ) or -- Case load page fault
( unsigned(CSR(CSR_SCAUSE)(62 downto 0)) = 15 ) -- Case store page fault
) then
-- Addressing faults store the bad address
CSR(CSR_STVAL) <= s_MMU_bad_address;
else
-- Everything else stores 0
CSR(CSR_STVAL) <= (others => '0');
end if;
-- Disable interrupts (will be explicitly re-enabled later)
CSR(CSR_MSTATUS)(5) <= CSR(CSR_MSTATUS)(1); -- Record previous value
CSR(CSR_MSTATUS)(1) <= '0'; -- Disable interrupts
-- Preserve current operating mode and switch to S mode.
if(privilege_mode = SUPERVISOR_MODE) then
CSR(CSR_MSTATUS)(8) <= '1';
else
CSR(CSR_MSTATUS)(8) <= '0';
end if;
privilege_mode <= SUPERVISOR_MODE;
-- set PCnext to interupt handler address
s_PC_next <= CSR(CSR_STVEC);
else -- Case machine mode must handle
-- Handling exceptions entails:
-- Store offending instruction:
-- For synchronous internal interrupts, store the offending instruction
-- For asynchronous external interrupts, store what would be the next instruction (one and the same in this case)
CSR(CSR_MEPC) <= exception_offending_instr;
-- Set mcauseappropriately depending on the type of exception
if(unsigned(CSR(CSR_MIP)) > 0) then -- case synchronous exception
-- Mask off disabled interrupts, convert to integer, convert to binary, then de-assert MSB
CSR(CSR_MCAUSE) <= x"7FFFFFFFFFFFFFFF" and std_logic_vector(unsigned(CSR(CSR_MIP) and CSR(CSR_MIE)));
CSR(CSR_MTVAL) <= exception_offending_instr;
else
-- Mask off disabled interrupts, convert to integer, convert to binary, then assert MSB
CSR(CSR_MCAUSE) <= x"8000000000000000" or std_logic_vector(unsigned(s_MMU_asynchronous_interrupt and CSR(CSR_MIE)));
end if;
-- Set mtval based on the type of interrupt
if('1' = CSR(CSR_MIP)(2)) then
-- illegal instructions store the offending instruction
CSR(CSR_MTVAL) <= exception_offending_instr;
elsif(
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 0 ) or -- Case instruction address misaligned
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 1 ) or -- Case instruction access fault
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 4 ) or -- Case load address misaligned
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 5 ) or -- Case load access fault
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 6 ) or -- Case store address misaligned
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 7 ) or -- Case store access fault
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 12 ) or -- Case instruction page fault
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 13 ) or -- Case load page fault
( unsigned(CSR(CSR_MCAUSE)(62 downto 0)) = 15 ) -- Case store page fault
) then
-- Addressing faults store the bad address
CSR(CSR_MTVAL) <= s_MMU_bad_address;
else
CSR(CSR_MTVAL) <= (others => '0');
end if;
-- Disable interrupts (will be explicitly re-enabled later)
CSR(CSR_MSTATUS)(7) <= CSR(CSR_MSTATUS)(3); -- Record previous value
CSR(CSR_MSTATUS)(3) <= '0'; -- Disable interrupts
-- Preserve current operating mode and swithch to M mode.
if(privilege_mode = MACHINE_MODE) then
CSR(CSR_MSTATUS)(12 downto 11) <= "11";
elsif(privilege_mode = SUPERVISOR_MODE) then
CSR(CSR_MSTATUS)(12 downto 11) <= "01";
else
CSR(CSR_MSTATUS)(12 downto 11) <= "00";
end if;
privilege_mode <= MACHINE_MODE;
-- set PCnext to interupt handler address
s_PC_next <= CSR(CSR_MTVEC);
end if; -- if supervisor delegated...
s_halts <= "111";
-- clear exceptions vector ? No, rely on interrupt handling code to do so
-- clear csr exceptions bit ? Yes
csr_exceptions <= '0';
when waiting => -- Check waiting conditions, resume when false
-- Waiting conditions
-- Waiting on load value
-- Waiting on store
case waiting_reason is
when "000" => -- case waiting on atomic unit
if('0' = s_ATU_busy) then
next_state <= resume;
end if;
when "001" => -- case waiting on load
if('0' = s_MMU_busy) then
-- route the value to be written back to the regfile
s_wb_select <= '1';
s_REG_waddr <= s_load_dest;
case s_load_type is
when instr_LB =>
if('0' = s_MMU_output_data(7)) then
s_load_wb_data <= zero_word & "000000000000000000000000" & s_MMU_output_data(7 downto 0);
else
s_load_wb_data <= ones_word & "111111111111111111111111" & s_MMU_output_data(7 downto 0);
end if;
when instr_LBU =>
s_load_wb_data <= zero_word & "000000000000000000000000" & s_MMU_output_data(7 downto 0);
when instr_LH =>
if('0' = s_MMU_output_data(7)) then
s_load_wb_data <= zero_word & "0000000000000000" & s_MMU_output_data(15 downto 0);
else
s_load_wb_data <= ones_word & "1111111111111111" & s_MMU_output_data(15 downto 0);
end if;
when instr_LHU =>
s_load_wb_data <= zero_word & "0000000000000000" & s_MMU_output_data(15 downto 0);
when instr_LW =>
if('0' = s_MMU_output_data(31)) then
s_load_wb_data <= zero_word & s_MMU_output_data(31 downto 0);
else
s_load_wb_data <= ones_word & s_MMU_output_data(31 downto 0);
end if;
when instr_LWU =>
s_load_wb_data <= zero_word & s_MMU_output_data(31 downto 0);
when others =>
s_load_wb_data <= s_MMU_output_data;
end case;
next_state <= normal;
end if;
when others => -- if we were waiting on something else, simply switch back to normal when the MMU is ready
if('0' = s_MMU_busy) then
next_state <= normal;
end if;
end case;
when resume => -- Complete action we were waiting on (atomic instructions)
when normal =>
if('1' = s_request_IM_outack) then -- if the current instruction is valid
-- Update PC so we get a new instruction,
-- Note that loads and stores will be taken before fetches
-- Fetch in doubleword increments relative to current PC
s_MMU_alignment <= "1000";
s_PC_curr <= s_PC_next;
s_PC_next <= std_logic_vector((unsigned(s_PC_next) + 4));
end if; -- '1' = s_request ...
if( '1' = s_MMU_busy) then -- Waiting for an indeterminate reason, stall 1 cycle
s_halts <= "111";
else -- if we are not waiting on MMU, do work
case s_opcode is
when ALUW_T => -- Case word, R-type ALU operations
-- REG signals
s_REG_raddr1 <= s_rs1;
s_REG_raddr2 <= s_rs2;
s_REG_waddr <= s_rd;
s_REG_write <= '1';
-- Use rdata2 instead of sign extended immediate
s_ALU_source_select <= '0';
-- Use ALU result instead of MMU data
s_wb_select <= '0';
when ALU_T => -- Case regular, R-type ALU operations
-- REG signals
s_REG_raddr1 <= s_rs1;
s_REG_raddr2 <= s_rs2;
s_REG_waddr <= s_rd;
s_REG_write <= '1';
-- Use rdata2 instead of sign extended immediate
s_ALU_source_select <= '0';
-- Use ALU result instead of MMU data
s_wb_select <= '0';
when ALUIW_T => -- Case word, I-type ALU operations
-- REG signals
s_REG_raddr1 <= s_rs1;
s_REG_waddr <= s_rd;
s_REG_write <= '1';
-- Use sign extended immediate instead of rdata2
s_ALU_source_select <= '1';
-- use the 20-bit immediate interpretation
s_ALU_Imm_select <= '1';
-- Use ALU result instead of MMU data
s_wb_select <= '0';
when ALUI_T => -- Case regular, I-type ALU operations
-- REG signals
s_REG_raddr1 <= s_rs1;
s_REG_waddr <= s_rd;
s_REG_write <= '1';
-- Use sign extended immediate instead of rdata2
s_ALU_source_select <= '1';
-- use the 20-bit immediate interpretation
s_ALU_Imm_select <= '1';
-- Use ALU result instead of MMU data
s_wb_select <= '0';
when LOAD_T =>
-- Little endian byte ordering
-- Need to signal MMU: full word, half word, quarter word
-- effective address is sext(regFile[rs1]) + sext(imm12)
case s_instr_code is
when instr_LB =>
s_MMU_alignment <= "0001";
s_load_type <= instr_LB;
when instr_LBU =>
s_MMU_alignment <= "0001";
s_load_type <= instr_LBU;
when instr_LH =>
s_MMU_alignment <= "0010";
s_load_type <= instr_LH;
when instr_LHU =>
s_MMU_alignment <= "0010";
s_load_type <= instr_LHU;
when instr_LW =>
s_MMU_alignment <= "0100";
s_load_type <= instr_LW;
when instr_LWU =>
s_MMU_alignment <= "0100";
s_load_type <= instr_LWU;
when others =>
s_MMU_alignment <= "1000";
s_load_type <= instr_LD;
end case;
s_load_base := s_REG_debug(to_integer(unsigned(s_rs1)));
if('0' = s_imm12(11)) then
s_load_offset := zero_word & "00000000000000000000" & s_imm12;
else
s_load_offset := ones_word & "11111111111111111111" & s_imm12;
end if;
s_load_dest <= s_rd;
s_MMU_input_addr <= std_logic_vector(signed(s_load_base) + signed(s_load_offset));
s_MMU_load <= '1';
next_state <= waiting;
waiting_reason <= "001";
when STORE_T =>
-- Little endian byte ordering
s_store_base := s_REG_debug(to_integer(unsigned(s_rs1)));
if('0' = s_imm12(11)) then
s_store_offset := zero_word & "00000000000000000000" & s_imm12;
else
s_store_offset := ones_word & "11111111111111111111" & s_imm12;
end if;
s_MMU_input_addr <= std_logic_vector(signed(s_store_base) + signed(s_store_offset));
case s_instr_code is
when instr_SB =>
s_MMU_input_data <= byte_mask_1 and s_REG_debug(to_integer(unsigned(s_rs2)));
when instr_SH =>
s_MMU_input_data <= byte_mask_2 and s_REG_debug(to_integer(unsigned(s_rs2)));
when instr_SW =>
s_MMU_input_data <= byte_mask_4 and s_REG_debug(to_integer(unsigned(s_rs2)));
when others => -- store doubleword
s_MMU_input_data <= s_REG_debug(to_integer(unsigned(s_rs2)));
end case;
s_MMU_store <= '1';
when BRANCH_T =>
case s_instr_code is
when instr_BEQ =>
if(signed(s_REG_debug(to_integer(unsigned(s_rs1)))) = signed(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "0000000000000000000" & s_imm12 & '0')));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "1111111111111111111" & s_imm12 & '0')));
end if;
end if;
when instr_BNE =>
if(signed(s_REG_debug(to_integer(unsigned(s_rs1)))) /= signed(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "0000000000000000000" & s_imm12 & '0')));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "1111111111111111111" & s_imm12 & '0')));
end if;
end if;
when instr_BLT =>
if(signed(s_REG_debug(to_integer(unsigned(s_rs1)))) < signed(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "0000000000000000000" & s_imm12 & '0')));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "1111111111111111111" & s_imm12 & '0')));
end if;
end if;
when instr_BGE =>
if(signed(s_REG_debug(to_integer(unsigned(s_rs1)))) >= signed(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "0000000000000000000" & s_imm12 & '0')));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "1111111111111111111" & s_imm12 & '0')));
end if;
end if;
when instr_BLTU =>
if(unsigned(s_REG_debug(to_integer(unsigned(s_rs1)))) < unsigned(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "0000000000000000000" & s_imm12 & '0')));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "1111111111111111111" & s_imm12 & '0')));
end if;
end if;
when others => --instr_BGEU
if(unsigned(s_REG_debug(to_integer(unsigned(s_rs1)))) >= unsigned(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "0000000000000000000" & s_imm12 & '0')));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "1111111111111111111" & s_imm12 & '0')));
end if;
end if;
end case;
when JAL_T =>
s_jump_select <= '1'; -- switch in jal write data
s_REG_waddr <= s_rd; -- TODO may be problems since rd could be omitted (pp. 152-3)
s_jump_wdata <= s_PC_next;
if('0' = s_imm20(19)) then
s_jump_target <= zero_word & "00000000000" & s_imm20 & "0";
else
s_jump_target <= ones_word & "11111111111" & s_imm20 & "0";
end if;
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(s_jump_target));
when JALR_T =>
s_jump_select <= '1'; -- switch in jal write data
s_REG_waddr <= s_rd; -- TODO may be problems since rd could be omitted (pp. 152-3)
s_jump_wdata <= s_PC_next;
if('0' = s_imm12(11)) then
-- note type hinting again
-- note wonky ".. set low bit of result to '0' ..."
s_jump_sext <= zero_word & "00000000000000000000" & s_imm12;
s_jump_target <= std_logic_vector(
signed(s_REG_debug(to_integer(unsigned(s_rs1)))) +
signed(s_jump_sext)
);
s_jump_target(0) <= '0';
else
-- note type hinting again
-- note wonky ".. set low bit of result to '0' ..."
s_jump_sext <= ones_word & "11111111111111111111" & s_imm12;
s_jump_target <= std_logic_vector(
signed(s_REG_debug(to_integer(unsigned(s_rs1)))) +
signed(s_jump_sext)
);
s_jump_target(0) <= '0';
end if;
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(s_jump_target));
when AUIPC_T =>
s_jump_select <= '1';
s_REG_waddr <= s_rd;
if('0' = s_imm20(19)) then
s_jump_wdata <= std_logic_vector(
signed(s_PC_curr) +
signed(std_logic_vector'( zero_word & s_imm20 & "000000000000" ))
);
else
s_jump_wdata <= std_logic_vector(
signed(s_PC_curr) +
signed(std_logic_vector'( ones_word & s_imm20 & "000000000000" ))
); end if;
when others =>
-- Do nothing
end case;
end if; -- '1' = s_MMU_busy ...
if('1' = csr_exceptions) then
-- update next state
next_state <= exception;
-- update pending exceptions vector for illegal instruction
CSR(CSR_MIP)(2) <= '1';
end if;
-- update last instruction handled
exception_offending_instr <= s_IM_output_data;
end case;
end if; -- if (unsigned(exceptions) > 0) ...
end if; -- if('1' = rst) ...
end process;
-- Map outbound signals
status <= s_MMU_busy;
MMU_addr_in <= s_MMU_input_addr; -- 64-bits address for load/store
MMU_data_in <= s_MMU_input_data; -- 64-bits data for store
MMU_satp <= (others => '0'); -- Signals address translation privilege
MMU_mode <= privilege_mode; -- Current operating mode (Machine, Supervisor, Etc)
MMU_store <= s_MMU_store; -- High to toggle store
MMU_load <= s_MMU_load; -- High to toggle load
MMU_addr_instr <= s_PC_next; -- Instruction Address (AKA PC)
MMU_alignment <= s_MMU_alignment; -- alignment in bytes
MMU_ready_instr <= s_request_IM_inack; -- signal that PC is valid
reggie <= s_REG_debug;
pc_curr <= s_PC_curr;
-- Map inbound signals
s_IM_input_data <= zero_word & MMU_instr_out;
s_MMU_output_data <= MMU_data_out;
s_MMU_error <= MMU_error;
s_MMU_busy <= MMU_busy;
end Behavioral;
| mit | 61f496f915c20aa91c22b1e115dbd7c6 | 0.445286 | 4.746866 | false | false | false | false |
SLongofono/Senior_Design_Capstone | StupidCore/UART_TX_CTRL.vhd | 1 | 4,747 | ----------------------------------------------------------------------------
-- UART_TX_CTRL.vhd -- UART Data Transfer Component
----------------------------------------------------------------------------
-- Author: Sam Bobrowicz
-- Copyright 2011 Digilent, Inc.
----------------------------------------------------------------------------
--
----------------------------------------------------------------------------
-- This component may be used to transfer data over a UART device. It will
-- serialize a byte of data and transmit it over a TXD line. The serialized
-- data has the following characteristics:
-- *9600 Baud Rate
-- *8 data bits, LSB first
-- *1 stop bit
-- *no parity
--
-- Port Descriptions:
--
-- SEND - Used to trigger a send operation. The upper layer logic should
-- set this signal high for a single clock cycle to trigger a
-- send. When this signal is set high DATA must be valid . Should
-- not be asserted unless READY is high.
-- DATA - The parallel data to be sent. Must be valid the clock cycle
-- that SEND has gone high.
-- CLK - A 100 MHz clock is expected
-- READY - This signal goes low once a send operation has begun and
-- remains low until it has completed and the module is ready to
-- send another byte.
-- UART_TX - This signal should be routed to the appropriate TX pin of the
-- external UART device.
--
----------------------------------------------------------------------------
--
----------------------------------------------------------------------------
-- Revision History:
-- 08/08/2011(SamB): Created using Xilinx Tools 13.2
----------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
entity UART_TX_CTRL is
Port ( SEND : in STD_LOGIC;
DATA : in STD_LOGIC_VECTOR (7 downto 0);
CLK : in STD_LOGIC;
READY : out STD_LOGIC;
UART_TX : out STD_LOGIC);
end UART_TX_CTRL;
architecture Behavioral of UART_TX_CTRL is
type TX_STATE_TYPE is (RDY, LOAD_BIT, SEND_BIT);
constant BIT_TMR_MAX : std_logic_vector(13 downto 0) := "10100010110000"; --10416 = (round(100MHz / 9600)) - 1
constant BIT_INDEX_MAX : natural := 10;
--Counter that keeps track of the number of clock cycles the current bit has been held stable over the
--UART TX line. It is used to signal when the ne
signal bitTmr : std_logic_vector(13 downto 0) := (others => '0');
--combinatorial logic that goes high when bitTmr has counted to the proper value to ensure
--a 9600 baud rate
signal bitDone : std_logic;
--Contains the index of the next bit in txData that needs to be transferred
signal bitIndex : natural;
--a register that holds the current data being sent over the UART TX line
signal txBit : std_logic := '1';
--A register that contains the whole data packet to be sent, including start and stop bits.
signal txData : std_logic_vector(9 downto 0);
signal txState : TX_STATE_TYPE := RDY;
begin
--Next state logic
next_txState_process : process (CLK)
begin
if (rising_edge(CLK)) then
case txState is
when RDY =>
if (SEND = '1') then
txState <= LOAD_BIT;
end if;
when LOAD_BIT =>
txState <= SEND_BIT;
when SEND_BIT =>
if (bitDone = '1') then
if (bitIndex = BIT_INDEX_MAX) then
txState <= RDY;
else
txState <= LOAD_BIT;
end if;
end if;
when others=> --should never be reached
txState <= RDY;
end case;
end if;
end process;
bit_timing_process : process (CLK)
begin
if (rising_edge(CLK)) then
if (txState = RDY) then
bitTmr <= (others => '0');
else
if (bitDone = '1') then
bitTmr <= (others => '0');
else
bitTmr <= bitTmr + 1;
end if;
end if;
end if;
end process;
bitDone <= '1' when (bitTmr = BIT_TMR_MAX) else
'0';
bit_counting_process : process (CLK)
begin
if (rising_edge(CLK)) then
if (txState = RDY) then
bitIndex <= 0;
elsif (txState = LOAD_BIT) then
bitIndex <= bitIndex + 1;
end if;
end if;
end process;
tx_data_latch_process : process (CLK)
begin
if (rising_edge(CLK)) then
if (SEND = '1') then
txData <= '1' & DATA & '0';
end if;
end if;
end process;
tx_bit_process : process (CLK)
begin
if (rising_edge(CLK)) then
if (txState = RDY) then
txBit <= '1';
elsif (txState = LOAD_BIT) then
txBit <= txData(bitIndex);
end if;
end if;
end process;
UART_TX <= txBit;
READY <= '1' when (txState = RDY) else
'0';
end Behavioral;
| mit | 849a273841496e41847bbb6333269958 | 0.550453 | 3.714397 | false | false | false | false |
wltr/cern-onewire-idtemp | src/rtl/onewire_idtemp/onewire_control.vhd | 1 | 15,117 | -------------------------------------------------------------------------------
--! @file onewire_control.vhd
--! @author Johannes Walter <[email protected]>
--! @copyright LGPL v2.1
--! @brief Control all 1-wire interfaces.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.lfsr_pkg.all;
use work.onewire_idtemp_pkg.all;
--! @brief Entity declaration of onewire_control
entity onewire_control is
generic (
--! System clock frequency in Hz
clk_frequency_g : natural := 40e6;
--! Maximum number of devices on a bus
max_devices_g : positive := 16);
port (
--! @name Clock and resets
--! @{
--! System clock
clk_i : in std_ulogic;
--! Asynchronous active-low reset
rst_asy_n_i : in std_ulogic;
--! Synchronous active-high reset
rst_syn_i : in std_ulogic;
--! @}
--! @name Status and control signals
--! @{
--! Discover devices on the 1-wire bus
discover_i : in std_ulogic;
--! Convert and retrieve temperature values
get_temp_i : in std_ulogic;
--! Busy flag
busy_o : out std_ulogic;
--! Done flag
done_o : out std_ulogic;
--! Number of detected devices
device_count_o : out std_ulogic_vector(natural(ceil(log2(real(max_devices_g + 1)))) - 1 downto 0);
--! Error flag if too many devices are detected
error_too_many_o : out std_ulogic;
--! Enable strong pull-up circuit to provide more current during temperature conversion
strong_pullup_o : out std_ulogic;
--! @}
--! @name Internal signals
--! @{
--! Start search algorithm
discover_o : out std_ulogic;
--! Discovered device ID enable
id_en_i : in std_ulogic;
--! Done flag
done_i : in std_ulogic;
--! @}
--! @name Memory interface signals
--! @{
--! Write address
mem_wr_addr_o : out std_ulogic_vector(natural(ceil(log2(real(max_devices_g * 2)))) - 1 downto 0);
--! Write enable
mem_wr_en_o : out std_ulogic;
--! Data output
mem_wr_data_o : out std_ulogic_vector(63 downto 0);
--! Write done flag
mem_wr_done_i : in std_ulogic;
--! Read address
mem_rd_addr_o : out std_ulogic_vector(natural(ceil(log2(real(max_devices_g * 2)))) - 1 downto 0);
--! Read enable
mem_rd_en_o : out std_ulogic;
--! Data input
mem_rd_data_i : in std_ulogic_vector(63 downto 0);
--! Data input enable
mem_rd_data_en_i : in std_ulogic;
--! @}
--! @name Bus interface signals
--! @{
--! Send a bus reset command
bus_rst_o : out std_ulogic;
--! Send data bit
bit_send_o : out std_ulogic;
--! The data bit to be sent
bit_o : out std_ulogic;
--! Receive data bit
bit_recv_o : out std_ulogic;
--! The received data bit
bit_i : in std_ulogic;
--! The received data bit enable
bit_en_i : in std_ulogic;
--! Done flag
bit_done_i : in std_ulogic);
--! @}
end entity onewire_control;
--! RTL implementation of onewire_control
architecture rtl of onewire_control is
-----------------------------------------------------------------------------
--! @name Types and Constants
-----------------------------------------------------------------------------
--! @{
--! Time to hold strong pull-up high during conversion
constant t_pullup_c : real := 0.750;
--! Time to recover from strong pull-up
constant t_pullup_recvr_c : real := t_pullup_c + 0.000002;
constant clk_period_c : real := 1.0 / real(clk_frequency_g);
constant cnt_pullup_c : natural := natural(ceil(t_pullup_c / clk_period_c));
constant cnt_pullup_recvr_c : natural := natural(ceil(t_pullup_recvr_c / clk_period_c));
constant lfsr_len_c : natural := lfsr_length(cnt_pullup_recvr_c);
subtype lfsr_t is std_ulogic_vector(lfsr_len_c - 1 downto 0);
constant lfsr_seed_c : lfsr_t := lfsr_seed(lfsr_len_c);
constant max_id_c : lfsr_t := lfsr_shift(lfsr_seed_c, mem_wr_data_o'length - 1);
constant max_cmd_c : lfsr_t := lfsr_shift(lfsr_seed_c, cmd_match_c'length - 1);
constant max_pullup_c : lfsr_t := "1110100111110000010100010"; --lfsr_shift(lfsr_seed_c, cnt_pullup_c - 1);
constant max_pullup_recvr_c : lfsr_t := "0101100010000101001011101"; --lfsr_shift(lfsr_seed_c, cnt_pullup_recvr_c - 1);
type state_t is (IDLE, ERASE, DISCOVER, CONVERT, SKIP_COMMAND, CONVERT_COMMAND,
WAIT_CONVERSION, WAIT_RESET, MATCH_COMMAND, GET_ID, SEND_ID, READ_COMMAND,
SCRATCHPAD, SCRATCHPAD_CRC, SAVE_DATA, CHECK_NUM);
type reg_t is record
state : state_t;
lfsr : lfsr_t;
busy : std_ulogic;
done : std_ulogic;
device_count : unsigned(device_count_o'range);
too_many : std_ulogic;
strong_pullup : std_ulogic;
discover : std_ulogic;
mem_addr : unsigned(mem_wr_addr_o'range);
mem_wr_en : std_ulogic;
mem_rd_en : std_ulogic;
crc_reset : std_ulogic;
bus_rst : std_ulogic;
bit_send : std_ulogic;
bit_recv : std_ulogic;
data : std_ulogic_vector(mem_wr_data_o'range);
end record;
constant init_c : reg_t := (
state => IDLE,
lfsr => lfsr_seed_c,
busy => '0',
done => '0',
device_count => to_unsigned(0, device_count_o'length),
too_many => '0',
strong_pullup => '0',
discover => '0',
mem_addr => to_unsigned(0, mem_wr_addr_o'length),
mem_wr_en => '0',
mem_rd_en => '0',
crc_reset => '0',
bus_rst => '0',
bit_send => '0',
bit_recv => '0',
data => (others => '0'));
--! @}
-----------------------------------------------------------------------------
--! @name Internal Registers
-----------------------------------------------------------------------------
--! @{
signal reg : reg_t;
--! @}
-----------------------------------------------------------------------------
--! @name Internal Wires
-----------------------------------------------------------------------------
--! @{
signal nxt_reg : reg_t;
signal crc_valid : std_ulogic;
--! @}
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Outputs
-----------------------------------------------------------------------------
busy_o <= reg.busy;
done_o <= reg.done;
device_count_o <= std_ulogic_vector(reg.device_count);
error_too_many_o <= reg.too_many;
strong_pullup_o <= reg.strong_pullup;
discover_o <= reg.discover;
mem_wr_addr_o <= std_ulogic_vector(reg.mem_addr);
mem_wr_en_o <= reg.mem_wr_en;
mem_wr_data_o <= reg.data;
mem_rd_addr_o <= std_ulogic_vector(reg.mem_addr);
mem_rd_en_o <= reg.mem_rd_en;
bus_rst_o <= reg.bus_rst;
bit_send_o <= reg.bit_send;
bit_o <= reg.data(reg.data'low);
bit_recv_o <= reg.bit_recv;
-----------------------------------------------------------------------------
-- Instantiations
-----------------------------------------------------------------------------
crc_inst : entity work.onewire_crc
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
reset_i => reg.crc_reset,
data_i => bit_i,
data_en_i => bit_en_i,
valid_o => crc_valid);
-----------------------------------------------------------------------------
-- Registers
-----------------------------------------------------------------------------
regs : process (clk_i, rst_asy_n_i) is
procedure reset is
begin
reg <= init_c;
end procedure reset;
begin -- process regs
if rst_asy_n_i = '0' then
reset;
elsif rising_edge(clk_i) then
if rst_syn_i = '1' then
reset;
else
reg <= nxt_reg;
end if;
end if;
end process regs;
-----------------------------------------------------------------------------
-- Combinatorics
-----------------------------------------------------------------------------
comb : process (reg, discover_i, get_temp_i, id_en_i, done_i, crc_valid,
mem_wr_done_i, mem_rd_data_i, mem_rd_data_en_i, bit_i, bit_en_i, bit_done_i) is
begin -- process comb
-- Defaults
nxt_reg <= reg;
nxt_reg.done <= init_c.done;
nxt_reg.discover <= init_c.discover;
nxt_reg.mem_wr_en <= init_c.mem_wr_en;
nxt_reg.mem_rd_en <= init_c.mem_rd_en;
nxt_reg.bus_rst <= init_c.bus_rst;
nxt_reg.bit_send <= init_c.bit_send;
nxt_reg.bit_recv <= init_c.bit_recv;
nxt_reg.crc_reset <= init_c.crc_reset;
case reg.state is
when IDLE =>
if discover_i = '1' then
nxt_reg <= init_c;
nxt_reg.busy <= '1';
nxt_reg.mem_wr_en <= '1';
nxt_reg.state <= ERASE;
elsif get_temp_i = '1' then
nxt_reg.busy <= '1';
nxt_reg.bus_rst <= '1';
nxt_reg.mem_addr <= init_c.mem_addr;
nxt_reg.state <= CONVERT;
end if;
when ERASE =>
if mem_wr_done_i = '1' then
if to_integer(reg.mem_addr) < (max_devices_g * 2) - 1 then
nxt_reg.mem_wr_en <= '1';
nxt_reg.mem_addr <= reg.mem_addr + 1;
else
nxt_reg.discover <= '1';
nxt_reg.mem_addr <= init_c.mem_addr;
nxt_reg.state <= DISCOVER;
end if;
end if;
when DISCOVER =>
if id_en_i = '1' then
nxt_reg.device_count <= reg.device_count + 1;
nxt_reg.mem_addr <= reg.mem_addr + 2;
if to_integer(reg.device_count) = max_devices_g then
nxt_reg.too_many <= '1';
end if;
end if;
if done_i = '1' then
nxt_reg.state <= IDLE;
nxt_reg.busy <= '0';
nxt_reg.done <= '1';
end if;
when CONVERT =>
if bit_done_i = '1' then
nxt_reg.bit_send <= '1';
nxt_reg.data(7 downto 0) <= cmd_skip_c;
nxt_reg.state <= SKIP_COMMAND;
end if;
when SKIP_COMMAND =>
if bit_done_i = '1' then
if reg.lfsr = max_cmd_c then
nxt_reg.bit_send <= '1';
nxt_reg.data(7 downto 0) <= cmd_convert_c;
nxt_reg.lfsr <= init_c.lfsr;
nxt_reg.state <= CONVERT_COMMAND;
else
nxt_reg.bit_send <= '1';
nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1);
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
end if;
end if;
when CONVERT_COMMAND =>
if bit_done_i = '1' then
if reg.lfsr = max_cmd_c then
nxt_reg.strong_pullup <= '1';
nxt_reg.lfsr <= init_c.lfsr;
nxt_reg.state <= WAIT_CONVERSION;
else
nxt_reg.bit_send <= '1';
nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1);
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
end if;
end if;
when WAIT_CONVERSION =>
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
if reg.lfsr = max_pullup_c then
nxt_reg.strong_pullup <= '0';
end if;
if reg.lfsr = max_pullup_recvr_c then
nxt_reg.bus_rst <= '1';
nxt_reg.state <= WAIT_RESET;
end if;
when WAIT_RESET =>
if bit_done_i = '1' then
nxt_reg.bit_send <= '1';
nxt_reg.data(7 downto 0) <= cmd_match_c;
nxt_reg.lfsr <= init_c.lfsr;
nxt_reg.state <= MATCH_COMMAND;
end if;
when MATCH_COMMAND =>
if bit_done_i = '1' then
if reg.lfsr = max_cmd_c then
nxt_reg.mem_rd_en <= '1';
nxt_reg.lfsr <= init_c.lfsr;
nxt_reg.state <= GET_ID;
else
nxt_reg.bit_send <= '1';
nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1);
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
end if;
end if;
when GET_ID =>
if mem_rd_data_en_i = '1' then
if mem_rd_data_i(7 downto 0) = code_ds18b20_c then
nxt_reg.data <= mem_rd_data_i;
nxt_reg.bit_send <= '1';
nxt_reg.state <= SEND_ID;
else
nxt_reg.mem_addr <= reg.mem_addr + 1;
nxt_reg.state <= CHECK_NUM;
end if;
end if;
when SEND_ID =>
if bit_done_i = '1' then
if reg.lfsr = max_id_c then
nxt_reg.bit_send <= '1';
nxt_reg.data(7 downto 0) <= cmd_read_sp_c;
nxt_reg.lfsr <= init_c.lfsr;
nxt_reg.state <= READ_COMMAND;
else
nxt_reg.bit_send <= '1';
nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1);
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
end if;
end if;
when READ_COMMAND =>
if bit_done_i = '1' then
if reg.lfsr = max_cmd_c then
nxt_reg.bit_recv <= '1';
nxt_reg.crc_reset <= '1';
nxt_reg.lfsr <= init_c.lfsr;
nxt_reg.state <= SCRATCHPAD;
else
nxt_reg.bit_send <= '1';
nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1);
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
end if;
end if;
when SCRATCHPAD =>
if bit_en_i = '1' then
nxt_reg.data <= bit_i & reg.data(reg.data'high downto reg.data'low + 1);
if reg.lfsr = max_id_c then
nxt_reg.bit_recv <= '1';
nxt_reg.lfsr <= init_c.lfsr;
nxt_reg.state <= SCRATCHPAD_CRC;
else
nxt_reg.bit_recv <= '1';
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
end if;
end if;
when SCRATCHPAD_CRC =>
if bit_en_i = '1' then
if reg.lfsr = max_cmd_c then
nxt_reg.state <= SAVE_DATA;
else
nxt_reg.bit_recv <= '1';
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
end if;
end if;
when SAVE_DATA =>
if crc_valid = '0' then
nxt_reg.data <= (others => '1');
end if;
nxt_reg.mem_wr_en <= '1';
nxt_reg.mem_addr <= reg.mem_addr + 1;
nxt_reg.state <= CHECK_NUM;
when CHECK_NUM =>
if reg.mem_addr < (max_devices_g * 2) - 1 then
nxt_reg.mem_addr <= reg.mem_addr + 1;
nxt_reg.bus_rst <= '1';
nxt_reg.state <= WAIT_RESET;
else
nxt_reg.state <= IDLE;
nxt_reg.busy <= '0';
nxt_reg.done <= '1';
end if;
end case;
end process comb;
end architecture rtl;
| lgpl-2.1 | 7b934c1fc606512a40cb255ed67ad2e3 | 0.475425 | 3.485589 | false | false | false | false |
fabioperez/space-invaders-vhdl | lib/io/keyboard.vhd | 1 | 2,172 | LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.STD_LOGIC_ARITH.all;
USE IEEE.STD_LOGIC_UNSIGNED.all;
ENTITY keyboard IS
PORT( keyboard_clk, keyboard_data, clock_25Mhz ,
reset, read : IN STD_LOGIC;
scan_code : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
scan_ready : OUT STD_LOGIC);
END keyboard;
ARCHITECTURE a OF keyboard IS
SIGNAL INCNT : std_logic_vector(3 downto 0);
SIGNAL SHIFTIN : std_logic_vector(8 downto 0);
SIGNAL READ_CHAR : std_logic;
SIGNAL INFLAG, ready_set : std_logic;
SIGNAL keyboard_clk_filtered : std_logic;
SIGNAL filter : std_logic_vector(7 downto 0);
BEGIN
PROCESS (read, ready_set)
BEGIN
IF read = '1' THEN scan_ready <= '0';
ELSIF ready_set'EVENT and ready_set = '1' THEN
scan_ready <= '1';
END IF;
END PROCESS;
--This process filters the raw clock signal coming from the keyboard using a shift register and two AND gates
Clock_filter: PROCESS
BEGIN
WAIT UNTIL clock_25Mhz'EVENT AND clock_25Mhz= '1';
filter (6 DOWNTO 0) <= filter(7 DOWNTO 1) ;
filter(7) <= keyboard_clk;
IF filter = "11111111" THEN keyboard_clk_filtered <= '1';
ELSIF filter= "00000000" THEN keyboard_clk_filtered <= '0';
END IF;
END PROCESS Clock_filter;
--This process reads in serial data coming from the terminal
PROCESS
BEGIN
WAIT UNTIL (KEYBOARD_CLK_filtered'EVENT AND KEYBOARD_CLK_filtered='1');
IF RESET='1' THEN
INCNT <= "0000";
READ_CHAR <= '0';
ELSE
IF KEYBOARD_DATA='0' AND READ_CHAR='0' THEN
READ_CHAR<= '1';
ready_set<= '0';
ELSE
-- Shift in next 8 data bits to assemble a scan code
IF READ_CHAR = '1' THEN
IF INCNT < "1001" THEN
INCNT <= INCNT + 1;
SHIFTIN(7 DOWNTO 0) <= SHIFTIN(8 DOWNTO 1);
SHIFTIN(8) <= KEYBOARD_DATA;
ready_set <= '0';
-- End of scan code character, so set flags and exit loop
ELSE
scan_code <= SHIFTIN(7 DOWNTO 0);
READ_CHAR <='0';
ready_set <= '1';
INCNT <= "0000";
END IF;
END IF;
END IF;
END IF;
END PROCESS;
END a;
| mit | 35f15a2a3b9a81946272d01681a5cf3c | 0.605893 | 3.170803 | false | false | false | false |
SLongofono/Senior_Design_Capstone | Demo/MMU.vhd | 1 | 33,423 | ----------------------------------------------------------------------------------
-- Engineer: Cesar Avalos B
-- Create Date: 01/28/2018 07:53:02 PM
-- Module Name: MMU_stub - Behavioral
-- Description: Full flegded MMU to feed instructions and store data, supports SV39
--
-- Additional Comments: Mk. VIII
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library config;
use work.config.all;
use IEEE.NUMERIC_STD.ALL;
library unisim;
use unisim.VCOMPONENTS.ALL;
entity MMU is
Port(
clk: in std_logic; -- 100 Mhz Clock
rst: in std_logic; -- Active high reset
addr_in: in doubleword; -- 64-bits address in
data_in: in doubleword; -- 64-bits data in
satp: in doubleword; -- Control register
mode: in std_logic_vector(1 downto 0); -- Current mode (Machine, Supervisor, Etc)
store: in std_logic; -- High to toggle store
load: in std_logic; -- High to toggle load
busy: out std_logic := '0'; -- High when busy
ready_instr: in std_logic; -- Can fetch next instruction (might be redundant)
addr_instr: in doubleword; -- Instruction Address (AKA PC)
alignment: in std_logic_vector(3 downto 0); --Mask
data_out: out doubleword; -- 64-Bits data out
instr_out: out word; -- 64-Bits instruction out
error: out std_logic_vector(5 downto 0);-- Error
-- LEDS out
LED: out std_logic_vector(15 downto 0);
-- UART out
UART_TXD: out std_logic;
UART_RXD: in std_logic;
-- DDR2 Signals
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0);
-- ROM SPI signals
sck: out std_logic; -- Special gated sck for the ROM STARTUPE2 generic
cs_n: out STD_LOGIC;
dq: inout std_logic_vector(3 downto 0));
end MMU;
architecture Behavioral of MMU is
-- Components
component ram_controller is
Port ( clk_200,clk_100 : in STD_LOGIC;
rst : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR(15 DOWNTO 0);
data_out : out STD_LOGIC_VECTOR(15 DOWNTO 0);
write, read: in STD_LOGIC;
mask_lb, mask_ub: in std_logic;
done: out STD_LOGIC;
contr_addr_in : in STD_LOGIC_VECTOR(26 DOWNTO 0);
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0));
end component;
component ROM_controller_SPI is
Port (clk_25, rst, read: in STD_LOGIC;
si_i: out STD_LOGIC;
cs_n: out STD_LOGIC;
wp: out std_logic;
si_t: out std_logic;
wp_t: out std_logic;
address_in: in STD_LOGIC_VECTOR(23 downto 0);
qd: in STD_LOGIC_VECTOR(3 downto 0);
data_out: out STD_LOGIC_VECTOR(63 downto 0);
--pragma synthesis_off
counter: out integer;
--pragma synthesis_on
-- command_int, address_int, reg_one_int, reg_two_int: inout integer;
done: out STD_LOGIC
);
end component;
component clk_wiz_0
port(
clk_in1 : in std_logic;
clk_100MHz_o: out std_logic;
clk_200MHz_o: out std_logic;
clk_25MHz_o: out std_logic;
locked: out std_logic);
end component;
component UART_RX_CTRL is
port (UART_RX: in STD_LOGIC;
CLK: in STD_LOGIC;
DATA: out STD_LOGIC_VECTOR (7 downto 0);
READ_DATA: out STD_LOGIC;
RESET_READ: in STD_LOGIC
);
end component;
component UART_TX_CTRL is
port( SEND : in STD_LOGIC;
DATA : in STD_LOGIC_VECTOR (7 downto 0);
CLK : in STD_LOGIC;
READY : out STD_LOGIC;
UART_TX : out STD_LOGIC);
end component;
component SPIFlashModule is
port(clk, reset, io_flash_en, io_flash_write, io_read_id: in std_logic;
io_quad_io: in std_logic_vector(3 downto 0);
io_flash_addr: in std_logic_vector(23 downto 0);
io_flash_data_in: in std_logic_vector(31 downto 0);
io_flash_data_out: out std_logic_vector(31 downto 0);
io_state_to_cpu: out std_logic_vector(11 downto 0);
io_sck_gate, io_SI, io_WP, io_tri_si, io_tri_wp, io_cs, io_ready: out std_logic);
end component;
constant ROM_period : integer := 150;
type instsmem is array(0 to 100) of word;
signal instr_mem: instsmem := (others => (others => '0'));
signal ROM_mem: instsmem := (
0 => x"00001137",
1 => x"8071011b",
2 => x"01411113",
3 => x"0040006f",
4 => x"01300793",
5 => x"01b79793",
6 => x"00100713",
7 => x"00e79023",
8 => x"098017b7",
9 => x"00000697",
10 => x"09468693",
11 => x"02100713",
12 => x"00479793",
13 => x"00100593",
14 => x"0047c603",
15 => x"0ff67613",
16 => x"fe060ce3",
17 => x"00e781a3",
18 => x"00b782a3",
19 => x"00168693",
20 => x"0006c703",
21 => x"fe0712e3",
22 => x"09801737",
23 => x"01300513",
24 => x"00100693",
25 => x"00471713",
26 => x"00100593",
27 => x"01b51513",
28 => x"00174783",
29 => x"0ff7f793",
30 => x"fe078ce3",
31 => x"00074603",
32 => x"0016869b",
33 => x"03069693",
34 => x"00b70123",
35 => x"0306d693",
36 => x"0ff67613",
37 => x"00d51023",
38 => x"00474783",
39 => x"0ff7f793",
40 => x"fe078ce3",
41 => x"00c701a3",
42 => x"00b702a3",
43 => x"fc5ff06f",
46 => x"45212121",
47 => x"204F4843",
48 => x"56524553",
49 => x"45522121",
50 => x"0000A021",
others => (others => '0'));
-- SPI signals
signal io_flash_en: std_logic;
signal io_flash_write: std_logic;
signal io_quad_io: std_logic_vector(3 downto 0);
signal io_flash_addr: std_logic_vector(23 downto 0);
signal io_flash_data_in: std_logic_vector(31 downto 0);
signal io_flash_data_out: std_logic_vector(63 downto 0);
signal io_read_id: std_logic;
signal io_state_to_cpu: std_logic_vector(11 downto 0);
signal io_SI, io_WP, io_tri_si, io_tri_wp, io_cs, io_ready: std_logic;
signal io_srl, io_cr : std_logic_vector(7 downto 0);
signal io_sckgate: std_logic;
signal io_rst: std_logic;
type MMU_state is (idle, loading, storing, fetching, decode_state,page_walk,loading_ram_page_walk, loading_ram, loading_rom, done_uart_rx, done_uart_tx, storing_ram);
signal curr_state: MMU_state := idle;
signal next_state: MMU_state := idle;
signal paused_state : MMU_state := idle; --Bit of a misnomer, this is
signal LED_reg: std_logic_vector(15 downto 0);
-- RAM signals
signal w_en: std_logic := '0';
signal RAM_en, ROM_en: std_logic := '0';
type RAM_state is (idle, read_low, read_low_mid, read_upper_mid, read_upper,write_low, write_low_mid, write_upper_mid, write_upper, done);
signal RAM_curr_state : RAM_state := idle;
signal RAM_next_state : RAM_state := idle;
signal RAM_masks: std_logic_vector(7 downto 0);
signal RAM_timeout_counter: integer:= 0;
signal RAM_data_in: std_logic_vector(15 downto 0);
signal RAM_data_out: std_logic_vector(15 downto 0);
signal RAM_address_in: std_logic_vector(26 downto 0);
signal RAM_lb, RAM_ub: std_logic := '1';
signal s_RAM_data_out: doubleword := (others => '0'); -- The register holding the ram doubleword
signal ROM_done, RAM_done: std_logic := '0';
signal BRAM_toggle : std_logic_vector(1 downto 0) := "00";
--32 Bits acceses for ROM, either, too slow
type ROM_state is (idle, reading_lower, reading_higher, done);
signal ROM_curr_state : ROM_state := idle;
signal ROM_next_state : ROM_state := idle;
signal gated_clk: std_logic := '0';
signal s_ROM_data_out: doubleword := (others => '0'); --Register holding the rom doubleword
signal ROM_address_in : std_logic_vector(23 downto 0);
signal s_ROM_done: std_logic;
-- UART out data signal, for reading UART registers
signal ROM_Counter: integer := 0;
signal UART_out: STD_LOGIC_VECTOR(7 downto 0);
signal UART_toggle : std_logic := '0';
signal SATP_mode: std_logic_vector(63 downto 0) := (others => '0');
signal SATP_PPN: std_logic_vector(63 downto 0) := (others => '0');
signal s_internal_data : std_logic_vector(63 downto 0);
signal s_internal_address: doubleword;
signal clk_100, clk_200, clk_25, locked: std_logic;
signal page_address_in: doubleword := (others => '0');
signal uart_data_in, uart_data_out: std_logic_vector(7 downto 0);
signal uart_data_available, uart_ready: std_logic;
signal uart_reset_read, uart_send: std_logic;
signal UART_data: doubleword;
signal m_timer: integer := 0;
Type PAGE_WALK_STATE is (idle,level_i_read, level_i_decode, done);
signal PAGE_WALK_next_state, PAGE_WALK_current_state: PAGE_WALK_STATE := idle;
signal s_page_walk,page_walk_request_read, page_walk_done: std_logic := '0';
signal page_walk_address_out, page_address_final: doubleword;
signal Intermitent_Address_In: doubleword;
signal addr_in_latch: doubleword;
-- Debugging
signal s_debugging_out: std_logic_vector(5 downto 0);
signal qd: std_logic_vector(3 downto 0);
signal gated_clock, clock_gate: std_logic;
signal io_sck_gate: std_logic;
begin
clk_wizard: clk_wiz_0
port map(
clk_in1 =>clk,
clk_100MHz_o => clk_100,
clk_200MHz_o => clk_200,
clk_25MHz_o => clk_25,
locked => locked
);
myRAMController: ram_controller port map
(
clk_200 => clk_200,
clk_100 => clk_100,
rst => rst,
data_in => RAM_data_in,
data_out => RAM_data_out,
mask_lb => RAM_lb,
mask_ub => RAM_ub,
done => RAM_done,
write => w_en,
read => RAM_en,
contr_addr_in => RAM_address_in,
ddr2_addr => ddr2_addr ,
ddr2_ba => ddr2_ba ,
ddr2_ras_n => ddr2_ras_n,
ddr2_cas_n => ddr2_cas_n,
ddr2_we_n => ddr2_we_n ,
ddr2_ck_p => ddr2_ck_p ,
ddr2_ck_n => ddr2_ck_n ,
ddr2_cke => ddr2_cke ,
ddr2_cs_n => ddr2_cs_n ,
ddr2_dm => ddr2_dm ,
ddr2_odt => ddr2_odt ,
ddr2_dq => ddr2_dq ,
ddr2_dqs_p => ddr2_dqs_p,
ddr2_dqs_n => ddr2_dqs_n
);
myROMController: ROM_controller_SPI port map(clk_25 => clk_25, rst => io_rst, read =>io_flash_en,
address_in => ROM_address_in, data_out => io_flash_data_out,
si_i =>io_SI, wp => io_WP, si_t => io_tri_si, wp_t => io_tri_wp,
cs_n => io_cs, qd => qd, done =>s_ROM_done);
--myROMController: SPIFlashModule port map(
-- clk => clk_25, reset => io_rst, io_flash_en => io_flash_en, io_flash_write => io_flash_write, io_read_id => io_read_id,
-- io_quad_io => qd, io_flash_addr => ROM_address_in, io_flash_data_in => io_flash_data_in,
-- io_flash_data_out => io_flash_data_out, io_state_to_cpu => io_state_to_cpu,
-- io_sck_gate => io_sckgate, io_SI => io_SI, io_WP => io_WP, io_tri_si => io_tri_si, io_tri_wp => io_tri_wp, io_cs => io_cs,
-- io_ready => io_ready);
cs_n <= io_cs;
myUARTTX: UART_TX_CTRL port map
(
SEND => uart_send,
DATA => uart_data_out,
CLK => CLK,
READY => uart_ready,
UART_TX => UART_TXD
);
myUARTRX: UART_RX_CTRL port map
(
UART_RX => UART_RXD,
CLK => CLK,
DATA => uart_data_in,
READ_DATA => uart_data_available,
RESET_READ => uart_reset_read
);
-- Advance state
STATE_ADVANCE: process(clk, rst, RAM_done, ROM_done)
begin
if('1' = rst) then
curr_state <= idle;
ROM_curr_state <= idle;
RAM_curr_state <= idle;
PAGE_WALK_current_state <= idle;
m_timer <= 0;
elsif(rising_edge(clk)) then
curr_state <= next_state;
RAM_curr_state <= RAM_next_state;
ROM_curr_state <= ROM_next_state;
PAGE_WALK_current_state <= PAGE_WALK_next_state;
m_timer <= m_timer + 1;
end if;
end process;
MMU_FSM: process(clk, rst)
-- variable s_internal_address: doubleword := (others => '0'); --Realized Physical Address
-- variable paused_state: MMU_state; -- When we find the mode from SATP, we resume from the state saved here
begin
if rst = '1' then
s_internal_address <= (others => '0');
instr_out <= (others => '0');
error <= (others => '0');
io_flash_write <= '0';
io_read_id <= '0';
next_state <= idle;
busy <= '0';
BRAM_toggle <= "11";
LED <= (others => '0');
UART_data <= (others => '0');
data_out <= (others => '0');
elsif(rising_edge(clk)) then
busy <= '1';
next_state <= curr_state;
case curr_state is
-- Idling by like the leech you are MMU arent U
when idle =>
busy <= '1';
UART_toggle <= '0';
s_debugging_out <= "000000";
--s_internal_address <= addr_in;
uart_reset_read <= '0';
uart_send <= '0';
if(load = '1') then
next_state <= decode_state;
paused_state <= loading;
s_internal_address <= addr_in;
elsif(store = '1') then
next_state <= decode_state;
paused_state <= storing;
s_internal_address <= addr_in;
elsif(ready_instr = '1') then
next_state <= decode_state;
s_internal_address <= addr_instr;
paused_state <= fetching;
else
busy <= '0';
end if;
-- Figure out what state are we at
when decode_state =>
s_debugging_out <= "000001";
case satp_mode(3 downto 0) is
when x"0" => -- No translation is assumed
next_state <= paused_state;
when others =>
next_state <= page_walk; --SV39 is assumed whenever anything else is written, no SV48 shenanigans
end case;
-- Walk the thing blue page walk line
when page_walk =>
s_debugging_out <= "000010";
s_page_walk <= '1'; --We enable the page walk process
if(page_walk_done = '1') then --Page walk is done
s_internal_address <= page_walk_address_out; -- We assign the newly discovered address
next_state <= paused_state; --Resume wherever we left off matey
elsif(page_walk_request_read = '1') then
RAM_en <= '1';
end if;
-- Intermediate fetching state, just check if there is any misalignment errors
when fetching =>
busy <= '1';
s_debugging_out <= "000011";
--Fetches have to be aligned
if(unsigned(s_internal_address) mod 4 > 0) then
error(4) <= '1'; -- Misaligned error, geback geback
next_state <= idle;
elsif( s_internal_address(31 downto 16) = x"0000" ) then
next_state <= idle;
instr_out <= instr_mem(to_integer(unsigned(addr_instr(31 downto 0)))/4); --Block RAM access
else
--s_internal_address <= std_logic_vector(unsigned(addr_instr)/2);
next_state <= loading; --Loading instructions from elsewhere
end if;
-- Loading states
when loading =>
s_debugging_out <= "000100";
if(s_internal_address(31 downto 16) = x"0000" ) then --BRAM
next_state <= idle; --Instruction already goes out here, so no need to do anything,
-- We do this to preserve the instr_out port, even though it's really not necesary.
elsif(s_internal_address(31 downto 16) = x"9801") then --UART Registers
next_state <= idle; -- By default go to idle
UART_toggle <= '1';
case s_internal_address(3 downto 0) is
when X"0" => data_out <= zero_word & zero_word(31 downto 8) & uart_data_in;
when X"1" => data_out <= zero_word & zero_word(31 downto 1) & uart_data_available;
when X"2" => data_out <= zero_word & zero_word(31 downto 1) & uart_reset_read;
when X"3" => data_out <= zero_word & zero_word(31 downto 8) & uart_data_out;
when X"4" => data_out <= zero_word & zero_word(31 downto 1) & uart_ready;
when X"5" => data_out <= zero_word & zero_word(31 downto 1) & uart_send;
when others => NULL;
end case;
elsif(s_internal_address(31 downto 24) = x"98") then --LEDS Registers
next_state <= idle;
elsif(s_internal_address(31 downto 24) = x"97") then --m_clock Register
next_state <= idle;
elsif(s_internal_address(31 downto 28) = x"9") then --ROM
next_state <= loading_rom;
elsif(s_internal_address(31 downto 28) = x"8") then --RAM
next_state <= loading_ram;
else
next_state <= idle;
end if;
-- Special load cases
when loading_rom =>
s_debugging_out <= "000101";
ROM_en <= '1';
if(ROM_counter > (2 * ROM_period)) then
ROM_en <= '0';
if(paused_state = fetching) then
instr_out <= s_ROM_data_out(31 downto 0);
else
data_out <= s_ROM_data_out;
end if;
next_state <= idle;
end if;
when loading_ram =>
s_debugging_out <= "000110";
RAM_en <= '1';
if(ROM_done = '1') then
if(paused_state = fetching) then
instr_out <= s_RAM_data_out(31 downto 0);
end if;
next_state <= idle;
end if;
-- Stores and such
when storing =>
s_debugging_out <= "000111";
next_state <= idle; -- By default go back
if(addr_in(31 downto 16) = x"9801") then --UART
case addr_in(3 downto 0) is
when X"0" => NULL; -- Nothing here really, why would you write to buffer in?
when X"1" => NULL; -- Why?
when X"2" => uart_reset_read <= '1';
next_state <= done_uart_rx;
when X"3" => uart_data_out <= data_in(7 downto 0);
when X"4" => NULL; -- No no no write
when X"5" => uart_send <= '1'; -- Assuming if you are writing is to send something
next_state <= done_uart_tx; -- After writing to this register we reset it automatically
when others => UART_data <= (others => '0');
end case;
elsif(addr_in(31 downto 24) = x"98") then --LEDS
LED <= data_in(15 downto 0) OR data_in(31 downto 16);
next_state <= idle;
elsif(addr_in(31 downto 24) = x"97") then --m_clock
next_state <= idle;
-- elsif(addr_in(31 downto 28) = x"9") then --ROM
-- next_state <= idle; --Can't write to ROM, I mean you could, but hwhy? Don't write to ROM
elsif(addr_in(31 downto 28) = x"8") then --RAM
next_state <= storing_ram;
end if;
-- Special stores section
when storing_ram =>
s_debugging_out <= "001000";
w_en <= '1';
if(RAM_done = '1') then
w_en <= '0';
next_state <= idle;
end if;
-- Special done states, to reset whatever needs to be reset
when done_uart_tx =>
uart_send <= '0';
if(uart_ready = '0') then
next_state <= done_uart_tx;
else
next_state <= idle;
end if;
when done_uart_rx =>
uart_reset_read <= '0';
next_state <= idle;
when others =>
end case;
end if;
end process;
-- Walk the page
PAGE_WALK_FSM: process(clk, rst, s_page_walk)
variable level: Integer := 0;
begin
if(rst = '1') then
elsif(rising_edge(clk)) then
PAGE_WALK_next_state <= PAGE_WALK_current_state;
case PAGE_WALK_current_state is
when idle =>
if(s_page_walk = '1') then
page_address_in <= "00000000" & SATP_PPN(43 downto 0) & addr_in(31 downto 22) & "00";--SATP PPN will give us the root page table location
PAGE_WALK_next_state <= level_i_read;
level := 0; --Start at level 0
end if;
when level_i_read =>
if(level < 3) then
page_walk_request_read <= '1';
if(RAM_done = '1') then
level := level + 1;
PAGE_WALK_next_state <= level_i_decode;
end if;
else
--Raise exception here
--More levels than 3
PAGE_WALK_next_state <= done;
end if;
when level_i_decode =>
PAGE_WALK_next_state <= idle;
if(s_RAM_data_out(0) = '0') then --Invalid PTE Raise the roof
NULL;
elsif(s_RAM_data_out(1) = '0' and s_RAM_data_out(7) = '1') then -- Not Valid and Dirty
NULL;
elsif(s_RAM_data_out(1) = '1' or s_RAM_data_out(3) = '1') then --So far this address is final
-- Check if the PTE is in user mode and we are in user mode
if(mode = "00" and s_RAM_data_out(4) = '1') then
page_walk_next_state <= done;
page_address_final <= s_RAM_data_out(63 downto 13) & s_internal_address(12 downto 0);
-- If the PTE U bit is '0' and we are in Supervisor mode, it's still good
-- We leave this separate in case other actions need to happen in S mode
elsif(mode = "01" and s_RAM_data_out(4) = '0') then
-- If PTE A is 0 or PTE D is 0 and we are storing, then we could raise an exception
-- or set the bits to 1 ourselves
if(s_RAM_data_out(6) = '0' or (paused_state = storing and s_RAM_data_out(7) = '0')) then
-- Raise exception
end if;
page_walk_next_state <= done;
page_address_final <= s_RAM_data_out(63 downto 13) & s_internal_address(12 downto 0);
else
page_walk_next_state <= idle;
--Raise exception when the user has no permission to access this PTE
end if;
page_walk_done <= '1';
else -- We still have to dig deeper m8
page_walk_next_state <= level_i_read;
page_address_in <= "00000000" & SATP_PPN(43 downto 0) & s_internal_address(31 downto 22) & "00";
end if;
when done =>
PAGE_WALK_next_state <= idle;
end case;
end if;
end process;
--busy <= '0' when curr_state = idle else '1';
-- Z high impedance
dq(0) <= 'Z' when io_tri_si = '1' else io_SI;
dq(1) <= 'Z';
dq(2) <= 'Z' when io_tri_wp = '1' else io_WP;
dq(3) <= 'Z';
qd(0) <= dq(0) when io_tri_si = '1' else 'Z';
qd(1) <= dq(1);
qd(2) <= dq(2) when io_tri_wp = '1' else 'Z';
qd(3) <= dq(3);
gated_clock <= '0' when gated_clk = '1' else not(clk_25);
STARTUPE2_inst : STARTUPE2
generic map (
PROG_USR => "FALSE", -- Activate program event security feature. Requires encrypted bitstreams.
SIM_CCLK_FREQ => 10.0 -- Set the Configuration Clock Frequency(ns) for simulation.
)
port map (
CFGCLK => open, -- 1-bit output: Configuration main clock output
CFGMCLK => open, -- 1-bit output: Configuration internal oscillator clock output
EOS => open, -- 1-bit output: Active high output signal indicating the End Of Startup.
PREQ => open, -- 1-bit output: PROGRAM request to fabric output
CLK => '0', -- 1-bit input: User start-up clock input
GSR => '0', -- 1-bit input: Global Set/Reset input (GSR cannot be used for the port name)
GTS => '0', -- 1-bit input: Global 3-state input (GTS cannot be used for the port name)
KEYCLEARB => '0', -- 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM)
PACK => '0', -- 1-bit input: PROGRAM acknowledge input
USRCCLKO => gated_clock, -- 1-bit input: User CCLK input
USRCCLKTS => '0', -- 1-bit input: User CCLK 3-state enable input
USRDONEO => '1', -- 1-bit input: User DONE pin output control
USRDONETS => '0' -- 1-bit input: User DONE 3-state enable output
);
-- ROM SPI Clock Generation
ROM_CLK: process(clk_25, rst) begin
if(rst = '1') then
gated_clk <= '1';
elsif(rising_edge(clk_25)) then
if (io_cs = '0') then
gated_clk <= '0';
else
gated_clk <= '1';
end if;
end if;
end process;
---- ROM State Machine
---- To enable rom set ROM_en high
---- Will wait for 600 cycles and give back a 64 bit word
ROM_FSM: process(clk,rst)
begin
if(rst = '1') then
io_rst <= '1';
ROM_next_state <= idle;
elsif(rising_edge(clk)) then
if(ROM_curr_state /= idle) then
ROM_counter <= ROM_counter + 1; -- Wait a good amount of time to let the device react
end if;
ROM_next_state <= ROM_curr_state;
case ROM_curr_state is
when idle =>
ROM_next_state <= idle;
ROM_counter <= 0;
io_rst <= '0';
if(ROM_en = '1') then
ROM_done <= '0';
--io_rst <= '0';
ROM_address_in <= s_internal_address(23 downto 0); --24 Bits in
ROM_next_state <= reading_lower;
end if;
when reading_lower =>
ROM_next_state <= reading_lower;
io_flash_en <= '1';
if(ROM_counter > (2 * ROM_period)) then
s_ROM_data_out <= io_flash_data_out;
ROM_next_state <= done;
--ROM_address_in <= std_logic_vector(unsigned(s_internal_address(23 downto 0)) + 4); --24 Bits in
end if;
when reading_higher =>
when done =>
ROM_done <= '1';
if(ROM_en <= '0') then
ROM_next_state <= idle;
end if;
io_rst <= '1';
end case;
end if;
end process;
-- RAM State Machine
-- For reading from RAM, the ideal waiting time is of 230 ns
-- For writing into RAM, the ideal waiting time is of 270 ns
-- To make things easier we use 300 ns for both cases.
RAM_FSM: process(clk, RAM_en, w_en)
variable RAM_counter :integer := 0;
begin
if(rising_edge(clk)) then
if(RAM_curr_state /= idle) then
RAM_counter := RAM_counter + 1;
else
RAM_counter := 0;
end if;
RAM_next_state <= RAM_curr_state;
-- Forget about it
-- If for whatever reason we take long than
-- 1200 cycles, timeout and throw some error
if(RAM_timeout_counter >= 1200) then
RAM_next_state <= idle;
else
case RAM_curr_state is
-- Idle state, read before write
when idle =>
RAM_ub <= '1';
RAM_lb <= '1';
if(RAM_en = '1') then
RAM_next_state <= read_low;
elsif(w_en = '1') then
RAM_next_state <= write_low;
end if;
-- Load States
when read_low =>
if(RAM_counter > 30) then
s_RAM_data_out(15 downto 0) <= RAM_data_out;
RAM_next_state <= read_low_mid;
RAM_counter := 0;
end if;
when read_low_mid =>
if(RAM_counter > 30) then --Valid Data
s_RAM_data_out(31 downto 16) <= RAM_data_out;
RAM_next_state <= read_upper_mid;
RAM_counter := 0;
end if;
when read_upper_mid =>
if(RAM_counter > 30) then
s_RAM_data_out(47 downto 32) <= RAM_data_out;
RAM_next_state <= read_upper;
RAM_counter := 0;
end if;
when read_upper =>
if(RAM_counter > 30) then
s_RAM_data_out(63 downto 48) <= RAM_data_out;
RAM_next_state <= done;
RAM_counter := 0;
end if;
-- Store States (LSB first)
-- Bytes 1 and 2
when write_low =>
--Alignment 0001 means Byte-wise access
if(alignment(0) = '1') then
RAM_ub <= '0'; --Disable the upper byte from controller
end if;
if(RAM_counter > 30) then
if(alignment(0) = '1') then
RAM_next_state <= done;
else
RAM_next_state <= write_low_mid;
end if;
RAM_counter := 0;
-- Alignment 0100 means Upper Word access
elsif(alignment(2) = '1') then
RAM_counter := 0;
RAM_next_state <= write_upper_mid;
end if;
-- Byte 3 and 4
when write_low_mid =>
RAM_ub <= '1';
RAM_lb <= '1';
if(RAM_counter > 30) then --Valid Data
-- Alignment 0010 is Lower Word access
if(alignment(1) = '1') then
RAM_next_state <= done;
else
RAM_next_state <= write_upper_mid;
RAM_counter := 0;
end if;
end if;
-- Bytes 5 and 6
when write_upper_mid =>
RAM_ub <= '1';
RAM_lb <= '1';
if(RAM_counter > 30) then
RAM_next_state <= write_upper;
RAM_counter := 0;
end if;
when write_upper =>
RAM_ub <= '1';
RAM_lb <= '1';
if(RAM_counter > 30) then
RAM_next_state <= done;
RAM_counter := 0;
end if;
-- We are done here
when others =>
RAM_next_state <= idle;
end case;
end if;
end if;
end process;
-- Latches the last obtained datas (dati, datum? datae?)
--LAST_OBTAINED_DATA: process(clk,rst, UART_toggle) begin
-- if(rst = '1') then
-- data_out <= (others => '0');
-- elsif(rising_edge(clk)) then
-- if(RAM_curr_state = done) then
-- data_out <= s_RAM_data_out;
-- elsif(ROM_curr_state = done) then
-- data_out <= s_ROM_data_out;
-- elsif(UART_toggle = '1') then
-- data_out(7 downto 0) <= UART_data(7 downto 0);
-- data_out(63 downto 8) <= (others => '0');
-- end if;
-- end if;
--end process;
-- Muxes for addresses and data
-- Intermitent address is internal RAM address, whenever we need to use the RAM
-- to access something else, we will make use of this intermitent_address_in signal
Intermitent_Address_In <= addr_in when s_page_walk = '0' else page_address_in;
s_internal_data <= data_in; --For the moment this is right
-- Might change this to sequential logic if needed, I don't think it necessary
RAM_address_in <= std_logic_vector(unsigned(Intermitent_Address_In(26 downto 0)) + 0) when RAM_curr_state = idle or RAM_curr_state = read_low or RAM_curr_state = write_low else
std_logic_vector(unsigned(Intermitent_Address_In(26 downto 0)) + 2) when RAM_curr_state = read_low_mid or RAM_curr_state = write_low_mid else
std_logic_vector(unsigned(Intermitent_Address_In(26 downto 0)) + 4) when RAM_curr_state = read_upper_mid or RAM_curr_state = write_upper_mid else
std_logic_vector(unsigned(Intermitent_Address_In(26 downto 0)) + 6) when RAM_curr_state = read_upper or RAM_curr_state = write_upper
else (others => '0');
RAM_data_in <= s_internal_data(15 downto 0 ) when RAM_curr_state = idle or RAM_curr_state = write_low else
s_internal_data(31 downto 16) when RAM_curr_state = write_low_mid else
s_internal_data(47 downto 32) when RAM_curr_state = write_upper_mid else
s_internal_data(63 downto 48) when RAM_curr_state = write_upper else
(others => '0');
-- The CSR telling us where the page table start
SATP_mode(3 downto 0) <= satp(63 downto 60);
SATP_PPN(43 downto 0) <= satp(43 downto 0);
end Behavioral;
| mit | b0ecc6f340a3787aeda4678886218a13 | 0.544356 | 3.382895 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/1000BASE-X/sim/CoreDataSim.vhd | 1 | 7,796 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 00:36:20 08/28/2015
-- Design Name:
-- Module Name: C:/Users/Kurtis/Google Drive/mTC/svn/src/Ethernet/General/sim/IPv4Test.vhd
-- Project Name: ethernet
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: IPv4Tx
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
use work.GigabitEthPkg.all;
use work.Eth1000BaseXPkg.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY CoreDataSim IS
END CoreDataSim;
ARCHITECTURE behavior OF CoreDataSim IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT IPv4Tx
PORT(
ethTxClk : IN std_logic;
ethTxRst : IN std_logic;
ipPacketLength : IN std_logic_vector(15 downto 0);
ipPacketId : IN std_logic_vector(15 downto 0);
ipMoreFragments : IN std_logic;
ipFragOffset : IN std_logic_vector(12 downto 0);
ipProtocol : IN std_logic_vector(7 downto 0);
ipSrcAddr : IN IpAddrType;
ipDstAddr : IN IpAddrType;
ipData : IN std_logic_vector(31 downto 0);
ipDataValid : IN std_logic;
ipDataReady : OUT std_logic;
ethTxDataIn : OUT std_logic_vector(7 downto 0);
ethTxDataValid : OUT std_logic;
ethTxDataLastByte : OUT std_logic;
ethTxDataReady : IN std_logic
);
END COMPONENT;
--Inputs
signal ethClk125 : std_logic := '0';
signal ethClk125Rst : std_logic := '0';
signal ethClk62 : std_logic := '0';
signal ethClk62Rst : std_logic := '0';
signal ethCoreMacAddr : MacAddrType := MAC_ADDR_DEFAULT_C;
signal ethCoreIpAddr : IpAddrType := IP_ADDR_DEFAULT_C;
signal phyRxLaneIn : EthRxPhyLaneInType;
signal phyTxLaneOut : EthTxPhyLaneOutType;
signal dummyPhyRxLaneIn : EthRxPhyLaneInType;
signal dummyPhyTxLaneOut : EthTxPhyLaneOutType;
signal ethRxLinkSync : sl;
signal ethAutoNegDone : sl;
-- User Data signals
signal tpData : slv(31 downto 0);
signal tpDataValid : sl;
signal tpDataLast : sl := '0';
signal tpDataReady : sl;
-- Clock period definitions
constant ethClk125_period : time := 8 ns;
constant ethClk62_period : time := 16 ns;
constant GATE_DELAY_C : time := 1 ns;
BEGIN
--------------------------------
-- Gigabit Ethernet Interface --
--------------------------------
U_Eth1000BaseXCore : entity work.Eth1000BaseXCore
generic map (
NUM_IP_G => 1,
EN_AUTONEG_G => true,
SIM_SPEEDUP_G => true,
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- 125 MHz clock and reset
eth125Clk => ethClk125,
eth125Rst => ethClk125Rst,
-- 62 MHz clock and reset
eth62Clk => ethClk62,
eth62Rst => ethClk62Rst,
-- Addressing
macAddr => ethCoreMacAddr,
ipAddrs => (0 => ethCoreIpAddr),
udpPorts => (0 => x"07D0"), --x7D0 = 2000
-- Data to/from GT
phyRxData => phyRxLaneIn,
phyTxData => phyTxLaneOut,
-- Status signals
statusSync => ethRxLinkSync,
statusAutoNeg => ethAutoNegDone,
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst,
-- User data
userTxData => (0 => tpData),
userTxDataValid => (0 => tpDataValid),
userTxDataLast => (0 => tpDataLast),
userTxDataReady(0) => tpDataReady,
userRxData => open,
userRxDataValid => open,
userRxDataLast => open,
userRxDataReady => (others => '1')
);
U_TpGenTx : entity work.TpGenTx
generic map (
NUM_WORDS_G => 1000,
WAIT_CYCLES_G => 5,
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst or not(ethAutoNegDone),
-- Connection to user logic
userTxData => tpData,
userTxDataValid => tpDataValid,
userTxDataLast => tpDataLast,
userTxDataReady => tpDataReady
);
--------------------------------
-- DummyCore Ethernet Interface --
--------------------------------
U_DummyCore : entity work.Eth1000BaseXCore
generic map (
NUM_IP_G => 1,
EN_AUTONEG_G => true,
SIM_SPEEDUP_G => true,
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- 125 MHz clock and reset
eth125Clk => ethClk125,
eth125Rst => ethClk125Rst,
-- 62 MHz clock and reset
eth62Clk => ethClk62,
eth62Rst => ethClk62Rst,
-- Addressing
macAddr => ethCoreMacAddr,
ipAddrs => (0 => ethCoreIpAddr),
udpPorts => (0 => x"07D0"), --x7D0 = 2000
-- Data to/from GT
phyRxData => dummyPhyRxLaneIn,
phyTxData => dummyPhyTxLaneOut,
-- Status signals
statusSync => ethRxLinkSync,
statusAutoNeg => ethAutoNegDone,
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst,
-- User data
userTxData => (0 => tpData),
userTxDataValid => (0 => tpDataValid),
userTxDataLast => (0 => tpDataLast),
userTxDataReady(0) => tpDataReady,
userRxData => open,
userRxDataValid => open,
userRxDataLast => open,
userRxDataReady => (others => '1')
);
-- Match up B TX to A RX
phyRxLaneIn.data <= dummyPhyTxLaneOut.data;
phyRxLaneIn.dataK <= dummyPhyTxLaneOut.dataK;
phyRxLaneIn.dispErr <= (others => '0');
phyRxLaneIn.decErr <= (others => '0');
-- Match up A TX to B RX
dummyPhyRxLaneIn.data <= phyTxLaneOut.data;
dummyPhyRxLaneIn.dataK <= phyTxLaneOut.dataK;
dummyPhyRxLaneIn.dispErr <= (others => '0');
dummyPhyRxLaneIn.decErr <= (others => '0');
-- Clock process definitions
ethClk125_process : process
begin
ethClk125 <= '0';
wait for ethClk125_period/2;
ethClk125 <= '1';
wait for ethClk125_period/2;
end process;
ethClk62_process : process
begin
ethClk62 <= '0';
wait for ethClk62_period/2;
ethClk62 <= '1';
wait for ethClk62_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
ethClk125Rst <= '1';
ethClk62Rst <= '1';
wait for 100 ns;
ethClk125Rst <= '0';
ethClk62Rst <= '0';
wait for ethClk125_period*10;
-- insert stimulus here
wait;
end process;
END;
| lgpl-2.1 | 4a8556b090c279b0ec611fb32d8468f4 | 0.549513 | 4.467622 | false | false | false | false |
gau-veldt/InsideTheBox | Progress_2017_12_21/sid_voice.vhd | 1 | 5,109 | ----------------------------------------------------------------------------------
--
-- Generate a single SID voice
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity sid_voice is port (
clk1M : in std_logic;
freq : in unsigned (15 downto 0);
pw : in unsigned (11 downto 0);
wvfm : in std_logic_vector (3 downto 0);
test : in std_logic;
rmod : in std_logic;
sync : in std_logic;
gate : in std_logic;
enva : in unsigned (3 downto 0);
envd : in unsigned (3 downto 0);
envs : in unsigned (3 downto 0);
envr : in unsigned (3 downto 0);
envo : out unsigned (7 downto 0);
osco : out unsigned (7 downto 0);
uout : out unsigned (7 downto 0)
);
end sid_voice;
architecture sid_voice_impl of sid_voice is
subtype u8 is unsigned (7 downto 0);
subtype byte is std_logic_vector (7 downto 0);
subtype u9 is unsigned (8 downto 0);
subtype u12 is unsigned (11 downto 0);
subtype word is std_logic_vector (15 downto 0);
subtype u17 is unsigned (16 downto 0);
subtype u23 is unsigned (22 downto 0);
subtype u24 is unsigned (23 downto 0);
subtype word24 is std_logic_vector (23 downto 0);
subtype dword is std_logic_vector (31 downto 0);
signal count24 : u24 := x"000000";
signal lfsr : word := x"1337";
signal chg_ph : std_logic;
signal taps : std_logic;
signal wv_tri : u8;
signal wv_saw : u8;
signal wv_pul : u8;
signal wv_wht : u8;
signal wv_raw : u8;
signal env : u9;
signal wv_mul : u17;
-- pipelining
signal wv_raw_reg : u8;
signal env_reg : u9;
begin
NCO: process(clk1M, freq, test, count24) is
variable cur : u24;
begin
if (test='1') then
count24 <= x"000000";
--count24 <= "000" & x"00000";
chg_ph <= '0';
else
if (rising_edge(clk1M)) then
cur := count24;
cur := cur + freq;
chg_ph <= cur(23) xor count24(23);
--chg_ph <= cur(22) xor count24(22);
count24 <= cur;
end if;
end if;
end process NCO;
taps <= ((lfsr(0) xor lfsr(2)) xor lfsr(3)) xor lfsr(5);
noise: process(clk1M,test,chg_ph,taps,lfsr(15 downto 0)) is
begin
if (test='1') then
lfsr <= x"1337";
else
if (rising_edge(clk1M) and chg_ph='1') then
lfsr <= taps & lfsr(15 downto 1);
end if;
end if;
end process noise;
gen_tri: process(count24) is
variable calc : byte;
begin
for i in 0 to 6 loop
calc(i+1) := count24(16+i) xor count24(23);
--calc(i+1) := count24(15+i) xor count24(22);
end loop;
calc(0) := count24(23);
--calc(0) := count24(22);
wv_tri <= u8(calc);
end process gen_tri;
gen_saw: process(count24(22 downto 15)) is
begin
wv_saw <= count24(23 downto 16);
--wv_saw <= count24(22 downto 15);
end process gen_saw;
gen_pul: process(count24(22 downto 11),pw) is
variable sample : u12;
begin
sample := count24(23 downto 12);
--sample := count24(22 downto 11);
if ((pw = x"fff") or (sample < pw)) then
wv_pul <= x"ff";
else
wv_pul <= x"00";
end if;
end process gen_pul;
gen_wht: process(lfsr) is
begin
wv_wht <= lfsr(15) & lfsr(14) & lfsr(10) & lfsr( 9) &
lfsr( 5) & lfsr( 4) & lfsr( 3) & lfsr( 0);
end process gen_wht;
-- Handling of waveform selection signals
--
-- The ANDing of multiple waveforms when
-- multiple signals are on is supported
-- (excluding noise).
--
-- We are not replicating the noise-lock bug
-- when noise is combined with other waveforms
-- and c64 programs don't combine noise due to
-- this bug so it's not worthwhile to do so.
with wvfm select wv_raw <=
x"80" when "0000",
wv_tri when "0001",
wv_saw when "0010",
wv_tri and wv_saw when "0011",
wv_pul when "0100",
wv_pul and wv_tri when "0101",
wv_pul and wv_saw when "0110",
wv_pul and wv_tri and wv_saw when "0111",
wv_wht when others;
hold_wvraw: process(clk1M,wv_raw) is
begin
if (rising_edge(clk1M)) then
wv_raw_reg <= wv_raw;
end if;
end process hold_wvraw;
-- TODO: envelope generation
env <= '0' & x"ff";
hold_env: process(clk1M,env) is
begin
if (rising_edge(clk1M)) then
env_reg <= env+1;
end if;
end process hold_env;
hold_vmult: process(clk1M, env_reg, wv_raw_reg) is
begin
if (rising_edge(clk1M)) then
wv_mul <= env_reg * wv_raw_reg;
end if;
end process hold_vmult;
uout <= wv_mul(15 downto 8);
envo <= env_reg(7 downto 0);
osco <= wv_raw_reg;
end sid_voice_impl;
| gpl-3.0 | 6a20e6f66586924e907ed95253519aa7 | 0.527696 | 3.294004 | false | false | false | false |
SLongofono/Senior_Design_Capstone | solid_C/shell.vhd | 1 | 8,378 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.config.all;
entity shell is
Port(
clk_100: in std_logic;
clk_25: in std_logic;
rst: in std_logic;
status: out std_logic;
DEBUG_halt: in std_logic;
-- LEDS out
LED: out std_logic_vector(15 downto 0);
-- UART out
UART_TXD: out std_logic;
UART_RXD: in std_logic;
-- ROM / RAM lines --
MEM_addr: out std_logic_vector(26 downto 0);
MEM_data_in: out std_logic_vector(7 downto 0);
MEM_data_out: in std_logic_vector(7 downto 0);
MEM_ram: out std_logic;
MEM_write: out std_logic;
MEM_request: out std_logic;
MEM_status: in std_logic;
MEM_err: in std_logic
);
end shell;
architecture Behavioral of shell is
--------------------------------------------------------------------------------
-- Components Forward Declarations
--------------------------------------------------------------------------------
component simple_core is
Port(
status: out std_logic; -- LED blinkenlites
clk: in std_logic; -- System clock (100 MHz)
rst: in std_logic; -- Tied to switch SW0
DEBUG_halt: in std_logic;
SUM: out std_logic;
MXR: out std_logic;
MTIP: in std_logic;
MSIP: in std_logic;
MMU_addr_in: out doubleword; -- 64-bits address for load/store/fetch
MMU_data_in: out doubleword; -- 64-bits data for store
MMU_satp: out doubleword; -- Signals address translation privilege
MMU_mode: out std_logic_vector(1 downto 0); -- Current operating mode (Machine, Supervisor, Etc)
MMU_type: out std_logic_vector(1 downto 0); -- High to toggle store / low means load
MMU_done: in std_logic; -- High when busy
MMU_request: out std_logic; -- request has been made
MMU_num_bytes: out std_logic_vector(1 downto 0); -- alignment in bytes
MMU_data_out: in doubleword; -- 64-Bits data out for load
MMU_error: in std_logic_vector(6 downto 0); -- Error bits from MMU
MMU_debug_phys: in doubleword;
MMU_debug_virt: in doubleword
);
end component;
component MMU is
Port(
clk_100: in std_logic; -- 100 Mhz Clock
clk: in std_logic;
rst: in std_logic; -- Active high reset
addr_in: in doubleword; -- 64-bits address in
data_in: in doubleword; -- 64-bits data in
satp: in doubleword; -- Control register
mode: in std_logic_vector(1 downto 0); -- Current mode (Machine, Supervisor, Etc)
r_type: in std_logic_vector(1 downto 0); -- High to toggle store
done: out std_logic; -- High when busy
request: in std_logic; -- CPU request
num_bytes: in std_logic_vector(1 downto 0); --Mask
data_out: out doubleword; -- 64-Bits data out
error: out std_logic_vector(6 downto 0); -- Error
debug_phys: out doubleword;
debug_virt: out doubleword;
SUM: in std_logic;
MXR: in std_logic;
MTIP: out std_logic;
MSIP: out std_logic;
-- LEDS out
LED: out std_logic_vector(15 downto 0);
-- UART out
UART_TXD: out std_logic;
UART_RXD: in std_logic;
-- ROM / RAM lines --
MEM_addr: out std_logic_vector(26 downto 0);
MEM_data_in: out std_logic_vector(7 downto 0);
MEM_data_out: in std_logic_vector(7 downto 0);
MEM_ram: out std_logic;
MEM_write: out std_logic;
MEM_request: out std_logic;
MEM_status: in std_logic;
MEM_err: in std_logic
);
end component;
--------------------------------------------------------------------------------
-- Signals
--------------------------------------------------------------------------------
signal s_MMU_addr_in: doubleword; -- 64-bits address for load/store/fetch
signal s_MMU_data_in: doubleword; -- 64-bits data for store
signal s_MMU_satp: doubleword; -- Signals address translation privilege
signal s_MMU_mode: std_logic_vector(1 downto 0); -- Current operating mode (Machine, Supervisor, Etc)
signal s_MMU_type: std_logic_vector(1 downto 0); -- High to toggle store / low means load
signal s_MMU_done: std_logic; -- High when busy
signal s_MMU_request: std_logic; -- request has been made
signal s_MMU_num_bytes: std_logic_vector(1 downto 0); -- alignment in bytes
signal s_MMU_data_out: doubleword; -- 64-Bits data out for load
signal s_MMU_error: std_logic_vector(6 downto 0); -- Error bits from MMU
signal s_MMU_debug_phys: doubleword;
signal s_MMU_debug_virt: doubleword;
signal s_SUM: std_logic;
signal s_MXR: std_logic;
signal s_MTIP: std_logic;
signal s_MSIP: std_logic;
signal s_LED: std_logic_vector(15 downto 0);
signal s_MEM_request: std_logic;
signal s_MEM_status: std_logic;
begin
LED( 11 downto 0 ) <= s_LED( 11 downto 0 );
LED(12) <= s_MMU_request;
LED(13) <= s_MMU_done;
LED(14) <= s_MEM_request;
LED(15) <= s_MEM_status;
MEM_request <= s_MEM_request;
s_MEM_status <= MEM_status;
--------------------------------------------------------------------------------
-- Instantiations
--------------------------------------------------------------------------------
bestCore: simple_core
port map(
status => status,
clk => clk_25,
rst => rst,
DEBUG_halt => DEBUG_halt,
SUM => s_SUM,
MXR => s_MXR,
MTIP => s_MTIP,
MSIP => s_MSIP,
MMU_addr_in => s_MMU_addr_in,
MMU_data_in => s_MMU_data_in,
MMU_satp => s_MMU_satp,
MMU_mode => s_MMU_mode,
MMU_type => s_MMU_type,
MMU_done => s_MMU_done,
MMU_request => s_MMU_request,
MMU_num_bytes => s_MMU_num_bytes,
MMU_data_out => s_MMU_data_out,
MMU_error => s_MMU_error,
MMU_debug_phys => s_MMU_debug_phys,
MMU_debug_virt => s_MMU_debug_virt
);
memmy: MMU
port map(
clk_100 => clk_100,
clk => clk_25,
rst => rst,
addr_in => s_MMU_addr_in,
data_in => s_MMU_data_in,
satp => s_MMU_satp,
mode => s_MMU_mode,
r_type => s_MMU_type,
done => s_MMU_done,
request => s_MMU_request,
num_bytes => s_MMU_num_bytes,
data_out => s_MMU_data_out,
error => s_MMU_error,
debug_phys => s_MMU_debug_phys,
debug_virt => s_MMU_debug_virt,
SUM => s_SUM,
MXR => s_MXR,
MTIP => s_MTIP,
MSIP => s_MSIP,
-- LEDS out
LED => s_LED,
-- UART out
UART_TXD => UART_TXD,
UART_RXD => UART_RXD,
-- ROM / RAM lines --
MEM_addr => MEM_addr,
MEM_data_in => MEM_data_in,
MEM_data_out => MEM_data_out,
MEM_ram => MEM_ram,
MEM_write => MEM_write,
MEM_request => s_MEM_request,
MEM_status => s_MEM_status,
MEM_err => MEM_err
);
--------------------------------------------------------------------------------
-- Do Work
--------------------------------------------------------------------------------
end Behavioral;
| mit | 3451df3fa05e210469a8c5155994fcbb | 0.454762 | 3.933333 | false | false | false | false |
SLongofono/Senior_Design_Capstone | Demo/RAM_Controller.vhd | 1 | 8,148 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity RAM_Controller is
Port ( clk_200,clk_100 : in STD_LOGIC;
rst : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR(15 DOWNTO 0);
data_out : out STD_LOGIC_VECTOR(15 DOWNTO 0);
mask_lb, mask_ub: in std_logic;
done: out STD_LOGIC;
write, read: in STD_LOGIC;
contr_addr_in : in STD_LOGIC_VECTOR(26 DOWNTO 0);
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0));
end RAM_Controller;
architecture Behavioral of RAM_Controller is
component ram2ddrxadc
port(
clk_200MHz_i : in std_logic; -- 200 MHz system clock
rst_i : in std_logic; -- active high system reset
device_temp_i : in std_logic_vector(11 downto 0);
-- RAM interface
-- The RAM is accessing 2 bytes per access
ram_a : in std_logic_vector(26 downto 0); -- input address
ram_dq_i : in std_logic_vector(15 downto 0); -- input data
ram_dq_o : out std_logic_vector(15 downto 0); -- output data
ram_cen : in std_logic; -- chip enable
ram_oen : in std_logic; -- output enable
ram_wen : in std_logic; -- write enable
ram_ub : in std_logic; -- upper byte
ram_lb : in std_logic; -- lower byte
-- DDR2 interface
ddr2_addr : out std_logic_vector(12 downto 0);
ddr2_ba : out std_logic_vector(2 downto 0);
ddr2_ras_n : out std_logic;
ddr2_cas_n : out std_logic;
ddr2_we_n : out std_logic;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out std_logic_vector(1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout std_logic_vector(15 downto 0);
ddr2_dqs_p : inout std_logic_vector(1 downto 0);
ddr2_dqs_n : inout std_logic_vector(1 downto 0)
);
end component;
-- Physical RAM Pin Signals
signal ram_cen, ram_oen, ram_wen, ram_ub, ram_lb: std_logic;
signal ram_dq_o, ram_dq_i: std_logic_vector (15 downto 0);
type memory_states IS (IDLE_STATE, PREPARE_STATE, READ_STATE, WRITE_STATE, INTERMITENT_STATE);
-- Where current state and next_state are pretty self-forward, last state will check
signal current_state, next_state, last_state: memory_states := IDLE_STATE;
signal temp_data_write, temp_data_read: std_logic_vector(63 downto 0);
signal ram_a: std_logic_vector(26 downto 0);
-- Result
signal read_out: std_logic_vector(15 downto 0) := (others => '0');
-- Counters
signal hundred_nano_seconds_elapsed, wait_counter : integer range 0 to 150 := 0;
signal s_read : std_logic := '0';
signal writeOnce, readOnce : std_logic := '0';
begin
ram2ddr: ram2ddrxadc
port map(
clk_200MHz_i=>clk_200,
rst_i=>rst,
device_temp_i=>"000000000000",
ram_a=>ram_a,
ram_dq_i=>ram_dq_o,
ram_dq_o=>ram_dq_i,
ram_cen=>ram_cen,
ram_oen=>ram_oen,
ram_wen=>ram_wen,
ram_ub=>ram_ub,
ram_lb=>ram_lb,
ddr2_addr=>ddr2_addr,
ddr2_ba=>ddr2_ba,
ddr2_ras_n=>ddr2_ras_n,
ddr2_cas_n=>ddr2_cas_n,
ddr2_we_n=>ddr2_we_n,
ddr2_ck_p=>ddr2_ck_p,
ddr2_ck_n=>ddr2_ck_n,
ddr2_cke=>ddr2_cke,
ddr2_cs_n=>ddr2_cs_n,
ddr2_dm=>ddr2_dm,
ddr2_odt=>ddr2_odt,
ddr2_dq=>ddr2_dq,
ddr2_dqs_p=>ddr2_dqs_p,
ddr2_dqs_n=>ddr2_dqs_n
);
process(clk_100,rst) begin
if(rst = '1') then
current_state <= IDLE_STATE;
elsif(rising_edge(clk_100)) then
current_state <= next_state;
end if;
end process;
process(current_state, rst, clk_100) begin
if(rst = '1') then
read_out <= (others => '0');
readOnce <= '0';
writeOnce <= '0';
elsif(rising_edge(clk_100)) then
next_state <= current_state;
case current_state is
-- State IDLE_STATE: Disable chip enable, write and read
when IDLE_STATE =>
ram_cen <= '1';
ram_oen <= '1';
ram_wen <= '1';
if(read = '1') then
s_read <= '1';
next_state <= PREPARE_STATE;
elsif(write = '1') then
s_read <= '0';
next_state <= PREPARE_STATE;
end if;
-- State PREPARE_STATE: Assert whatever needs to be asserted
when PREPARE_STATE =>
-- Reset the counters
hundred_nano_seconds_elapsed <= 0;
wait_counter <= 0;
-- Read
if(s_read = '1') then
readOnce <= '1';
ram_oen <= '0';
ram_cen <= '0';
ram_lb <= '0';
ram_ub <= '0';
ram_wen <= '1';
next_state <= READ_STATE;
-- Write
else
writeOnce <= '1';
ram_oen <= '1';
ram_cen <= '0';
ram_lb <= '0';
ram_ub <= '0';
ram_wen <= '0';
next_state <= WRITE_STATE;
end if;
-- State READ_STATE: Waits until the delta time indicated by the
-- data sheet has elapsed to finish reading
when READ_STATE =>
hundred_nano_seconds_elapsed <= hundred_nano_seconds_elapsed + 1;
-- Wait till the necessary clock cycles elapsed while it's recording the data
if(hundred_nano_seconds_elapsed > 22) then
read_out <= ram_dq_i;
next_state <= INTERMITENT_STATE;
end if;
-- Once we're at the write state, the upper and lower byte masks had been asserted
-- to start writing, after which we are free to select the mask combination we need.
when WRITE_STATE =>
ram_lb <= mask_lb;
ram_ub <= mask_ub;
hundred_nano_seconds_elapsed <= hundred_nano_seconds_elapsed + 1;
if(hundred_nano_seconds_elapsed > 27) then
next_state <= INTERMITENT_STATE;
-- Dummy read_out to signal we are done writing
read_out <= (5 => '1', others => '0');
end if;
-- State INTERMITENT_STATE: The done flag will be raised to allow the MMU
-- to continue onto the next byte
when INTERMITENT_STATE =>
read_out <= ram_dq_i;
next_state <= IDLE_STATE;
when others =>
next_state <= IDLE_STATE;
end case;
end if;
end process;
ram_dq_o <= data_in;
ram_a <= contr_addr_in;
data_out <= read_out;
done <= '1' when current_state = INTERMITENT_STATE else '0';
end Behavioral;
| mit | 3b25c3d65480a15ce37312448d788cef | 0.504664 | 3.634255 | false | false | false | false |
SLongofono/Senior_Design_Capstone | simple_core/Shifter.vhd | 1 | 20,680 | -----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2016 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief Left/Right shifter arithmetic/logic 32/64 bits.
--!
--! @details Vivado synthesizer (2016.2) doesn't support shift
--! from dynamic value, so implement this mux.
-- Modified to remove custom types.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library config;
use work.config.all;
entity Shifter is
port (
clk : in std_logic;
rst : in std_logic;
ctrl: in instr_t;
i_a1 : in std_logic_vector(63 downto 0); -- Operand 1
i_a2 : in std_logic_vector(5 downto 0); -- Shift bits number
result: out doubleword
);
end;
architecture arch_Shifter of Shifter is
signal o_sll : doubleword;
signal o_sllw : doubleword;
signal o_srl : doubleword;
signal o_sra : doubleword;
signal o_srlw : doubleword;
signal o_sraw : doubleword;
begin
comb : process(clk) -- not edge sensitive to ensure result is ready at next rising edge
variable wb_sll : std_logic_vector(63 downto 0);
variable wb_srl : std_logic_vector(63 downto 0);
variable wb_sra : std_logic_vector(63 downto 0);
variable wb_srlw : std_logic_vector(31 downto 0);
variable wb_sraw : std_logic_vector(63 downto 0);
variable v64 : std_logic_vector(63 downto 0);
variable v32 : std_logic_vector(31 downto 0);
variable msk64 : std_logic_vector(63 downto 0);
variable msk32 : std_logic_vector(63 downto 0);
variable shift64 : integer range 0 to 63;
variable shift32 : integer range 0 to 31;
begin
v64 := i_a1;
v32 := i_a1(31 downto 0);
msk64 := (others => i_a1(63));
msk32 := (others => i_a1(31));
shift64 := to_integer(unsigned(i_a2));
shift32 := to_integer(unsigned(i_a2(4 downto 0)));
case shift64 is
when 0 =>
wb_sll := v64;
wb_srl := v64;
wb_sra := v64;
when 1 =>
wb_sll := v64(62 downto 0) & "0";
wb_srl := "0" & v64(63 downto 1);
wb_sra := (msk64(63 downto 63) & v64(63 downto 1));
when 2 =>
wb_sll := v64(61 downto 0) & "00";
wb_srl := "00" & v64(63 downto 2);
wb_sra := (msk64(63 downto 62) & v64(63 downto 2));
when 3 =>
wb_sll := v64(60 downto 0) & "000";
wb_srl := "000" & v64(63 downto 3);
wb_sra := (msk64(63 downto 61) & v64(63 downto 3));
when 4 =>
wb_sll := v64(59 downto 0) & X"0";
wb_srl := X"0" & v64(63 downto 4);
wb_sra := (msk64(63 downto 60) & v64(63 downto 4));
when 5 =>
wb_sll := v64(58 downto 0) & X"0" & "0";
wb_srl := X"0" & "0" & v64(63 downto 5);
wb_sra := (msk64(63 downto 59) & v64(63 downto 5));
when 6 =>
wb_sll := v64(57 downto 0) & X"0" & "00";
wb_srl := X"0" & "00" & v64(63 downto 6);
wb_sra := (msk64(63 downto 58) & v64(63 downto 6));
when 7 =>
wb_sll := v64(56 downto 0) & X"0" & "000";
wb_srl := X"0" & "000" & v64(63 downto 7);
wb_sra := (msk64(63 downto 57) & v64(63 downto 7));
when 8 =>
wb_sll := v64(55 downto 0) & X"00";
wb_srl := X"00" & v64(63 downto 8);
wb_sra := (msk64(63 downto 56) & v64(63 downto 8));
when 9 =>
wb_sll := v64(54 downto 0) & X"00" & "0";
wb_srl := X"00" & "0" & v64(63 downto 9);
wb_sra := (msk64(63 downto 55) & v64(63 downto 9));
when 10 =>
wb_sll := v64(53 downto 0) & X"00" & "00";
wb_srl := X"00" & "00" & v64(63 downto 10);
wb_sra := (msk64(63 downto 54) & v64(63 downto 10));
when 11 =>
wb_sll := v64(52 downto 0) & X"00" & "000";
wb_srl := X"00" & "000" & v64(63 downto 11);
wb_sra := (msk64(63 downto 53) & v64(63 downto 11));
when 12 =>
wb_sll := v64(51 downto 0) & X"000";
wb_srl := X"000" & v64(63 downto 12);
wb_sra := (msk64(63 downto 52) & v64(63 downto 12));
when 13 =>
wb_sll := v64(50 downto 0) & X"000" & "0";
wb_srl := X"000" & "0" & v64(63 downto 13);
wb_sra := (msk64(63 downto 51) & v64(63 downto 13));
when 14 =>
wb_sll := v64(49 downto 0) & X"000" & "00";
wb_srl := X"000" & "00" & v64(63 downto 14);
wb_sra := (msk64(63 downto 50) & v64(63 downto 14));
when 15 =>
wb_sll := v64(48 downto 0) & X"000" & "000";
wb_srl := X"000" & "000" & v64(63 downto 15);
wb_sra := (msk64(63 downto 49) & v64(63 downto 15));
when 16 =>
wb_sll := v64(47 downto 0) & X"0000";
wb_srl := X"0000" & v64(63 downto 16);
wb_sra := (msk64(63 downto 48) & v64(63 downto 16));
when 17 =>
wb_sll := v64(46 downto 0) & X"0000" & "0";
wb_srl := X"0000" & "0" & v64(63 downto 17);
wb_sra := (msk64(63 downto 47) & v64(63 downto 17));
when 18 =>
wb_sll := v64(45 downto 0) & X"0000" & "00";
wb_srl := X"0000" & "00" & v64(63 downto 18);
wb_sra := (msk64(63 downto 46) & v64(63 downto 18));
when 19 =>
wb_sll := v64(44 downto 0) & X"0000" & "000";
wb_srl := X"0000" & "000" & v64(63 downto 19);
wb_sra := (msk64(63 downto 45) & v64(63 downto 19));
when 20 =>
wb_sll := v64(43 downto 0) & X"00000";
wb_srl := X"00000" & v64(63 downto 20);
wb_sra := (msk64(63 downto 44) & v64(63 downto 20));
when 21 =>
wb_sll := v64(42 downto 0) & X"00000" & "0";
wb_srl := X"00000" & "0" & v64(63 downto 21);
wb_sra := (msk64(63 downto 43) & v64(63 downto 21));
when 22 =>
wb_sll := v64(41 downto 0) & X"00000" & "00";
wb_srl := X"00000" & "00" & v64(63 downto 22);
wb_sra := (msk64(63 downto 42) & v64(63 downto 22));
when 23 =>
wb_sll := v64(40 downto 0) & X"00000" & "000";
wb_srl := X"00000" & "000" & v64(63 downto 23);
wb_sra := (msk64(63 downto 41) & v64(63 downto 23));
when 24 =>
wb_sll := v64(39 downto 0) & X"000000";
wb_srl := X"000000" & v64(63 downto 24);
wb_sra := (msk64(63 downto 40) & v64(63 downto 24));
when 25 =>
wb_sll := v64(38 downto 0) & X"000000" & "0";
wb_srl := X"000000" & "0" & v64(63 downto 25);
wb_sra := (msk64(63 downto 39) & v64(63 downto 25));
when 26 =>
wb_sll := v64(37 downto 0) & X"000000" & "00";
wb_srl := X"000000" & "00" & v64(63 downto 26);
wb_sra := (msk64(63 downto 38) & v64(63 downto 26));
when 27 =>
wb_sll := v64(36 downto 0) & X"000000" & "000";
wb_srl := X"000000" & "000" & v64(63 downto 27);
wb_sra := (msk64(63 downto 37) & v64(63 downto 27));
when 28 =>
wb_sll := v64(35 downto 0) & X"0000000";
wb_srl := X"0000000" & v64(63 downto 28);
wb_sra := (msk64(63 downto 36) & v64(63 downto 28));
when 29 =>
wb_sll := v64(34 downto 0) & X"0000000" & "0";
wb_srl := X"0000000" & "0" & v64(63 downto 29);
wb_sra := (msk64(63 downto 35) & v64(63 downto 29));
when 30 =>
wb_sll := v64(33 downto 0) & X"0000000" & "00";
wb_srl := X"0000000" & "00" & v64(63 downto 30);
wb_sra := (msk64(63 downto 34) & v64(63 downto 30));
when 31 =>
wb_sll := v64(32 downto 0) & X"0000000" & "000";
wb_srl := X"0000000" & "000" & v64(63 downto 31);
wb_sra := (msk64(63 downto 33) & v64(63 downto 31));
when 32 =>
wb_sll := v64(31 downto 0) & X"00000000";
wb_srl := X"00000000" & v64(63 downto 32);
wb_sra := (msk64(63 downto 32) & v64(63 downto 32));
when 33 =>
wb_sll := v64(30 downto 0) & X"00000000" & "0";
wb_srl := X"00000000" & "0" & v64(63 downto 33);
wb_sra := (msk64(63 downto 31) & v64(63 downto 33));
when 34 =>
wb_sll := v64(29 downto 0) & X"00000000" & "00";
wb_srl := X"00000000" & "00" & v64(63 downto 34);
wb_sra := (msk64(63 downto 30) & v64(63 downto 34));
when 35 =>
wb_sll := v64(28 downto 0) & X"00000000" & "000";
wb_srl := X"00000000" & "000" & v64(63 downto 35);
wb_sra := (msk64(63 downto 29) & v64(63 downto 35));
when 36 =>
wb_sll := v64(27 downto 0) & X"000000000";
wb_srl := X"000000000" & v64(63 downto 36);
wb_sra := (msk64(63 downto 28) & v64(63 downto 36));
when 37 =>
wb_sll := v64(26 downto 0) & X"000000000" & "0";
wb_srl := X"000000000" & "0" & v64(63 downto 37);
wb_sra := (msk64(63 downto 27) & v64(63 downto 37));
when 38 =>
wb_sll := v64(25 downto 0) & X"000000000" & "00";
wb_srl := X"000000000" & "00" & v64(63 downto 38);
wb_sra := (msk64(63 downto 26) & v64(63 downto 38));
when 39 =>
wb_sll := v64(24 downto 0) & X"000000000" & "000";
wb_srl := X"000000000" & "000" & v64(63 downto 39);
wb_sra := (msk64(63 downto 25) & v64(63 downto 39));
when 40 =>
wb_sll := v64(23 downto 0) & X"0000000000";
wb_srl := X"0000000000" & v64(63 downto 40);
wb_sra := (msk64(63 downto 24) & v64(63 downto 40));
when 41 =>
wb_sll := v64(22 downto 0) & X"0000000000" & "0";
wb_srl := X"0000000000" & "0" & v64(63 downto 41);
wb_sra := (msk64(63 downto 23) & v64(63 downto 41));
when 42 =>
wb_sll := v64(21 downto 0) & X"0000000000" & "00";
wb_srl := X"0000000000" & "00" & v64(63 downto 42);
wb_sra := (msk64(63 downto 22) & v64(63 downto 42));
when 43 =>
wb_sll := v64(20 downto 0) & X"0000000000" & "000";
wb_srl := X"0000000000" & "000" & v64(63 downto 43);
wb_sra := (msk64(63 downto 21) & v64(63 downto 43));
when 44 =>
wb_sll := v64(19 downto 0) & X"00000000000";
wb_srl := X"00000000000" & v64(63 downto 44);
wb_sra := (msk64(63 downto 20) & v64(63 downto 44));
when 45 =>
wb_sll := v64(18 downto 0) & X"00000000000" & "0";
wb_srl := X"00000000000" & "0" & v64(63 downto 45);
wb_sra := (msk64(63 downto 19) & v64(63 downto 45));
when 46 =>
wb_sll := v64(17 downto 0) & X"00000000000" & "00";
wb_srl := X"00000000000" & "00" & v64(63 downto 46);
wb_sra := (msk64(63 downto 18) & v64(63 downto 46));
when 47 =>
wb_sll := v64(16 downto 0) & X"00000000000" & "000";
wb_srl := X"00000000000" & "000" & v64(63 downto 47);
wb_sra := (msk64(63 downto 17) & v64(63 downto 47));
when 48 =>
wb_sll := v64(15 downto 0) & X"000000000000";
wb_srl := X"000000000000" & v64(63 downto 48);
wb_sra := (msk64(63 downto 16) & v64(63 downto 48));
when 49 =>
wb_sll := v64(14 downto 0) & X"000000000000" & "0";
wb_srl := X"000000000000" & "0" & v64(63 downto 49);
wb_sra := (msk64(63 downto 15) & v64(63 downto 49));
when 50 =>
wb_sll := v64(13 downto 0) & X"000000000000" & "00";
wb_srl := X"000000000000" & "00" & v64(63 downto 50);
wb_sra := (msk64(63 downto 14) & v64(63 downto 50));
when 51 =>
wb_sll := v64(12 downto 0) & X"000000000000" & "000";
wb_srl := X"000000000000" & "000" & v64(63 downto 51);
wb_sra := (msk64(63 downto 13) & v64(63 downto 51));
when 52 =>
wb_sll := v64(11 downto 0) & X"0000000000000";
wb_srl := X"0000000000000" & v64(63 downto 52);
wb_sra := (msk64(63 downto 12) & v64(63 downto 52));
when 53 =>
wb_sll := v64(10 downto 0) & X"0000000000000" & "0";
wb_srl := X"0000000000000" & "0" & v64(63 downto 53);
wb_sra := (msk64(63 downto 11) & v64(63 downto 53));
when 54 =>
wb_sll := v64(9 downto 0) & X"0000000000000" & "00";
wb_srl := X"0000000000000" & "00" & v64(63 downto 54);
wb_sra := (msk64(63 downto 10) & v64(63 downto 54));
when 55 =>
wb_sll := v64(8 downto 0) & X"0000000000000" & "000";
wb_srl := X"0000000000000" & "000" & v64(63 downto 55);
wb_sra := (msk64(63 downto 9) & v64(63 downto 55));
when 56 =>
wb_sll := v64(7 downto 0) & X"00000000000000";
wb_srl := X"00000000000000" & v64(63 downto 56);
wb_sra := (msk64(63 downto 8) & v64(63 downto 56));
when 57 =>
wb_sll := v64(6 downto 0) & X"00000000000000" & "0";
wb_srl := X"00000000000000" & "0" & v64(63 downto 57);
wb_sra := (msk64(63 downto 7) & v64(63 downto 57));
when 58 =>
wb_sll := v64(5 downto 0) & X"00000000000000" & "00";
wb_srl := X"00000000000000" & "00" & v64(63 downto 58);
wb_sra := (msk64(63 downto 6) & v64(63 downto 58));
when 59 =>
wb_sll := v64(4 downto 0) & X"00000000000000" & "000";
wb_srl := X"00000000000000" & "000" & v64(63 downto 59);
wb_sra := (msk64(63 downto 5) & v64(63 downto 59));
when 60 =>
wb_sll := v64(3 downto 0) & X"000000000000000";
wb_srl := X"000000000000000" & v64(63 downto 60);
wb_sra := (msk64(63 downto 4) & v64(63 downto 60));
when 61 =>
wb_sll := v64(2 downto 0) & X"000000000000000" & "0";
wb_srl := X"000000000000000" & "0" & v64(63 downto 61);
wb_sra := (msk64(63 downto 3) & v64(63 downto 61));
when 62 =>
wb_sll := v64(1 downto 0) & X"000000000000000" & "00";
wb_srl := X"000000000000000" & "00" & v64(63 downto 62);
wb_sra := (msk64(63 downto 2) & v64(63 downto 62));
when 63 =>
wb_sll := v64(0) & X"000000000000000" & "000";
wb_srl := X"000000000000000" & "000" & v64(63);
wb_sra := (msk64(63 downto 1) & v64(63));
when others =>
wb_sll := (others => '0');
wb_srl := (others => '0');
wb_sra := (others => '0');
end case;
case shift32 is
when 0 =>
wb_srlw := v32;
wb_sraw := (msk32(63 downto 32) & v32);
when 1 =>
wb_srlw := "0" & v32(31 downto 1);
wb_sraw := (msk32(63 downto 31) & v32(31 downto 1));
when 2 =>
wb_srlw := "00" & v32(31 downto 2);
wb_sraw := (msk32(63 downto 30) & v32(31 downto 2));
when 3 =>
wb_srlw := "000" & v32(31 downto 3);
wb_sraw := (msk32(63 downto 29) & v32(31 downto 3));
when 4 =>
wb_srlw := X"0" & v32(31 downto 4);
wb_sraw := (msk32(63 downto 28) & v32(31 downto 4));
when 5 =>
wb_srlw := X"0" & "0" & v32(31 downto 5);
wb_sraw := (msk32(63 downto 27) & v32(31 downto 5));
when 6 =>
wb_srlw := X"0" & "00" & v32(31 downto 6);
wb_sraw := (msk32(63 downto 26) & v32(31 downto 6));
when 7 =>
wb_srlw := X"0" & "000" & v32(31 downto 7);
wb_sraw := (msk32(63 downto 25) & v32(31 downto 7));
when 8 =>
wb_srlw := X"00" & v32(31 downto 8);
wb_sraw := (msk32(63 downto 24) & v32(31 downto 8));
when 9 =>
wb_srlw := X"00" & "0" & v32(31 downto 9);
wb_sraw := (msk32(63 downto 23) & v32(31 downto 9));
when 10 =>
wb_srlw := X"00" & "00" & v32(31 downto 10);
wb_sraw := (msk32(63 downto 22) & v32(31 downto 10));
when 11 =>
wb_srlw := X"00" & "000" & v32(31 downto 11);
wb_sraw := (msk32(63 downto 21) & v32(31 downto 11));
when 12 =>
wb_srlw := X"000" & v32(31 downto 12);
wb_sraw := (msk32(63 downto 20) & v32(31 downto 12));
when 13 =>
wb_srlw := X"000" & "0" & v32(31 downto 13);
wb_sraw := (msk32(63 downto 19) & v32(31 downto 13));
when 14 =>
wb_srlw := X"000" & "00" & v32(31 downto 14);
wb_sraw := (msk32(63 downto 18) & v32(31 downto 14));
when 15 =>
wb_srlw := X"000" & "000" & v32(31 downto 15);
wb_sraw := (msk32(63 downto 17) & v32(31 downto 15));
when 16 =>
wb_srlw := X"0000" & v32(31 downto 16);
wb_sraw := (msk32(63 downto 16) & v32(31 downto 16));
when 17 =>
wb_srlw := X"0000" & "0" & v32(31 downto 17);
wb_sraw := (msk32(63 downto 15) & v32(31 downto 17));
when 18 =>
wb_srlw := X"0000" & "00" & v32(31 downto 18);
wb_sraw := (msk32(63 downto 14) & v32(31 downto 18));
when 19 =>
wb_srlw := X"0000" & "000" & v32(31 downto 19);
wb_sraw := (msk32(63 downto 13) & v32(31 downto 19));
when 20 =>
wb_srlw := X"00000" & v32(31 downto 20);
wb_sraw := (msk32(63 downto 12) & v32(31 downto 20));
when 21 =>
wb_srlw := X"00000" & "0" & v32(31 downto 21);
wb_sraw := (msk32(63 downto 11) & v32(31 downto 21));
when 22 =>
wb_srlw := X"00000" & "00" & v32(31 downto 22);
wb_sraw := (msk32(63 downto 10) & v32(31 downto 22));
when 23 =>
wb_srlw := X"00000" & "000" & v32(31 downto 23);
wb_sraw := (msk32(63 downto 9) & v32(31 downto 23));
when 24 =>
wb_srlw := X"000000" & v32(31 downto 24);
wb_sraw := (msk32(63 downto 8) & v32(31 downto 24));
when 25 =>
wb_srlw := X"000000" & "0" & v32(31 downto 25);
wb_sraw := (msk32(63 downto 7) & v32(31 downto 25));
when 26 =>
wb_srlw := X"000000" & "00" & v32(31 downto 26);
wb_sraw := (msk32(63 downto 6) & v32(31 downto 26));
when 27 =>
wb_srlw := X"000000" & "000" & v32(31 downto 27);
wb_sraw := (msk32(63 downto 5) & v32(31 downto 27));
when 28 =>
wb_srlw := X"0000000" & v32(31 downto 28);
wb_sraw := (msk32(63 downto 4) & v32(31 downto 28));
when 29 =>
wb_srlw := X"0000000" & "0" & v32(31 downto 29);
wb_sraw := (msk32(63 downto 3) & v32(31 downto 29));
when 30 =>
wb_srlw := X"0000000" & "00" & v32(31 downto 30);
wb_sraw := (msk32(63 downto 2) & v32(31 downto 30));
when 31 =>
wb_srlw := X"0000000" & "000" & v32(31 downto 31);
wb_sraw := (msk32(63 downto 1) & v32(31 downto 31));
when others =>
wb_srlw := (others => '0');
wb_sraw := (others => '0');
end case;
o_sll <= wb_sll;
o_sllw(31 downto 0) <= wb_sll(31 downto 0);
o_sllw(63 downto 32) <= (others => wb_sll(31));
o_srl <= wb_srl;
o_sra <= wb_sra;
o_srlw <= X"00000000" & wb_srlw;
o_sraw <= wb_sraw;
end process;
result <= o_sll when ctrl = instr_SLL or ctrl = instr_SLLI else
o_srl when ctrl = instr_SRL or ctrl = instr_SRLI else
o_sra when ctrl = instr_SRA or ctrl = instr_SRAI else
o_sllw when ctrl = instr_SLLW or ctrl = instr_SLLIW else
o_srlw when ctrl = instr_SRLW or ctrl = instr_SRLIW else
o_sraw when ctrl = instr_SRAW or ctrl = instr_SRAIW else
(others => '0');
end;
| mit | fec1e819ae3e0ceebf964fa43001485f | 0.466006 | 3.250039 | false | false | false | false |
SLongofono/Senior_Design_Capstone | hdl/Ram2Ddr_RefComp/Source/Ram2DdrXadc_RefComp/ipcore_dir/ddr/user_design/rtl/ddr.vhd | 1 | 70,937 | --*****************************************************************************
-- (c) Copyright 2009 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 1.9
-- \ \ Application : MIG
-- / / Filename : ddr.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 08:35:03 $
-- \ \ / \ Date Created : Wed Feb 01 2012
-- \___\/\___\
--
-- Device : 7 Series
-- Design Name : DDR2 SDRAM
-- Purpose :
-- Top-level module. This module can be instantiated in the
-- system and interconnect as shown in example design (example_top module).
-- In addition to the memory controller, the module instantiates:
-- 1. Clock generation/distribution, reset logic
-- 2. IDELAY control block
-- 3. Debug logic
-- Reference :
-- Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ddr is
generic
(
--***************************************************************************
-- The following parameters refer to width of various ports
--***************************************************************************
BANK_WIDTH : integer := 3;
-- # of memory Bank Address bits.
CK_WIDTH : integer := 1;
-- # of CK/CK# outputs to memory.
COL_WIDTH : integer := 10;
-- # of memory Column Address bits.
CS_WIDTH : integer := 1;
-- # of unique CS outputs to memory.
nCS_PER_RANK : integer := 1;
-- # of unique CS outputs per rank for phy
CKE_WIDTH : integer := 1;
-- # of CKE outputs to memory.
DATA_BUF_ADDR_WIDTH : integer := 4;
DQ_CNT_WIDTH : integer := 4;
-- = ceil(log2(DQ_WIDTH))
DQ_PER_DM : integer := 8;
DM_WIDTH : integer := 2;
-- # of DM (data mask)
DQ_WIDTH : integer := 16;
-- # of DQ (data)
DQS_WIDTH : integer := 2;
DQS_CNT_WIDTH : integer := 1;
-- = ceil(log2(DQS_WIDTH))
DRAM_WIDTH : integer := 8;
-- # of DQ per DQS
ECC : string := "OFF";
DATA_WIDTH : integer := 16;
ECC_TEST : string := "OFF";
PAYLOAD_WIDTH : integer := 16;
ECC_WIDTH : integer := 8;
MC_ERR_ADDR_WIDTH : integer := 31;
MEM_ADDR_ORDER
: string := "TG_TEST";
nBANK_MACHS : integer := 4;
RANKS : integer := 1;
-- # of Ranks.
ODT_WIDTH : integer := 1;
-- # of ODT outputs to memory.
ROW_WIDTH : integer := 13;
-- # of memory Row Address bits.
ADDR_WIDTH : integer := 27;
-- # = RANK_WIDTH + BANK_WIDTH
-- + ROW_WIDTH + COL_WIDTH;
-- Chip Select is always tied to low for
-- single rank devices
USE_CS_PORT : integer := 1;
-- # = 1, When Chip Select (CS#) output is enabled
-- = 0, When Chip Select (CS#) output is disabled
-- If CS_N disabled, user must connect
-- DRAM CS_N input(s) to ground
USE_DM_PORT : integer := 1;
-- # = 1, When Data Mask option is enabled
-- = 0, When Data Mask option is disbaled
-- When Data Mask option is disabled in
-- MIG Controller Options page, the logic
-- related to Data Mask should not get
-- synthesized
USE_ODT_PORT : integer := 1;
-- # = 1, When ODT output is enabled
-- = 0, When ODT output is disabled
PHY_CONTROL_MASTER_BANK : integer := 0;
-- The bank index where master PHY_CONTROL resides,
-- equal to the PLL residing bank
MEM_DENSITY : string := "1Gb";
-- Indicates the density of the Memory part
-- Added for the sake of Vivado simulations
MEM_SPEEDGRADE : string := "25E";
-- Indicates the Speed grade of Memory Part
-- Added for the sake of Vivado simulations
MEM_DEVICE_WIDTH : integer := 16;
-- Indicates the device width of the Memory Part
-- Added for the sake of Vivado simulations
--***************************************************************************
-- The following parameters are mode register settings
--***************************************************************************
AL : string := "0";
-- DDR3 SDRAM:
-- Additive Latency (Mode Register 1).
-- # = "0", "CL-1", "CL-2".
-- DDR2 SDRAM:
-- Additive Latency (Extended Mode Register).
nAL : integer := 0;
-- # Additive Latency in number of clock
-- cycles.
BURST_MODE : string := "8";
-- DDR3 SDRAM:
-- Burst Length (Mode Register 0).
-- # = "8", "4", "OTF".
-- DDR2 SDRAM:
-- Burst Length (Mode Register).
-- # = "8", "4".
BURST_TYPE : string := "SEQ";
-- DDR3 SDRAM: Burst Type (Mode Register 0).
-- DDR2 SDRAM: Burst Type (Mode Register).
-- # = "SEQ" - (Sequential),
-- = "INT" - (Interleaved).
CL : integer := 5;
-- in number of clock cycles
-- DDR3 SDRAM: CAS Latency (Mode Register 0).
-- DDR2 SDRAM: CAS Latency (Mode Register).
OUTPUT_DRV : string := "HIGH";
-- Output Drive Strength (Extended Mode Register).
-- # = "HIGH" - FULL,
-- = "LOW" - REDUCED.
RTT_NOM : string := "50";
-- RTT (Nominal) (Extended Mode Register).
-- = "150" - 150 Ohms,
-- = "75" - 75 Ohms,
-- = "50" - 50 Ohms.
ADDR_CMD_MODE : string := "1T" ;
-- # = "1T", "2T".
REG_CTRL : string := "OFF";
-- # = "ON" - RDIMMs,
-- = "OFF" - Components, SODIMMs, UDIMMs.
--***************************************************************************
-- The following parameters are multiplier and divisor factors for PLLE2.
-- Based on the selected design frequency these parameters vary.
--***************************************************************************
CLKIN_PERIOD : integer := 4999;
-- Input Clock Period
CLKFBOUT_MULT : integer := 6;
-- write PLL VCO multiplier
DIVCLK_DIVIDE : integer := 1;
-- write PLL VCO divisor
CLKOUT0_PHASE : real := 0.0;
-- Phase for PLL output clock (CLKOUT0)
CLKOUT0_DIVIDE : integer := 2;
-- VCO output divisor for PLL output clock (CLKOUT0)
CLKOUT1_DIVIDE : integer := 4;
-- VCO output divisor for PLL output clock (CLKOUT1)
CLKOUT2_DIVIDE : integer := 64;
-- VCO output divisor for PLL output clock (CLKOUT2)
CLKOUT3_DIVIDE : integer := 8;
-- VCO output divisor for PLL output clock (CLKOUT3)
--***************************************************************************
-- Memory Timing Parameters. These parameters varies based on the selected
-- memory part.
--***************************************************************************
tCKE : integer := 7500;
-- memory tCKE paramter in pS
tFAW : integer := 45000;
-- memory tRAW paramter in pS.
tPRDI : integer := 1000000;
-- memory tPRDI paramter in pS.
tRAS : integer := 40000;
-- memory tRAS paramter in pS.
tRCD : integer := 15000;
-- memory tRCD paramter in pS.
tREFI : integer := 7800000;
-- memory tREFI paramter in pS.
tRFC : integer := 127500;
-- memory tRFC paramter in pS.
tRP : integer := 12500;
-- memory tRP paramter in pS.
tRRD : integer := 10000;
-- memory tRRD paramter in pS.
tRTP : integer := 7500;
-- memory tRTP paramter in pS.
tWTR : integer := 7500;
-- memory tWTR paramter in pS.
tZQI : integer := 128000000;
-- memory tZQI paramter in nS.
tZQCS : integer := 64;
-- memory tZQCS paramter in clock cycles.
--***************************************************************************
-- Simulation parameters
--***************************************************************************
SIM_BYPASS_INIT_CAL : string := "OFF";
-- # = "OFF" - Complete memory init &
-- calibration sequence
-- # = "SKIP" - Not supported
-- # = "FAST" - Complete memory init & use
-- abbreviated calib sequence
SIMULATION : string := "FALSE";
-- Should be TRUE during design simulations and
-- FALSE during implementations
--***************************************************************************
-- The following parameters varies based on the pin out entered in MIG GUI.
-- Do not change any of these parameters directly by editing the RTL.
-- Any changes required should be done through GUI and the design regenerated.
--***************************************************************************
BYTE_LANES_B0 : std_logic_vector(3 downto 0) := "1111";
-- Byte lanes used in an IO column.
BYTE_LANES_B1 : std_logic_vector(3 downto 0) := "0000";
-- Byte lanes used in an IO column.
BYTE_LANES_B2 : std_logic_vector(3 downto 0) := "0000";
-- Byte lanes used in an IO column.
BYTE_LANES_B3 : std_logic_vector(3 downto 0) := "0000";
-- Byte lanes used in an IO column.
BYTE_LANES_B4 : std_logic_vector(3 downto 0) := "0000";
-- Byte lanes used in an IO column.
DATA_CTL_B0 : std_logic_vector(3 downto 0) := "0101";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
DATA_CTL_B1 : std_logic_vector(3 downto 0) := "0000";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
DATA_CTL_B2 : std_logic_vector(3 downto 0) := "0000";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
DATA_CTL_B3 : std_logic_vector(3 downto 0) := "0000";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
DATA_CTL_B4 : std_logic_vector(3 downto 0) := "0000";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
PHY_0_BITLANES : std_logic_vector(47 downto 0) := X"FFC3F7FFF3FE";
PHY_1_BITLANES : std_logic_vector(47 downto 0) := X"000000000000";
PHY_2_BITLANES : std_logic_vector(47 downto 0) := X"000000000000";
-- control/address/data pin mapping parameters
CK_BYTE_MAP
: std_logic_vector(143 downto 0) := X"000000000000000000000000000000000003";
ADDR_MAP
: std_logic_vector(191 downto 0) := X"00000000001003301A01903203A034018036012011017015";
BANK_MAP : std_logic_vector(35 downto 0) := X"01301601B";
CAS_MAP : std_logic_vector(11 downto 0) := X"039";
CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0) := X"00";
CKE_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000038";
ODT_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000035";
CS_MAP : std_logic_vector(119 downto 0) := X"000000000000000000000000000037";
PARITY_MAP : std_logic_vector(11 downto 0) := X"000";
RAS_MAP : std_logic_vector(11 downto 0) := X"014";
WE_MAP : std_logic_vector(11 downto 0) := X"03B";
DQS_BYTE_MAP
: std_logic_vector(143 downto 0) := X"000000000000000000000000000000000200";
DATA0_MAP : std_logic_vector(95 downto 0) := X"008004009007005001006003";
DATA1_MAP : std_logic_vector(95 downto 0) := X"022028020024027025026021";
DATA2_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA3_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA4_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA5_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA6_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA7_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA8_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA9_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA10_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA11_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA12_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA13_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA14_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA15_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA16_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA17_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
MASK0_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000029002";
MASK1_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000000000";
SLOT_0_CONFIG : std_logic_vector(7 downto 0) := "00000001";
-- Mapping of Ranks.
SLOT_1_CONFIG : std_logic_vector(7 downto 0) := "00000000";
-- Mapping of Ranks.
--***************************************************************************
-- IODELAY and PHY related parameters
--***************************************************************************
IODELAY_HP_MODE : string := "ON";
-- to phy_top
IBUF_LPWR_MODE : string := "OFF";
-- to phy_top
DATA_IO_IDLE_PWRDWN : string := "ON";
-- # = "ON", "OFF"
BANK_TYPE : string := "HR_IO";
-- # = "HP_IO", "HPL_IO", "HR_IO", "HRL_IO"
DATA_IO_PRIM_TYPE : string := "HR_LP";
-- # = "HP_LP", "HR_LP", "DEFAULT"
CKE_ODT_AUX : string := "FALSE";
USER_REFRESH : string := "OFF";
WRLVL : string := "OFF";
-- # = "ON" - DDR3 SDRAM
-- = "OFF" - DDR2 SDRAM.
ORDERING : string := "STRICT";
-- # = "NORM", "STRICT", "RELAXED".
CALIB_ROW_ADD : std_logic_vector(15 downto 0) := X"0000";
-- Calibration row address will be used for
-- calibration read and write operations
CALIB_COL_ADD : std_logic_vector(11 downto 0) := X"000";
-- Calibration column address will be used for
-- calibration read and write operations
CALIB_BA_ADD : std_logic_vector(2 downto 0) := "000";
-- Calibration bank address will be used for
-- calibration read and write operations
TCQ : integer := 100;
IODELAY_GRP : string := "IODELAY_MIG";
-- It is associated to a set of IODELAYs with
-- an IDELAYCTRL that have same IODELAY CONTROLLER
-- clock frequency.
SYSCLK_TYPE : string := "NO_BUFFER";
-- System clock type DIFFERENTIAL, SINGLE_ENDED,
-- NO_BUFFER
REFCLK_TYPE : string := "USE_SYSTEM_CLOCK";
-- Reference clock type DIFFERENTIAL, SINGLE_ENDED
-- NO_BUFFER, USE_SYSTEM_CLOCK
SYS_RST_PORT : string := "FALSE";
-- "TRUE" - if pin is selected for sys_rst
-- and IBUF will be instantiated.
-- "FALSE" - if pin is not selected for sys_rst
CMD_PIPE_PLUS1 : string := "ON";
-- add pipeline stage between MC and PHY
DRAM_TYPE : string := "DDR2";
CAL_WIDTH : string := "HALF";
STARVE_LIMIT : integer := 2;
-- # = 2,3,4.
--***************************************************************************
-- Referece clock frequency parameters
--***************************************************************************
REFCLK_FREQ : real := 200.0;
-- IODELAYCTRL reference clock frequency
DIFF_TERM_REFCLK : string := "TRUE";
-- Differential Termination for idelay
-- reference clock input pins
--***************************************************************************
-- System clock frequency parameters
--***************************************************************************
tCK : integer := 3333;
-- memory tCK paramter.
-- # = Clock Period in pS.
nCK_PER_CLK : integer := 2;
-- # of memory CKs per fabric CLK
DIFF_TERM_SYSCLK : string := "TRUE";
-- Differential Termination for System
-- clock input pins
--***************************************************************************
-- Debug parameters
--***************************************************************************
DEBUG_PORT : string := "OFF";
-- # = "ON" Enable debug signals/controls.
-- = "OFF" Disable debug signals/controls.
--***************************************************************************
-- Temparature monitor parameter
--***************************************************************************
TEMP_MON_CONTROL : string := "INTERNAL";
-- # = "INTERNAL", "EXTERNAL"
RST_ACT_LOW : integer := 1
-- =1 for active low reset,
-- =0 for active high.
);
port
(
-- Inouts
ddr2_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0);
ddr2_dqs_p : inout std_logic_vector(DQS_WIDTH-1 downto 0);
ddr2_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0);
-- Outputs
ddr2_addr : out std_logic_vector(ROW_WIDTH-1 downto 0);
ddr2_ba : out std_logic_vector(BANK_WIDTH-1 downto 0);
ddr2_ras_n : out std_logic;
ddr2_cas_n : out std_logic;
ddr2_we_n : out std_logic;
ddr2_ck_p : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr2_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr2_cke : out std_logic_vector(CKE_WIDTH-1 downto 0);
ddr2_cs_n : out std_logic_vector(CS_WIDTH*nCS_PER_RANK-1 downto 0);
ddr2_dm : out std_logic_vector(DM_WIDTH-1 downto 0);
ddr2_odt : out std_logic_vector(ODT_WIDTH-1 downto 0);
-- Inputs
-- Single-ended system clock
sys_clk_i : in std_logic;
-- user interface signals
app_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0);
app_cmd : in std_logic_vector(2 downto 0);
app_en : in std_logic;
app_wdf_data : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0);
app_wdf_end : in std_logic;
app_wdf_mask : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)/8-1 downto 0) ;
app_wdf_wren : in std_logic;
app_rd_data : out std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0);
app_rd_data_end : out std_logic;
app_rd_data_valid : out std_logic;
app_rdy : out std_logic;
app_wdf_rdy : out std_logic;
app_sr_req : in std_logic;
app_sr_active : out std_logic;
app_ref_req : in std_logic;
app_ref_ack : out std_logic;
app_zq_req : in std_logic;
app_zq_ack : out std_logic;
ui_clk : out std_logic;
ui_clk_sync_rst : out std_logic;
init_calib_complete : out std_logic;
-- System reset - Default polarity of sys_rst pin is Active Low.
-- System reset polarity will change based on the option
-- selected in GUI.
sys_rst : in std_logic
);
end entity ddr;
architecture arch_ddr of ddr is
-- clogb2 function - ceiling of log base 2
function clogb2 (size : integer) return integer is
variable base : integer := 1;
variable inp : integer := 0;
begin
inp := size - 1;
while (inp > 1) loop
inp := inp/2 ;
base := base + 1;
end loop;
return base;
end function;
function TEMP_MON return string is
begin
if(SIMULATION = "FALSE") then
return "ON";
else
return "OFF";
end if;
end function;
constant BM_CNT_WIDTH : integer := clogb2(nBANK_MACHS);
constant RANK_WIDTH : integer := clogb2(RANKS);
constant APP_DATA_WIDTH : integer := 2 * nCK_PER_CLK * PAYLOAD_WIDTH;
constant APP_MASK_WIDTH : integer := APP_DATA_WIDTH / 8;
constant TEMP_MON_EN : string := TEMP_MON;
-- Enable or disable the temp monitor module
constant tTEMPSAMPLE : integer := 10000000; -- sample every 10 us
constant XADC_CLK_PERIOD : integer := 5000; -- Use 200 MHz IODELAYCTRL clock
component mig_7series_v1_9_iodelay_ctrl is
generic(
TCQ : integer;
IODELAY_GRP : string;
REFCLK_TYPE : string;
SYSCLK_TYPE : string;
SYS_RST_PORT : string;
RST_ACT_LOW : integer;
DIFF_TERM_REFCLK : string
);
port (
clk_ref_p : in std_logic;
clk_ref_n : in std_logic;
clk_ref_i : in std_logic;
sys_rst : in std_logic;
clk_ref : out std_logic;
sys_rst_o : out std_logic;
iodelay_ctrl_rdy : out std_logic
);
end component mig_7series_v1_9_iodelay_ctrl;
component mig_7series_v1_9_clk_ibuf is
generic (
SYSCLK_TYPE : string;
DIFF_TERM_SYSCLK : string
);
port (
sys_clk_p : in std_logic;
sys_clk_n : in std_logic;
sys_clk_i : in std_logic;
mmcm_clk : out std_logic
);
end component mig_7series_v1_9_clk_ibuf;
component mig_7series_v1_9_infrastructure is
generic (
TCQ : integer;
CLKIN_PERIOD : integer;
nCK_PER_CLK : integer;
SYSCLK_TYPE : string;
CLKFBOUT_MULT : integer;
DIVCLK_DIVIDE : integer;
CLKOUT0_PHASE : real;
CLKOUT0_DIVIDE : integer;
CLKOUT1_DIVIDE : integer;
CLKOUT2_DIVIDE : integer;
CLKOUT3_DIVIDE : integer;
RST_ACT_LOW : integer
);
port (
mmcm_clk : in std_logic;
sys_rst : in std_logic;
iodelay_ctrl_rdy : in std_logic;
clk : out std_logic;
mem_refclk : out std_logic;
freq_refclk : out std_logic;
sync_pulse : out std_logic;
auxout_clk : out std_logic;
ui_addn_clk_0 : out std_logic;
ui_addn_clk_1 : out std_logic;
ui_addn_clk_2 : out std_logic;
ui_addn_clk_3 : out std_logic;
ui_addn_clk_4 : out std_logic;
pll_locked : out std_logic;
mmcm_locked : out std_logic;
rstdiv0 : out std_logic;
rst_phaser_ref : out std_logic;
ref_dll_lock : in std_logic
);
end component mig_7series_v1_9_infrastructure;
component mig_7series_v1_9_tempmon is
generic (
TCQ : integer;
TEMP_MON_CONTROL : string;
XADC_CLK_PERIOD : integer;
tTEMPSAMPLE : integer
);
port (
clk : in std_logic;
xadc_clk : in std_logic;
rst : in std_logic;
device_temp_i : in std_logic_vector(11 downto 0);
device_temp : out std_logic_vector(11 downto 0)
);
end component mig_7series_v1_9_tempmon;
component mig_7series_v1_9_memc_ui_top_std is
generic (
TCQ : integer;
PAYLOAD_WIDTH : integer;
BANK_WIDTH : integer;
BM_CNT_WIDTH : integer;
CK_WIDTH : integer;
COL_WIDTH : integer;
CS_WIDTH : integer;
nCS_PER_RANK : integer;
CKE_WIDTH : integer;
DATA_BUF_ADDR_WIDTH : integer;
DQ_CNT_WIDTH : integer;
DM_WIDTH : integer;
DQ_WIDTH : integer;
DQS_WIDTH : integer;
DQS_CNT_WIDTH : integer;
DRAM_WIDTH : integer;
ECC : string;
nBANK_MACHS : integer;
DATA_WIDTH : integer;
ECC_TEST : string;
ECC_WIDTH : integer;
MC_ERR_ADDR_WIDTH : integer;
RANKS : integer;
ODT_WIDTH : integer;
ROW_WIDTH : integer;
ADDR_WIDTH : integer;
APP_DATA_WIDTH : integer;
APP_MASK_WIDTH : integer;
USE_CS_PORT : integer;
USE_DM_PORT : integer;
USE_ODT_PORT : integer;
MASTER_PHY_CTL : integer;
AL : string;
nAL : integer;
BURST_MODE : string;
BURST_TYPE : string;
CL : integer;
OUTPUT_DRV : string;
RTT_NOM : string;
ADDR_CMD_MODE : string;
REG_CTRL : string;
tCKE : integer;
tFAW : integer;
tPRDI : integer;
tRAS : integer;
tRCD : integer;
tREFI : integer;
tRFC : integer;
tRP : integer;
tRRD : integer;
tRTP : integer;
tWTR : integer;
tZQI : integer;
tZQCS : integer;
SIM_BYPASS_INIT_CAL : string;
BYTE_LANES_B0 : std_logic_vector(3 downto 0);
BYTE_LANES_B1 : std_logic_vector(3 downto 0);
BYTE_LANES_B2 : std_logic_vector(3 downto 0);
BYTE_LANES_B3 : std_logic_vector(3 downto 0);
BYTE_LANES_B4 : std_logic_vector(3 downto 0);
DATA_CTL_B0 : std_logic_vector(3 downto 0);
DATA_CTL_B1 : std_logic_vector(3 downto 0);
DATA_CTL_B2 : std_logic_vector(3 downto 0);
DATA_CTL_B3 : std_logic_vector(3 downto 0);
DATA_CTL_B4 : std_logic_vector(3 downto 0);
PHY_0_BITLANES : std_logic_vector(47 downto 0);
PHY_1_BITLANES : std_logic_vector(47 downto 0);
PHY_2_BITLANES : std_logic_vector(47 downto 0);
CK_BYTE_MAP : std_logic_vector(143 downto 0);
ADDR_MAP : std_logic_vector(191 downto 0);
BANK_MAP : std_logic_vector(35 downto 0);
CAS_MAP : std_logic_vector(11 downto 0);
CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0);
CKE_MAP : std_logic_vector(95 downto 0);
ODT_MAP : std_logic_vector(95 downto 0);
CS_MAP : std_logic_vector(119 downto 0);
PARITY_MAP : std_logic_vector(11 downto 0);
RAS_MAP : std_logic_vector(11 downto 0);
WE_MAP : std_logic_vector(11 downto 0);
DQS_BYTE_MAP : std_logic_vector(143 downto 0);
DATA0_MAP : std_logic_vector(95 downto 0);
DATA1_MAP : std_logic_vector(95 downto 0);
DATA2_MAP : std_logic_vector(95 downto 0);
DATA3_MAP : std_logic_vector(95 downto 0);
DATA4_MAP : std_logic_vector(95 downto 0);
DATA5_MAP : std_logic_vector(95 downto 0);
DATA6_MAP : std_logic_vector(95 downto 0);
DATA7_MAP : std_logic_vector(95 downto 0);
DATA8_MAP : std_logic_vector(95 downto 0);
DATA9_MAP : std_logic_vector(95 downto 0);
DATA10_MAP : std_logic_vector(95 downto 0);
DATA11_MAP : std_logic_vector(95 downto 0);
DATA12_MAP : std_logic_vector(95 downto 0);
DATA13_MAP : std_logic_vector(95 downto 0);
DATA14_MAP : std_logic_vector(95 downto 0);
DATA15_MAP : std_logic_vector(95 downto 0);
DATA16_MAP : std_logic_vector(95 downto 0);
DATA17_MAP : std_logic_vector(95 downto 0);
MASK0_MAP : std_logic_vector(107 downto 0);
MASK1_MAP : std_logic_vector(107 downto 0);
SLOT_0_CONFIG : std_logic_vector(7 downto 0);
SLOT_1_CONFIG : std_logic_vector(7 downto 0);
MEM_ADDR_ORDER : string;
IODELAY_HP_MODE : string;
IBUF_LPWR_MODE : string;
DATA_IO_IDLE_PWRDWN : string;
BANK_TYPE : string;
DATA_IO_PRIM_TYPE : string;
CKE_ODT_AUX : string;
USER_REFRESH : string;
TEMP_MON_EN : string;
WRLVL : string;
ORDERING : string;
CALIB_ROW_ADD : std_logic_vector(15 downto 0);
CALIB_COL_ADD : std_logic_vector(11 downto 0);
CALIB_BA_ADD : std_logic_vector(2 downto 0);
IODELAY_GRP : string;
CMD_PIPE_PLUS1 : string;
DRAM_TYPE : string;
CAL_WIDTH : string;
RANK_WIDTH : integer;
STARVE_LIMIT : integer;
REFCLK_FREQ : real;
tCK : integer;
nCK_PER_CLK : integer;
DEBUG_PORT : string
);
port (
clk : in std_logic;
clk_ref : in std_logic;
mem_refclk : in std_logic;
freq_refclk : in std_logic;
pll_lock : in std_logic;
sync_pulse : in std_logic;
rst : in std_logic;
rst_phaser_ref : in std_logic;
ref_dll_lock : out std_logic;
ddr_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0);
ddr_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0);
ddr_dqs : inout std_logic_vector(DQS_WIDTH-1 downto 0);
ddr_addr : out std_logic_vector(ROW_WIDTH-1 downto 0);
ddr_ba : out std_logic_vector(BANK_WIDTH-1 downto 0);
ddr_cas_n : out std_logic;
ddr_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr_ck : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr_cke : out std_logic_vector(CKE_WIDTH-1 downto 0);
ddr_cs_n : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0);
ddr_dm : out std_logic_vector(DM_WIDTH-1 downto 0);
ddr_odt : out std_logic_vector(ODT_WIDTH-1 downto 0);
ddr_ras_n : out std_logic;
ddr_reset_n : out std_logic;
ddr_parity : out std_logic;
ddr_we_n : out std_logic;
bank_mach_next : out std_logic_vector(BM_CNT_WIDTH-1 downto 0);
app_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0);
app_cmd : in std_logic_vector(2 downto 0);
app_en : in std_logic;
app_hi_pri : in std_logic;
app_wdf_data : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0);
app_wdf_end : in std_logic;
app_wdf_mask : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)/8-1 downto 0);
app_wdf_wren : in std_logic;
app_correct_en_i : in std_logic;
app_raw_not_ecc : in std_logic_vector(2*nCK_PER_CLK-1 downto 0);
app_ecc_multiple_err : out std_logic_vector(2*nCK_PER_CLK-1 downto 0);
app_rd_data : out std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0);
app_rd_data_end : out std_logic;
app_rd_data_valid : out std_logic;
app_rdy : out std_logic;
app_wdf_rdy : out std_logic;
app_sr_req : in std_logic;
app_sr_active : out std_logic;
app_ref_req : in std_logic;
app_ref_ack : out std_logic;
app_zq_req : in std_logic;
app_zq_ack : out std_logic;
device_temp : in std_logic_vector(11 downto 0);
dbg_idel_down_all : in std_logic;
dbg_idel_down_cpt : in std_logic;
dbg_idel_up_all : in std_logic;
dbg_idel_up_cpt : in std_logic;
dbg_sel_all_idel_cpt : in std_logic;
dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH-1 downto 0);
dbg_cpt_first_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0);
dbg_cpt_second_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0);
dbg_rd_data_edge_detect : out std_logic_vector(DQS_WIDTH-1 downto 0);
dbg_rddata : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0);
dbg_rdlvl_done : out std_logic_vector(1 downto 0);
dbg_rdlvl_err : out std_logic_vector(1 downto 0);
dbg_rdlvl_start : out std_logic_vector(1 downto 0);
dbg_tap_cnt_during_wrlvl : out std_logic_vector(5 downto 0);
dbg_wl_edge_detect_valid : out std_logic;
dbg_wrlvl_done : out std_logic;
dbg_wrlvl_err : out std_logic;
dbg_wrlvl_start : out std_logic;
dbg_final_po_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0);
dbg_final_po_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0);
init_calib_complete : out std_logic;
dbg_sel_pi_incdec : in std_logic;
dbg_sel_po_incdec : in std_logic;
dbg_byte_sel : in std_logic_vector(DQS_CNT_WIDTH downto 0);
dbg_pi_f_inc : in std_logic;
dbg_pi_f_dec : in std_logic;
dbg_po_f_inc : in std_logic;
dbg_po_f_stg23_sel : in std_logic;
dbg_po_f_dec : in std_logic;
dbg_cpt_tap_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0);
dbg_dq_idelay_tap_cnt : out std_logic_vector(5*DQS_WIDTH*RANKS-1 downto 0);
dbg_rddata_valid : out std_logic;
dbg_wrlvl_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0);
dbg_wrlvl_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0);
dbg_rd_data_offset : out std_logic_vector(6*RANKS-1 downto 0);
dbg_calib_top : out std_logic_vector(255 downto 0);
dbg_phy_wrlvl : out std_logic_vector(255 downto 0);
dbg_phy_rdlvl : out std_logic_vector(255 downto 0);
dbg_phy_wrcal : out std_logic_vector(99 downto 0);
dbg_phy_init : out std_logic_vector(255 downto 0);
dbg_prbs_rdlvl : out std_logic_vector(255 downto 0);
dbg_dqs_found_cal : out std_logic_vector(255 downto 0);
dbg_pi_counter_read_val : out std_logic_vector(5 downto 0);
dbg_po_counter_read_val : out std_logic_vector(8 downto 0);
dbg_pi_phaselock_start : out std_logic;
dbg_pi_phaselocked_done : out std_logic;
dbg_pi_phaselock_err : out std_logic;
dbg_pi_dqsfound_start : out std_logic;
dbg_pi_dqsfound_done : out std_logic;
dbg_pi_dqsfound_err : out std_logic;
dbg_wrcal_start : out std_logic;
dbg_wrcal_done : out std_logic;
dbg_wrcal_err : out std_logic;
dbg_pi_dqs_found_lanes_phy4lanes : out std_logic_vector(11 downto 0);
dbg_pi_phase_locked_phy4lanes : out std_logic_vector(11 downto 0);
dbg_calib_rd_data_offset_1 : out std_logic_vector(6*RANKS-1 downto 0);
dbg_calib_rd_data_offset_2 : out std_logic_vector(6*RANKS-1 downto 0);
dbg_data_offset : out std_logic_vector(5 downto 0);
dbg_data_offset_1 : out std_logic_vector(5 downto 0);
dbg_data_offset_2 : out std_logic_vector(5 downto 0);
dbg_oclkdelay_calib_start : out std_logic;
dbg_oclkdelay_calib_done : out std_logic;
dbg_phy_oclkdelay_cal : out std_logic_vector(255 downto 0);
dbg_oclkdelay_rd_data : out std_logic_vector(DRAM_WIDTH*16-1 downto 0)
);
end component mig_7series_v1_9_memc_ui_top_std;
-- Signal declarations
signal bank_mach_next : std_logic_vector(BM_CNT_WIDTH-1 downto 0);
signal clk : std_logic;
signal clk_ref : std_logic;
signal iodelay_ctrl_rdy : std_logic;
signal clk_ref_in : std_logic;
signal sys_rst_o : std_logic;
signal freq_refclk : std_logic;
signal mem_refclk : std_logic;
signal pll_locked : std_logic;
signal sync_pulse : std_logic;
signal ref_dll_lock : std_logic;
signal rst_phaser_ref : std_logic;
signal rst : std_logic;
signal app_ecc_multiple_err : std_logic_vector(2*nCK_PER_CLK-1 downto 0);
signal ddr2_reset_n : std_logic;
signal ddr2_parity : std_logic;
signal init_calib_complete_i : std_logic;
signal sys_clk_p : std_logic;
signal sys_clk_n : std_logic;
signal mmcm_clk : std_logic;
signal clk_ref_p : std_logic;
signal clk_ref_n : std_logic;
signal clk_ref_i : std_logic;
signal device_temp : std_logic_vector(11 downto 0);
signal device_temp_i : std_logic_vector(11 downto 0);
-- Debug port signals
signal dbg_idel_down_all : std_logic;
signal dbg_idel_down_cpt : std_logic;
signal dbg_idel_up_all : std_logic;
signal dbg_idel_up_cpt : std_logic;
signal dbg_sel_all_idel_cpt : std_logic;
signal dbg_sel_idel_cpt : std_logic_vector(DQS_CNT_WIDTH-1 downto 0);
signal dbg_po_f_stg23_sel : std_logic;
signal dbg_sel_pi_incdec : std_logic;
signal dbg_sel_po_incdec : std_logic;
signal dbg_byte_sel : std_logic_vector(DQS_CNT_WIDTH downto 0);
signal dbg_pi_f_inc : std_logic;
signal dbg_po_f_inc : std_logic;
signal dbg_pi_f_dec : std_logic;
signal dbg_po_f_dec : std_logic;
signal dbg_pi_counter_read_val : std_logic_vector(5 downto 0);
signal dbg_po_counter_read_val : std_logic_vector(8 downto 0);
signal dbg_cpt_tap_cnt : std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0);
signal dbg_dq_idelay_tap_cnt : std_logic_vector(5*DQS_WIDTH*RANKS-1 downto 0);
signal dbg_calib_top : std_logic_vector(255 downto 0);
signal dbg_cpt_first_edge_cnt : std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0);
signal dbg_cpt_second_edge_cnt : std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0);
signal dbg_rd_data_offset : std_logic_vector(6*RANKS-1 downto 0);
signal dbg_phy_rdlvl : std_logic_vector(255 downto 0);
signal dbg_phy_wrcal : std_logic_vector(99 downto 0);
signal dbg_final_po_fine_tap_cnt : std_logic_vector(6*DQS_WIDTH-1 downto 0);
signal dbg_final_po_coarse_tap_cnt : std_logic_vector(3*DQS_WIDTH-1 downto 0);
signal dbg_phy_wrlvl : std_logic_vector(255 downto 0);
signal dbg_phy_init : std_logic_vector(255 downto 0);
signal dbg_prbs_rdlvl : std_logic_vector(255 downto 0);
signal dbg_dqs_found_cal : std_logic_vector(255 downto 0);
signal dbg_pi_phaselock_start : std_logic;
signal dbg_pi_phaselocked_done : std_logic;
signal dbg_pi_phaselock_err : std_logic;
signal dbg_pi_dqsfound_start : std_logic;
signal dbg_pi_dqsfound_done : std_logic;
signal dbg_pi_dqsfound_err : std_logic;
signal dbg_wrcal_start : std_logic;
signal dbg_wrcal_done : std_logic;
signal dbg_wrcal_err : std_logic;
signal dbg_pi_dqs_found_lanes_phy4lanes : std_logic_vector(11 downto 0);
signal dbg_pi_phase_locked_phy4lanes : std_logic_vector(11 downto 0);
signal dbg_oclkdelay_calib_start : std_logic;
signal dbg_oclkdelay_calib_done : std_logic;
signal dbg_phy_oclkdelay_cal : std_logic_vector(255 downto 0);
signal dbg_oclkdelay_rd_data : std_logic_vector(DRAM_WIDTH*16-1 downto 0);
signal dbg_rd_data_edge_detect : std_logic_vector(DQS_WIDTH-1 downto 0);
signal dbg_rddata : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0);
signal dbg_rddata_valid : std_logic;
signal dbg_rdlvl_done : std_logic_vector(1 downto 0);
signal dbg_rdlvl_err : std_logic_vector(1 downto 0);
signal dbg_rdlvl_start : std_logic_vector(1 downto 0);
signal dbg_wrlvl_fine_tap_cnt : std_logic_vector(6*DQS_WIDTH-1 downto 0);
signal dbg_wrlvl_coarse_tap_cnt : std_logic_vector(3*DQS_WIDTH-1 downto 0);
signal dbg_tap_cnt_during_wrlvl : std_logic_vector(5 downto 0);
signal dbg_wl_edge_detect_valid : std_logic;
signal dbg_wrlvl_done : std_logic;
signal dbg_wrlvl_err : std_logic;
signal dbg_wrlvl_start : std_logic;
signal dbg_rddata_r : std_logic_vector(63 downto 0);
signal dbg_rddata_valid_r : std_logic;
signal ocal_tap_cnt : std_logic_vector(53 downto 0);
signal dbg_dqs : std_logic_vector(3 downto 0);
signal dbg_bit : std_logic_vector(8 downto 0);
signal rd_data_edge_detect_r : std_logic_vector(8 downto 0);
signal wl_po_fine_cnt : std_logic_vector(53 downto 0);
signal wl_po_coarse_cnt : std_logic_vector(26 downto 0);
signal dbg_calib_rd_data_offset_1 : std_logic_vector(6*RANKS-1 downto 0);
signal dbg_calib_rd_data_offset_2 : std_logic_vector(6*RANKS-1 downto 0);
signal dbg_data_offset : std_logic_vector(5 downto 0);
signal dbg_data_offset_1 : std_logic_vector(5 downto 0);
signal dbg_data_offset_2 : std_logic_vector(5 downto 0);
signal all_zeros : std_logic_vector(2*nCK_PER_CLK-1 downto 0) := (others => '0');
begin
--***************************************************************************
ui_clk <= clk;
ui_clk_sync_rst <= rst;
sys_clk_p <= '0';
sys_clk_n <= '0';
clk_ref_i <= '0';
init_calib_complete <= init_calib_complete_i;
clk_ref_in_use_sys_clk : if (REFCLK_TYPE = "USE_SYSTEM_CLOCK") generate
clk_ref_in <= mmcm_clk;
end generate;
clk_ref_in_others : if (REFCLK_TYPE /= "USE_SYSTEM_CLOCK") generate
clk_ref_in <= clk_ref_i;
end generate;
u_iodelay_ctrl : mig_7series_v1_9_iodelay_ctrl
generic map
(
TCQ => TCQ,
IODELAY_GRP => IODELAY_GRP,
REFCLK_TYPE => REFCLK_TYPE,
SYSCLK_TYPE => SYSCLK_TYPE,
SYS_RST_PORT => SYS_RST_PORT,
RST_ACT_LOW => RST_ACT_LOW,
DIFF_TERM_REFCLK => DIFF_TERM_REFCLK
)
port map
(
-- Outputs
iodelay_ctrl_rdy => iodelay_ctrl_rdy,
sys_rst_o => sys_rst_o,
clk_ref => clk_ref,
-- Inputs
clk_ref_p => clk_ref_p,
clk_ref_n => clk_ref_n,
clk_ref_i => clk_ref_in,
sys_rst => sys_rst
);
u_ddr2_clk_ibuf : mig_7series_v1_9_clk_ibuf
generic map
(
SYSCLK_TYPE => SYSCLK_TYPE,
DIFF_TERM_SYSCLK => DIFF_TERM_SYSCLK
)
port map
(
sys_clk_p => sys_clk_p,
sys_clk_n => sys_clk_n,
sys_clk_i => sys_clk_i,
mmcm_clk => mmcm_clk
);
-- Temperature monitoring logic
temp_mon_enabled : if (TEMP_MON_EN = "ON") generate
u_tempmon : mig_7series_v1_9_tempmon
generic map
(
TCQ => TCQ,
TEMP_MON_CONTROL => TEMP_MON_CONTROL,
XADC_CLK_PERIOD => XADC_CLK_PERIOD,
tTEMPSAMPLE => tTEMPSAMPLE
)
port map
(
clk => clk,
xadc_clk => clk_ref,
rst => rst,
device_temp_i => device_temp_i,
device_temp => device_temp
);
end generate;
temp_mon_disabled : if (TEMP_MON_EN /= "ON") generate
device_temp <= (others => '0');
end generate;
u_ddr2_infrastructure : mig_7series_v1_9_infrastructure
generic map
(
TCQ => TCQ,
nCK_PER_CLK => nCK_PER_CLK,
CLKIN_PERIOD => CLKIN_PERIOD,
SYSCLK_TYPE => SYSCLK_TYPE,
CLKFBOUT_MULT => CLKFBOUT_MULT,
DIVCLK_DIVIDE => DIVCLK_DIVIDE,
CLKOUT0_PHASE => CLKOUT0_PHASE,
CLKOUT0_DIVIDE => CLKOUT0_DIVIDE,
CLKOUT1_DIVIDE => CLKOUT1_DIVIDE,
CLKOUT2_DIVIDE => CLKOUT2_DIVIDE,
CLKOUT3_DIVIDE => CLKOUT3_DIVIDE,
RST_ACT_LOW => RST_ACT_LOW
)
port map
(
-- Outputs
rstdiv0 => rst,
clk => clk,
mem_refclk => mem_refclk,
freq_refclk => freq_refclk,
sync_pulse => sync_pulse,
auxout_clk => open,
ui_addn_clk_0 => open,
ui_addn_clk_1 => open,
ui_addn_clk_2 => open,
ui_addn_clk_3 => open,
ui_addn_clk_4 => open,
pll_locked => pll_locked,
mmcm_locked => open,
rst_phaser_ref => rst_phaser_ref,
-- Inputs
mmcm_clk => mmcm_clk,
sys_rst => sys_rst_o,
iodelay_ctrl_rdy => iodelay_ctrl_rdy,
ref_dll_lock => ref_dll_lock
);
u_memc_ui_top_std : mig_7series_v1_9_memc_ui_top_std
generic map (
TCQ => TCQ,
ADDR_CMD_MODE => ADDR_CMD_MODE,
AL => AL,
PAYLOAD_WIDTH => PAYLOAD_WIDTH,
BANK_WIDTH => BANK_WIDTH,
BM_CNT_WIDTH => BM_CNT_WIDTH,
BURST_MODE => BURST_MODE,
BURST_TYPE => BURST_TYPE,
CK_WIDTH => CK_WIDTH,
COL_WIDTH => COL_WIDTH,
CMD_PIPE_PLUS1 => CMD_PIPE_PLUS1,
CS_WIDTH => CS_WIDTH,
nCS_PER_RANK => nCS_PER_RANK,
CKE_WIDTH => CKE_WIDTH,
DATA_WIDTH => DATA_WIDTH,
DATA_BUF_ADDR_WIDTH => DATA_BUF_ADDR_WIDTH,
DM_WIDTH => DM_WIDTH,
DQ_CNT_WIDTH => DQ_CNT_WIDTH,
DQ_WIDTH => DQ_WIDTH,
DQS_CNT_WIDTH => DQS_CNT_WIDTH,
DQS_WIDTH => DQS_WIDTH,
DRAM_TYPE => DRAM_TYPE,
DRAM_WIDTH => DRAM_WIDTH,
ECC => ECC,
ECC_WIDTH => ECC_WIDTH,
ECC_TEST => ECC_TEST,
MC_ERR_ADDR_WIDTH => MC_ERR_ADDR_WIDTH,
REFCLK_FREQ => REFCLK_FREQ,
nAL => nAL,
nBANK_MACHS => nBANK_MACHS,
CKE_ODT_AUX => CKE_ODT_AUX,
nCK_PER_CLK => nCK_PER_CLK,
ORDERING => ORDERING,
OUTPUT_DRV => OUTPUT_DRV,
IBUF_LPWR_MODE => IBUF_LPWR_MODE,
IODELAY_HP_MODE => IODELAY_HP_MODE,
DATA_IO_IDLE_PWRDWN => DATA_IO_IDLE_PWRDWN,
BANK_TYPE => BANK_TYPE,
DATA_IO_PRIM_TYPE => DATA_IO_PRIM_TYPE,
IODELAY_GRP => IODELAY_GRP,
REG_CTRL => REG_CTRL,
RTT_NOM => RTT_NOM,
CL => CL,
tCK => tCK,
tCKE => tCKE,
tFAW => tFAW,
tPRDI => tPRDI,
tRAS => tRAS,
tRCD => tRCD,
tREFI => tREFI,
tRFC => tRFC,
tRP => tRP,
tRRD => tRRD,
tRTP => tRTP,
tWTR => tWTR,
tZQI => tZQI,
tZQCS => tZQCS,
USER_REFRESH => USER_REFRESH,
TEMP_MON_EN => TEMP_MON_EN,
WRLVL => WRLVL,
DEBUG_PORT => DEBUG_PORT,
CAL_WIDTH => CAL_WIDTH,
RANK_WIDTH => RANK_WIDTH,
RANKS => RANKS,
ODT_WIDTH => ODT_WIDTH,
ROW_WIDTH => ROW_WIDTH,
ADDR_WIDTH => ADDR_WIDTH,
APP_DATA_WIDTH => APP_DATA_WIDTH,
APP_MASK_WIDTH => APP_MASK_WIDTH,
SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL,
BYTE_LANES_B0 => BYTE_LANES_B0,
BYTE_LANES_B1 => BYTE_LANES_B1,
BYTE_LANES_B2 => BYTE_LANES_B2,
BYTE_LANES_B3 => BYTE_LANES_B3,
BYTE_LANES_B4 => BYTE_LANES_B4,
DATA_CTL_B0 => DATA_CTL_B0,
DATA_CTL_B1 => DATA_CTL_B1,
DATA_CTL_B2 => DATA_CTL_B2,
DATA_CTL_B3 => DATA_CTL_B3,
DATA_CTL_B4 => DATA_CTL_B4,
PHY_0_BITLANES => PHY_0_BITLANES,
PHY_1_BITLANES => PHY_1_BITLANES,
PHY_2_BITLANES => PHY_2_BITLANES,
CK_BYTE_MAP => CK_BYTE_MAP,
ADDR_MAP => ADDR_MAP,
BANK_MAP => BANK_MAP,
CAS_MAP => CAS_MAP,
CKE_ODT_BYTE_MAP => CKE_ODT_BYTE_MAP,
CKE_MAP => CKE_MAP,
ODT_MAP => ODT_MAP,
CS_MAP => CS_MAP,
PARITY_MAP => PARITY_MAP,
RAS_MAP => RAS_MAP,
WE_MAP => WE_MAP,
DQS_BYTE_MAP => DQS_BYTE_MAP,
DATA0_MAP => DATA0_MAP,
DATA1_MAP => DATA1_MAP,
DATA2_MAP => DATA2_MAP,
DATA3_MAP => DATA3_MAP,
DATA4_MAP => DATA4_MAP,
DATA5_MAP => DATA5_MAP,
DATA6_MAP => DATA6_MAP,
DATA7_MAP => DATA7_MAP,
DATA8_MAP => DATA8_MAP,
DATA9_MAP => DATA9_MAP,
DATA10_MAP => DATA10_MAP,
DATA11_MAP => DATA11_MAP,
DATA12_MAP => DATA12_MAP,
DATA13_MAP => DATA13_MAP,
DATA14_MAP => DATA14_MAP,
DATA15_MAP => DATA15_MAP,
DATA16_MAP => DATA16_MAP,
DATA17_MAP => DATA17_MAP,
MASK0_MAP => MASK0_MAP,
MASK1_MAP => MASK1_MAP,
CALIB_ROW_ADD => CALIB_ROW_ADD,
CALIB_COL_ADD => CALIB_COL_ADD,
CALIB_BA_ADD => CALIB_BA_ADD,
SLOT_0_CONFIG => SLOT_0_CONFIG,
SLOT_1_CONFIG => SLOT_1_CONFIG,
MEM_ADDR_ORDER => MEM_ADDR_ORDER,
STARVE_LIMIT => STARVE_LIMIT,
USE_CS_PORT => USE_CS_PORT,
USE_DM_PORT => USE_DM_PORT,
USE_ODT_PORT => USE_ODT_PORT,
MASTER_PHY_CTL => PHY_CONTROL_MASTER_BANK
)
port map (
clk => clk,
clk_ref => clk_ref,
mem_refclk => mem_refclk, --memory clock
freq_refclk => freq_refclk,
pll_lock => pll_locked,
sync_pulse => sync_pulse,
rst => rst,
rst_phaser_ref => rst_phaser_ref,
ref_dll_lock => ref_dll_lock,
-- Memory interface ports
ddr_dq => ddr2_dq,
ddr_dqs_n => ddr2_dqs_n,
ddr_dqs => ddr2_dqs_p,
ddr_addr => ddr2_addr,
ddr_ba => ddr2_ba,
ddr_cas_n => ddr2_cas_n,
ddr_ck_n => ddr2_ck_n,
ddr_ck => ddr2_ck_p,
ddr_cke => ddr2_cke,
ddr_cs_n => ddr2_cs_n,
ddr_dm => ddr2_dm,
ddr_odt => ddr2_odt,
ddr_ras_n => ddr2_ras_n,
ddr_reset_n => ddr2_reset_n,
ddr_parity => ddr2_parity,
ddr_we_n => ddr2_we_n,
bank_mach_next => bank_mach_next,
-- Application interface ports
app_addr => app_addr,
app_cmd => app_cmd,
app_en => app_en,
app_hi_pri => '0',
app_wdf_data => app_wdf_data,
app_wdf_end => app_wdf_end,
app_wdf_mask => app_wdf_mask,
app_wdf_wren => app_wdf_wren,
app_ecc_multiple_err => app_ecc_multiple_err,
app_rd_data => app_rd_data,
app_rd_data_end => app_rd_data_end,
app_rd_data_valid => app_rd_data_valid,
app_rdy => app_rdy,
app_wdf_rdy => app_wdf_rdy,
app_sr_req => app_sr_req,
app_sr_active => app_sr_active,
app_ref_req => app_ref_req,
app_ref_ack => app_ref_ack,
app_zq_req => app_zq_req,
app_zq_ack => app_zq_ack,
app_raw_not_ecc => all_zeros,
app_correct_en_i => '1',
device_temp => device_temp,
-- Debug logic ports
dbg_idel_up_all => dbg_idel_up_all,
dbg_idel_down_all => dbg_idel_down_all,
dbg_idel_up_cpt => dbg_idel_up_cpt,
dbg_idel_down_cpt => dbg_idel_down_cpt,
dbg_sel_idel_cpt => dbg_sel_idel_cpt,
dbg_sel_all_idel_cpt => dbg_sel_all_idel_cpt,
dbg_sel_pi_incdec => dbg_sel_pi_incdec,
dbg_sel_po_incdec => dbg_sel_po_incdec,
dbg_byte_sel => dbg_byte_sel,
dbg_pi_f_inc => dbg_pi_f_inc,
dbg_pi_f_dec => dbg_pi_f_dec,
dbg_po_f_inc => dbg_po_f_inc,
dbg_po_f_stg23_sel => dbg_po_f_stg23_sel,
dbg_po_f_dec => dbg_po_f_dec,
dbg_cpt_tap_cnt => dbg_cpt_tap_cnt,
dbg_dq_idelay_tap_cnt => dbg_dq_idelay_tap_cnt,
dbg_calib_top => dbg_calib_top,
dbg_cpt_first_edge_cnt => dbg_cpt_first_edge_cnt,
dbg_cpt_second_edge_cnt => dbg_cpt_second_edge_cnt,
dbg_rd_data_offset => dbg_rd_data_offset,
dbg_phy_rdlvl => dbg_phy_rdlvl,
dbg_phy_wrcal => dbg_phy_wrcal,
dbg_final_po_fine_tap_cnt => dbg_final_po_fine_tap_cnt,
dbg_final_po_coarse_tap_cnt => dbg_final_po_coarse_tap_cnt,
dbg_rd_data_edge_detect => dbg_rd_data_edge_detect,
dbg_rddata => dbg_rddata,
dbg_rddata_valid => dbg_rddata_valid,
dbg_rdlvl_done => dbg_rdlvl_done,
dbg_rdlvl_err => dbg_rdlvl_err,
dbg_rdlvl_start => dbg_rdlvl_start,
dbg_wrlvl_fine_tap_cnt => dbg_wrlvl_fine_tap_cnt,
dbg_wrlvl_coarse_tap_cnt => dbg_wrlvl_coarse_tap_cnt,
dbg_tap_cnt_during_wrlvl => dbg_tap_cnt_during_wrlvl,
dbg_wl_edge_detect_valid => dbg_wl_edge_detect_valid,
dbg_wrlvl_done => dbg_wrlvl_done,
dbg_wrlvl_err => dbg_wrlvl_err,
dbg_wrlvl_start => dbg_wrlvl_start,
dbg_phy_wrlvl => dbg_phy_wrlvl,
dbg_phy_init => dbg_phy_init,
dbg_prbs_rdlvl => dbg_prbs_rdlvl,
dbg_dqs_found_cal => dbg_dqs_found_cal,
dbg_pi_counter_read_val => dbg_pi_counter_read_val,
dbg_po_counter_read_val => dbg_po_counter_read_val,
dbg_pi_phaselock_start => dbg_pi_phaselock_start,
dbg_pi_phaselocked_done => dbg_pi_phaselocked_done,
dbg_pi_phaselock_err => dbg_pi_phaselock_err,
dbg_pi_phase_locked_phy4lanes => dbg_pi_phase_locked_phy4lanes,
dbg_pi_dqsfound_start => dbg_pi_dqsfound_start,
dbg_pi_dqsfound_done => dbg_pi_dqsfound_done,
dbg_pi_dqsfound_err => dbg_pi_dqsfound_err,
dbg_pi_dqs_found_lanes_phy4lanes => dbg_pi_dqs_found_lanes_phy4lanes,
dbg_calib_rd_data_offset_1 => dbg_calib_rd_data_offset_1,
dbg_calib_rd_data_offset_2 => dbg_calib_rd_data_offset_2,
dbg_data_offset => dbg_data_offset,
dbg_data_offset_1 => dbg_data_offset_1,
dbg_data_offset_2 => dbg_data_offset_2,
dbg_wrcal_start => dbg_wrcal_start,
dbg_wrcal_done => dbg_wrcal_done,
dbg_wrcal_err => dbg_wrcal_err,
dbg_phy_oclkdelay_cal => dbg_phy_oclkdelay_cal,
dbg_oclkdelay_rd_data => dbg_oclkdelay_rd_data,
dbg_oclkdelay_calib_start => dbg_oclkdelay_calib_start,
dbg_oclkdelay_calib_done => dbg_oclkdelay_calib_done,
init_calib_complete => init_calib_complete_i
);
--*********************************************************************
-- Resetting all RTL debug inputs as the debug ports are not enabled
--*********************************************************************
dbg_idel_down_all <= '0';
dbg_idel_down_cpt <= '0';
dbg_idel_up_all <= '0';
dbg_idel_up_cpt <= '0';
dbg_sel_all_idel_cpt <= '0';
dbg_sel_idel_cpt <= (others => '0');
dbg_byte_sel <= (others => '0');
dbg_sel_pi_incdec <= '0';
dbg_pi_f_inc <= '0';
dbg_pi_f_dec <= '0';
dbg_po_f_inc <= '0';
dbg_po_f_dec <= '0';
dbg_po_f_stg23_sel <= '0';
dbg_sel_po_incdec <= '0';
end architecture arch_ddr;
| mit | 30cabc338c53d6f059f9a33df06f0309 | 0.438389 | 4.272798 | false | false | false | false |
RushangKaria/Xilinx_Spartan6_vModTFT_Nexys3 | Verilog/ipcore_dir/dcm_TFT9_exdes.vhd | 1 | 5,962 | -- file: dcm_TFT9_exdes.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- Clocking wizard example design
------------------------------------------------------------------------------
-- This example design instantiates the created clocking network, where each
-- output clock drives a counter. The high bit of each counter is ported.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity dcm_TFT9_exdes is
generic (
TCQ : in time := 100 ps);
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Reset that only drives logic in example design
COUNTER_RESET : in std_logic;
-- High bits of counters driven by clocks
COUNT : out std_logic_vector(2 downto 1);
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end dcm_TFT9_exdes;
architecture xilinx of dcm_TFT9_exdes is
-- Parameters for the counters
---------------------------------
-- Counter width
constant C_W : integer := 16;
-- Number of counters
constant NUM_C : integer := 2;
-- Array typedef
type ctrarr is array (1 to NUM_C) of std_logic_vector(C_W-1 downto 0);
-- When the clock goes out of lock, reset the counters
signal locked_int : std_logic;
signal reset_int : std_logic := '0';
-- Declare the clocks and counters
signal clk : std_logic_vector(NUM_C downto 1);
signal clk_int : std_logic_vector(NUM_C downto 1);
signal counter : ctrarr := (( others => (others => '0')));
-- Need to buffer input clocks that aren't already buffered
signal clk_in1_buf : std_logic;
component dcm_TFT9 is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK9 : out std_logic;
CLK9_180 : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end component;
begin
-- Alias output to internally used signal
LOCKED <= locked_int;
-- When the clock goes out of lock, reset the counters
reset_int <= (not locked_int) or RESET or COUNTER_RESET;
-- Insert BUFGs on all input clocks that don't already have them
----------------------------------------------------------------
clkin1_buf : BUFG
port map
(O => clk_in1_buf,
I => CLK_IN1);
-- Instantiation of the clocking network
----------------------------------------
clknetwork : dcm_TFT9
port map
(-- Clock in ports
CLK_IN1 => clk_in1_buf,
-- Clock out ports
CLK9 => clk_int(1),
CLK9_180 => clk_int(2),
-- Status and control signals
RESET => RESET,
LOCKED => locked_int);
-- Connect the output clocks to the design
-------------------------------------------
clk(1) <= clk_int(1);
clk(2) <= clk_int(2);
-- Output clock sampling
-------------------------------------
counters: for count_gen in 1 to NUM_C generate begin
process (clk(count_gen)) begin
if (rising_edge(clk(count_gen))) then
if (reset_int = '1') then
counter(count_gen) <= (others => '0') after TCQ;
else
counter(count_gen) <= counter(count_gen) + 1 after TCQ;
end if;
end if;
end process;
-- alias the high bit of each counter to the corresponding
-- bit in the output bus
COUNT(count_gen) <= counter(count_gen)(C_W-1);
end generate counters;
end xilinx;
| gpl-3.0 | c87800f1c368750f1b6e280a1a8a7d36 | 0.618081 | 4.252496 | false | false | false | false |
SLongofono/Senior_Design_Capstone | hdl/MMU.vhd | 1 | 34,616 | ----------------------------------------------------------------------------------
-- Engineer: Cesar Avalos B
-- Create Date: 01/28/2018 07:53:02 PM
-- Module Name: MMU_stub - Behavioral
-- Description: Full flegded MMU to feed instructions and store data, supports SV39
--
-- Additional Comments: Mk. VIII
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library config;
use work.config.all;
use IEEE.NUMERIC_STD.ALL;
library unisim;
use unisim.VCOMPONENTS.ALL;
entity MMU is
Port(
clk: in std_logic; -- 100 Mhz Clock
rst: in std_logic; -- Active high reset
addr_in: in doubleword; -- 64-bits address in
data_in: in doubleword; -- 64-bits data in
satp: in doubleword; -- Control register
mode: in std_logic_vector(1 downto 0); -- Current mode (Machine, Supervisor, Etc)
store: in std_logic; -- High to toggle store
load: in std_logic; -- High to toggle load
busy: out std_logic := '0'; -- High when busy
ready_instr: in std_logic; -- Can fetch next instruction (might be redundant)
addr_instr: in doubleword; -- Instruction Address (AKA PC)
alignment: in std_logic_vector(3 downto 0); --Mask
data_out: out doubleword; -- 64-Bits data out
instr_out: out word; -- 64-Bits instruction out
error: out std_logic_vector(5 downto 0);-- Error
-- LEDS out
LED: out std_logic_vector(15 downto 0);
-- UART out
UART_TXD: out std_logic;
UART_RXD: in std_logic;
-- DDR2 Signals
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0);
-- ROM SPI signals
sck: out std_logic; -- Special gated sck for the ROM STARTUPE2 generic
cs_n: out STD_LOGIC;
dq: inout std_logic_vector(3 downto 0));
end MMU;
architecture Behavioral of MMU is
-- Components
component ram_controller is
Port ( clk_200,clk_100 : in STD_LOGIC;
rst : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR(15 DOWNTO 0);
data_out : out STD_LOGIC_VECTOR(15 DOWNTO 0);
write, read: in STD_LOGIC;
mask_lb, mask_ub: in std_logic;
done: out STD_LOGIC;
contr_addr_in : in STD_LOGIC_VECTOR(26 DOWNTO 0);
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0));
end component;
component ROM_controller_SPI is
Port (clk_25, rst, read: in STD_LOGIC;
si_i: out STD_LOGIC;
cs_n: out STD_LOGIC;
wp: out std_logic;
si_t: out std_logic;
wp_t: out std_logic;
address_in: in STD_LOGIC_VECTOR(23 downto 0);
qd: in STD_LOGIC_VECTOR(3 downto 0);
data_out: out STD_LOGIC_VECTOR(63 downto 0);
--pragma synthesis_off
counter: out integer;
--pragma synthesis_on
-- command_int, address_int, reg_one_int, reg_two_int: inout integer;
done: out STD_LOGIC
);
end component;
component clk_wiz_0
port(
clk_in1 : in std_logic;
clk_100MHz_o: out std_logic;
clk_200MHz_o: out std_logic;
clk_25MHz_o: out std_logic;
locked: out std_logic);
end component;
component UART_RX_CTRL is
port (UART_RX: in STD_LOGIC;
CLK: in STD_LOGIC;
DATA: out STD_LOGIC_VECTOR (7 downto 0);
READ_DATA: out STD_LOGIC;
RESET_READ: in STD_LOGIC
);
end component;
component UART_TX_CTRL is
port( SEND : in STD_LOGIC;
DATA : in STD_LOGIC_VECTOR (7 downto 0);
CLK : in STD_LOGIC;
READY : out STD_LOGIC;
UART_TX : out STD_LOGIC);
end component;
component SPIFlashModule is
port(clk, reset, io_flash_en, io_flash_write, io_read_id: in std_logic;
io_quad_io: in std_logic_vector(3 downto 0);
io_flash_addr: in std_logic_vector(23 downto 0);
io_flash_data_in: in std_logic_vector(31 downto 0);
io_flash_data_out: out std_logic_vector(31 downto 0);
io_state_to_cpu: out std_logic_vector(11 downto 0);
io_sck_gate, io_SI, io_WP, io_tri_si, io_tri_wp, io_cs, io_ready: out std_logic);
end component;
constant ROM_period : integer := 150;
type instsmem is array(0 to 100) of word;
signal instr_mem: instsmem := (others => (others => '0'));
signal ROM_mem: instsmem := (
0 => x"00001137",
1 => x"8071011b",
2 => x"01411113",
3 => x"0040006f",
4 => x"01300793",
5 => x"01b79793",
6 => x"00100713",
7 => x"00e79023",
8 => x"098017b7",
9 => x"00000697",
10 => x"09468693",
11 => x"02100713",
12 => x"00479793",
13 => x"00100593",
14 => x"0047c603",
15 => x"0ff67613",
16 => x"fe060ce3",
17 => x"00e781a3",
18 => x"00b782a3",
19 => x"00168693",
20 => x"0006c703",
21 => x"fe0712e3",
22 => x"09801737",
23 => x"01300513",
24 => x"00100693",
25 => x"00471713",
26 => x"00100593",
27 => x"01b51513",
28 => x"00174783",
29 => x"0ff7f793",
30 => x"fe078ce3",
31 => x"00074603",
32 => x"0016869b",
33 => x"03069693",
34 => x"00b70123",
35 => x"0306d693",
36 => x"0ff67613",
37 => x"00d51023",
38 => x"00474783",
39 => x"0ff7f793",
40 => x"fe078ce3",
41 => x"00c701a3",
42 => x"00b702a3",
43 => x"fc5ff06f",
46 => x"45212121",
47 => x"204F4843",
48 => x"56524553",
49 => x"45522121",
50 => x"0000A021",
others => (others => '0'));
-- SPI signals
signal io_flash_en: std_logic;
signal io_flash_write: std_logic;
signal io_quad_io: std_logic_vector(3 downto 0);
signal io_flash_addr: std_logic_vector(23 downto 0);
signal io_flash_data_in: std_logic_vector(31 downto 0);
signal io_flash_data_out: std_logic_vector(31 downto 0);
signal io_read_id: std_logic;
signal io_state_to_cpu: std_logic_vector(11 downto 0);
signal io_SI, io_WP, io_tri_si, io_tri_wp, io_cs, io_ready: std_logic;
signal io_srl, io_cr : std_logic_vector(7 downto 0);
signal io_sckgate: std_logic;
signal io_rst: std_logic;
type MMU_state is (idle, loading, storing, fetching, decode_state,page_walk,loading_ram_page_walk, loading_ram, loading_rom, done_uart_rx, done_uart_tx, storing_ram);
signal curr_state: MMU_state := idle;
signal next_state: MMU_state := idle;
signal paused_state : MMU_state := idle; --Bit of a misnomer, this is
signal LED_reg: std_logic_vector(15 downto 0);
-- RAM signals
signal w_en: std_logic := '0';
signal RAM_en, ROM_en: std_logic := '0';
type RAM_state is (idle, read_low, read_low_mid, read_upper_mid, read_upper,write_low, write_low_mid, write_upper_mid, write_upper, done);
signal RAM_curr_state : RAM_state := idle;
signal RAM_next_state : RAM_state := idle;
signal RAM_masks: std_logic_vector(7 downto 0);
signal RAM_timeout_counter: integer:= 0;
signal RAM_data_in: std_logic_vector(15 downto 0);
signal RAM_data_out: std_logic_vector(15 downto 0);
signal RAM_address_in: std_logic_vector(26 downto 0);
signal RAM_lb, RAM_ub: std_logic := '1';
signal s_RAM_data_out: doubleword := (others => '0'); -- The register holding the ram doubleword
signal ROM_done, RAM_done: std_logic := '0';
signal BRAM_toggle : std_logic_vector(1 downto 0) := "00";
--32 Bits acceses for ROM, either, too slow
type ROM_state is (idle, reading_lower, reading_higher, done);
signal ROM_curr_state : ROM_state := idle;
signal ROM_next_state : ROM_state := idle;
signal gated_clk: std_logic := '0';
signal s_ROM_data_out: doubleword := (others => '0'); --Register holding the rom doubleword
signal ROM_address_in : std_logic_vector(23 downto 0);
signal s_ROM_done: std_logic;
-- UART out data signal, for reading UART registers
signal ROM_Counter: integer := 0;
signal UART_out: STD_LOGIC_VECTOR(7 downto 0);
signal UART_toggle : std_logic := '0';
signal SATP_mode: std_logic_vector(63 downto 0) := (others => '0');
signal SATP_PPN: std_logic_vector(63 downto 0) := (others => '0');
signal s_internal_data : std_logic_vector(63 downto 0);
signal s_internal_address: doubleword;
signal clk_100, clk_200, clk_25, locked: std_logic;
signal page_address_in: doubleword := (others => '0');
signal uart_data_in, uart_data_out: std_logic_vector(7 downto 0);
signal uart_data_available, uart_ready: std_logic;
signal uart_reset_read, uart_send: std_logic;
signal UART_data: doubleword;
signal m_timer: integer := 0;
Type PAGE_WALK_STATE is (idle,level_i_read, level_i_decode, done);
signal PAGE_WALK_next_state, PAGE_WALK_current_state: PAGE_WALK_STATE := idle;
signal s_page_walk,page_walk_request_read, page_walk_done: std_logic := '0';
signal page_walk_address_out, page_address_final: doubleword;
signal Intermitent_Address_In: doubleword;
signal addr_in_latch: doubleword;
-- Debugging
signal s_debugging_out: std_logic_vector(5 downto 0);
signal qd: std_logic_vector(3 downto 0);
signal gated_clock, clock_gate: std_logic;
signal io_sck_gate: std_logic;
begin
clk_wizard: clk_wiz_0
port map(
clk_in1 =>clk,
clk_100MHz_o => clk_100,
clk_200MHz_o => clk_200,
clk_25MHz_o => clk_25,
locked => locked
);
myRAMController: ram_controller port map
(
clk_200 => clk_200,
clk_100 => clk_100,
rst => rst,
data_in => RAM_data_in,
data_out => RAM_data_out,
mask_lb => RAM_lb,
mask_ub => RAM_ub,
done => RAM_done,
write => w_en,
read => RAM_en,
contr_addr_in => RAM_address_in,
ddr2_addr => ddr2_addr ,
ddr2_ba => ddr2_ba ,
ddr2_ras_n => ddr2_ras_n,
ddr2_cas_n => ddr2_cas_n,
ddr2_we_n => ddr2_we_n ,
ddr2_ck_p => ddr2_ck_p ,
ddr2_ck_n => ddr2_ck_n ,
ddr2_cke => ddr2_cke ,
ddr2_cs_n => ddr2_cs_n ,
ddr2_dm => ddr2_dm ,
ddr2_odt => ddr2_odt ,
ddr2_dq => ddr2_dq ,
ddr2_dqs_p => ddr2_dqs_p,
ddr2_dqs_n => ddr2_dqs_n
);
--myROMController: ROM_controller_SPI port map(clk_25 => clk_25, rst => io_rst, read =>io_flash_en,
-- address_in => ROM_address_in, data_out => io_flash_data_out,
-- si_i =>io_SI, wp => io_WP, si_t => io_tri_si, wp_t => io_tri_wp,
-- cs_n => io_cs, qd => qd, done =>s_ROM_done);
myROMController: SPIFlashModule port map(
clk => clk_25, reset => io_rst, io_flash_en => io_flash_en, io_flash_write => io_flash_write, io_read_id => io_read_id,
io_quad_io => qd, io_flash_addr => ROM_address_in, io_flash_data_in => io_flash_data_in,
io_flash_data_out => io_flash_data_out, io_state_to_cpu => io_state_to_cpu,
io_sck_gate => io_sckgate, io_SI => io_SI, io_WP => io_WP, io_tri_si => io_tri_si, io_tri_wp => io_tri_wp, io_cs => io_cs,
io_ready => io_ready);
cs_n <= io_cs;
myUARTTX: UART_TX_CTRL port map
(
SEND => uart_send,
DATA => uart_data_out,
CLK => CLK,
READY => uart_ready,
UART_TX => UART_TXD
);
myUARTRX: UART_RX_CTRL port map
(
UART_RX => UART_RXD,
CLK => CLK,
DATA => uart_data_in,
READ_DATA => uart_data_available,
RESET_READ => uart_reset_read
);
MMU_FSM: process(clk, rst)
-- variable s_internal_address: doubleword := (others => '0'); --Realized Physical Address
-- variable paused_state: MMU_state; -- When we find the mode from SATP, we resume from the state saved here
begin
if rst = '1' then
--curr_state <= idle;
-- ROM_curr_state <= idle;
RAM_curr_state <= idle;
PAGE_WALK_current_state <= idle;
m_timer <= 0;
instr_out <= (others => '0');
error <= (others => '0');
io_flash_write <= '0';
io_read_id <= '0';
next_state <= idle;
busy <= '0';
BRAM_toggle <= "11";
LED <= (others => '0');
UART_data <= (others => '0');
data_out <= (others => '0');
elsif(rising_edge(clk)) then
--curr_state <= next_state;
RAM_curr_state <= RAM_next_state;
-- ROM_curr_state <= ROM_next_state;
PAGE_WALK_current_state <= PAGE_WALK_next_state;
m_timer <= m_timer + 1;
busy <= '1';
--next_state <= curr_state;
case next_state is
-- Idling by like the leech you are MMU arent U
when idle =>
busy <= '1';
UART_toggle <= '0';
s_debugging_out <= "000000";
--s_internal_address <= addr_in;
uart_reset_read <= '0';
uart_send <= '0';
if(load = '1') then
next_state <= decode_state;
paused_state <= loading;
ROM_curr_state <= idle;
s_internal_address <= addr_in;
elsif(store = '1') then
next_state <= decode_state;
paused_state <= storing;
s_internal_address <= addr_in;
elsif(ready_instr = '1') then
next_state <= decode_state;
s_internal_address <= addr_instr;
paused_state <= fetching;
else
busy <= '0';
end if;
-- Figure out what state are we at
when decode_state =>
s_debugging_out <= "000001";
case satp_mode(3 downto 0) is
when x"0" => -- No translation is assumed
next_state <= paused_state;
when others =>
next_state <= page_walk; --SV39 is assumed whenever anything else is written, no SV48 shenanigans
end case;
-- Walk the thing blue page walk line
when page_walk =>
s_debugging_out <= "000010";
s_page_walk <= '1'; --We enable the page walk process
if(page_walk_done = '1') then --Page walk is done
s_internal_address <= page_walk_address_out; -- We assign the newly discovered address
next_state <= paused_state; --Resume wherever we left off matey
elsif(page_walk_request_read = '1') then
RAM_en <= '1';
end if;
-- Intermediate fetching state, just check if there is any misalignment errors
when fetching =>
busy <= '1';
s_debugging_out <= "000011";
--Fetches have to be aligned
if(unsigned(s_internal_address) mod 4 > 0) then
error(4) <= '1'; -- Misaligned error, geback geback
next_state <= idle;
elsif( s_internal_address(31 downto 16) = x"0000" ) then
next_state <= idle;
instr_out <= instr_mem(to_integer(unsigned(addr_instr(31 downto 0)))/4);
else
--s_internal_address <= std_logic_vector(unsigned(addr_instr)/2);
next_state <= loading; --Loading instructions from elsewhere
end if;
-- Loading states
when loading =>
s_debugging_out <= "000100";
if(s_internal_address(31 downto 16) = x"0000" ) then --BRAM
next_state <= idle; --Instruction already goes out here, so no need to do anything,
-- We do this to preserve the instr_out port, even though it's really not necesary.
elsif(s_internal_address(31 downto 16) = x"9801") then --UART Registers
next_state <= idle; -- By default go to idle
UART_toggle <= '1';
case s_internal_address(3 downto 0) is
when X"0" => data_out <= zero_word & zero_word(31 downto 8) & uart_data_in;
when X"1" => data_out <= zero_word & zero_word(31 downto 1) & uart_data_available;
when X"2" => data_out <= zero_word & zero_word(31 downto 1) & uart_reset_read;
when X"3" => data_out <= zero_word & zero_word(31 downto 8) & uart_data_out;
when X"4" => data_out <= zero_word & zero_word(31 downto 1) & uart_ready;
when X"5" => data_out <= zero_word & zero_word(31 downto 1) & uart_send;
when others => NULL;
end case;
elsif(s_internal_address(31 downto 24) = x"98") then --LEDS Registers
next_state <= idle;
elsif(s_internal_address(31 downto 24) = x"97") then --m_clock Register
next_state <= idle;
elsif(s_internal_address(31 downto 28) = x"9") then --ROM
if(paused_state = fetching) then
instr_out <= ROM_mem(to_integer(unsigned(addr_instr(23 downto 0)))/4);
else
case alignment is
when "0000" =>
when "0001" => --Load byte
if(unsigned(s_internal_address(23 downto 0)) mod 4 = 0) then
data_out <= zero_word & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4);
elsif(unsigned(s_internal_address(23 downto 0)) mod 4 = 1) then
data_out <= zero_word & zero_word(31 downto 8) & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4)(15 downto 8);
elsif(unsigned(s_internal_address(23 downto 0)) mod 4 = 2) then
data_out <= zero_word & zero_word(31 downto 8) & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4)(23 downto 16);
elsif(unsigned(s_internal_address(23 downto 0)) mod 4 = 3) then
data_out <= zero_word & zero_word(31 downto 8) & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4)(31 downto 24);
end if;
when "0010" =>
data_out <= zero_word & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4);
when "0100" =>
data_out <= zero_word & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4);
when "1000" =>
data_out <= zero_word & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4);
when others =>
data_out <= zero_word & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4);
end case;
--data_out <= zero_word & ROM_mem(to_integer(unsigned(s_internal_address(23 downto 0)))/4);
ROM_curr_state <= done;
end if;
next_state <= idle;
elsif(s_internal_address(31 downto 28) = x"8") then --RAM
next_state <= loading_ram;
-- ROM_counter <= 0;
else
next_state <= idle;
end if;
-- Special load cases
when loading_rom =>
s_debugging_out <= "000101";
ROM_en <= '1';
if(ROM_counter > (2 * ROM_period)) then
ROM_en <= '0';
if(paused_state = fetching) then
instr_out <= s_ROM_data_out(31 downto 0);
end if;
next_state <= idle;
end if;
when loading_ram =>
s_debugging_out <= "000110";
RAM_en <= '1';
if(ROM_done = '1') then
if(paused_state = fetching) then
instr_out <= s_RAM_data_out(31 downto 0);
end if;
next_state <= idle;
end if;
-- Stores and such
when storing =>
s_debugging_out <= "000111";
next_state <= idle; -- By default go back
if(addr_in(31 downto 16) = x"9801") then --UART
case addr_in(3 downto 0) is
when X"0" => NULL; -- Nothing here really, why would you write to buffer in?
when X"1" => NULL; -- Why?
when X"2" => uart_reset_read <= '1';
next_state <= done_uart_rx;
when X"3" => uart_data_out <= data_in(7 downto 0);
when X"4" => NULL; -- No no no write
when X"5" => uart_send <= '1'; -- Assuming if you are writing is to send something
next_state <= done_uart_tx; -- After writing to this register we reset it automatically
when others => UART_data <= (others => '0');
end case;
elsif(addr_in(31 downto 24) = x"98") then --LEDS
LED <= data_in(15 downto 0) OR data_in(31 downto 16);
next_state <= idle;
elsif(addr_in(31 downto 24) = x"97") then --m_clock
next_state <= idle;
-- elsif(addr_in(31 downto 28) = x"9") then --ROM
-- next_state <= idle; --Can't write to ROM, I mean you could, but hwhy? Don't write to ROM
elsif(addr_in(31 downto 28) = x"8") then --RAM
next_state <= storing_ram;
end if;
-- Special stores section
when storing_ram =>
s_debugging_out <= "001000";
w_en <= '1';
if(RAM_done = '1') then
w_en <= '0';
next_state <= idle;
end if;
-- Special done states, to reset whatever needs to be reset
when done_uart_tx =>
uart_send <= '0';
if(uart_ready = '0') then
next_state <= done_uart_tx;
else
next_state <= idle;
end if;
when done_uart_rx =>
uart_reset_read <= '0';
next_state <= idle;
when others =>
end case;
end if;
end process;
-- Walk the page
PAGE_WALK_FSM: process(clk, rst, s_page_walk)
variable level: Integer := 0;
begin
if(rst = '1') then
elsif(rising_edge(clk)) then
PAGE_WALK_next_state <= PAGE_WALK_current_state;
case PAGE_WALK_current_state is
when idle =>
if(s_page_walk = '1') then
page_address_in <= "00000000" & SATP_PPN(43 downto 0) & addr_in(31 downto 22) & "00";--SATP PPN will give us the root page table location
PAGE_WALK_next_state <= level_i_read;
level := 0; --Start at level 0
end if;
when level_i_read =>
if(level < 3) then
page_walk_request_read <= '1';
if(RAM_done = '1') then
level := level + 1;
PAGE_WALK_next_state <= level_i_decode;
end if;
else
--Raise exception here
--More levels than 3
PAGE_WALK_next_state <= done;
end if;
when level_i_decode =>
PAGE_WALK_next_state <= idle;
if(s_RAM_data_out(0) = '0') then --Invalid PTE Raise the roof
NULL;
elsif(s_RAM_data_out(1) = '0' and s_RAM_data_out(7) = '1') then -- Not Valid and Dirty
NULL;
elsif(s_RAM_data_out(1) = '1' or s_RAM_data_out(3) = '1') then --So far this address is final
-- Check if the PTE is in user mode and we are in user mode
if(mode = "00" and s_RAM_data_out(4) = '1') then
page_walk_next_state <= done;
page_address_final <= s_RAM_data_out(63 downto 13) & s_internal_address(12 downto 0);
-- If the PTE U bit is '0' and we are in Supervisor mode, it's still good
-- We leave this separate in case other actions need to happen in S mode
elsif(mode = "01" and s_RAM_data_out(4) = '0') then
-- If PTE A is 0 or PTE D is 0 and we are storing, then we could raise an exception
-- or set the bits to 1 ourselves
if(s_RAM_data_out(6) = '0' or (paused_state = storing and s_RAM_data_out(7) = '0')) then
-- Raise exception
end if;
page_walk_next_state <= done;
page_address_final <= s_RAM_data_out(63 downto 13) & s_internal_address(12 downto 0);
else
page_walk_next_state <= idle;
--Raise exception when the user has no permission to access this PTE
end if;
page_walk_done <= '1';
else -- We still have to dig deeper m8
page_walk_next_state <= level_i_read;
page_address_in <= "00000000" & SATP_PPN(43 downto 0) & s_internal_address(31 downto 22) & "00";
end if;
when done =>
PAGE_WALK_next_state <= idle;
end case;
end if;
end process;
--busy <= '0' when curr_state = idle else '1';
-- Z high impedance
dq(0) <= 'Z' when io_tri_si = '1' else io_SI;
dq(1) <= 'Z';
dq(2) <= 'Z' when io_tri_wp = '1' else io_WP;
dq(3) <= 'Z';
qd(0) <= dq(0) when io_tri_si = '1' else 'Z';
qd(1) <= dq(1);
qd(2) <= dq(2) when io_tri_wp = '1' else 'Z';
qd(3) <= dq(3);
gated_clock <= '0' when gated_clk = '1' else not(clk_25);
STARTUPE2_inst : STARTUPE2
generic map (
PROG_USR => "FALSE", -- Activate program event security feature. Requires encrypted bitstreams.
SIM_CCLK_FREQ => 10.0 -- Set the Configuration Clock Frequency(ns) for simulation.
)
port map (
CFGCLK => open, -- 1-bit output: Configuration main clock output
CFGMCLK => open, -- 1-bit output: Configuration internal oscillator clock output
EOS => open, -- 1-bit output: Active high output signal indicating the End Of Startup.
PREQ => open, -- 1-bit output: PROGRAM request to fabric output
CLK => '0', -- 1-bit input: User start-up clock input
GSR => '0', -- 1-bit input: Global Set/Reset input (GSR cannot be used for the port name)
GTS => '0', -- 1-bit input: Global 3-state input (GTS cannot be used for the port name)
KEYCLEARB => '0', -- 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM)
PACK => '0', -- 1-bit input: PROGRAM acknowledge input
USRCCLKO => gated_clock, -- 1-bit input: User CCLK input
USRCCLKTS => '0', -- 1-bit input: User CCLK 3-state enable input
USRDONEO => '1', -- 1-bit input: User DONE pin output control
USRDONETS => '0' -- 1-bit input: User DONE 3-state enable output
);
-- ROM SPI Clock Generation
ROM_CLK: process(clk_25, rst) begin
if(rst = '1') then
gated_clk <= '1';
elsif(rising_edge(clk_25)) then
if (io_cs = '0') then
gated_clk <= '0';
else
gated_clk <= '1';
end if;
end if;
end process;
---- ROM State Machine
---- To enable rom set ROM_en high
---- Will wait for 600 cycles and give back a 64 bit word
--ROM_FSM: process(clk,rst)
-- begin
-- if(rst = '1') then
-- io_rst <= '1';
-- ROM_next_state <= idle;
-- elsif(rising_edge(clk)) then
-- ROM_next_state <= ROM_curr_state;
-- case ROM_curr_state is
-- when idle =>
-- ROM_next_state <= idle;
-- ROM_counter <= 0;
-- io_rst <= '0';
-- if(ROM_en = '1') then
-- ROM_done <= '0';
-- --io_rst <= '0';
-- ROM_address_in <= s_internal_address(23 downto 0); --24 Bits in
-- ROM_next_state <= reading_lower;
-- end if;
-- when reading_lower =>
-- ROM_next_state <= reading_lower;
-- io_flash_en <= '1';
-- ROM_counter <= ROM_counter + 1; -- Wait a good amount of time to let the device react
-- if(ROM_counter > ROM_period) then
-- s_ROM_data_out(31 downto 0) <= io_flash_data_out;
-- ROM_next_state <= reading_higher;
-- ROM_address_in <= std_logic_vector(unsigned(s_internal_address(23 downto 0)) + 4); --24 Bits in
-- end if;
-- when reading_higher =>
-- ROM_next_state <= reading_higher;
-- ROM_counter <= ROM_counter + 1; -- Wait a good amount of time to let the device react
-- if(ROM_counter > ROM_period * 2) then
-- s_ROM_data_out(63 downto 32) <= io_flash_data_out;
-- ROM_next_state <= done;
-- end if;
-- when done =>
-- ROM_done <= '1';
-- if(ROM_en <= '0') then
-- ROM_next_state <= idle;
-- end if;
-- io_rst <= '1';
-- end case;
-- end if;
--end process;
-- RAM State Machine
-- For reading from RAM, the ideal waiting time is of 230 ns
-- For writing into RAM, the ideal waiting time is of 270 ns
-- To make things easier we use 300 ns for both cases.
RAM_FSM: process(clk, RAM_en, w_en)
variable RAM_counter :integer := 0;
begin
if(rising_edge(clk)) then
if(RAM_curr_state /= idle) then
RAM_counter := RAM_counter + 1;
else
RAM_counter := 0;
end if;
RAM_next_state <= RAM_curr_state;
-- Forget about it
-- If for whatever reason we take long than
-- 1200 cycles, timeout and throw some error
if(RAM_timeout_counter >= 1200) then
RAM_next_state <= idle;
else
case RAM_curr_state is
-- Idle state, read before write
when idle =>
RAM_ub <= '1';
RAM_lb <= '1';
if(RAM_en = '1') then
RAM_next_state <= read_low;
elsif(w_en = '1') then
RAM_next_state <= write_low;
end if;
-- Load States
when read_low =>
if(RAM_counter > 30) then
s_RAM_data_out(15 downto 0) <= RAM_data_out;
RAM_next_state <= read_low_mid;
RAM_counter := 0;
end if;
when read_low_mid =>
if(RAM_counter > 30) then --Valid Data
s_RAM_data_out(31 downto 16) <= RAM_data_out;
RAM_next_state <= read_upper_mid;
RAM_counter := 0;
end if;
when read_upper_mid =>
if(RAM_counter > 30) then
s_RAM_data_out(47 downto 32) <= RAM_data_out;
RAM_next_state <= read_upper;
RAM_counter := 0;
end if;
when read_upper =>
if(RAM_counter > 30) then
s_RAM_data_out(63 downto 48) <= RAM_data_out;
RAM_next_state <= done;
RAM_counter := 0;
end if;
-- Store States (LSB first)
-- Bytes 1 and 2
when write_low =>
--Alignment 0001 means Byte-wise access
if(alignment(0) = '1') then
RAM_ub <= '0'; --Disable the upper byte from controller
end if;
if(RAM_counter > 30) then
if(alignment(0) = '1') then
RAM_next_state <= done;
else
RAM_next_state <= write_low_mid;
end if;
RAM_counter := 0;
-- Alignment 0100 means Upper Word access
elsif(alignment(2) = '1') then
RAM_counter := 0;
RAM_next_state <= write_upper_mid;
end if;
-- Byte 3 and 4
when write_low_mid =>
RAM_ub <= '1';
RAM_lb <= '1';
if(RAM_counter > 30) then --Valid Data
-- Alignment 0010 is Lower Word access
if(alignment(1) = '1') then
RAM_next_state <= done;
else
RAM_next_state <= write_upper_mid;
RAM_counter := 0;
end if;
end if;
-- Bytes 5 and 6
when write_upper_mid =>
RAM_ub <= '1';
RAM_lb <= '1';
if(RAM_counter > 30) then
RAM_next_state <= write_upper;
RAM_counter := 0;
end if;
when write_upper =>
RAM_ub <= '1';
RAM_lb <= '1';
if(RAM_counter > 30) then
RAM_next_state <= done;
RAM_counter := 0;
end if;
-- We are done here
when others =>
RAM_next_state <= idle;
end case;
end if;
end if;
end process;
-- Latches the last obtained datas (dati, datum? datae?)
--LAST_OBTAINED_DATA: process(clk,rst, UART_toggle) begin
-- if(rst = '1') then
-- data_out <= (others => '0');
-- elsif(rising_edge(clk)) then
-- if(RAM_curr_state = done) then
-- data_out <= s_RAM_data_out;
-- elsif(ROM_curr_state = done) then
-- data_out <= s_ROM_data_out;
-- elsif(UART_toggle = '1') then
-- data_out(7 downto 0) <= UART_data(7 downto 0);
-- data_out(63 downto 8) <= (others => '0');
-- end if;
-- end if;
--end process;
-- Muxes for addresses and data
-- Intermitent address is internal RAM address, whenever we need to use the RAM
-- to access something else, we will make use of this intermitent_address_in signal
Intermitent_Address_In <= addr_in when s_page_walk = '0' else page_address_in;
s_internal_data <= data_in; --For the moment this is right
-- Might change this to sequential logic if needed, I don't think it necessary
RAM_address_in <= std_logic_vector(unsigned(Intermitent_Address_In(26 downto 0)) + 0) when RAM_curr_state = idle or RAM_curr_state = read_low or RAM_curr_state = write_low else
std_logic_vector(unsigned(Intermitent_Address_In(26 downto 0)) + 2) when RAM_curr_state = read_low_mid or RAM_curr_state = write_low_mid else
std_logic_vector(unsigned(Intermitent_Address_In(26 downto 0)) + 4) when RAM_curr_state = read_upper_mid or RAM_curr_state = write_upper_mid else
std_logic_vector(unsigned(Intermitent_Address_In(26 downto 0)) + 6) when RAM_curr_state = read_upper or RAM_curr_state = write_upper
else (others => '0');
RAM_data_in <= s_internal_data(15 downto 0 ) when RAM_curr_state = idle or RAM_curr_state = write_low else
s_internal_data(31 downto 16) when RAM_curr_state = write_low_mid else
s_internal_data(47 downto 32) when RAM_curr_state = write_upper_mid else
s_internal_data(63 downto 48) when RAM_curr_state = write_upper else
(others => '0');
-- The CSR telling us where the page table start
SATP_mode(3 downto 0) <= satp(63 downto 60);
SATP_PPN(43 downto 0) <= satp(43 downto 0);
end Behavioral;
| mit | 2bec3bdc84caaf87845cf5c716039f10 | 0.555726 | 3.36045 | false | false | false | false |
fabioperez/space-invaders-vhdl | lib/controllers/score.vhd | 1 | 1,284 | LIBRARY ieee ;
USE ieee.std_logic_1164.all;
LIBRARY lib;
USE lib.general.all;
entity score is
port(
clock_i,reset_i: in std_logic;
score_o: out std_logic_vector(27 downto 0)
);
end score;
architecture Behavior of score is
signal unidade, dezena, centena, milhar: integer := 0;
begin
process(clock_i,reset_i)
begin
if reset_i = '1' then
unidade <= 0;
dezena <= 0;
centena <= 0;
milhar <= 0;
elsif rising_edge(clock_i) then
dezena <= dezena + 1;
if dezena >= 9 then
dezena <= 0;
centena <= centena + 1;
end if;
if centena >= 9 then
centena <= 0;
milhar <= milhar + 1;
end if;
end if;
end process;
disp_u: conv_7seg_int
port map (unidade,score_o(6 downto 0));
disp_d: conv_7seg_int
port map (dezena,score_o(13 downto 7));
disp_c: conv_7seg_int
port map (centena,score_o(20 downto 14));
disp_m: conv_7seg_int
port map (milhar,score_o(27 downto 21));
end Behavior;
| mit | 8026dfc68cf9cde4b6fe4e3f15bd1cee | 0.468847 | 3.926606 | false | false | false | false |
SLongofono/Senior_Design_Capstone | solid_C/top_ROM.vhd | 1 | 6,872 | ----------------------------------------------------------------------------------
-- Engineer: Longofono
--
-- Create Date: 04/21/2018 01:23:15 PM
-- Module Name: system_top - Behavioral
-- Description: System-level wrapper for processor components
--
-- Additional Comments: "Death must be so beautiful. To lie in te soft brown earth,
-- with the grasses waving above one's head, and listen to
-- silence. To have no yesterday, and no tomorrow. To forget
-- time, to forget life, to forget Vivado, to be at peace."
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.config.all;
entity top_ROM is
Port(
clk: in std_logic;
rst: in std_logic;
status: out std_logic;
DEBUG_halt: in std_logic;
-- LEDS out
LED: out std_logic_vector(15 downto 0);
-- UART out
UART_TXD: out std_logic;
UART_RXD: in std_logic;
-- ROM SPI signals
cs_n: out STD_LOGIC;
dq: inout std_logic_vector(3 downto 0)
);
end top_ROM;
architecture Behavioral of top_ROM is
--------------------------------------------------------------------------------
-- Components Forward Declarations
--------------------------------------------------------------------------------
component clk_wiz_0
port(
clk_in1 : in std_logic;
clk_100MHz_o: out std_logic;
clk_200MHz_o: out std_logic;
clk_25MHz_o: out std_logic;
locked: out std_logic);
end component;
component shell is
Port(
clk_100: in std_logic;
clk_25: in std_logic;
rst: in std_logic;
status: out std_logic;
DEBUG_halt: in std_logic;
-- LEDS out
LED: out std_logic_vector(15 downto 0);
-- UART out
UART_TXD: out std_logic;
UART_RXD: in std_logic;
-- ROM / RAM lines --
MEM_addr: out std_logic_vector(26 downto 0);
MEM_data_in: out std_logic_vector(7 downto 0);
MEM_data_out: in std_logic_vector(7 downto 0);
MEM_ram: out std_logic;
MEM_write: out std_logic;
MEM_request: out std_logic;
MEM_status: in std_logic;
MEM_err: in std_logic
);
end component;
component stub_ram_int is
Port(
memAddress : in STD_LOGIC_VECTOR (26 downto 0);
dataIn : in STD_LOGIC_VECTOR (7 downto 0);
dataOut : out STD_LOGIC_VECTOR (7 downto 0);
valid : in STD_LOGIC;
done : out STD_LOGIC;
write : in STD_LOGIC;
chip_select : in STD_LOGIC;
err : out STD_LOGIC;
clk : in STD_LOGIC;
reset : in STD_LOGIC
);
end component;
component rom_intf is
Port(
memAddress : in STD_LOGIC_VECTOR (26 downto 0);
dataIn : in STD_LOGIC_VECTOR (7 downto 0);
dataOut : out STD_LOGIC_VECTOR (7 downto 0);
valid : in STD_LOGIC;
done : out STD_LOGIC;
write : in STD_LOGIC;
chip_select : in STD_LOGIC;
err : out STD_LOGIC;
clk, rst : in STD_LOGIC;
-- ROM SPI signals
cs_n: out STD_LOGIC;
dq: inout std_logic_vector(3 downto 0)
);
end component;
-- Signals
signal s_clk: std_logic;
signal s_rst: std_logic;
signal s_status: std_logic;
signal s_DEBUG_halt: std_logic;
-- LEDS out
signal s_LED: std_logic_vector(15 downto 0);
-- UART out
signal s_UART_TXD: std_logic;
signal s_UART_RXD: std_logic;
-- ROM / RAM lines --
signal s_MEM_addr: std_logic_vector(26 downto 0);
signal s_MEM_data_in: std_logic_vector(7 downto 0);
signal s_MEM_data_out: std_logic_vector(7 downto 0);
signal s_MEM_ram: std_logic;
signal s_MEM_write: std_logic;
signal s_MEM_request: std_logic;
signal s_MEM_status: std_logic;
signal s_MEM_err: std_logic;
signal s_rom_data_out: std_logic_vector(7 downto 0);
signal s_rom_done: std_logic;
signal s_rom_chip_select: std_logic;
signal s_rom_err: std_logic;
signal s_ram_data_out: std_logic_vector(7 downto 0);
signal s_ram_done: std_logic;
signal s_ram_chip_select: std_logic;
signal s_ram_err: std_logic;
signal s_clk_100, s_clk_200, s_clk_25, locked: std_logic;
begin
s_MEM_data_out <= s_ram_data_out when ( s_MEM_ram = '1' ) else s_rom_data_out;
s_MEM_status <= s_ram_done when ( s_MEM_ram = '1' ) else s_rom_done;
s_MEM_err <= s_ram_err when ( s_MEM_ram = '1' ) else s_rom_err;
s_ram_chip_select <= s_MEM_ram;
s_rom_chip_select <= not s_MEM_ram;
s_clk <= clk;
s_rst <= rst;
status <= s_status;
s_DEBUG_halt <= DEBUG_halt;
-- LEDS out
LED <= s_LED;
-- UART out
UART_TXD <= s_UART_TXD;
s_UART_RXD <= UART_RXD;
clk_wizard: clk_wiz_0
port map(
clk_in1 =>clk,
clk_100MHz_o => s_clk_100,
clk_200MHz_o => s_clk_200,
clk_25MHz_o => s_clk_25,
locked => locked
);
my_shell: shell
port map(
clk_100 => s_clk_100,
clk_25 => s_clk_25,
rst => s_rst,
status => s_status,
DEBUG_halt => s_DEBUG_halt,
-- LEDS out
LED => s_LED,
-- UART out
UART_TXD => s_UART_TXD,
UART_RXD => s_UART_RXD,
-- ROM / RAM lines --
MEM_addr => s_MEM_addr,
MEM_data_in => s_MEM_data_in,
MEM_data_out => s_MEM_data_out,
MEM_ram => s_MEM_ram,
MEM_write => s_MEM_write,
MEM_request => s_MEM_request,
MEM_status => s_MEM_status,
MEM_err => s_MEM_err
);
my_ram: stub_ram_int
port map(
memAddress => s_MEM_addr,
dataIn => s_MEM_data_in,
dataOut => s_ram_data_out,
valid => s_MEM_request,
done => s_ram_done,
write => s_MEM_write,
chip_select => s_ram_chip_select,
err => s_ram_err,
clk => s_clk_25,
reset => s_rst
);
my_rom: rom_intf
port map(
memAddress => s_MEM_addr,
dataIn => s_MEM_data_in,
dataOut => s_rom_data_out,
valid => s_MEM_request,
done => s_rom_done,
write => s_MEM_write,
chip_select => s_rom_chip_select,
err => s_rom_err,
clk => s_clk_100,
rst => s_rst,
cs_n => cs_n,
dq => dq
);
end Behavioral;
| mit | ce557aaa46f7e6ecdbc7c072b3efa6c9 | 0.49578 | 3.365328 | false | false | false | false |
SLongofono/Senior_Design_Capstone | simple_core/ALU.vhd | 2 | 19,558 | ----------------------------------------------------------------------------------
-- Engineer: Longofono
--
-- Create Date: 12/04/2017 08:30:06 AM
-- Module Name: ALU - Behavioral
-- Description:
--
-- Additional Comments: Omitted MULSHU because it is a special snowflake.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
--use IEEE.NUMERIC_BIT.ALL;
library config;
use work.config.all;
entity ALU is
Port(
clk: in std_logic; -- System clock
rst: in std_logic; -- Reset
halt: in std_logic; -- Do nothing
ctrl: in instr_t; -- Operation
rs1: in doubleword; -- Source 1
rs2: in doubleword; -- Source 2
shamt: in std_logic_vector(4 downto 0); -- shift amount
rout: out doubleword; -- Output Result
error: out std_logic; -- signal exception
overflow: out std_logic; -- signal overflow
zero: out std_logic -- signal zero result
);
end ALU;
architecture Behavioral of ALU is
-- component declaration
component Shifter is
port (
clk : in std_logic;
rst : in std_logic;
ctrl: in instr_t;
i_a1 : in std_logic_vector(63 downto 0); -- Operand 1
i_a2 : in std_logic_vector(5 downto 0); -- Shift bits number
result: out doubleword
);
end component;
-- Signals and constants
constant all_bits_set : doubleword := (others => '1');
signal result: doubleword;
signal feedback: std_logic_vector(2 downto 0); -- (Error, Overflow, Zero)
signal mul_reg: std_logic_vector(127 downto 0);
signal mul_reg_plus: std_logic_vector(129 downto 0); -- Special case for MULSHU
signal add_word: doubleword;
-- Shift unit signals
signal s_shift_amt: std_logic_vector(5 downto 0);
signal s_shift_arg: doubleword;
signal s_shift_result: doubleword;
begin
-- Instantiation
myShifter : Shifter
port map(
clk => clk,
rst => rst,
ctrl => ctrl,
i_a1 => s_shift_arg, -- Operand 1
i_a2 => s_shift_amt, -- Shift bits number
result => s_shift_result
);
process(clk, rst)
begin
-- shift_arg <= to_integer(unsigned(shamt));
feedback <= "000";
if(rising_edge(clk)) then
if('0' = halt) then
if('1' = rst) then
result <= (others => '0');
else
case ctrl is
-- Treat as 32-bit operands
when instr_SLL =>
s_shift_amt <= rs2(5 downto 0);
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SLLI =>
s_shift_amt <= '0' & shamt;
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SRL =>
s_shift_amt <= rs2(5 downto 0);
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SRLI =>
s_shift_amt <= '0' & shamt;
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SRA =>
s_shift_amt <= rs2(5 downto 0);
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SRAI =>
s_shift_amt <= '0' & shamt;
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_ADD =>
result <= std_logic_vector(signed(rs1) + signed(rs2));
when instr_ADDI =>
result <= std_logic_vector(signed(rs1) + signed(rs2));
--if((result < rs1) or (result < rs2)) then
-- case overflow
-- feedback(1) <= '1';
--end if;
when instr_SUB =>
result <= std_logic_vector(signed(rs1) - signed(rs2));
--if((result < rs1) or (result < rs2)) then
-- case overflow
-- feedback(1) <= '1';
--end if;
when instr_LUI =>
-- In brief: rd = sign_extend(rsimm20 << 12)
-- Load low 20 of immediate value shifted left 12
-- sign extend to fit 64 bit system
result(31 downto 0) <= rs1(19 downto 0) & "000000000000";
result(63 downto 32) <= (others => rs1(19));
when instr_AUIPC =>
-- TODO verify that PC can easily be passed in here as arg 1
-- In brief: rd = PC + (rs << 12)
-- Load 20 MSBs of low word with low 20 of immediate value
-- sign extend (rs << 12) to fit 64 bit
-- NOTE: Here, we use a "qualified expression" to hint at how the compiler should resolve
-- the ambiguity. We give a hint as to which overloaded function should be used,
-- in this case, the one that takes in a bit vector constant and a std_logic_vector
-- and returns a std_logic_vector.
--auipc_ext(31 downto 0) := std_logic_vector'(rs2(19 downto 0) & "000000000000");
result <= std_logic_vector(signed(rs1) + signed(std_logic_vector'(rs2(19 downto 0) & "000000000000")));
when instr_XOR =>
-- Assumption: immediate value in rs2 is already sign-extended
result <= rs1 xor rs2;
when instr_XORI =>
-- Assumption: immediate value in rs2 is already sign-extended
result <= rs1 xor rs2;
when instr_OR =>
-- Assumption: immediate value in rs2 is already sign-extended
result <= rs1 or rs2;
when instr_ORI =>
-- Assumption: immediate value in rs2 is already sign-extended
result <= rs1 or rs2;
when instr_AND =>
-- Assumption: immediate value in rs2 is already sign-extended
result <= rs1 and rs2;
when instr_ANDI =>
-- Assumption: immediate value in rs2 is already sign-extended
result <= rs1 and rs2;
when instr_SLT =>
if(signed(rs1) < signed(rs2)) then
result <= (0 => '1', others => '0');
else
result <= (others => '0');
end if;
when instr_SLTI =>
if(signed(rs1) < signed(rs2)) then
result <= (0 => '1', others => '0');
else
result <= (others => '0');
end if;
when instr_SLTU =>
-- Assumption: immediate value in rs2 is already sign-extended
if(unsigned(rs1) < unsigned(rs2)) then
result <= (0 => '1', others => '0');
else
result <= (others => '0');
end if;
when instr_SLTIU =>
-- Assumption: immediate value in rs2 is already sign-extended
if(unsigned(rs1) < unsigned(rs2)) then
result <= (0 => '1', others => '0');
else
result <= (others => '0');
end if;
when instr_SLLW =>
-- Since these are word operations instead of double
-- word operations, only use the bottom 5 bits instead of 6
s_shift_amt <= '0' & rs2(4 downto 0);
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SLLIW =>
s_shift_amt <= '0' & shamt;
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SRLW =>
s_shift_amt <= '0' & rs2(4 downto 0);
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SRLIW =>
s_shift_amt <= '0' & shamt;
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SRAW =>
s_shift_amt <= '0' & rs2(4 downto 0);
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_SRAIW =>
s_shift_amt <= '0' & shamt;
s_shift_arg <= rs1;
result <= s_shift_result;
when instr_ADDW =>
add_word <= std_logic_vector(signed(rs1) + signed(rs2));
result(63 downto 32) <= (others => add_word(31));
result(31 downto 0) <= add_word(31 downto 0);
when instr_ADDIW =>
add_word <= std_logic_vector(signed(rs1) + signed(rs2));
result(63 downto 32) <= (others => add_word(31));
result(31 downto 0) <= add_word(31 downto 0);
when instr_SUBW =>
add_word <= std_logic_vector(signed(rs1) - signed(rs2));
result(63 downto 32) <= (others => add_word(31));
result(31 downto 0) <= add_word(31 downto 0);
when instr_MUL =>
mul_reg <= std_logic_vector(signed(rs1) * signed(rs2));
result <= mul_reg(63 downto 0);
when instr_MULH =>
mul_reg <= std_logic_vector(signed(rs1) * signed(rs2));
result <= zero_word & mul_reg(63 downto 32);
when instr_MULHU =>
mul_reg <= std_logic_vector(unsigned(rs1) * unsigned(rs2));
result <= zero_word & mul_reg(63 downto 32);
--when instr_MULHSU =>
-- TODO - verify that this multiplier does not introduce problems on the schematic/layout
--mul_reg_plus <= std_logic_vector(signed(rs1(31) & rs1) * signed('0' & rs2));
--result <= zero_word & mul_reg_plus(63 downto 32);
--
-- Special Values for Divide by Zero and Division Overflow (per 2.2 spec)
-- Situation || Special Return Values for Each Instruction
-- <condition> <Dividend> <Divisor> || <DIVU> <REMU> <DIV> <REM>
-- Divide by 0 x 0 || All bits set x -1 x
-- Overflow -(2^64 -1) -1 || N/A N/A -(2^(64-1)) 0
--
when instr_DIV =>
if(zero_word = rs2(31 downto 0) and zero_word = rs2(63 downto 32)) then
-- case divide by zero, set result to -1 (all ones)
mul_reg <= all_bits_set & all_bits_set;
elsif( (all_bits_set = rs1) and (-1 = to_integer(signed(rs2))) ) then
-- case division overflow, set only MSB
mul_reg <= (63 => '1', others => '0');
else
mul_reg <= zero_word & zero_word & std_logic_vector(signed(rs1) / signed(rs2));
end if;
result <= mul_reg(63 downto 0);
when instr_DIVU =>
if(zero_word = rs2(31 downto 0) and zero_word = rs2(63 downto 32)) then
-- case divide by zero, set result to all ones
mul_reg <= all_bits_set & all_bits_set;
else
mul_reg <= zero_word & zero_word & std_logic_vector(unsigned(rs1) / unsigned(rs2));
end if;
result <= mul_reg(63 downto 0);
when instr_REM =>
if(zero_word = rs2(31 downto 0) and zero_word = rs2(63 downto 32)) then
-- case divide by zero, set result to dividend
mul_reg <= zero_word & zero_word & rs1;
elsif( (all_bits_set = rs1) and (-1 = to_integer(signed(rs2))) ) then
-- case division overflow, set result to 0
mul_reg <= (others => '0');
else
mul_reg <= zero_word & zero_word & std_logic_vector(signed(rs1) rem signed(rs2));
end if;
result(31 downto 0) <= mul_reg(31 downto 0);
result(63 downto 32) <= (others => mul_reg(31));
when instr_REMU =>
if(zero_word = rs2(31 downto 0) and zero_word = rs2(63 downto 32)) then
-- case divide by zero, set result to dividend
mul_reg <= zero_word & zero_word & rs1;
else
mul_reg <= zero_word & zero_word & std_logic_vector(unsigned(rs1) rem unsigned(rs2));
end if;
result <= mul_reg(63 downto 0);
when instr_MULW =>
mul_reg <= zero_word & zero_word & std_logic_vector(signed(rs1(31 downto 0)) * signed(rs2(31 downto 0)));
result(63 downto 32) <= (others => mul_reg(31));
result(31 downto 0) <= mul_reg(31 downto 0);
when instr_DIVW =>
if(zero_word = rs2(31 downto 0)) then
-- case divide by zero, set result to -1 (all ones)
mul_reg <= all_bits_set & all_bits_set;
elsif( (all_bits_set(31 downto 0) = rs1(31 downto 0)) and (-1 = to_integer(signed(rs2(31 downto 0)))) ) then
-- case division overflow, set only MSB
mul_reg <= (31 => '1', others => '0');
else
mul_reg <= zero_word & zero_word & zero_word & std_logic_vector(signed(rs1(31 downto 0)) / signed(rs2(31 downto 0)));
end if;
result(63 downto 32) <= (others => mul_reg(31));
result(31 downto 0) <= mul_reg(31 downto 0);
when instr_DIVUW =>
if(zero_word = rs2(31 downto 0)) then
-- case divide by zero, set result to all ones
mul_reg <= all_bits_set & all_bits_set;
else
mul_reg <= zero_word & zero_word & zero_word & std_logic_vector(unsigned(rs1(31 downto 0)) / unsigned(rs2(31 downto 0)));
end if;
result(63 downto 32) <= (others => mul_reg(31));
result(31 downto 0) <= mul_reg(31 downto 0);
when instr_REMW =>
if(zero_word = rs2(31 downto 0)) then
-- case divide by zero, set result to dividend
mul_reg <= zero_word & zero_word & rs1;
elsif( (all_bits_set(31 downto 0) = rs1(31 downto 0)) and (-1 = to_integer(signed(rs2(31 downto 0)))) ) then
-- case division overflow, set result to 0
mul_reg <= (others => '0');
else
mul_reg <= zero_word & zero_word & zero_word & std_logic_vector(signed(rs1(31 downto 0)) rem signed(rs2(31 downto 0)));
end if;
result(63 downto 32) <= (others => mul_reg(31));
result(31 downto 0) <= mul_reg(31 downto 0);
when instr_REMUW =>
if(zero_word = rs2(31 downto 0)) then
-- case divide by zero, set result to dividend
mul_reg <= zero_word & zero_word & rs1;
else
mul_reg <= zero_word & zero_word & zero_word & std_logic_vector(unsigned(rs1(31 downto 0)) rem unsigned(rs2(31 downto 0)));
end if;
result(63 downto 32) <= (others => mul_reg(31));
result(31 downto 0) <= mul_reg(31 downto 0);
when others =>
-- Error condition: unknown control code
feedback(0) <= '1';
result <= (others => '0');
end case;
end if; -- Reset
end if; -- Halt
end if; -- Clock
end process;
error <= feedback(0); -- TODO feedback single bit for error conditions.
overflow <= '0';--feedback(1);-- TODO check here, remove from logic above
zero <= '1' when (0 = unsigned(result)) else '0';
rout <= result;
end Behavioral; | mit | 293568585f625b4e8754c701d5616e8e | 0.390735 | 4.956412 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/General/sim/TxRxSim.vhd | 1 | 13,884 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 00:50:52 08/18/2015
-- Design Name:
-- Module Name: C:/Users/Kurtis/Desktop/testBed/ethernet/TxRxSim.vhd
-- Project Name: ethernet
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: EthFrameRx
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
use work.Eth1000BaseXPkg.all;
use work.GigabitEthPkg.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY TxRxSim IS
END TxRxSim;
ARCHITECTURE behavior OF TxRxSim IS
--Inputs
signal ethClk : std_logic := '0';
signal ethRst : std_logic := '0';
signal userData : std_logic_vector(7 downto 0) := (others => '0');
signal userDataValid : std_logic := '0';
signal userDataLastByte : std_logic := '0';
--signal userDataCount : std_logic_vector(10 downto 0) := (others => '0');
signal idleCount : std_logic_vector(10 downto 0) := (others => '0');
signal macData : EthMacDataType;
signal txMacAddress : MacAddrType := MAC_ADDR_DEFAULT_C;
signal rxMacAddress : MacAddrType := ( 5 => x"A1",
4 => x"B2",
3 => x"C3",
2 => x"D4",
1 => x"E5",
0 => x"F6" );
signal txEtherType : EtherType := ETH_TYPE_ARP_C;
signal txIpAddress : IpAddrType := ( 3 => conv_std_logic_vector(192,8),
2 => conv_std_logic_vector(168,8),
1 => conv_std_logic_vector(1,8),
0 => conv_std_logic_vector(2,8) );
signal rxIpAddress : IpAddrType := IP_ADDR_DEFAULT_C;
-- MAC frame TX
signal macTxData : std_logic_vector(7 downto 0) := (others => '0');
signal macTxDataValid : std_logic := '0';
signal macTxDataLastByte : std_logic := '0';
signal macTxDataReady : std_logic := '0';
-- Ethernet frame TX
signal ethTxData : std_logic_vector(7 downto 0) := (others => '0');
signal ethTxDataValid : std_logic := '0';
signal ethTxDataLastByte : std_logic := '0';
signal ethTxDataReady : std_logic := '0';
-- ARP TX interfave
signal arpReq : std_logic := '0';
signal arpAck : std_logic := '0';
-- MAC frame RX
signal macRxData : std_logic_vector(7 downto 0) := (others => '0');
signal macRxDataValid : std_logic := '0';
signal macRxDataLast : std_logic := '0';
signal macRxBadFrame : std_logic := '0';
-- Ethernet frame RX
signal ethRxEtherType : EtherType := ETH_TYPE_INIT_C;
signal ethRxSrcMac : MacAddrType := MAC_ADDR_INIT_C;
signal ethRxDestMac : MacAddrType := MAC_ADDR_INIT_C;
signal ethRxData : std_logic_vector(7 downto 0);
signal ethRxDataValid : std_logic;
signal ethRxDataLast : std_logic;
-- ARP packet RX
signal arpSenderMac : MacAddrType;
signal arpSenderIp : IpAddrType;
signal arpTargetMac : MacAddrType;
signal arpTargetIp : IpAddrType;
signal arpValid : sl;
-- Clock period definitions
constant ethClk_period : time := 8 ns;
constant GATE_DELAY_C : time := 1 ns;
BEGIN
-- Transmit data from Tx
U_MacTx : entity work.Eth1000BaseXMacTx
port map (
-- 125 MHz ethernet clock in
ethTxClk => ethClk,
ethTxRst => ethRst,
-- User data to be sent
userDataIn => macTxData,
userDataValid => macTxDataValid,
userDataLastByte => macTxDataLastByte,
userDataReady => macTxDataReady,
-- Data out to the GTX
macDataOut => macData
);
-- Ethernet Type II Frame Transmitter
U_EthFrameTx : entity work.EthFrameTx
port map (
-- 125 MHz ethernet clock in
ethTxClk => ethClk,
ethTxRst => ethRst,
-- Data for the header
ethTxDestMac => rxMacAddress,
ethTxSrcMac => txMacAddress,
ethTxEtherType => txEtherType,
-- User data to be sent
ethTxDataIn => ethTxData,
ethTxDataValid => ethTxDataValid,
ethTxDataLastByte => ethTxDataLastByte,
ethTxDataReady => ethTxDataReady,
-- Data output
macTxDataOut => macTxData,
macTxDataValid => macTxDataValid,
macTxDataLastByte => macTxDataLastByte,
macTxDataReady => macTxDataReady
);
-- ARP Packet Transmitter
U_ArpPacketTx : entity work.ArpPacketTx
port map (
-- 125 MHz ethernet clock in
ethTxClk => ethClk,
ethTxRst => ethRst,
-- Data to send
arpSenderMac => txMacAddress,
arpSenderIp => txIpAddress,
arpTargetMac => rxMacAddress,
arpTargetIp => rxIpAddress,
arpOp => ARP_OP_REQ_C,
arpReq => arpReq,
arpAck => arpAck,
-- User data to be sent
ethTxData => ethTxData,
ethTxDataValid => ethTxDataValid,
ethTxDataLastByte => ethTxDataLastByte,
ethTxDataReady => ethTxDataReady
);
------------------------------------------------------------------
-- Receive into the Rx
U_MacRx : entity work.Eth1000BaseXMacRx
port map (
-- 125 MHz ethernet clock in
ethRxClk => ethClk,
ethRxRst => ethRst,
-- Incoming data from the 16-to-8 mux
macDataIn => macData,
-- Outgoing bytes and flags to the applications
macRxData => macRxData,
macRxDataValid => macRxDataValid,
macRxDataLast => macRxDataLast,
macRxBadFrame => macRxBadFrame,
-- Monitoring flags
macBadCrcCount => open
);
-- Ethernet Type II Frame Receiver
U_EthFrameRx : entity work.EthFrameRx
port map (
ethRxClk => ethClk,
ethRxRst => ethRst,
macAddress => rxMacAddress,
macRxData => macRxData,
macRxDataValid => macRxDataValid,
macRxDataLast => macRxDataLast,
macRxBadFrame => macRxBadFrame,
ethRxEtherType => ethRxEtherType,
ethRxSrcMac => ethRxSrcMac,
ethRxDestMac => ethRxDestMac,
ethRxData => ethRxData,
ethRxDataValid => ethRxDataValid,
ethRxDataLast => ethRxDataLast
);
-- ARP Packet Receiver
U_ArpPacketRx : entity work.ArpPacketRx
port map (
ethRxClk => ethClk,
ethRxRst => ethRst,
ethRxSrcMac => ethRxSrcMac,
ethRxDestMac => ethRxDestMac,
ethRxData => ethRxData,
ethRxDataValid => ethRxDataValid,
ethRxDataLast => ethRxDataLast,
-- Received data from ARP packet
arpSenderMac => arpSenderMac,
arpSenderIp => arpSenderIp,
arpTargetMac => arpTargetMac,
arpTargetIp => arpTargetIp,
arpValid => arpValid
);
-- Clock process definitions
ethRxClk_process :process
begin
ethClk <= '0';
wait for ethClk_period/2;
ethClk <= '1';
wait for ethClk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
ethRst <= '1';
-- hold reset state for 100 ns.
wait for 100 ns;
ethRst <= '0';
wait for ethClk_period*10;
-- insert stimulus here
wait;
end process;
data_proc : process(ethClk) begin
if rising_edge(ethClk) then
if ethRst = '1' then
arpReq <= '0';
idleCount <= (others => '0') after GATE_DELAY_C;
else
if (idleCount = 10) then
arpReq <= '1';
if (arpAck = '1') then
arpReq <= '0';
-- idleCount <= (others => '0');
idleCount <= idleCount + 1;
end if;
elsif idleCount < 10 then
idleCount <= idleCount + 1;
end if;
end if;
end if;
end process;
-- data_proc : process(ethClk)
-- variable userDataCount : slv(10 downto 0) := (others => '0');
-- begin
-- if rising_edge(ethClk) then
-- if ethRst = '1' then
-- userData <= (others => '0') after GATE_DELAY_C;
-- userDataValid <= '0' after GATE_DELAY_C;
-- userDataLastByte <= '0' after GATE_DELAY_C;
-- userDataCount := (others => '0');-- after GATE_DELAY_C;
-- idleCount <= (others => '0') after GATE_DELAY_C;
-- else
-- if (userDataReady = '1') then
-- userDataCount := userDataCount + 1;
-- end if;
-- if (idleCount > 10) then
-- userDataValid <= '1' after GATE_DELAY_C;
-- userDataLastByte <= '0' after GATE_DELAY_C;
-- -- if (userDataCount = 0) then userData <= rxMacAddress(0);
-- -- elsif (userDataCount = 1) then userData <= rxMacAddress(1);
-- -- elsif (userDataCount = 2) then userData <= rxMacAddress(2);
-- -- elsif (userDataCount = 3) then userData <= rxMacAddress(3);
-- -- elsif (userDataCount = 4) then userData <= rxMacAddress(4);
-- -- elsif (userDataCount = 5) then userData <= rxMacAddress(5);
-- -- elsif (userDataCount = 6) then userData <= txMacAddress(0);
-- -- elsif (userDataCount = 7) then userData <= txMacAddress(1);
-- -- elsif (userDataCount = 8) then userData <= txMacAddress(2);
-- -- elsif (userDataCount = 9) then userData <= txMacAddress(3);
-- -- elsif (userDataCount = 10) then userData <= txMacAddress(4);
-- -- elsif (userDataCount = 11) then userData <= txMacAddress(5);
-- --elsif (userDataCount = 0) then userData <= getByte(1,ETH_TYPE_ARP_C);
-- --elsif (userDataCount = 1) then userData <= getByte(0,ETH_TYPE_ARP_C);
-- if (userDataCount = 0) then userData <= getByte(1,ARP_HTYPE_C);
-- elsif (userDataCount = 1) then userData <= getByte(0,ARP_HTYPE_C);
-- elsif (userDataCount = 2) then userData <= getByte(1,ARP_PTYPE_C);
-- elsif (userDataCount = 3) then userData <= getByte(0,ARP_PTYPE_C);
-- elsif (userDataCount = 4) then userData <= getByte(0,ARP_HLEN_C);
-- elsif (userDataCount = 5) then userData <= getByte(0,ARP_PLEN_C);
-- elsif (userDataCount = 6) then userData <= getByte(1,ARP_OP_REQ_C);
-- elsif (userDataCount = 7) then userData <= getByte(0,ARP_OP_REQ_C);
-- elsif (userDataCount = 8) then userData <= txMacAddress(5);
-- elsif (userDataCount = 9) then userData <= txMacAddress(4);
-- elsif (userDataCount = 10) then userData <= txMacAddress(3);
-- elsif (userDataCount = 11) then userData <= txMacAddress(2);
-- elsif (userDataCount = 12) then userData <= txMacAddress(1);
-- elsif (userDataCount = 13) then userData <= txMacAddress(0);
-- elsif (userDataCount = 14) then userData <= txIpAddress(3);
-- elsif (userDataCount = 15) then userData <= txIpAddress(2);
-- elsif (userDataCount = 16) then userData <= txIpAddress(1);
-- elsif (userDataCount = 17) then userData <= txIpAddress(0);
-- elsif (userDataCount = 18) then userData <= MAC_ADDR_BCAST_C(5);
-- elsif (userDataCount = 19) then userData <= MAC_ADDR_BCAST_C(4);
-- elsif (userDataCount = 20) then userData <= MAC_ADDR_BCAST_C(3);
-- elsif (userDataCount = 21) then userData <= MAC_ADDR_BCAST_C(2);
-- elsif (userDataCount = 22) then userData <= MAC_ADDR_BCAST_C(1);
-- elsif (userDataCount = 23) then userData <= MAC_ADDR_BCAST_C(0);
-- elsif (userDataCount = 24) then userData <= rxIpAddress(3);
-- elsif (userDataCount = 25) then userData <= rxIpAddress(2);
-- elsif (userDataCount = 26) then userData <= rxIpAddress(1);
-- elsif (userDataCount = 27) then userData <= rxIpAddress(0);
-- userDataLastByte <= '1';
-- else
-- userDataValid <= '0' after GATE_DELAY_C;
-- -- idleCount <= (others => '0') after GATE_DELAY_C;
-- userDataCount := (others => '0');-- after GATE_DELAY_C;
-- end if;
-- else
-- idleCount <= idleCount + 1 after GATE_DELAY_C;
-- end if;
-- end if;
-- end if;
-- end process;
END;
| lgpl-2.1 | dcf4b599f7e899d32f6348aaf40b0a9d | 0.5345 | 4.621838 | false | false | false | false |
wltr/cern-onewire-idtemp | src/rtl/onewire_idtemp.vhd | 1 | 9,179 | -------------------------------------------------------------------------------
--! @file onewire_idtemp.vhd
--! @author Johannes Walter <[email protected]>
--! @copyright LGPL v2.1
--! @brief 1-wire ID and temperature sensor interface.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
--! @brief Entity declaration of onewire_idtemp
--! @details Memory: 16 bytes per device = 64 bits ID + 64 bits scratch pad
entity onewire_idtemp is
generic (
--! System clock frequency in Hz
clk_frequency_g : natural := 40e6;
--! Maximum number of devices on a bus
max_devices_g : positive := 16;
--! Invert 1-wire bus RX and TX signals
invert_bus_g : boolean := false;
--! Invert strong pull-up signal
invert_pullup_g : boolean := false);
port (
--! @name Clock and resets
--! @{
--! System clock
clk_i : in std_ulogic;
--! Asynchronous active-low reset
rst_asy_n_i : in std_ulogic;
--! Synchronous active-high reset
rst_syn_i : in std_ulogic;
--! @}
--! @name Status and control signals
--! @{
--! Discover devices on the 1-wire bus
discover_i : in std_ulogic;
--! Convert and retrieve temperature values
get_temp_i : in std_ulogic;
--! Busy flag
busy_o : out std_ulogic;
--! Done flag
done_o : out std_ulogic;
--! Number of detected devices
device_count_o : out std_ulogic_vector(natural(ceil(log2(real(max_devices_g + 1)))) - 1 downto 0);
--! Error flag if too many devices are detected
error_too_many_o : out std_ulogic;
--! @}
--! @name Memory interface
--! @{
--! Address
rd_addr_i : in std_ulogic_vector(natural(ceil(log2(real(max_devices_g * 2)))) - 1 downto 0);
--! Read enable
rd_en_i : in std_ulogic;
--! Data output
rd_data_o : out std_ulogic_vector(63 downto 0);
--! Data output enable
rd_data_en_o : out std_ulogic;
--! Busy flag
rd_busy_o : out std_ulogic;
--! @}
--! @name Bus interface
--! @{
--! Enable strong pull-up circuit to provide more current during temperature conversion
strong_pullup_o : out std_ulogic;
--! Input
rx_i : in std_ulogic;
--! Output
tx_o : out std_ulogic);
--! @}
end entity onewire_idtemp;
--! RTL implementation of onewire_idtemp
architecture rtl of onewire_idtemp is
-----------------------------------------------------------------------------
--! @name Internal Wires
-----------------------------------------------------------------------------
--! @{
signal rx : std_ulogic;
signal tx : std_ulogic;
signal strong_pullup : std_ulogic;
signal if_bus_rst : std_ulogic;
signal if_send : std_ulogic;
signal if_tx_data : std_ulogic;
signal if_recv : std_ulogic;
signal if_rx_data : std_ulogic;
signal if_rx_data_en : std_ulogic;
signal if_done : std_ulogic;
signal mem_wr_addr : std_ulogic_vector(rd_addr_i'range);
signal mem_wr_en : std_ulogic;
signal mem_wr_data : std_ulogic_vector(63 downto 0);
signal mem_wr_done : std_ulogic;
signal mem_rd_addr : std_ulogic_vector(rd_addr_i'range);
signal mem_rd_en : std_ulogic;
signal mem_rd_data : std_ulogic_vector(63 downto 0);
signal mem_rd_data_en : std_ulogic;
signal mem_rd_busy : std_ulogic;
signal dcvr_start : std_ulogic;
signal dcvr_id : std_ulogic_vector(63 downto 0);
signal dcvr_id_en : std_ulogic;
signal dcvr_done : std_ulogic;
signal dcvr_bus_rst : std_ulogic;
signal dcvr_bit_send : std_ulogic;
signal dcvr_bit_tx : std_ulogic;
signal dcvr_bit_recv : std_ulogic;
signal dcvr_bit_rx : std_ulogic;
signal dcvr_bit_rx_en : std_ulogic;
signal dcvr_bit_done : std_ulogic;
signal ctrl_wr_addr : std_ulogic_vector(rd_addr_i'range);
signal ctrl_wr_en : std_ulogic;
signal ctrl_wr_data : std_ulogic_vector(63 downto 0);
signal ctrl_wr_done : std_ulogic;
signal ctrl_rd_addr : std_ulogic_vector(rd_addr_i'range);
signal ctrl_rd_en : std_ulogic;
signal ctrl_rd_data : std_ulogic_vector(63 downto 0);
signal ctrl_rd_data_en : std_ulogic;
signal ctrl_bus_rst : std_ulogic;
signal ctrl_bit_send : std_ulogic;
signal ctrl_bit_tx : std_ulogic;
signal ctrl_bit_recv : std_ulogic;
signal ctrl_bit_rx : std_ulogic;
signal ctrl_bit_rx_en : std_ulogic;
signal ctrl_bit_done : std_ulogic;
signal ctrl_busy : std_ulogic;
--! @}
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Outputs
-----------------------------------------------------------------------------
-- The 1-wire transceiver circuit might invert the signal level
tx_o <= (not tx) when invert_bus_g else tx;
strong_pullup_o <= (not strong_pullup) when invert_pullup_g else strong_pullup;
busy_o <= ctrl_busy;
rd_data_o <= mem_rd_data;
rd_data_en_o <= mem_rd_data_en and (not ctrl_busy);
rd_busy_o <= mem_rd_busy or ctrl_busy;
-----------------------------------------------------------------------------
-- Signal Assignments
-----------------------------------------------------------------------------
-- The 1-wire transceiver circuit might invert the signal level
rx <= (not rx_i) when invert_bus_g else rx_i;
if_bus_rst <= dcvr_bus_rst or ctrl_bus_rst;
if_send <= dcvr_bit_send or ctrl_bit_send;
if_tx_data <= dcvr_bit_tx or ctrl_bit_tx;
if_recv <= dcvr_bit_recv or ctrl_bit_recv;
dcvr_bit_rx <= if_rx_data;
dcvr_bit_rx_en <= if_rx_data_en;
dcvr_bit_done <= if_done;
ctrl_bit_rx <= if_rx_data;
ctrl_bit_rx_en <= if_rx_data_en;
ctrl_bit_done <= if_done;
mem_wr_addr <= ctrl_wr_addr;
mem_wr_en <= ctrl_wr_en or dcvr_id_en;
mem_wr_data <= dcvr_id when dcvr_id_en = '1' else ctrl_wr_data;
ctrl_wr_done <= mem_wr_done;
mem_rd_addr <= ctrl_rd_addr when ctrl_rd_en = '1' else rd_addr_i;
mem_rd_en <= rd_en_i or ctrl_rd_en;
ctrl_rd_data <= mem_rd_data;
ctrl_rd_data_en <= mem_rd_data_en and ctrl_busy;
-----------------------------------------------------------------------------
-- Instantiations
-----------------------------------------------------------------------------
interface_inst : entity work.onewire_interface
generic map (
clk_frequency_g => clk_frequency_g)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
bus_rst_i => if_bus_rst,
send_i => if_send,
data_i => if_tx_data,
recv_i => if_recv,
data_o => if_rx_data,
data_en_o => if_rx_data_en,
done_o => if_done,
rx_i => rx,
tx_o => tx);
discover_inst : entity work.onewire_discover
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
discover_i => dcvr_start,
id_o => dcvr_id,
id_en_o => dcvr_id_en,
done_o => dcvr_done,
bus_rst_o => dcvr_bus_rst,
bit_send_o => dcvr_bit_send,
bit_o => dcvr_bit_tx,
bit_recv_o => dcvr_bit_recv,
bit_i => dcvr_bit_rx,
bit_en_i => dcvr_bit_rx_en,
bit_done_i => dcvr_bit_done);
ctrl_inst : entity work.onewire_control
generic map (
clk_frequency_g => clk_frequency_g,
max_devices_g => max_devices_g)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
discover_i => discover_i,
get_temp_i => get_temp_i,
busy_o => ctrl_busy,
done_o => done_o,
device_count_o => device_count_o,
error_too_many_o => error_too_many_o,
strong_pullup_o => strong_pullup,
discover_o => dcvr_start,
id_en_i => dcvr_id_en,
done_i => dcvr_done,
mem_wr_addr_o => ctrl_wr_addr,
mem_wr_en_o => ctrl_wr_en,
mem_wr_data_o => ctrl_wr_data,
mem_wr_done_i => ctrl_wr_done,
mem_rd_addr_o => ctrl_rd_addr,
mem_rd_en_o => ctrl_rd_en,
mem_rd_data_i => ctrl_rd_data,
mem_rd_data_en_i => ctrl_rd_data_en,
bus_rst_o => ctrl_bus_rst,
bit_send_o => ctrl_bit_send,
bit_o => ctrl_bit_tx,
bit_recv_o => ctrl_bit_recv,
bit_i => ctrl_bit_rx,
bit_en_i => ctrl_bit_rx_en,
bit_done_i => ctrl_bit_done);
ram_inst : entity work.two_port_ram_tmr
generic map (
depth_g => 2 * max_devices_g,
width_g => rd_data_o'length)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
wr_addr_i => mem_wr_addr,
wr_en_i => mem_wr_en,
wr_data_i => mem_wr_data,
wr_done_o => mem_wr_done,
wr_busy_o => open,
rd_addr_i => mem_rd_addr,
rd_en_i => mem_rd_en,
rd_data_o => mem_rd_data,
rd_data_en_o => mem_rd_data_en,
rd_busy_o => mem_rd_busy);
end architecture rtl;
| lgpl-2.1 | 19f9d3c874901d270acbc7fe4a2c8f8e | 0.527399 | 3.250354 | false | false | false | false |
SLongofono/Senior_Design_Capstone | solid_C/decode.vhd | 1 | 22,863 | ----------------------------------------------------------------------------------
-- Engineer: Longofono
--
-- Create Date: 11/06/2017 10:33:06 AM
-- Module Name: decode - Behavioral
-- Description:
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
-- Decode Unit
-- Determines the intruction type
-- Parses out all possible fields (whether or not they are relevant)
-- May sign extend and prepare a full immediate address, I'm not sure if
-- this is the right place to do this yet. For now, just pulls the 12 or 20 bit
-- raw immediate value based on instruction type. See config.vhd for typedefs and constants
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library config;
use work.config.all;
entity decoder is
Port(
instr : in std_logic_vector(31 downto 0);
instr_code : out instr_t;
funct3 : out funct3_t;
funct6 : out funct6_t;
funct7 : out funct7_t;
imm12 : out std_logic_vector(11 downto 0); -- I, B, and S Immediates
imm20 : out std_logic_vector(19 downto 0); -- U and J Immediates
opcode : out opcode_t;
rs1 : out reg_t;
rs2 : out reg_t;
rs3 : out reg_t;
rd : out reg_t;
shamt : out std_logic_vector(4 downto 0);
csr : out std_logic_vector(31 downto 20);
sext_imm12 : out std_logic_vector(63 downto 0);
sext_imm20 : out std_logic_vector(63 downto 0);
reg_A : out integer;
reg_B : out integer;
reg_C : out integer;
reg_D : out integer
);
end decoder;
architecture Behavioral of decoder is
signal s_imm12 : std_logic_vector(11 downto 0);
signal s_imm20 : std_logic_vector(19 downto 0);
signal s_instr_t: instr_t;
signal s_shamt: std_logic_vector(4 downto 0);
signal s_csr: std_logic_vector(11 downto 0);
signal s_rs1 : reg_t;
signal s_rs2 : reg_t;
signal s_rs3 : reg_t;
signal s_rd : reg_t;
begin
-- Update instruction type whenever it changes
process(instr)
begin
s_imm12 <= (others => '0');
s_imm20 <= (others => '0');
s_instr_t<= (others => '1');
s_shamt <= (others => '0');
s_csr <= (others => '0');
case instr(6 downto 0) is
when LUI_T => ----
s_instr_t <= instr_LUI;
s_imm20 <= instr(31 downto 12);
when AUIPC_T =>
s_instr_t <= instr_AUIPC;
s_imm20 <= instr(31 downto 12);
when JAL_T =>
s_instr_t <= instr_JAL;
s_imm20 <= instr(31) & instr(19 downto 12) & instr(20) & instr(30 downto 21);
when JALR_T =>
s_instr_t <= instr_JALR;
s_imm12 <= instr(31 downto 20);
when BRANCH_T =>
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_BEQ;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "001" =>
s_instr_t <= instr_BNE;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "100" =>
s_instr_t <= instr_BLT;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "101" =>
s_instr_t <= instr_BGE;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "110" =>
s_instr_t <= instr_BLTU;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "111" =>
s_instr_t <= instr_BGEU;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when others => -- error state
end case;
when LOAD_T =>
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_LB;
s_imm12 <= instr(31 downto 20);
when "001" =>
s_instr_t <= instr_LH;
s_imm12 <= instr(31 downto 20);
when "010" =>
s_instr_t <= instr_LW;
s_imm12 <= instr(31 downto 20);
when "100" =>
s_instr_t <= instr_LBU;
s_imm12 <= instr(31 downto 20);
when "101" =>
s_instr_t <= instr_LHU;
s_imm12 <= instr(31 downto 20);
when "110" =>
s_instr_t <= instr_LWU;
s_imm12 <= instr(31 downto 20);
when "011" =>
s_instr_t <= instr_LD;
s_imm12 <= instr(31 downto 20);
when others => --error state
end case;
when STORE_T =>
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_SB;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when "001" =>
s_instr_t <= instr_SH;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when "010" =>
s_instr_t <= instr_SW;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when "011" =>
s_instr_t <= instr_SD;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when others => -- error state
end case;
when ALUI_T =>
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_ADDI;
s_imm12 <= instr(31 downto 20);
when "010" =>
s_instr_t <= instr_SLTI;
s_imm12 <= instr(31 downto 20);
when "011" =>
s_instr_t <= instr_SLTIU;
s_imm12 <= instr(31 downto 20);
when "100" =>
s_instr_t <= instr_XORI;
s_imm12 <= instr(31 downto 20);
when "110" =>
s_instr_t <= instr_ORI;
s_imm12 <= instr(31 downto 20);
when "111" =>
s_instr_t <= instr_ANDI;
s_imm12 <= instr(31 downto 20);
when "001" =>
s_instr_t <= instr_SLLI;
s_shamt <= instr(24 downto 20);
when "101" =>
if (instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SRAI;
s_shamt <= instr(24 downto 20);
else
s_instr_t <= instr_SRLI;
s_shamt <= instr(24 downto 20);
end if;
when others => -- error state
end case;
when ALU_T =>
if(instr(31 downto 25)="0000001") then
-- Case RV32M
case instr(14 downto 12) is
when "000" => s_instr_t <= instr_MUL;
when "001" => s_instr_t <= instr_MULH;
when "010" => s_instr_t <= instr_MULHSU;
when "011" => s_instr_t <= instr_MULHU;
when "100" => s_instr_t <= instr_DIV;
when "101" => s_instr_t <= instr_DIVU;
when "110" => s_instr_t <= instr_REM;
when "111" => s_instr_t <= instr_REMU;
when others => -- error state
end case;
else
-- Case RV32I
case instr(14 downto 12) is
when "000" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SUB;
else
s_instr_t <= instr_ADD;
end if;
when "001" =>
s_instr_t <= instr_SLL;
when "010" =>
s_instr_t <= instr_SLT;
when "011" =>
s_instr_t <= instr_SLTU;
when "100" =>
s_instr_t <= instr_XOR;
when "101" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SRA;
else
s_instr_t <= instr_SRL;
end if;
when "110" =>
s_instr_t <= instr_OR;
when "111" =>
s_instr_t <= instr_AND;
when others => -- error state
end case;
end if;
when FENCE_T =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FENCE;
else
s_instr_t <= instr_FENCEI;
end if;
when CSR_T =>
case instr(14 downto 12) is
when "000" =>
if(instr(31 downto 20) = "000000000000") then
s_instr_t <= instr_EBREAK;
elsif(instr(31 downto 20) = "000000000001") then
s_instr_t <= instr_ECALL;
elsif(instr(31 downto 20) = "000000000010") then
s_instr_t <= instr_URET;
elsif(instr(31 downto 20) = "000100000010") then
s_instr_t <= instr_SRET;
elsif(instr(31 downto 20) = "001100000010") then
s_instr_t <= instr_MRET;
elsif(instr(31 downto 20) = "000100000101") then
s_instr_t <= instr_WFI;
elsif(instr(31 downto 25) = "0001001") then
s_instr_t <= instr_SFENCEVM;
else
end if;
when "001" =>
s_instr_t <= instr_CSRRW;
s_csr <= instr(31 downto 20);
when "010" =>
s_instr_t <= instr_CSRRS;
s_csr <= instr(31 downto 20);
when "011" =>
s_instr_t <= instr_CSRRC;
s_csr <= instr(31 downto 20);
when "101" =>
s_instr_t <= instr_CSRRWI;
s_csr <= instr(31 downto 20);
when "110" =>
s_instr_t <= instr_CSRRSI;
s_csr <= instr(31 downto 20);
when "111" =>
s_instr_t <= instr_CSRRCI;
s_csr <= instr(31 downto 20);
when others => -- error state
end case;
when ALUW_T =>
if(instr(31 downto 25) = "0000001") then
-- Case RV64M
case instr(14 downto 12) is
when "000" => s_instr_t <= instr_MULW;
when "100" => s_instr_t <= instr_DIVW;
when "101" => s_instr_t <= instr_DIVUW;
when "110" => s_instr_t <= instr_REMW;
when "111" => s_instr_t <= instr_REMUW;
when others => --error state
end case;
else
-- Case 64I ALU
case instr(14 downto 12) is
when "000" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SUBW;
else
s_instr_t <= instr_ADDW;
end if;
when "001" =>
s_instr_t <= instr_SLLW;
when "101" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SRAW;
else
s_instr_t <= instr_SRLW;
end if;
when others => -- error state
end case;
end if;
when ALUIW_T =>
-- case RV64I
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_ADDIW;
s_imm12 <= instr(31 downto 20);
when "001" =>
s_instr_t <= instr_SLLIW;
s_shamt <= instr(24 downto 20);
when "101" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SRAIW;
s_shamt <= instr(24 downto 20);
else
s_instr_t <= instr_SRLIW;
s_shamt <= instr(24 downto 20);
end if;
when others => --error state
end case;
when ATOM_T =>
if(instr(14 downto 12)="011") then
-- case RV64A
case instr(31 downto 27) is
when "00010" => s_instr_t <= instr_LRD;
when "00011" => s_instr_t <= instr_SCD;
when "00001" => s_instr_t <= instr_AMOSWAPD;
when "00000" => s_instr_t <= instr_AMOADDD;
when "00100" => s_instr_t <= instr_AMOXORD;
when "01100" => s_instr_t <= instr_AMOANDD;
when "01000" => s_instr_t <= instr_AMOORD;
when "10000" => s_instr_t <= instr_AMOMIND;
when "10100" => s_instr_t <= instr_AMOMAXD;
when "11000" => s_instr_t <= instr_AMOMINUD;
when "11100" => s_instr_t <= instr_AMOMAXUD;
when others => --error state
end case;
else
-- case RV32A
case instr(31 downto 27) is
when "00010" => s_instr_t <= instr_LRW;
when "00011" => s_instr_t <= instr_SCW;
when "00001" => s_instr_t <= instr_AMOSWAPW;
when "00000" => s_instr_t <= instr_AMOADDW;
when "00100" => s_instr_t <= instr_AMOXORW;
when "01100" => s_instr_t <= instr_AMOANDW;
when "01000" => s_instr_t <= instr_AMOORW;
when "10000" => s_instr_t <= instr_AMOMINW;
when "10100" => s_instr_t <= instr_AMOMAXW;
when "11000" => s_instr_t <= instr_AMOMINUW;
when "11100" => s_instr_t <= instr_AMOMAXUW;
when others => --error state
end case;
end if;
when FLOAD_T =>
case instr(14 downto 12) is
when "010" =>
s_instr_t <= instr_FLW;
s_imm12 <= instr(31 downto 20);
when "011" =>
s_instr_t <= instr_FLD;
s_imm12 <= instr(31 downto 20);
when others => --error state
end case;
when FSTORE_T =>
case instr(14 downto 12) is
when "010" =>
s_instr_t <= instr_FSW;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when "011" =>
s_instr_t <= instr_FSD;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when others => --error state
end case;
when FMADD_T =>
if(instr(26 downto 25) = "00") then
s_instr_t <= instr_FMADDS;
else
s_instr_t <= instr_FMADDD;
end if;
when FMSUB_T =>
if(instr(26 downto 25) = "00") then
s_instr_t <= instr_FMSUBS;
else
s_instr_t <= instr_FMSUBD;
end if;
when FNADD_T =>
if(instr(26 downto 25) = "00") then
s_instr_t <= instr_FNMADDS;
else
s_instr_t <= instr_FNMADDD;
end if;
when FNSUB_T =>
if(instr(26 downto 25) = "00") then
s_instr_t <= instr_FNMSUBS;
else
s_instr_t <= instr_FNMSUBD;
end if;
when FPALU_T =>
case instr(31 downto 25) is
when "0000000" =>
s_instr_t <= instr_FADDS;
when "0000100" =>
s_instr_t <= instr_FSUBS;
when "0001000" =>
s_instr_t <= instr_FMULS;
when "0001100" =>
s_instr_t <= instr_FDIVS;
when "0101100" =>
s_instr_t <= instr_FSQRTS;
when "0010000" =>
if (instr(14 downto 12) = "000") then
s_instr_t <= instr_FSGNJS;
elsif (instr(14 downto 12) = "001") then
s_instr_t <= instr_FSGNJNS;
else
s_instr_t <= instr_FSGNJXS;
end if;
when "0010100" =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FMINS;
else
s_instr_t <= instr_FMAXS;
end if;
when "1100000" =>
if(instr(24 downto 20) = "00000") then
s_instr_t <= instr_FCVTWS;
elsif(instr(24 downto 20) = "00001") then
s_instr_t <= instr_FCVTWUS;
elsif(instr(24 downto 20) = "00010") then
s_instr_t <= instr_FCVTLS;
else
s_instr_t <= instr_FCVTLUS;
end if;
when "1110000" =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FMVXW;
else
s_instr_t <= instr_FCLASSS;
end if;
when "1010000" =>
if(instr(14 downto 12) = "010") then
s_instr_t <= instr_FEQS;
elsif(instr(14 downto 12) = "001") then
s_instr_t <= instr_FLTS;
else
s_instr_t <= instr_FLES;
end if;
when "1101000" =>
if(instr(24 downto 20) = "00000") then
s_instr_t <= instr_FCVTSW;
elsif(instr(24 downto 20) = "00001") then
s_instr_t <= instr_FCVTSWU;
elsif(instr(24 downto 20) = "00010") then
s_instr_t <= instr_FCVTSL;
else
s_instr_t <= instr_FCVTSLU;
end if;
when "1111000" =>
s_instr_t <= instr_FMVWX;
when "0000001" =>
s_instr_t <= instr_FADDD;
when "0000101" =>
s_instr_t <= instr_FSUBD;
when "0001001" =>
s_instr_t <= instr_FMULD;
when "0001101" =>
s_instr_t <= instr_FDIVD;
when "0101101" =>
s_instr_t <= instr_FSQRTD;
when "0010001" =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FSGNJD;
elsif(instr(14 downto 12) = "001") then
s_instr_t <= instr_FSGNJND;
else
s_instr_t <= instr_FSGNJXD;
end if;
when "0010101" =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FMIND;
else
s_instr_t <= instr_FMAXD;
end if;
when "0100000" =>
s_instr_t <= instr_FCVTSD;
when "0100001" =>
s_instr_t <= instr_FCVTDS;
when "1010001" =>
if(instr(14 downto 12) = "010") then
s_instr_t <= instr_FEQD;
elsif(instr(14 downto 12) = "001") then
s_instr_t <= instr_FLTD;
else
s_instr_t <= instr_FLED;
end if;
when "1110001" =>
if(instr(14 downto 12) = "001") then
s_instr_t <= instr_FCLASSD;
else
s_instr_t <= instr_FMVXD;
end if;
when "1100001" =>
if(instr(24 downto 20) = "00000") then
s_instr_t <= instr_FCVTWD;
elsif(instr(24 downto 20) = "00001") then
s_instr_t <= instr_FCVTWUD;
elsif(instr(24 downto 20) = "00010") then
s_instr_t <= instr_FCVTLD;
else
s_instr_t <= instr_FCVTLUD;
end if;
when "1101001" =>
if(instr(24 downto 20) = "00000") then
s_instr_t <= instr_FCVTDW;
elsif(instr(24 downto 20) = "00001") then
s_instr_t <= instr_FCVTDWU;
elsif(instr(24 downto 20) = "00010") then
s_instr_t <= instr_FCVTDL;
else
s_instr_t <= instr_FCVTDLU;
end if;
when "1111001" =>
s_instr_t <= instr_FMVDX;
when others => --error state
end case;
when others => -- error state
end case;
end process;
s_rd <= instr(11 downto 7);
s_rs1 <= instr(19 downto 15);
s_rs2 <= instr(24 downto 20);
s_rs3 <= instr(31 downto 27);
rd <= s_rd;
rs1 <= s_rs1;
rs2 <= s_rs2;
rs3 <= s_rs3;
reg_A <= to_integer(unsigned(s_rs1));
reg_B <= to_integer(unsigned(s_rs2));
reg_C <= to_integer(unsigned(s_rs3));
reg_D <= to_integer(unsigned(s_rd));
funct3 <= instr(14 downto 12);
funct6 <= instr(31 downto 26);
funct7 <= instr(31 downto 25);
opcode <= instr(6 downto 0);
imm12 <= s_imm12;
imm20 <= s_imm20;
shamt <= s_shamt;
csr <= s_csr;
instr_code <= s_instr_t;
sext_imm12(63 downto 12) <= (others => s_imm12(11));
sext_imm12(11 downto 0) <= s_imm12;
sext_imm20(63 downto 20) <= (others => s_imm20(19));
sext_imm20(19 downto 0) <= s_imm20;
end Behavioral;
| mit | b13d6f07faf729c01b35125054f096dd | 0.398329 | 4.230755 | false | false | false | false |
fabioperez/space-invaders-vhdl | lib/io/ps2_iobase.vhd | 1 | 6,163 | -------------------------------------------------------------------------------
-- Title : MC613
-- Project : PS2 Basic Protocol
-- Details : www.ic.unicamp.br/~corte/mc613/
-- www.computer-engineering.org/ps2protocol/
-------------------------------------------------------------------------------
-- File : ps2_base.vhd
-- Author : Thiago Borges Abdnur
-- Company : IC - UNICAMP
-- Last update: 2010/04/12
-------------------------------------------------------------------------------
-- Description:
-- PS2 basic control
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
entity ps2_iobase is
generic(
clkfreq : integer -- This is the system clock value in kHz
);
port(
ps2_data : inout std_logic; -- PS2 data pin
ps2_clk : inout std_logic; -- PS2 clock pin
clk : in std_logic; -- system clock (same frequency as defined in
-- 'clkfreq' generic)
en : in std_logic; -- Enable
resetn : in std_logic; -- Reset when '0'
idata_rdy : in std_logic; -- Rise this to signal data is ready to be sent
-- to device
idata : in std_logic_vector(7 downto 0); -- Data to be sent to device
send_rdy : out std_logic; -- '1' if data can be sent to device (wait for
-- this before rising 'idata_rdy'
odata_rdy : out std_logic; -- '1' when data from device has arrived
odata : out std_logic_vector(7 downto 0) -- Data from device
);
end;
architecture rtl of ps2_iobase is
constant CLKSSTABLE : integer := clkfreq / 150;
signal sdata, hdata : std_logic_vector(7 downto 0);
signal sigtrigger, parchecked, sigsending,
sigsendend, sigclkreleased, sigclkheld : std_logic;
begin
-- Trigger for state change to eliminate noise
process(clk, ps2_clk, en, resetn)
variable fcount, rcount : integer range CLKSSTABLE downto 0;
begin
if(rising_edge(clk) and en = '1') then
-- Falling edge noise
if ps2_clk = '0' then
rcount := 0;
if fcount >= CLKSSTABLE then
sigtrigger <= '1';
else
fcount := fcount + 1;
end if;
-- Rising edge noise
elsif ps2_clk = '1' then
fcount := 0;
if rcount >= CLKSSTABLE then
sigtrigger <= '0';
else
rcount := rcount + 1;
end if;
end if;
end if;
if resetn = '0' then
fcount := 0;
rcount := 0;
sigtrigger <= '0';
end if;
end process;
FROMPS2:
process(sigtrigger, sigsending, resetn)
variable count : integer range 0 to 11;
begin
if(rising_edge(sigtrigger) and sigsending = '0') then
if count > 0 and count < 9 then
sdata(count - 1) <= ps2_data;
end if;
if count = 9 then
if (not (sdata(0) xor sdata(1) xor sdata(2) xor sdata(3)
xor sdata(4) xor sdata(5) xor sdata(6) xor sdata(7))) = ps2_data then
parchecked <= '1';
else
parchecked <= '0';
end if;
end if;
count := count + 1;
if count = 11 then
count := 0;
parchecked <= '0';
end if;
end if;
if resetn = '0' or sigsending = '1' then
sdata <= (others => '0');
parchecked <= '0';
count := 0;
end if;
end process;
odata_rdy <= en and parchecked;
odata <= sdata;
-- Edge triggered send register
process(idata_rdy, sigsendend, resetn)
begin
if(rising_edge(idata_rdy)) then
sigsending <= '1';
end if;
if resetn = '0' or sigsendend = '1' then
sigsending <= '0';
end if;
end process;
-- Wait for at least 11ms before allowing to send again
process(clk, sigsending, resetn)
-- clkfreq is the number of clocks within a milisecond
variable countclk : integer range 0 to (12 * clkfreq);
begin
if(rising_edge(clk) and sigsending = '0') then
if countclk = (11 * clkfreq) then
send_rdy <= '1';
else
countclk := countclk + 1;
end if;
end if;
if sigsending = '1' then
send_rdy <= '0';
countclk := 0;
end if;
if resetn = '0' then
send_rdy <= '1';
countclk := 0;
end if;
end process;
-- Host input data register
process(idata_rdy, sigsendend, resetn)
begin
if(rising_edge(idata_rdy)) then
hdata <= idata;
end if;
if resetn = '0' or sigsendend = '1' then
hdata <= (others => '0');
end if;
end process;
-- PS2 clock control
process(clk, sigsendend, resetn)
constant US100CNT : integer := clkfreq / 10;
variable count : integer range 0 to US100CNT + 101;
begin
if(rising_edge(clk) and sigsending = '1') then
if count < US100CNT + 50 then
count := count + 1;
ps2_clk <= '0';
sigclkreleased <= '0';
sigclkheld <= '0';
elsif count < US100CNT + 100 then
count := count + 1;
ps2_clk <= '0';
sigclkreleased <= '0';
sigclkheld <= '1';
else
ps2_clk <= 'Z';
sigclkreleased <= '1';
sigclkheld <= '0';
end if;
end if;
if resetn = '0' or sigsendend = '1' then
ps2_clk <= 'Z';
sigclkreleased <= '1';
sigclkheld <= '0';
count := 0;
end if;
end process;
-- Sending control
TOPS2:
process(sigtrigger, sigsending, sigclkheld, sigclkreleased, resetn)
variable count : integer range 0 to 11;
begin
if(rising_edge(sigtrigger) and sigclkreleased = '1'
and sigsending = '1') then
if count >= 0 and count < 8 then
ps2_data <= hdata(count);
sigsendend <= '0';
end if;
if count = 8 then
ps2_data <= (not (hdata(0) xor hdata(1) xor hdata(2) xor hdata(3)
xor hdata(4) xor hdata(5) xor hdata(6) xor hdata(7)));
sigsendend <= '0';
end if;
if count = 9 then
ps2_data <= 'Z';
sigsendend <= '0';
end if;
if count = 10 then
ps2_data <= 'Z';
sigsendend <= '1';
count := 0;
end if;
count := count + 1;
end if;
if sigclkheld = '1' then
ps2_data <= '0';
sigsendend <= '0';
count := 0;
end if;
if resetn = '0' or sigsending = '0' then
ps2_data <= 'Z';
sigsendend <= '0';
count := 0;
end if;
end process;
end rtl;
| mit | 942a93a1d5debd49aace759e62f56e90 | 0.547947 | 3.185013 | false | false | false | false |
gau-veldt/InsideTheBox | Ep0003/AudioEcho_ACK.vhd | 1 | 10,558 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 07/14/2017 12:34:40 AM
-- Design Name:
-- Module Name: AudioEcho - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity AudioEcho is
port (
led : out std_logic_vector(3 downto 0); -- Pretty light show for debugging stuff
clk_125 : in std_logic; -- 125 MHz Zybo clock
ac_sda : inout std_logic; -- I2C data
ac_scl : inout std_logic -- I2C clock
);
end AudioEcho;
architecture Driver of AudioEcho is
signal led_st : std_logic_vector(3 downto 0) := "0000"; -- output register for leds
subtype tCount is unsigned(31 downto 0); -- type alias: 32-bit unsigned integer
constant cZero : tCount := to_unsigned(0,tCount'length); -- zero constant
constant cMod : tCount := to_unsigned(2,tCount'length); -- 2/cMod is divider period in seconds
constant cMax : tCount := to_unsigned(125000000,tCount'length); -- input clock frequency constant
signal my_clk : std_logic := '0'; -- divider output
signal r_sda_i : std_logic := '1'; -- I2C sda input register
signal r_sda_o : std_logic := '1'; -- I2C sda output register
signal r_scl_i : std_logic := '1'; -- I2C scl input register
signal r_scl_o : std_logic := '1'; -- I2C scl output register
-- enumeration of audio configuration state machine states
type ac_states is (
acsInit,
acsStart,
acsA7pre,
acsA7set,
acsA7out,
acsA6pre,
acsA6set,
acsA6out,
acsA5pre,
acsA5set,
acsA5out,
acsA4pre,
acsA4set,
acsA4out,
acsA3pre,
acsA3set,
acsA3out,
acsA2pre,
acsA2set,
acsA2out,
acsA1pre,
acsA1set,
acsA1out,
acsWpre,
acsWset,
acsWout,
acsWACKpre,
acsWACKfloat,
acsWACKclk,
acsWACKtest,
acsStop,
acsForceStop,
acsForceStop2,
acsForceStop3,
acsSpin
);
signal ac_state : ac_states := acsInit;
signal ac_good : std_logic := '0';
begin
-- clock divider
divider: process(clk_125) is
variable dCur : tCount := cZero;
begin
if (rising_edge(clk_125)) then
dCur:=dCur+cMod;
if (dCur >= cMax) then
dCur := dCur - cMax;
my_clk <= not my_clk;
end if;
end if;
end process divider;
-- state machine for I2C
audioconf : process(my_clk) is
begin
-- NB: On the Zybo board only two slaves (EEPROM and SSM2603)
-- are present on the I2C bus thus we are the only master
-- in the system thus there is no need for arbitration logic.
if (rising_edge(my_clk)) then
case ac_state is
-- Initial state
when acsInit =>
-- Use a counter to pause the minimum initialization
-- duration before transitioning to starting condition.
ac_state <= acsStart;
-- Starting condition
when acsStart =>
if (r_scl_i='1' and r_sda_i='1') then
-- signal START on the bus
r_sda_o<='0';
ac_state <= acsA7pre;
else
-- still waiting for SCL=1 and SDA=1
ac_state <= acsStart;
end if;
-- each bit sent takes three states
-- since for I2C it is required to transition SDA
-- *after* the SCL low edge and not at the same
-- time (a device might register SDA before our
-- clock in that event and misinterpret a STOP
-- signal if SDA becomes 1 on this cycle when SDA
-- was 0 on the previous cycle since we'd have
-- set SCL to 1 to transmit that bit).
when acsA7pre => -- Call SSM2603 address for write (R=1, W=0):
r_scl_o<='0'; -- 0 0 1 1 0 1 0 W
ac_state<=acsA7set;
when acsA7set =>
r_sda_o<='0'; -- 0
ac_state<=acsA7out;
when acsA7out =>
r_scl_o<='1';
ac_state <= acsA6pre;
when acsA6pre =>
r_scl_o<='0';
ac_state<=acsA6set;
when acsA6set =>
r_sda_o<='0'; -- - 0
ac_state<=acsA6out;
when acsA6out =>
r_scl_o<='1';
ac_state <= acsA5pre;
when acsA5pre =>
r_scl_o<='0';
ac_state<=acsA5set;
when acsA5set =>
r_sda_o<='1'; -- - - 1
ac_state<=acsA5out;
when acsA5out =>
r_scl_o<='1';
ac_state <= acsA4pre;
when acsA4pre =>
r_scl_o<='0';
ac_state<=acsA4set;
when acsA4set =>
r_sda_o<='1'; -- - - - 1
ac_state<=acsA4out;
when acsA4out =>
r_scl_o<='1';
ac_state <= acsA3pre;
when acsA3pre =>
r_scl_o<='0';
ac_state<=acsA3set;
when acsA3set =>
r_sda_o<='0'; -- - - - - 0
ac_state<=acsA3out;
when acsA3out =>
r_scl_o<='1';
ac_state <= acsA2pre;
when acsA2pre =>
r_scl_o<='0';
ac_state<=acsA2set;
when acsA2set =>
r_sda_o<='1'; -- - - - - - 1
ac_state<=acsA2out;
when acsA2out =>
r_scl_o<='1';
ac_state <= acsA1pre;
when acsA1pre =>
r_scl_o<='0';
ac_state<=acsA1set;
when acsA1set =>
r_sda_o<='0'; -- - - - - - - 0
ac_state<=acsA1out;
when acsA1out =>
r_scl_o<='1';
ac_state <= acsWpre;
when acsWpre =>
r_scl_o<='0';
ac_state<=acsWset;
when acsWset =>
r_sda_o<='0'; -- - - - - - - - W
ac_state<=acsWout;
when acsWout =>
r_scl_o<='1';
ac_state <= acsWACKpre;
-- On the ackknowledge there's a similar pattern
-- first we'll lower the clock THEN float SDA
-- THEN raise the clock THEN test SDA for
-- ACK/NACK. THENs mean delay is necessary
-- thus requiring a different state for each
-- step so they span different clock cycles
when acsWACKpre =>
r_scl_o<='0'; -- lower clock
ac_state<=acsWACKfloat;
when acsWACKfloat =>
r_sda_o<='1'; -- SDA floats to 1
ac_state<=acsWACKclk;
when acsWACKclk =>
r_scl_o<='1'; -- raise clock
ac_state<=acsWACKtest;
when acsWACKtest =>
-- if all is right SDA should be low at this point
if (r_sda_i='0') then
ac_good<='1';
end if;
ac_state<=acsForceStop;
-- forcibly stop:
-- lower SCL
-- lower SDA
-- raise SCL
-- raise SDA
when acsForceStop =>
r_scl_o<='0';
ac_state <= acsForceStop2;
when acsForceStop2 =>
r_sda_o<='0';
ac_state <= acsForceStop3;
when acsForceStop3 =>
r_scl_o<='1';
ac_state <= acsStop;
when acsStop =>
r_sda_o<='1';
ac_state <= acsSpin;
-- Halted (infinite loop)
when acsSpin =>
ac_state <= acsSpin;
-- Catch-all: enter halted state
when others =>
ac_state <= acsSpin;
end case;
end if;
end process audioconf;
-- i2c output registers to i2c lines
with r_sda_o select
ac_sda <= '0' when '0', -- generate the 0 level (pulls down to ground)
'Z' when others; -- allows bus pull-up to generate the 1 level
with r_scl_o select
ac_scl <= '0' when '0', -- generate the 0 level (pulls down to ground)
'Z' when others; -- allows bus pull-up to generate the 1 level
-- i2c lines to i2c input registers
with ac_sda select
r_sda_i <= '0' when '0',
'1' when others;
with ac_scl select
r_scl_i <= '0' when '0',
'1' when others;
-- transfer led output register to led output lines
led_st(0) <= r_sda_i;
led_st(1) <= r_scl_i;
led_st(2) <= ac_good;
led_st(3) <= my_clk;
led <= led_st;
end Driver; | gpl-3.0 | ab039a04c6cff72304c94c0557a3ba51 | 0.431237 | 4.361008 | false | false | false | false |
SLongofono/Senior_Design_Capstone | simple_core/decode.vhd | 2 | 22,081 | ----------------------------------------------------------------------------------
-- Engineer: Longofono
--
-- Create Date: 11/06/2017 10:33:06 AM
-- Module Name: decode - Behavioral
-- Description:
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
-- Decode Unit
-- Determines the intruction type
-- Parses out all possible fields (whether or not they are relevant)
-- May sign extend and prepare a full immediate address, I'm not sure if
-- this is the right place to do this yet. For now, just pulls the 12 or 20 bit
-- raw immediate value based on instruction type. See config.vhd for typedefs and constants
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library config;
use work.config.all;
entity decode is
Port(
instr : in std_logic_vector(63 downto 0);
instr_code : out instr_t;
funct3 : out funct3_t;
funct6 : out funct6_t;
funct7 : out funct7_t;
imm12 : out std_logic_vector(11 downto 0); -- I, B, and S Immediates
imm20 : out std_logic_vector(19 downto 0); -- U and J Immediates
opcode : out opcode_t;
rs1 : out reg_t;
rs2 : out reg_t;
rs3 : out reg_t;
rd : out reg_t;
shamt : out std_logic_vector(4 downto 0);
csr : out std_logic_vector(31 downto 20)
);
end decode;
architecture Behavioral of decode is
signal s_imm12 : std_logic_vector(11 downto 0);
signal s_imm20 : std_logic_vector(19 downto 0);
signal s_instr_t: instr_t;
signal s_shamt: std_logic_vector(4 downto 0);
signal s_csr: std_logic_vector(11 downto 0);
begin
-- Update instruction type whenever it changes
process(instr)
begin
s_imm12 <= (others => '0');
s_imm20 <= (others => '0');
s_instr_t<= (others => '1');
s_shamt <= (others => '0');
s_csr <= (others => '0');
case instr(6 downto 0) is
when LUI_T =>
s_instr_t <= instr_LUI;
s_imm20 <= instr(31 downto 12);
when AUIPC_T =>
s_instr_t <= instr_AUIPC;
s_imm20 <= instr(31 downto 12);
when JAL_T =>
s_instr_t <= instr_JAL;
s_imm20 <= instr(31) & instr(19 downto 12) & instr(20) & instr(30 downto 21);
when JALR_T =>
s_instr_t <= instr_JALR;
s_imm12 <= instr(31 downto 20);
when BRANCH_T =>
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_BEQ;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "001" =>
s_instr_t <= instr_BNE;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "100" =>
s_instr_t <= instr_BLT;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "101" =>
s_instr_t <= instr_BGE;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "110" =>
s_instr_t <= instr_BLTU;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when "111" =>
s_instr_t <= instr_BGEU;
s_imm12 <= instr(31) & instr(7) & instr(30 downto 25) & instr(11 downto 8);
when others => -- error state
end case;
when LOAD_T =>
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_LB;
s_imm12 <= instr(31 downto 20);
when "001" =>
s_instr_t <= instr_LH;
s_imm12 <= instr(31 downto 20);
when "010" =>
s_instr_t <= instr_LW;
s_imm12 <= instr(31 downto 20);
when "100" =>
s_instr_t <= instr_LBU;
s_imm12 <= instr(31 downto 20);
when "101" =>
s_instr_t <= instr_LHU;
s_imm12 <= instr(31 downto 20);
when "110" =>
s_instr_t <= instr_LWU;
s_imm12 <= instr(31 downto 20);
when "011" =>
s_instr_t <= instr_LD;
s_imm12 <= instr(31 downto 20);
when others => --error state
end case;
when STORE_T =>
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_SB;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when "001" =>
s_instr_t <= instr_SH;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when "010" =>
s_instr_t <= instr_SW;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when "011" =>
s_instr_t <= instr_SD;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when others => -- error state
end case;
when ALUI_T =>
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_ADDI;
s_imm12 <= instr(31 downto 20);
when "010" =>
s_instr_t <= instr_SLTI;
s_imm12 <= instr(31 downto 20);
when "011" =>
s_instr_t <= instr_SLTIU;
s_imm12 <= instr(31 downto 20);
when "100" =>
s_instr_t <= instr_XORI;
s_imm12 <= instr(31 downto 20);
when "110" =>
s_instr_t <= instr_ORI;
s_imm12 <= instr(31 downto 20);
when "111" =>
s_instr_t <= instr_ANDI;
s_imm12 <= instr(31 downto 20);
when "001" =>
s_instr_t <= instr_SLLI;
s_shamt <= instr(24 downto 20);
when "101" =>
if (instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SRAI;
s_shamt <= instr(24 downto 20);
else
s_instr_t <= instr_SRLI;
s_shamt <= instr(24 downto 20);
end if;
when others => -- error state
end case;
when ALU_T =>
if(instr(31 downto 25)="0000001") then
-- Case RV32M
case instr(14 downto 12) is
when "000" => s_instr_t <= instr_MUL;
when "001" => s_instr_t <= instr_MULH;
when "010" => s_instr_t <= instr_MULHSU;
when "011" => s_instr_t <= instr_MULHU;
when "100" => s_instr_t <= instr_DIV;
when "101" => s_instr_t <= instr_DIVU;
when "110" => s_instr_t <= instr_REM;
when "111" => s_instr_t <= instr_REMU;
when others => -- error state
end case;
else
-- Case RV32I
case instr(14 downto 12) is
when "000" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SUB;
else
s_instr_t <= instr_ADD;
end if;
when "001" =>
s_instr_t <= instr_SLL;
when "010" =>
s_instr_t <= instr_SLT;
when "011" =>
s_instr_t <= instr_SLTU;
when "100" =>
s_instr_t <= instr_XOR;
when "101" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SRA;
else
s_instr_t <= instr_SRL;
end if;
when "110" =>
s_instr_t <= instr_OR;
when "111" =>
s_instr_t <= instr_AND;
when others => -- error state
end case;
end if;
when FENCE_T =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FENCE;
else
s_instr_t <= instr_FENCEI;
end if;
when CSR_T =>
case instr(14 downto 12) is
when "000" =>
if(instr(31 downto 20) = "000000000000") then
s_instr_t <= instr_EBREAK;
elsif(instr(31 downto 20) = "000000000001") then
s_instr_t <= instr_ECALL;
elsif(instr(31 downto 20) = "000000000010") then
s_instr_t <= instr_URET;
elsif(instr(31 downto 20) = "000100000010") then
s_instr_t <= instr_SRET;
elsif(instr(31 downto 20) = "001100000010") then
s_instr_t <= instr_MRET;
elsif(instr(31 downto 20) = "000100000101") then
s_instr_t <= instr_WFI;
elsif(instr(31 downto 25) = "0001001") then
s_instr_t <= instr_SFENCEVM;
else
end if;
when "001" =>
s_instr_t <= instr_CSRRW;
s_csr <= instr(31 downto 20);
when "010" =>
s_instr_t <= instr_CSRRS;
s_csr <= instr(31 downto 20);
when "011" =>
s_instr_t <= instr_CSRRC;
s_csr <= instr(31 downto 20);
when "101" =>
s_instr_t <= instr_CSRRWI;
s_csr <= instr(31 downto 20);
when "110" =>
s_instr_t <= instr_CSRRSI;
s_csr <= instr(31 downto 20);
when "111" =>
s_instr_t <= instr_CSRRCI;
s_csr <= instr(31 downto 20);
when others => -- error state
end case;
when ALUW_T =>
if(instr(31 downto 25) = "0000001") then
-- Case RV64M
case instr(14 downto 12) is
when "000" => s_instr_t <= instr_MULW;
when "100" => s_instr_t <= instr_DIVW;
when "101" => s_instr_t <= instr_DIVUW;
when "110" => s_instr_t <= instr_REMW;
when "111" => s_instr_t <= instr_REMUW;
when others => --error state
end case;
else
-- Case 64I ALU
case instr(14 downto 12) is
when "000" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SUBW;
else
s_instr_t <= instr_ADDW;
end if;
when "001" =>
s_instr_t <= instr_SLLW;
when "101" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SRAW;
else
s_instr_t <= instr_SRLW;
end if;
when others => -- error state
end case;
end if;
when ALUIW_T =>
-- case RV64I
case instr(14 downto 12) is
when "000" =>
s_instr_t <= instr_ADDIW;
s_imm12 <= instr(31 downto 20);
when "001" =>
s_instr_t <= instr_SLLIW;
s_shamt <= instr(24 downto 20);
when "101" =>
if(instr(31 downto 25) = "0100000") then
s_instr_t <= instr_SRAIW;
s_shamt <= instr(24 downto 20);
else
s_instr_t <= instr_SRLIW;
s_shamt <= instr(24 downto 20);
end if;
when others => --error state
end case;
when ATOM_T =>
if(instr(14 downto 12)="011") then
-- case RV64A
case instr(31 downto 27) is
when "00010" => s_instr_t <= instr_LRD;
when "00011" => s_instr_t <= instr_SCD;
when "00001" => s_instr_t <= instr_AMOSWAPD;
when "00000" => s_instr_t <= instr_AMOADDD;
when "00100" => s_instr_t <= instr_AMOXORD;
when "01100" => s_instr_t <= instr_AMOANDD;
when "01000" => s_instr_t <= instr_AMOORD;
when "10000" => s_instr_t <= instr_AMOMIND;
when "10100" => s_instr_t <= instr_AMOMAXD;
when "11000" => s_instr_t <= instr_AMOMINUD;
when "11100" => s_instr_t <= instr_AMOMAXUD;
when others => --error state
end case;
else
-- case RV32A
case instr(31 downto 27) is
when "00010" => s_instr_t <= instr_LRW;
when "00011" => s_instr_t <= instr_SCW;
when "00001" => s_instr_t <= instr_AMOSWAPW;
when "00000" => s_instr_t <= instr_AMOADDW;
when "00100" => s_instr_t <= instr_AMOXORW;
when "01100" => s_instr_t <= instr_AMOANDW;
when "01000" => s_instr_t <= instr_AMOORW;
when "10000" => s_instr_t <= instr_AMOMINW;
when "10100" => s_instr_t <= instr_AMOMAXW;
when "11000" => s_instr_t <= instr_AMOMINUW;
when "11100" => s_instr_t <= instr_AMOMAXUW;
when others => --error state
end case;
end if;
when FLOAD_T =>
case instr(14 downto 12) is
when "010" =>
s_instr_t <= instr_FLW;
s_imm12 <= instr(31 downto 20);
when "011" =>
s_instr_t <= instr_FLD;
s_imm12 <= instr(31 downto 20);
when others => --error state
end case;
when FSTORE_T =>
case instr(14 downto 12) is
when "010" =>
s_instr_t <= instr_FSW;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when "011" =>
s_instr_t <= instr_FSD;
s_imm12 <= instr(31 downto 25) & instr(11 downto 7);
when others => --error state
end case;
when FMADD_T =>
if(instr(26 downto 25) = "00") then
s_instr_t <= instr_FMADDS;
else
s_instr_t <= instr_FMADDD;
end if;
when FMSUB_T =>
if(instr(26 downto 25) = "00") then
s_instr_t <= instr_FMSUBS;
else
s_instr_t <= instr_FMSUBD;
end if;
when FNADD_T =>
if(instr(26 downto 25) = "00") then
s_instr_t <= instr_FNMADDS;
else
s_instr_t <= instr_FNMADDD;
end if;
when FNSUB_T =>
if(instr(26 downto 25) = "00") then
s_instr_t <= instr_FNMSUBS;
else
s_instr_t <= instr_FNMSUBD;
end if;
when FPALU_T =>
case instr(31 downto 25) is
when "0000000" =>
s_instr_t <= instr_FADDS;
when "0000100" =>
s_instr_t <= instr_FSUBS;
when "0001000" =>
s_instr_t <= instr_FMULS;
when "0001100" =>
s_instr_t <= instr_FDIVS;
when "0101100" =>
s_instr_t <= instr_FSQRTS;
when "0010000" =>
if (instr(14 downto 12) = "000") then
s_instr_t <= instr_FSGNJS;
elsif (instr(14 downto 12) = "001") then
s_instr_t <= instr_FSGNJNS;
else
s_instr_t <= instr_FSGNJXS;
end if;
when "0010100" =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FMINS;
else
s_instr_t <= instr_FMAXS;
end if;
when "1100000" =>
if(instr(24 downto 20) = "00000") then
s_instr_t <= instr_FCVTWS;
elsif(instr(24 downto 20) = "00001") then
s_instr_t <= instr_FCVTWUS;
elsif(instr(24 downto 20) = "00010") then
s_instr_t <= instr_FCVTLS;
else
s_instr_t <= instr_FCVTLUS;
end if;
when "1110000" =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FMVXW;
else
s_instr_t <= instr_FCLASSS;
end if;
when "1010000" =>
if(instr(14 downto 12) = "010") then
s_instr_t <= instr_FEQS;
elsif(instr(14 downto 12) = "001") then
s_instr_t <= instr_FLTS;
else
s_instr_t <= instr_FLES;
end if;
when "1101000" =>
if(instr(24 downto 20) = "00000") then
s_instr_t <= instr_FCVTSW;
elsif(instr(24 downto 20) = "00001") then
s_instr_t <= instr_FCVTSWU;
elsif(instr(24 downto 20) = "00010") then
s_instr_t <= instr_FCVTSL;
else
s_instr_t <= instr_FCVTSLU;
end if;
when "1111000" =>
s_instr_t <= instr_FMVWX;
when "0000001" =>
s_instr_t <= instr_FADDD;
when "0000101" =>
s_instr_t <= instr_FSUBD;
when "0001001" =>
s_instr_t <= instr_FMULD;
when "0001101" =>
s_instr_t <= instr_FDIVD;
when "0101101" =>
s_instr_t <= instr_FSQRTD;
when "0010001" =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FSGNJD;
elsif(instr(14 downto 12) = "001") then
s_instr_t <= instr_FSGNJND;
else
s_instr_t <= instr_FSGNJXD;
end if;
when "0010101" =>
if(instr(14 downto 12) = "000") then
s_instr_t <= instr_FMIND;
else
s_instr_t <= instr_FMAXD;
end if;
when "0100000" =>
s_instr_t <= instr_FCVTSD;
when "0100001" =>
s_instr_t <= instr_FCVTDS;
when "1010001" =>
if(instr(14 downto 12) = "010") then
s_instr_t <= instr_FEQD;
elsif(instr(14 downto 12) = "001") then
s_instr_t <= instr_FLTD;
else
s_instr_t <= instr_FLED;
end if;
when "1110001" =>
if(instr(14 downto 12) = "001") then
s_instr_t <= instr_FCLASSD;
else
s_instr_t <= instr_FMVXD;
end if;
when "1100001" =>
if(instr(24 downto 20) = "00000") then
s_instr_t <= instr_FCVTWD;
elsif(instr(24 downto 20) = "00001") then
s_instr_t <= instr_FCVTWUD;
elsif(instr(24 downto 20) = "00010") then
s_instr_t <= instr_FCVTLD;
else
s_instr_t <= instr_FCVTLUD;
end if;
when "1101001" =>
if(instr(24 downto 20) = "00000") then
s_instr_t <= instr_FCVTDW;
elsif(instr(24 downto 20) = "00001") then
s_instr_t <= instr_FCVTDWU;
elsif(instr(24 downto 20) = "00010") then
s_instr_t <= instr_FCVTDL;
else
s_instr_t <= instr_FCVTDLU;
end if;
when "1111001" =>
s_instr_t <= instr_FMVDX;
when others => --error state
end case;
when others => -- error state
end case;
end process;
rd <= instr(11 downto 7);
rs1 <= instr(19 downto 15);
rs2 <= instr(24 downto 20);
rs3 <= instr(31 downto 27);
funct3 <= instr(14 downto 12);
funct6 <= instr(31 downto 26);
funct7 <= instr(31 downto 25);
opcode <= instr(6 downto 0);
imm12 <= s_imm12;
imm20 <= s_imm20;
shamt <= s_shamt;
csr <= s_csr;
instr_code <= s_instr_t;
end Behavioral;
| mit | ea240ca01ef02315e0cfb575510abe9b | 0.392192 | 4.33641 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/S6/rtl/S6EthTop.vhd | 1 | 13,712 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:21:31 07/23/2015
-- Design Name:
-- Module Name: S6EthTop - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
use work.UtilityPkg.all;
use work.Eth1000BaseXPkg.all;
use work.GigabitEthPkg.all;
library UNISIM;
use UNISIM.VComponents.all;
entity S6EthTop is
generic (
NUM_IP_G : integer := 2;
GATE_DELAY_G : time := 1 ns
);
port (
-- Direct GT connections
gtTxP : out sl;
gtTxN : out sl;
gtRxP : in sl;
gtRxN : in sl;
gtClkP : in sl;
gtClkN : in sl;
-- Alternative clock input from fabric
fabClkIn : in sl := '0';
-- SFP transceiver disable pin
txDisable : out sl;
-- Clocks out from Ethernet core
ethUsrClk62 : out sl;
ethUsrClk125 : out sl;
-- Status and diagnostics out
ethSync : out sl;
ethReady : out sl;
led : out slv(15 downto 0);
-- Core settings in
macAddr : in MacAddrType := MAC_ADDR_DEFAULT_C;
ipAddrs : in IpAddrArray(NUM_IP_G-1 downto 0) := (others => IP_ADDR_DEFAULT_C);
udpPorts : in Word16Array(NUM_IP_G-1 downto 0) := (others => (others => '0'));
-- User clock inputs
userClk : in sl;
userRstIn : in sl;
userRstOut : out sl;
-- User data interfaces
userTxData : in Word32Array(NUM_IP_G-1 downto 0);
userTxDataValid : in slv(NUM_IP_G-1 downto 0);
userTxDataLast : in slv(NUM_IP_G-1 downto 0);
userTxDataReady : out slv(NUM_IP_G-1 downto 0);
userRxData : out Word32Array(NUM_IP_G-1 downto 0);
userRxDataValid : out slv(NUM_IP_G-1 downto 0);
userRxDataLast : out slv(NUM_IP_G-1 downto 0);
userRxDataReady : in slv(NUM_IP_G-1 downto 0)
);
end S6EthTop;
architecture Behavioral of S6EthTop is
signal fabClk : sl;
signal fabClkRst : sl;
signal gtClk : sl;
signal ethClk62 : sl;
signal ethClk62Rst : sl;
signal ethClk125 : sl;
signal ethClk125Rst : sl;
signal dcmClkValid : sl;
signal dcmSpLocked : sl;
signal usrClkValid : sl;
signal usrClkLocked : sl;
signal pllLock0 : sl;
signal gtpResetDone0 : sl;
signal gtpReset0 : sl;
signal gtpReset1 : sl;
signal txReset0 : sl;
signal txReset1 : sl;
signal rxReset0 : sl;
signal rxReset1 : sl;
signal rxBufReset0 : sl;
signal rxBufReset1 : sl;
signal rxBufStatus0 : slv(2 downto 0);
signal rxBufStatus1 : slv(2 downto 0);
signal txBufStatus0 : slv(1 downto 0);
signal txBufStatus1 : slv(1 downto 0);
signal rxBufError0 : sl;
signal rxBufError1 : sl;
signal rxByteAligned0 : sl;
signal rxByteAligned1 : sl;
signal rxEnMCommaAlign0 : sl;
signal rxEnMCommaAlign1 : sl;
signal rxEnPCommaAlign0 : sl;
signal rxEnPCommaAlign1 : sl;
signal ethRxLinkSync : sl;
signal ethAutoNegDone : sl;
signal phyRxLaneIn : EthRxPhyLaneInType;
signal phyTxLaneOut : EthTxPhyLaneOutType;
signal tpData : slv(31 downto 0);
signal tpDataValid : sl;
signal tpDataLast : sl;
signal tpDataReady : sl;
signal userRst : sl;
begin
txDisable <= '0';
ethSync <= ethRxLinkSync;
ethReady <= ethAutoNegDone;
ethUsrClk62 <= ethClk62;
ethUsrClk125 <= ethClk125;
userRstOut <= userRst;
led(0) <= dcmSpLocked;
led(1) <= dcmClkValid;
led(2) <= not(gtpReset0);
led(3) <= gtpResetDone0;
led(4) <= pllLock0;
led(5) <= usrClkLocked;
led(6) <= usrClkValid;
led(7) <= ethRxLinkSync;
led(8) <= ethAutoNegDone;
led(9) <= not(ethClk62Rst);
led(10) <= not(ethClk125Rst);
led(15 downto 11) <= (others => '1');
fabClk <= fabClkIn;
U_IBUFDS : IBUFDS port map ( I => gtClkP, IB => gtClkN, O => gtClk);
U_GtpS6 : entity work.GtpS6
generic map (
-- Reference clock selection --
-- 000: CLK00/CLK01 selected
-- 001: GCLK00/GCLK01 selected
-- 010: PLLCLK00/PLLCLK01 selected
-- 011: CLKINEAST0/CLKINEAST0 selected
-- 100: CLK10/CLK11 selected
-- 101: GCLK10/GCLK11 selected
-- 110: PLLCLK10/PLLCLK11 selected
-- 111: CLKINWEST0/CLKINWEST1 selected
REF_SEL_PLL0_G => "001",
REF_SEL_PLL1_G => "001"
)
port map (
-- Clocking & reset
gtpClkIn => fabClk,
gtpReset0 => gtpReset0,
gtpReset1 => gtpReset1,
txReset0 => txReset0,
txReset1 => txReset1,
rxReset0 => rxReset0,
rxReset1 => rxReset1,
rxBufReset0 => rxBufReset0,
rxBufReset1 => rxBufReset1,
-- User clock out
usrClkOut => ethClk62,
usrClkX2Out => ethClk125,
-- DCM clocking
dcmClkValid => dcmClkValid,
dcmSpLocked => dcmSpLocked,
usrClkValid => usrClkValid,
usrClkLocked => usrClkLocked,
-- General status outputs
pllLock0 => pllLock0,
pllLock1 => open,
gtpResetDone0 => gtpResetDone0,
gtpResetDone1 => open,
-- Input signals (raw)
gtpRxP0 => gtRxP,
gtpRxN0 => gtRxN,
gtpTxP0 => gtTxP,
gtpTxN0 => gtTxN,
gtpRxP1 => '0',
gtpRxN1 => '0',
gtpTxP1 => open,
gtpTxN1 => open,
-- Data interfaces
rxDataOut0 => phyRxLaneIn.data,
rxDataOut1 => open,
txDataIn0 => phyTxLaneOut.data,
txDataIn1 => (others => '0'),
-- RX status
rxCharIsComma0 => open,
rxCharIsComma1 => open,
rxCharIsK0 => phyRxLaneIn.dataK,
rxCharIsK1 => open,
rxDispErr0 => phyRxLaneIn.dispErr, -- out slv(1 downto 0);
rxDispErr1 => open, -- out slv(1 downto 0);
rxNotInTable0 => phyRxLaneIn.decErr, -- out slv(1 downto 0);
rxNotInTable1 => open, -- out slv(1 downto 0);
rxRunDisp0 => open, -- out slv(1 downto 0);
rxRunDisp1 => open, -- out slv(1 downto 0);
rxClkCor0 => open, -- out slv(2 downto 0);
rxClkCor1 => open, -- out slv(2 downto 0);
rxByteAligned0 => rxByteAligned0, -- out std_logic;
rxByteAligned1 => rxByteAligned1, -- out std_logic;
rxEnMCommaAlign0 => rxEnMCommaAlign0, -- in std_logic;
rxEnMCommaAlign1 => rxEnMCommaAlign1, -- in std_logic;
rxEnPCommaAlign0 => rxEnPCommaAlign0, -- in std_logic;
rxEnPCommaAlign1 => rxEnPCommaAlign1, -- in std_logic;
rxBufStatus0 => rxBufStatus0, -- out slv(2 downto 0);
rxBufStatus1 => rxBufStatus1, -- out slv(2 downto 0);
-- TX status
txCharDispMode0 => "00", -- in slv(1 downto 0) := "00";
txCharDispMode1 => "00", -- in slv(1 downto 0) := "00";
txCharDispVal0 => "00", -- in slv(1 downto 0) := "00";
txCharDispVal1 => "00", -- in slv(1 downto 0) := "00";
txCharIsK0 => phyTxLaneOut.dataK, -- in slv(1 downto 0);
txCharIsK1 => "00", -- in slv(1 downto 0);
txRunDisp0 => open, -- out slv(1 downto 0);
txRunDisp1 => open, -- out slv(1 downto 0);
txBufStatus0 => txBufStatus0, -- out slv(1 downto 0);
txBufStatus1 => txBufStatus1, -- out slv(1 downto 0);
-- Loopback settings
loopbackIn0 => "000", -- : in slv(2 downto 0) := "000";
loopbackIn1 => "000" -- : in slv(2 downto 0) := "000";
);
-- Simple comma alignment
rxEnMCommaAlign0 <= not(rxByteAligned0);
rxEnPCommaAlign0 <= not(rxByteAligned0);
rxEnMCommaAlign1 <= not(rxByteAligned1);
rxEnPCommaAlign1 <= not(rxByteAligned1);
-- Reset sequencing, as per UG386, Table 2-14
-- Not all resets are implemented, only those for the functionality
-- we care about.
--
-- 1. Perform GTP reset after turning on the reference clock
U_GtpReset0 : entity work.SyncBit
port map (
clk => fabClk,
rst => not(dcmClkValid),
asyncBit => '0',
syncBit => gtpReset0
);
gtpReset1 <= gtpReset0;
-- 2. Assert rxReset and txReset when usrClk, usrClk2 is not stable
-- 3. txReset should be asserted on tx Buffer over/underflow
U_RxReset0 : entity work.SyncBit
generic map (
INIT_STATE_G => '1'
)
port map (
clk => fabClk,
rst => not(usrClkValid) or not(dcmClkValid),
asyncBit => '0',
syncBit => rxReset0
);
rxReset1 <= rxReset0;
U_TxReset0 : entity work.SyncBit
generic map (
INIT_STATE_G => '1'
)
port map (
clk => fabClk,
rst => not(usrClkValid) or txBufStatus0(1),
asyncBit => '0',
syncBit => txReset0
);
U_TxReset1 : entity work.SyncBit
generic map (
INIT_STATE_G => '1'
)
port map (
clk => fabClk,
rst => not(usrClkValid) or txBufStatus1(1),
asyncBit => '0',
syncBit => txReset1
);
-- 4. rxBufReset should be asserted on rx buffer over/underflow
rxBufError0 <= '1' when rxBufStatus0 = "101" or rxBufStatus0 = "110" else '0';
U_RxBufReset0 : entity work.SyncBit
generic map (
INIT_STATE_G => '1'
)
port map (
clk => fabClk,
rst => rxBufError0,
asyncBit => '0',
syncBit => rxBufReset0
);
rxBufError1 <= '1' when rxBufStatus1 = "101" or rxBufStatus1 = "110" else '0';
U_RxBufReset1 : entity work.SyncBit
generic map (
INIT_STATE_G => '1'
)
port map (
clk => fabClk,
rst => rxBufError1,
asyncBit => '0',
syncBit => rxBufReset1
);
--------------------------------
-- Gigabit Ethernet Interface --
--------------------------------
U_Eth1000BaseXCore : entity work.Eth1000BaseXCore
generic map (
NUM_IP_G => NUM_IP_G,
MTU_SIZE_G => 1500,
LITTLE_ENDIAN_G => true,
EN_AUTONEG_G => true,
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- 125 MHz clock and reset
eth125Clk => ethClk125,
eth125Rst => ethClk125Rst,
-- 62 MHz clock and reset
eth62Clk => ethClk62,
eth62Rst => ethClk62Rst,
-- Addressing
macAddr => macAddr,
ipAddrs => ipAddrs,
udpPorts => udpPorts,
-- Data to/from GT
phyRxData => phyRxLaneIn,
phyTxData => phyTxLaneOut,
-- Status signals
statusSync => ethRxLinkSync,
statusAutoNeg => ethAutoNegDone,
-- User clock and reset
userClk => userClk,
userRst => userRst,
-- User data
userTxData => userTxData,
userTxDataValid => userTxDataValid,
userTxDataLast => userTxDataLast,
userTxDataReady => userTxDataReady,
userRxData => userRxData,
userRxDataValid => userRxDataValid,
userRxDataLast => userRxDataLast,
userRxDataReady => userRxDataReady
);
---------------------------------------------------------------------------
-- Resets
---------------------------------------------------------------------------
-- Generate stable reset signal
U_PwrUpRst : entity work.InitRst
generic map (
RST_CNT_G => 25000000,
GATE_DELAY_G => GATE_DELAY_G
)
port map (
clk => fabClk,
syncRst => fabClkRst
);
-- Synchronize the reset to the 125 MHz domain
U_RstSync125 : entity work.SyncBit
generic map (
INIT_STATE_G => '1',
GATE_DELAY_G => GATE_DELAY_G
)
port map (
clk => ethClk125,
rst => '0',
asyncBit => ethClk62Rst,
syncBit => ethClk125Rst
);
-- Synchronize the reset to the 62 MHz domain
U_RstSync62 : entity work.SyncBit
generic map (
INIT_STATE_G => '1',
GATE_DELAY_G => GATE_DELAY_G
)
port map (
clk => ethClk125,
rst => '0',
asyncBit => fabClkRst,
syncBit => ethClk62Rst
);
-- User reset
U_RstSyncUser : entity work.SyncBit
generic map (
INIT_STATE_G => '1',
GATE_DELAY_G => GATE_DELAY_G
)
port map (
clk => ethClk125,
rst => '0',
asyncBit => ethClk62Rst or not(ethAutoNegDone) or userRstIn,
syncBit => userRst
);
end Behavioral;
| lgpl-2.1 | 14f1c07206828f4e7857a8c34b3c22cd | 0.512471 | 4.036503 | false | false | false | false |
SLongofono/Senior_Design_Capstone | Demo/Ram2DdrXadc_RefComp/ipcore_dir/ddr/user_design/rtl/ddr_mig_sim.vhd | 1 | 79,921 | --*****************************************************************************
-- (c) Copyright 2009 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 4.0
-- \ \ Application : MIG
-- / / Filename : ddr_mig.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 08:35:03 $
-- \ \ / \ Date Created : Wed Feb 01 2012
-- \___\/\___\
--
-- Device : 7 Series
-- Design Name : DDR2 SDRAM
-- Purpose :
-- Top-level module. This module can be instantiated in the
-- system and interconnect as shown in user design wrapper file (user top module).
-- In addition to the memory controller, the module instantiates:
-- 1. Clock generation/distribution, reset logic
-- 2. IDELAY control block
-- 3. Debug logic
-- Reference :
-- Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ddr_mig is
generic (
RST_ACT_LOW : integer := 1;
-- =1 for active low reset,
-- =0 for active high.
--***************************************************************************
-- The following parameters refer to width of various ports
--***************************************************************************
BANK_WIDTH : integer := 3;
-- # of memory Bank Address bits.
CK_WIDTH : integer := 1;
-- # of CK/CK# outputs to memory.
COL_WIDTH : integer := 10;
-- # of memory Column Address bits.
CS_WIDTH : integer := 1;
-- # of unique CS outputs to memory.
nCS_PER_RANK : integer := 1;
-- # of unique CS outputs per rank for phy
CKE_WIDTH : integer := 1;
-- # of CKE outputs to memory.
DATA_BUF_ADDR_WIDTH : integer := 4;
DQ_CNT_WIDTH : integer := 4;
-- = ceil(log2(DQ_WIDTH))
DQ_PER_DM : integer := 8;
DM_WIDTH : integer := 2;
-- # of DM (data mask)
DQ_WIDTH : integer := 16;
-- # of DQ (data)
DQS_WIDTH : integer := 2;
DQS_CNT_WIDTH : integer := 1;
-- = ceil(log2(DQS_WIDTH))
DRAM_WIDTH : integer := 8;
-- # of DQ per DQS
ECC : string := "OFF";
ECC_TEST : string := "OFF";
PAYLOAD_WIDTH : integer := 16;
MEM_ADDR_ORDER : string := "BANK_ROW_COLUMN";
--Possible Parameters
--1.BANK_ROW_COLUMN : Address mapping is
-- in form of Bank Row Column.
--2.ROW_BANK_COLUMN : Address mapping is
-- in the form of Row Bank Column.
--3.TG_TEST : Scrambles Address bits
-- for distributed Addressing.
--nBANK_MACHS : integer := 4;
nBANK_MACHS : integer := 4;
RANKS : integer := 1;
-- # of Ranks.
ODT_WIDTH : integer := 1;
-- # of ODT outputs to memory.
ROW_WIDTH : integer := 13;
-- # of memory Row Address bits.
ADDR_WIDTH : integer := 27;
-- # = RANK_WIDTH + BANK_WIDTH
-- + ROW_WIDTH + COL_WIDTH;
-- Chip Select is always tied to low for
-- single rank devices
USE_CS_PORT : integer := 1;
-- # = 1, When Chip Select (CS#) output is enabled
-- = 0, When Chip Select (CS#) output is disabled
-- If CS_N disabled, user must connect
-- DRAM CS_N input(s) to ground
USE_DM_PORT : integer := 1;
-- # = 1, When Data Mask option is enabled
-- = 0, When Data Mask option is disbaled
-- When Data Mask option is disabled in
-- MIG Controller Options page, the logic
-- related to Data Mask should not get
-- synthesized
USE_ODT_PORT : integer := 1;
-- # = 1, When ODT output is enabled
-- = 0, When ODT output is disabled
PHY_CONTROL_MASTER_BANK : integer := 0;
-- The bank index where master PHY_CONTROL resides,
-- equal to the PLL residing bank
MEM_DENSITY : string := "1Gb";
-- Indicates the density of the Memory part
-- Added for the sake of Vivado simulations
MEM_SPEEDGRADE : string := "25E";
-- Indicates the Speed grade of Memory Part
-- Added for the sake of Vivado simulations
MEM_DEVICE_WIDTH : integer := 16;
-- Indicates the device width of the Memory Part
-- Added for the sake of Vivado simulations
--***************************************************************************
-- The following parameters are mode register settings
--***************************************************************************
AL : string := "0";
-- DDR3 SDRAM:
-- Additive Latency (Mode Register 1).
-- # = "0", "CL-1", "CL-2".
-- DDR2 SDRAM:
-- Additive Latency (Extended Mode Register).
nAL : integer := 0;
-- # Additive Latency in number of clock
-- cycles.
BURST_MODE : string := "8";
-- DDR3 SDRAM:
-- Burst Length (Mode Register 0).
-- # = "8", "4", "OTF".
-- DDR2 SDRAM:
-- Burst Length (Mode Register).
-- # = "8", "4".
BURST_TYPE : string := "SEQ";
-- DDR3 SDRAM: Burst Type (Mode Register 0).
-- DDR2 SDRAM: Burst Type (Mode Register).
-- # = "SEQ" - (Sequential),
-- = "INT" - (Interleaved).
CL : integer := 5;
-- in number of clock cycles
-- DDR3 SDRAM: CAS Latency (Mode Register 0).
-- DDR2 SDRAM: CAS Latency (Mode Register).
OUTPUT_DRV : string := "HIGH";
-- Output Drive Strength (Extended Mode Register).
-- # = "HIGH" - FULL,
-- = "LOW" - REDUCED.
RTT_NOM : string := "50";
-- RTT (Nominal) (Extended Mode Register).
-- = "150" - 150 Ohms,
-- = "75" - 75 Ohms,
-- = "50" - 50 Ohms.
ADDR_CMD_MODE : string := "1T" ;
-- # = "1T", "2T".
REG_CTRL : string := "OFF";
-- # = "ON" - RDIMMs,
-- = "OFF" - Components, SODIMMs, UDIMMs.
--***************************************************************************
-- The following parameters are multiplier and divisor factors for PLLE2.
-- Based on the selected design frequency these parameters vary.
--***************************************************************************
CLKIN_PERIOD : integer := 4999;
-- Input Clock Period
CLKFBOUT_MULT : integer := 6;
-- write PLL VCO multiplier
DIVCLK_DIVIDE : integer := 1;
-- write PLL VCO divisor
CLKOUT0_PHASE : real := 0.0;
-- Phase for PLL output clock (CLKOUT0)
CLKOUT0_DIVIDE : integer := 2;
-- VCO output divisor for PLL output clock (CLKOUT0)
CLKOUT1_DIVIDE : integer := 4;
-- VCO output divisor for PLL output clock (CLKOUT1)
CLKOUT2_DIVIDE : integer := 64;
-- VCO output divisor for PLL output clock (CLKOUT2)
CLKOUT3_DIVIDE : integer := 8;
-- VCO output divisor for PLL output clock (CLKOUT3)
MMCM_VCO : integer := 1200;
-- Max Freq (MHz) of MMCM VCO
MMCM_MULT_F : integer := 7;
-- write MMCM VCO multiplier
MMCM_DIVCLK_DIVIDE : integer := 1;
-- write MMCM VCO divisor
--***************************************************************************
-- Memory Timing Parameters. These parameters varies based on the selected
-- memory part.
--***************************************************************************
tCKE : integer := 7500;
-- memory tCKE paramter in pS
tFAW : integer := 45000;
-- memory tRAW paramter in pS.
tPRDI : integer := 1000000;
-- memory tPRDI paramter in pS.
tRAS : integer := 40000;
-- memory tRAS paramter in pS.
tRCD : integer := 15000;
-- memory tRCD paramter in pS.
tREFI : integer := 7800000;
-- memory tREFI paramter in pS.
tRFC : integer := 127500;
-- memory tRFC paramter in pS.
tRP : integer := 12500;
-- memory tRP paramter in pS.
tRRD : integer := 10000;
-- memory tRRD paramter in pS.
tRTP : integer := 7500;
-- memory tRTP paramter in pS.
tWTR : integer := 7500;
-- memory tWTR paramter in pS.
tZQI : integer := 128000000;
-- memory tZQI paramter in nS.
tZQCS : integer := 64;
-- memory tZQCS paramter in clock cycles.
--***************************************************************************
-- Simulation parameters
--***************************************************************************
SIM_BYPASS_INIT_CAL : string := "FAST";
-- # = "OFF" - Complete memory init &
-- calibration sequence
-- # = "SKIP" - Not supported
-- # = "FAST" - Complete memory init & use
-- abbreviated calib sequence
SIMULATION : string := "TRUE";
-- Should be TRUE during design simulations and
-- FALSE during implementations
--***************************************************************************
-- The following parameters varies based on the pin out entered in MIG GUI.
-- Do not change any of these parameters directly by editing the RTL.
-- Any changes required should be done through GUI and the design regenerated.
--***************************************************************************
BYTE_LANES_B0 : std_logic_vector(3 downto 0) := "1111";
-- Byte lanes used in an IO column.
BYTE_LANES_B1 : std_logic_vector(3 downto 0) := "0000";
-- Byte lanes used in an IO column.
BYTE_LANES_B2 : std_logic_vector(3 downto 0) := "0000";
-- Byte lanes used in an IO column.
BYTE_LANES_B3 : std_logic_vector(3 downto 0) := "0000";
-- Byte lanes used in an IO column.
BYTE_LANES_B4 : std_logic_vector(3 downto 0) := "0000";
-- Byte lanes used in an IO column.
DATA_CTL_B0 : std_logic_vector(3 downto 0) := "0101";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
DATA_CTL_B1 : std_logic_vector(3 downto 0) := "0000";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
DATA_CTL_B2 : std_logic_vector(3 downto 0) := "0000";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
DATA_CTL_B3 : std_logic_vector(3 downto 0) := "0000";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
DATA_CTL_B4 : std_logic_vector(3 downto 0) := "0000";
-- Indicates Byte lane is data byte lane
-- or control Byte lane. '1' in a bit
-- position indicates a data byte lane and
-- a '0' indicates a control byte lane
PHY_0_BITLANES : std_logic_vector(47 downto 0) := X"FFC3F7FFF3FE";
PHY_1_BITLANES : std_logic_vector(47 downto 0) := X"000000000000";
PHY_2_BITLANES : std_logic_vector(47 downto 0) := X"000000000000";
-- control/address/data pin mapping parameters
CK_BYTE_MAP
: std_logic_vector(143 downto 0) := X"000000000000000000000000000000000003";
ADDR_MAP
: std_logic_vector(191 downto 0) := X"00000000001003301A01903203A034018036012011017015";
BANK_MAP : std_logic_vector(35 downto 0) := X"01301601B";
CAS_MAP : std_logic_vector(11 downto 0) := X"039";
CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0) := X"00";
CKE_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000038";
ODT_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000035";
CS_MAP : std_logic_vector(119 downto 0) := X"000000000000000000000000000037";
PARITY_MAP : std_logic_vector(11 downto 0) := X"000";
RAS_MAP : std_logic_vector(11 downto 0) := X"014";
WE_MAP : std_logic_vector(11 downto 0) := X"03B";
DQS_BYTE_MAP
: std_logic_vector(143 downto 0) := X"000000000000000000000000000000000200";
DATA0_MAP : std_logic_vector(95 downto 0) := X"008004009007005001006003";
DATA1_MAP : std_logic_vector(95 downto 0) := X"022028020024027025026021";
DATA2_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA3_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA4_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA5_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA6_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA7_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA8_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA9_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA10_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA11_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA12_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA13_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA14_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA15_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA16_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
DATA17_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000";
MASK0_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000029002";
MASK1_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000000000";
SLOT_0_CONFIG : std_logic_vector(7 downto 0) := "00000001";
-- Mapping of Ranks.
SLOT_1_CONFIG : std_logic_vector(7 downto 0) := "00000000";
-- Mapping of Ranks.
--***************************************************************************
-- IODELAY and PHY related parameters
--***************************************************************************
IBUF_LPWR_MODE : string := "OFF";
-- to phy_top
DATA_IO_IDLE_PWRDWN : string := "ON";
-- # = "ON", "OFF"
BANK_TYPE : string := "HR_IO";
-- # = "HP_IO", "HPL_IO", "HR_IO", "HRL_IO"
DATA_IO_PRIM_TYPE : string := "HR_LP";
-- # = "HP_LP", "HR_LP", "DEFAULT"
CKE_ODT_AUX : string := "FALSE";
USER_REFRESH : string := "OFF";
WRLVL : string := "OFF";
-- # = "ON" - DDR3 SDRAM
-- = "OFF" - DDR2 SDRAM.
ORDERING : string := "STRICT";
-- # = "NORM", "STRICT", "RELAXED".
CALIB_ROW_ADD : std_logic_vector(15 downto 0) := X"0000";
-- Calibration row address will be used for
-- calibration read and write operations
CALIB_COL_ADD : std_logic_vector(11 downto 0) := X"000";
-- Calibration column address will be used for
-- calibration read and write operations
CALIB_BA_ADD : std_logic_vector(2 downto 0) := "000";
-- Calibration bank address will be used for
-- calibration read and write operations
TCQ : integer := 100;
IODELAY_GRP0 : string := "DDR_IODELAY_MIG0";
-- It is associated to a set of IODELAYs with
-- an IDELAYCTRL that have same IODELAY CONTROLLER
-- clock frequency (200MHz).
IODELAY_GRP1 : string := "DDR_IODELAY_MIG1";
-- It is associated to a set of IODELAYs with
-- an IDELAYCTRL that have same IODELAY CONTROLLER
-- clock frequency (300MHz/400MHz).
SYSCLK_TYPE : string := "NO_BUFFER";
-- System clock type DIFFERENTIAL, SINGLE_ENDED,
-- NO_BUFFER
REFCLK_TYPE : string := "USE_SYSTEM_CLOCK";
-- Reference clock type DIFFERENTIAL, SINGLE_ENDED
-- NO_BUFFER, USE_SYSTEM_CLOCK
SYS_RST_PORT : string := "FALSE";
-- "TRUE" - if pin is selected for sys_rst
-- and IBUF will be instantiated.
-- "FALSE" - if pin is not selected for sys_rst
FPGA_SPEED_GRADE : integer := 1;
-- FPGA speed grade
REF_CLK_MMCM_IODELAY_CTRL : string := "FALSE";
CMD_PIPE_PLUS1 : string := "ON";
-- add pipeline stage between MC and PHY
DRAM_TYPE : string := "DDR2";
CAL_WIDTH : string := "HALF";
STARVE_LIMIT : integer := 2;
-- # = 2,3,4.
--***************************************************************************
-- Referece clock frequency parameters
--***************************************************************************
REFCLK_FREQ : real := 200.0;
-- IODELAYCTRL reference clock frequency
DIFF_TERM_REFCLK : string := "TRUE";
-- Differential Termination for idelay
-- reference clock input pins
--***************************************************************************
-- System clock frequency parameters
--***************************************************************************
tCK : integer := 3333;
-- memory tCK paramter.
-- # = Clock Period in pS.
nCK_PER_CLK : integer := 2;
-- # of memory CKs per fabric CLK
DIFF_TERM_SYSCLK : string := "TRUE";
-- Differential Termination for System
-- clock input pins
--***************************************************************************
-- Debug parameters
--***************************************************************************
DEBUG_PORT : string := "OFF";
-- # = "ON" Enable debug signals/controls.
-- = "OFF" Disable debug signals/controls.
--***************************************************************************
-- Temparature monitor parameter
--***************************************************************************
TEMP_MON_CONTROL : string := "INTERNAL"
-- # = "INTERNAL", "EXTERNAL"
-- RST_ACT_LOW : integer := 1
-- =1 for active low reset,
-- =0 for active high.
);
port (
-- Inouts
ddr2_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0);
ddr2_dqs_p : inout std_logic_vector(DQS_WIDTH-1 downto 0);
ddr2_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0);
-- Outputs
ddr2_addr : out std_logic_vector(ROW_WIDTH-1 downto 0);
ddr2_ba : out std_logic_vector(BANK_WIDTH-1 downto 0);
ddr2_ras_n : out std_logic;
ddr2_cas_n : out std_logic;
ddr2_we_n : out std_logic;
ddr2_ck_p : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr2_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr2_cke : out std_logic_vector(CKE_WIDTH-1 downto 0);
ddr2_cs_n : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0);
ddr2_dm : out std_logic_vector(DM_WIDTH-1 downto 0);
ddr2_odt : out std_logic_vector(ODT_WIDTH-1 downto 0);
-- Inputs
-- Single-ended system clock
sys_clk_i : in std_logic;
-- user interface signals
app_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0);
app_cmd : in std_logic_vector(2 downto 0);
app_en : in std_logic;
app_wdf_data : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0);
app_wdf_end : in std_logic;
app_wdf_mask : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH/8)-1 downto 0) ;
app_wdf_wren : in std_logic;
app_rd_data : out std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0);
app_rd_data_end : out std_logic;
app_rd_data_valid : out std_logic;
app_rdy : out std_logic;
app_wdf_rdy : out std_logic;
app_sr_req : in std_logic;
app_ref_req : in std_logic;
app_zq_req : in std_logic;
app_sr_active : out std_logic;
app_ref_ack : out std_logic;
app_zq_ack : out std_logic;
ui_clk : out std_logic;
ui_clk_sync_rst : out std_logic;
init_calib_complete : out std_logic;
-- System reset - Default polarity of sys_rst pin is Active Low.
-- System reset polarity will change based on the option
-- selected in GUI.
sys_rst : in std_logic
);
end entity ddr_mig;
architecture arch_ddr_mig of ddr_mig is
-- clogb2 function - ceiling of log base 2
function clogb2 (size : integer) return integer is
variable base : integer := 1;
variable inp : integer := 0;
begin
inp := size - 1;
while (inp > 1) loop
inp := inp/2 ;
base := base + 1;
end loop;
return base;
end function;
constant DATA_WIDTH : integer := 16;
function ECCWIDTH return integer is
begin
if(ECC = "OFF") then
return 0;
else
if(DATA_WIDTH <= 4) then
return 4;
elsif(DATA_WIDTH <= 10) then
return 5;
elsif(DATA_WIDTH <= 26) then
return 6;
elsif(DATA_WIDTH <= 57) then
return 7;
elsif(DATA_WIDTH <= 120) then
return 8;
elsif(DATA_WIDTH <= 247) then
return 9;
else
return 10;
end if;
end if;
end function;
constant RANK_WIDTH : integer := clogb2(RANKS);
function XWIDTH return integer is
begin
if(CS_WIDTH = 1) then
return 0;
else
return RANK_WIDTH;
end if;
end function;
constant TAPSPERKCLK : integer := 56;
function TEMP_MON return string is
begin
if(SIMULATION = "TRUE") then
return "ON";
else
return "OFF";
end if;
end function;
constant BM_CNT_WIDTH : integer := clogb2(nBANK_MACHS);
constant ECC_WIDTH : integer := ECCWIDTH;
constant DATA_BUF_OFFSET_WIDTH : integer := 1;
constant MC_ERR_ADDR_WIDTH : integer := XWIDTH + BANK_WIDTH + ROW_WIDTH
+ COL_WIDTH + DATA_BUF_OFFSET_WIDTH;
constant APP_DATA_WIDTH : integer := 2 * nCK_PER_CLK * PAYLOAD_WIDTH;
constant APP_MASK_WIDTH : integer := APP_DATA_WIDTH / 8;
constant TEMP_MON_EN : string := TEMP_MON;
-- Enable or disable the temp monitor module
constant tTEMPSAMPLE : integer := 10000000; -- sample every 10 us
constant XADC_CLK_PERIOD : integer := 5000; -- Use 200 MHz IODELAYCTRL clock
component mig_7series_v4_0_iodelay_ctrl is
generic(
TCQ : integer;
IODELAY_GRP0 : string;
IODELAY_GRP1 : string;
REFCLK_TYPE : string;
SYSCLK_TYPE : string;
SYS_RST_PORT : string;
RST_ACT_LOW : integer;
DIFF_TERM_REFCLK : string;
FPGA_SPEED_GRADE : integer;
REF_CLK_MMCM_IODELAY_CTRL : string
);
port (
clk_ref_p : in std_logic;
clk_ref_n : in std_logic;
clk_ref_i : in std_logic;
sys_rst : in std_logic;
clk_ref : out std_logic_vector(1 downto 0);
sys_rst_o : out std_logic;
iodelay_ctrl_rdy : out std_logic_vector(1 downto 0)
);
end component mig_7series_v4_0_iodelay_ctrl;
component mig_7series_v4_0_clk_ibuf is
generic (
SYSCLK_TYPE : string;
DIFF_TERM_SYSCLK : string
);
port (
sys_clk_p : in std_logic;
sys_clk_n : in std_logic;
sys_clk_i : in std_logic;
mmcm_clk : out std_logic
);
end component mig_7series_v4_0_clk_ibuf;
component mig_7series_v4_0_infrastructure is
generic (
SIMULATION : string := "TRUE";
TCQ : integer;
CLKIN_PERIOD : integer;
nCK_PER_CLK : integer;
SYSCLK_TYPE : string;
UI_EXTRA_CLOCKS : string := "FALSE";
CLKFBOUT_MULT : integer;
DIVCLK_DIVIDE : integer;
CLKOUT0_PHASE : real;
CLKOUT0_DIVIDE : integer;
CLKOUT1_DIVIDE : integer;
CLKOUT2_DIVIDE : integer;
CLKOUT3_DIVIDE : integer;
MMCM_VCO : integer;
MMCM_MULT_F : integer;
MMCM_DIVCLK_DIVIDE : integer;
MMCM_CLKOUT0_EN : string := "FALSE";
MMCM_CLKOUT1_EN : string := "FALSE";
MMCM_CLKOUT2_EN : string := "FALSE";
MMCM_CLKOUT3_EN : string := "FALSE";
MMCM_CLKOUT4_EN : string := "FALSE";
MMCM_CLKOUT0_DIVIDE : integer := 1;
MMCM_CLKOUT1_DIVIDE : integer := 1;
MMCM_CLKOUT2_DIVIDE : integer := 1;
MMCM_CLKOUT3_DIVIDE : integer := 1;
MMCM_CLKOUT4_DIVIDE : integer := 1;
RST_ACT_LOW : integer;
tCK : integer;
MEM_TYPE : string
);
port (
mmcm_clk : in std_logic;
sys_rst : in std_logic;
iodelay_ctrl_rdy : in std_logic_vector(1 downto 0);
psen : in std_logic;
psincdec : in std_logic;
clk : out std_logic;
clk_div2 : out std_logic;
rst_div2 : out std_logic;
mem_refclk : out std_logic;
freq_refclk : out std_logic;
sync_pulse : out std_logic;
mmcm_ps_clk : out std_logic;
poc_sample_pd : out std_logic;
iddr_rst : out std_logic;
psdone : out std_logic;
-- auxout_clk : out std_logic;
ui_addn_clk_0 : out std_logic;
ui_addn_clk_1 : out std_logic;
ui_addn_clk_2 : out std_logic;
ui_addn_clk_3 : out std_logic;
ui_addn_clk_4 : out std_logic;
pll_locked : out std_logic;
mmcm_locked : out std_logic;
rstdiv0 : out std_logic;
rst_phaser_ref : out std_logic;
ref_dll_lock : in std_logic
);
end component mig_7series_v4_0_infrastructure;
component mig_7series_v4_0_tempmon is
generic (
TCQ : integer;
TEMP_MON_CONTROL : string;
XADC_CLK_PERIOD : integer;
tTEMPSAMPLE : integer
);
port (
clk : in std_logic;
xadc_clk : in std_logic;
rst : in std_logic;
device_temp_i : in std_logic_vector(11 downto 0);
device_temp : out std_logic_vector(11 downto 0)
);
end component mig_7series_v4_0_tempmon;
component mig_7series_v4_0_memc_ui_top_std is
generic (
TCQ : integer;
DDR3_VDD_OP_VOLT : string := "135";
PAYLOAD_WIDTH : integer;
ADDR_CMD_MODE : string;
AL : string;
BANK_WIDTH : integer;
BM_CNT_WIDTH : integer;
BURST_MODE : string;
BURST_TYPE : string;
CA_MIRROR : string := "FALSE";
CK_WIDTH : integer;
CL : integer;
COL_WIDTH : integer;
CMD_PIPE_PLUS1 : string;
CS_WIDTH : integer;
CKE_WIDTH : integer;
CWL : integer := 5;
DATA_WIDTH : integer;
DATA_BUF_ADDR_WIDTH : integer;
DATA_BUF_OFFSET_WIDTH : integer := 1;
DDR2_DQSN_ENABLE : string := "YES";
DM_WIDTH : integer;
DQ_CNT_WIDTH : integer;
DQ_WIDTH : integer;
DQS_CNT_WIDTH : integer;
DQS_WIDTH : integer;
DRAM_TYPE : string;
DRAM_WIDTH : integer;
ECC : string;
ECC_WIDTH : integer;
ECC_TEST : string;
MC_ERR_ADDR_WIDTH : integer;
MASTER_PHY_CTL : integer;
nAL : integer;
nBANK_MACHS : integer;
nCK_PER_CLK : integer;
nCS_PER_RANK : integer;
ORDERING : string;
IBUF_LPWR_MODE : string;
BANK_TYPE : string;
DATA_IO_PRIM_TYPE : string;
DATA_IO_IDLE_PWRDWN : string;
IODELAY_GRP0 : string;
IODELAY_GRP1 : string;
FPGA_SPEED_GRADE : integer;
OUTPUT_DRV : string;
REG_CTRL : string;
RTT_NOM : string;
RTT_WR : string := "120";
STARVE_LIMIT : integer;
tCK : integer;
tCKE : integer;
tFAW : integer;
tPRDI : integer;
tRAS : integer;
tRCD : integer;
tREFI : integer;
tRFC : integer;
tRP : integer;
tRRD : integer;
tRTP : integer;
tWTR : integer;
tZQI : integer;
tZQCS : integer;
USER_REFRESH : string;
TEMP_MON_EN : string;
WRLVL : string;
DEBUG_PORT : string;
CAL_WIDTH : string;
RANK_WIDTH : integer;
RANKS : integer;
ODT_WIDTH : integer;
ROW_WIDTH : integer;
ADDR_WIDTH : integer;
APP_MASK_WIDTH : integer;
APP_DATA_WIDTH : integer;
BYTE_LANES_B0 : std_logic_vector(3 downto 0);
BYTE_LANES_B1 : std_logic_vector(3 downto 0);
BYTE_LANES_B2 : std_logic_vector(3 downto 0);
BYTE_LANES_B3 : std_logic_vector(3 downto 0);
BYTE_LANES_B4 : std_logic_vector(3 downto 0);
DATA_CTL_B0 : std_logic_vector(3 downto 0);
DATA_CTL_B1 : std_logic_vector(3 downto 0);
DATA_CTL_B2 : std_logic_vector(3 downto 0);
DATA_CTL_B3 : std_logic_vector(3 downto 0);
DATA_CTL_B4 : std_logic_vector(3 downto 0);
PHY_0_BITLANES : std_logic_vector(47 downto 0);
PHY_1_BITLANES : std_logic_vector(47 downto 0);
PHY_2_BITLANES : std_logic_vector(47 downto 0);
CK_BYTE_MAP : std_logic_vector(143 downto 0);
ADDR_MAP : std_logic_vector(191 downto 0);
BANK_MAP : std_logic_vector(35 downto 0);
CAS_MAP : std_logic_vector(11 downto 0);
CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0);
CKE_MAP : std_logic_vector(95 downto 0);
ODT_MAP : std_logic_vector(95 downto 0);
CKE_ODT_AUX : string;
CS_MAP : std_logic_vector(119 downto 0);
PARITY_MAP : std_logic_vector(11 downto 0);
RAS_MAP : std_logic_vector(11 downto 0);
WE_MAP : std_logic_vector(11 downto 0);
DQS_BYTE_MAP : std_logic_vector(143 downto 0);
DATA0_MAP : std_logic_vector(95 downto 0);
DATA1_MAP : std_logic_vector(95 downto 0);
DATA2_MAP : std_logic_vector(95 downto 0);
DATA3_MAP : std_logic_vector(95 downto 0);
DATA4_MAP : std_logic_vector(95 downto 0);
DATA5_MAP : std_logic_vector(95 downto 0);
DATA6_MAP : std_logic_vector(95 downto 0);
DATA7_MAP : std_logic_vector(95 downto 0);
DATA8_MAP : std_logic_vector(95 downto 0);
DATA9_MAP : std_logic_vector(95 downto 0);
DATA10_MAP : std_logic_vector(95 downto 0);
DATA11_MAP : std_logic_vector(95 downto 0);
DATA12_MAP : std_logic_vector(95 downto 0);
DATA13_MAP : std_logic_vector(95 downto 0);
DATA14_MAP : std_logic_vector(95 downto 0);
DATA15_MAP : std_logic_vector(95 downto 0);
DATA16_MAP : std_logic_vector(95 downto 0);
DATA17_MAP : std_logic_vector(95 downto 0);
MASK0_MAP : std_logic_vector(107 downto 0);
MASK1_MAP : std_logic_vector(107 downto 0);
SLOT_0_CONFIG : std_logic_vector(7 downto 0);
SLOT_1_CONFIG : std_logic_vector(7 downto 0);
MEM_ADDR_ORDER : string;
CALIB_ROW_ADD : std_logic_vector(15 downto 0);
CALIB_COL_ADD : std_logic_vector(11 downto 0);
CALIB_BA_ADD : std_logic_vector(2 downto 0);
SIM_BYPASS_INIT_CAL : string;
REFCLK_FREQ : real;
USE_CS_PORT : integer;
USE_DM_PORT : integer;
USE_ODT_PORT : integer;
IDELAY_ADJ : string;
FINE_PER_BIT : string;
CENTER_COMP_MODE : string;
PI_VAL_ADJ : string;
TAPSPERKCLK : integer := 56;
SKIP_CALIB : string;
FPGA_VOLT_TYPE : string
);
port (
clk : in std_logic;
clk_div2 : in std_logic;
rst_div2 : in std_logic;
clk_ref : in std_logic_vector(1 downto 0);
mem_refclk : in std_logic;
freq_refclk : in std_logic;
pll_lock : in std_logic;
sync_pulse : in std_logic;
mmcm_ps_clk : in std_logic;
poc_sample_pd : in std_logic;
rst : in std_logic;
ddr_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0);
ddr_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0);
ddr_dqs : inout std_logic_vector(DQS_WIDTH-1 downto 0);
ddr_addr : out std_logic_vector(ROW_WIDTH-1 downto 0);
ddr_ba : out std_logic_vector(BANK_WIDTH-1 downto 0);
ddr_cas_n : out std_logic;
ddr_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr_ck : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr_cke : out std_logic_vector(CKE_WIDTH-1 downto 0);
ddr_cs_n : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0);
ddr_dm : out std_logic_vector(DM_WIDTH-1 downto 0);
ddr_odt : out std_logic_vector(ODT_WIDTH-1 downto 0);
ddr_ras_n : out std_logic;
ddr_reset_n : out std_logic;
ddr_parity : out std_logic;
ddr_we_n : out std_logic;
bank_mach_next : out std_logic_vector(BM_CNT_WIDTH-1 downto 0);
app_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0);
app_cmd : in std_logic_vector(2 downto 0);
app_en : in std_logic;
app_hi_pri : in std_logic;
app_wdf_data : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0);
app_wdf_end : in std_logic;
app_wdf_mask : in std_logic_vector(((nCK_PER_CLK*2*PAYLOAD_WIDTH)/8)-1 downto 0);
app_wdf_wren : in std_logic;
app_correct_en_i : in std_logic;
app_raw_not_ecc : in std_logic_vector((2*nCK_PER_CLK)-1 downto 0);
app_ecc_multiple_err : out std_logic_vector((2*nCK_PER_CLK)-1 downto 0);
app_ecc_single_err : out std_logic_vector((2*nCK_PER_CLK)-1 downto 0);
app_rd_data : out std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0);
app_rd_data_end : out std_logic;
app_rd_data_valid : out std_logic;
app_rdy : out std_logic;
app_wdf_rdy : out std_logic;
app_sr_req : in std_logic;
app_sr_active : out std_logic;
app_ref_req : in std_logic;
app_ref_ack : out std_logic;
app_zq_req : in std_logic;
app_zq_ack : out std_logic;
calib_tap_req : out std_logic;
calib_tap_addr : in std_logic_vector(6 downto 0);
calib_tap_load : in std_logic;
calib_tap_val : in std_logic_vector(7 downto 0);
calib_tap_load_done : in std_logic;
device_temp : in std_logic_vector(11 downto 0);
psen : out std_logic;
psincdec : out std_logic;
psdone : in std_logic;
dbg_idel_down_all : in std_logic;
dbg_idel_down_cpt : in std_logic;
dbg_idel_up_all : in std_logic;
dbg_idel_up_cpt : in std_logic;
dbg_sel_all_idel_cpt : in std_logic;
dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH-1 downto 0);
dbg_cpt_first_edge_cnt : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
dbg_cpt_second_edge_cnt : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
dbg_rd_data_edge_detect : out std_logic_vector(DQS_WIDTH-1 downto 0);
dbg_rddata : out std_logic_vector((2*nCK_PER_CLK*DQ_WIDTH)-1 downto 0);
dbg_rdlvl_done : out std_logic_vector(1 downto 0);
dbg_rdlvl_err : out std_logic_vector(1 downto 0);
dbg_rdlvl_start : out std_logic_vector(1 downto 0);
dbg_tap_cnt_during_wrlvl : out std_logic_vector(5 downto 0);
dbg_wl_edge_detect_valid : out std_logic;
dbg_wrlvl_done : out std_logic;
dbg_wrlvl_err : out std_logic;
dbg_wrlvl_start : out std_logic;
dbg_final_po_fine_tap_cnt : out std_logic_vector((6*DQS_WIDTH)-1 downto 0);
dbg_final_po_coarse_tap_cnt : out std_logic_vector((3*DQS_WIDTH)-1 downto 0);
init_calib_complete : out std_logic;
dbg_sel_pi_incdec : in std_logic;
dbg_sel_po_incdec : in std_logic;
dbg_byte_sel : in std_logic_vector(DQS_CNT_WIDTH downto 0);
dbg_pi_f_inc : in std_logic;
dbg_pi_f_dec : in std_logic;
dbg_po_f_inc : in std_logic;
dbg_po_f_stg23_sel : in std_logic;
dbg_po_f_dec : in std_logic;
dbg_cpt_tap_cnt : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
dbg_dq_idelay_tap_cnt : out std_logic_vector((5*DQS_WIDTH*RANKS)-1 downto 0);
dbg_rddata_valid : out std_logic;
dbg_wrlvl_fine_tap_cnt : out std_logic_vector((6*DQS_WIDTH)-1 downto 0);
dbg_wrlvl_coarse_tap_cnt : out std_logic_vector((3*DQS_WIDTH)-1 downto 0);
rst_phaser_ref : in std_logic;
ref_dll_lock : out std_logic;
iddr_rst : in std_logic;
dbg_rd_data_offset : out std_logic_vector((6*RANKS)-1 downto 0);
dbg_calib_top : out std_logic_vector(255 downto 0);
dbg_phy_wrlvl : out std_logic_vector(255 downto 0);
dbg_phy_rdlvl : out std_logic_vector(255 downto 0);
dbg_phy_wrcal : out std_logic_vector(99 downto 0);
dbg_phy_init : out std_logic_vector(255 downto 0);
dbg_prbs_rdlvl : out std_logic_vector(255 downto 0);
dbg_dqs_found_cal : out std_logic_vector(255 downto 0);
dbg_pi_counter_read_val : out std_logic_vector(5 downto 0);
dbg_po_counter_read_val : out std_logic_vector(8 downto 0);
dbg_pi_phaselock_start : out std_logic;
dbg_pi_phaselocked_done : out std_logic;
dbg_pi_phaselock_err : out std_logic;
dbg_pi_dqsfound_start : out std_logic;
dbg_pi_dqsfound_done : out std_logic;
dbg_pi_dqsfound_err : out std_logic;
dbg_wrcal_start : out std_logic;
dbg_wrcal_done : out std_logic;
dbg_wrcal_err : out std_logic;
dbg_pi_dqs_found_lanes_phy4lanes : out std_logic_vector(11 downto 0);
dbg_pi_phase_locked_phy4lanes : out std_logic_vector(11 downto 0);
dbg_calib_rd_data_offset_1 : out std_logic_vector((6*RANKS)-1 downto 0);
dbg_calib_rd_data_offset_2 : out std_logic_vector((6*RANKS)-1 downto 0);
dbg_data_offset : out std_logic_vector(5 downto 0);
dbg_data_offset_1 : out std_logic_vector(5 downto 0);
dbg_data_offset_2 : out std_logic_vector(5 downto 0);
dbg_oclkdelay_calib_start : out std_logic;
dbg_oclkdelay_calib_done : out std_logic;
dbg_phy_oclkdelay_cal : out std_logic_vector(255 downto 0);
dbg_oclkdelay_rd_data : out std_logic_vector((DRAM_WIDTH*16)-1 downto 0);
dbg_prbs_final_dqs_tap_cnt_r : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
dbg_prbs_first_edge_taps : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
dbg_prbs_second_edge_taps : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
dbg_poc : out std_logic_vector (1023 downto 0)
);
end component mig_7series_v4_0_memc_ui_top_std;
-- Signal declarations
signal bank_mach_next : std_logic_vector(BM_CNT_WIDTH-1 downto 0);
signal clk : std_logic;
signal clk_ref : std_logic_vector(1 downto 0);
signal iodelay_ctrl_rdy : std_logic_vector(1 downto 0);
signal clk_ref_in : std_logic;
signal sys_rst_o : std_logic;
signal clk_div2 : std_logic;
signal rst_div2 : std_logic;
signal freq_refclk : std_logic;
signal mem_refclk : std_logic;
signal pll_locked : std_logic;
signal sync_pulse : std_logic;
signal mmcm_ps_clk : std_logic;
signal poc_sample_pd : std_logic;
signal psen : std_logic;
signal psincdec : std_logic;
signal psdone : std_logic;
signal iddr_rst : std_logic;
signal ref_dll_lock : std_logic;
signal rst_phaser_ref : std_logic;
signal rst : std_logic;
signal app_ecc_multiple_err : std_logic_vector((2*nCK_PER_CLK)-1 downto 0);
signal app_ecc_single_err : std_logic_vector((2*nCK_PER_CLK)-1 downto 0);
signal ddr2_reset_n : std_logic;
signal ddr2_parity : std_logic;
signal init_calib_complete_i : std_logic;
signal sys_clk_p : std_logic;
signal sys_clk_n : std_logic;
signal mmcm_clk : std_logic;
signal clk_ref_p : std_logic;
signal clk_ref_n : std_logic;
signal clk_ref_i : std_logic;
signal device_temp : std_logic_vector(11 downto 0);
signal device_temp_i : std_logic_vector(11 downto 0);
-- Debug port signals
signal dbg_idel_down_all : std_logic;
signal dbg_idel_down_cpt : std_logic;
signal dbg_idel_up_all : std_logic;
signal dbg_idel_up_cpt : std_logic;
signal dbg_sel_all_idel_cpt : std_logic;
signal dbg_sel_idel_cpt : std_logic_vector(DQS_CNT_WIDTH-1 downto 0);
signal dbg_po_f_stg23_sel : std_logic;
signal dbg_sel_pi_incdec : std_logic;
signal dbg_sel_po_incdec : std_logic;
signal dbg_byte_sel : std_logic_vector(DQS_CNT_WIDTH downto 0);
signal dbg_pi_f_inc : std_logic;
signal dbg_po_f_inc : std_logic;
signal dbg_pi_f_dec : std_logic;
signal dbg_po_f_dec : std_logic;
signal dbg_pi_counter_read_val : std_logic_vector(5 downto 0);
signal dbg_po_counter_read_val : std_logic_vector(8 downto 0);
signal dbg_prbs_final_dqs_tap_cnt_r : std_logic_vector(11 downto 0);
signal dbg_prbs_first_edge_taps : std_logic_vector(11 downto 0);
signal dbg_prbs_second_edge_taps : std_logic_vector(11 downto 0);
signal dbg_cpt_tap_cnt : std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
signal dbg_dq_idelay_tap_cnt : std_logic_vector((5*DQS_WIDTH*RANKS)-1 downto 0);
signal dbg_calib_top : std_logic_vector(255 downto 0);
signal dbg_cpt_first_edge_cnt : std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
signal dbg_cpt_second_edge_cnt : std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0);
signal dbg_rd_data_offset : std_logic_vector((6*RANKS)-1 downto 0);
signal dbg_phy_rdlvl : std_logic_vector(255 downto 0);
signal dbg_phy_wrcal : std_logic_vector(99 downto 0);
signal dbg_final_po_fine_tap_cnt : std_logic_vector((6*DQS_WIDTH)-1 downto 0);
signal dbg_final_po_coarse_tap_cnt : std_logic_vector((3*DQS_WIDTH)-1 downto 0);
signal dbg_phy_wrlvl : std_logic_vector(255 downto 0);
signal dbg_phy_init : std_logic_vector(255 downto 0);
signal dbg_prbs_rdlvl : std_logic_vector(255 downto 0);
signal dbg_dqs_found_cal : std_logic_vector(255 downto 0);
signal dbg_pi_phaselock_start : std_logic;
signal dbg_pi_phaselocked_done : std_logic;
signal dbg_pi_phaselock_err : std_logic;
signal dbg_pi_dqsfound_start : std_logic;
signal dbg_pi_dqsfound_done : std_logic;
signal dbg_pi_dqsfound_err : std_logic;
signal dbg_wrcal_start : std_logic;
signal dbg_wrcal_done : std_logic;
signal dbg_wrcal_err : std_logic;
signal dbg_pi_dqs_found_lanes_phy4lanes : std_logic_vector(11 downto 0);
signal dbg_pi_phase_locked_phy4lanes : std_logic_vector(11 downto 0);
signal dbg_oclkdelay_calib_start : std_logic;
signal dbg_oclkdelay_calib_done : std_logic;
signal dbg_phy_oclkdelay_cal : std_logic_vector(255 downto 0);
signal dbg_oclkdelay_rd_data : std_logic_vector((DRAM_WIDTH*16)-1 downto 0);
signal dbg_rd_data_edge_detect : std_logic_vector(DQS_WIDTH-1 downto 0);
signal dbg_rddata : std_logic_vector((2*nCK_PER_CLK*DQ_WIDTH)-1 downto 0);
signal dbg_rddata_valid : std_logic;
signal dbg_rdlvl_done : std_logic_vector(1 downto 0);
signal dbg_rdlvl_err : std_logic_vector(1 downto 0);
signal dbg_rdlvl_start : std_logic_vector(1 downto 0);
signal dbg_wrlvl_fine_tap_cnt : std_logic_vector((6*DQS_WIDTH)-1 downto 0);
signal dbg_wrlvl_coarse_tap_cnt : std_logic_vector((3*DQS_WIDTH)-1 downto 0);
signal dbg_tap_cnt_during_wrlvl : std_logic_vector(5 downto 0);
signal dbg_wl_edge_detect_valid : std_logic;
signal dbg_wrlvl_done : std_logic;
signal dbg_wrlvl_err : std_logic;
signal dbg_wrlvl_start : std_logic;
signal dbg_rddata_r : std_logic_vector(63 downto 0);
signal dbg_rddata_valid_r : std_logic;
signal ocal_tap_cnt : std_logic_vector(53 downto 0);
signal dbg_dqs : std_logic_vector(4 downto 0);
signal dbg_bit : std_logic_vector(8 downto 0);
signal rd_data_edge_detect_r : std_logic_vector(8 downto 0);
signal wl_po_fine_cnt : std_logic_vector(53 downto 0);
signal wl_po_coarse_cnt : std_logic_vector(26 downto 0);
signal dbg_calib_rd_data_offset_1 : std_logic_vector((6*RANKS)-1 downto 0);
signal dbg_calib_rd_data_offset_2 : std_logic_vector((6*RANKS)-1 downto 0);
signal dbg_data_offset : std_logic_vector(5 downto 0);
signal dbg_data_offset_1 : std_logic_vector(5 downto 0);
signal dbg_data_offset_2 : std_logic_vector(5 downto 0);
signal all_zeros : std_logic_vector((2*nCK_PER_CLK)-1 downto 0) := (others => '0');
signal ddr2_ila_basic_int : std_logic_vector(119 downto 0);
signal ddr2_ila_wrpath_int : std_logic_vector(390 downto 0);
signal ddr2_ila_rdpath_int : std_logic_vector(1023 downto 0);
signal dbg_prbs_final_dqs_tap_cnt_r_int : std_logic_vector(11 downto 0);
signal dbg_prbs_first_edge_taps_int : std_logic_vector(11 downto 0);
signal dbg_prbs_second_edge_taps_int : std_logic_vector(11 downto 0);
begin
--***************************************************************************
ui_clk <= clk;
ui_clk_sync_rst <= rst;
sys_clk_p <= '0';
sys_clk_n <= '0';
clk_ref_i <= '0';
init_calib_complete <= init_calib_complete_i;
clk_ref_in_use_sys_clk : if (REFCLK_TYPE = "USE_SYSTEM_CLOCK") generate
clk_ref_in <= mmcm_clk;
end generate;
clk_ref_in_others : if (REFCLK_TYPE /= "USE_SYSTEM_CLOCK") generate
clk_ref_in <= clk_ref_i;
end generate;
u_iodelay_ctrl : mig_7series_v4_0_iodelay_ctrl
generic map
(
TCQ => TCQ,
IODELAY_GRP0 => IODELAY_GRP0,
IODELAY_GRP1 => IODELAY_GRP1,
REFCLK_TYPE => REFCLK_TYPE,
SYSCLK_TYPE => SYSCLK_TYPE,
SYS_RST_PORT => SYS_RST_PORT,
RST_ACT_LOW => RST_ACT_LOW,
DIFF_TERM_REFCLK => DIFF_TERM_REFCLK,
FPGA_SPEED_GRADE => FPGA_SPEED_GRADE,
REF_CLK_MMCM_IODELAY_CTRL => REF_CLK_MMCM_IODELAY_CTRL
)
port map
(
-- Outputs
iodelay_ctrl_rdy => iodelay_ctrl_rdy,
sys_rst_o => sys_rst_o,
clk_ref => clk_ref,
-- Inputs
clk_ref_p => clk_ref_p,
clk_ref_n => clk_ref_n,
clk_ref_i => clk_ref_in,
sys_rst => sys_rst
);
u_ddr2_clk_ibuf : mig_7series_v4_0_clk_ibuf
generic map
(
SYSCLK_TYPE => SYSCLK_TYPE,
DIFF_TERM_SYSCLK => DIFF_TERM_SYSCLK
)
port map
(
sys_clk_p => sys_clk_p,
sys_clk_n => sys_clk_n,
sys_clk_i => sys_clk_i,
mmcm_clk => mmcm_clk
);
-- Temperature monitoring logic
temp_mon_enabled : if (TEMP_MON_EN = "ON") generate
u_tempmon : mig_7series_v4_0_tempmon
generic map
(
TCQ => TCQ,
TEMP_MON_CONTROL => TEMP_MON_CONTROL,
XADC_CLK_PERIOD => XADC_CLK_PERIOD,
tTEMPSAMPLE => tTEMPSAMPLE
)
port map
(
clk => clk,
xadc_clk => clk_ref(0),
rst => rst,
device_temp_i => device_temp_i,
device_temp => device_temp
);
end generate;
temp_mon_disabled : if (TEMP_MON_EN /= "ON") generate
device_temp <= (others => '0');
end generate;
u_ddr2_infrastructure : mig_7series_v4_0_infrastructure
generic map
(
TCQ => TCQ,
nCK_PER_CLK => nCK_PER_CLK,
CLKIN_PERIOD => CLKIN_PERIOD,
SYSCLK_TYPE => SYSCLK_TYPE,
CLKFBOUT_MULT => CLKFBOUT_MULT,
DIVCLK_DIVIDE => DIVCLK_DIVIDE,
CLKOUT0_PHASE => CLKOUT0_PHASE,
CLKOUT0_DIVIDE => CLKOUT0_DIVIDE,
CLKOUT1_DIVIDE => CLKOUT1_DIVIDE,
CLKOUT2_DIVIDE => CLKOUT2_DIVIDE,
CLKOUT3_DIVIDE => CLKOUT3_DIVIDE,
MMCM_VCO => MMCM_VCO,
MMCM_MULT_F => MMCM_MULT_F,
MMCM_DIVCLK_DIVIDE => MMCM_DIVCLK_DIVIDE,
RST_ACT_LOW => RST_ACT_LOW,
tCK => tCK,
MEM_TYPE => DRAM_TYPE
)
port map
(
-- Outputs
rstdiv0 => rst,
clk => clk,
clk_div2 => clk_div2,
rst_div2 => rst_div2,
mem_refclk => mem_refclk,
freq_refclk => freq_refclk,
sync_pulse => sync_pulse,
psen => psen,
psincdec => psincdec,
mmcm_ps_clk => mmcm_ps_clk,
poc_sample_pd => poc_sample_pd,
iddr_rst => iddr_rst,
psdone => psdone,
-- auxout_clk => open,
ui_addn_clk_0 => open,
ui_addn_clk_1 => open,
ui_addn_clk_2 => open,
ui_addn_clk_3 => open,
ui_addn_clk_4 => open,
pll_locked => pll_locked,
mmcm_locked => open,
rst_phaser_ref => rst_phaser_ref,
-- Inputs
mmcm_clk => mmcm_clk,
sys_rst => sys_rst_o,
iodelay_ctrl_rdy => iodelay_ctrl_rdy,
ref_dll_lock => ref_dll_lock
);
u_memc_ui_top_std : mig_7series_v4_0_memc_ui_top_std
generic map (
TCQ => TCQ,
ADDR_CMD_MODE => ADDR_CMD_MODE,
AL => AL,
PAYLOAD_WIDTH => PAYLOAD_WIDTH,
BANK_WIDTH => BANK_WIDTH,
BM_CNT_WIDTH => BM_CNT_WIDTH,
BURST_MODE => BURST_MODE,
BURST_TYPE => BURST_TYPE,
CK_WIDTH => CK_WIDTH,
COL_WIDTH => COL_WIDTH,
CMD_PIPE_PLUS1 => CMD_PIPE_PLUS1,
CS_WIDTH => CS_WIDTH,
nCS_PER_RANK => nCS_PER_RANK,
CKE_WIDTH => CKE_WIDTH,
DATA_WIDTH => DATA_WIDTH,
DATA_BUF_ADDR_WIDTH => DATA_BUF_ADDR_WIDTH,
DM_WIDTH => DM_WIDTH,
DQ_CNT_WIDTH => DQ_CNT_WIDTH,
DQ_WIDTH => DQ_WIDTH,
DQS_CNT_WIDTH => DQS_CNT_WIDTH,
DQS_WIDTH => DQS_WIDTH,
DRAM_TYPE => DRAM_TYPE,
DRAM_WIDTH => DRAM_WIDTH,
ECC => ECC,
ECC_WIDTH => ECC_WIDTH,
ECC_TEST => ECC_TEST,
MC_ERR_ADDR_WIDTH => MC_ERR_ADDR_WIDTH,
REFCLK_FREQ => REFCLK_FREQ,
nAL => nAL,
nBANK_MACHS => nBANK_MACHS,
CKE_ODT_AUX => CKE_ODT_AUX,
nCK_PER_CLK => nCK_PER_CLK,
ORDERING => ORDERING,
OUTPUT_DRV => OUTPUT_DRV,
IBUF_LPWR_MODE => IBUF_LPWR_MODE,
DATA_IO_IDLE_PWRDWN => DATA_IO_IDLE_PWRDWN,
BANK_TYPE => BANK_TYPE,
DATA_IO_PRIM_TYPE => DATA_IO_PRIM_TYPE,
IODELAY_GRP0 => IODELAY_GRP0,
IODELAY_GRP1 => IODELAY_GRP1,
FPGA_SPEED_GRADE => FPGA_SPEED_GRADE,
REG_CTRL => REG_CTRL,
RTT_NOM => RTT_NOM,
CL => CL,
tCK => tCK,
tCKE => tCKE,
tFAW => tFAW,
tPRDI => tPRDI,
tRAS => tRAS,
tRCD => tRCD,
tREFI => tREFI,
tRFC => tRFC,
tRP => tRP,
tRRD => tRRD,
tRTP => tRTP,
tWTR => tWTR,
tZQI => tZQI,
tZQCS => tZQCS,
USER_REFRESH => USER_REFRESH,
TEMP_MON_EN => TEMP_MON_EN,
WRLVL => WRLVL,
DEBUG_PORT => DEBUG_PORT,
CAL_WIDTH => CAL_WIDTH,
RANK_WIDTH => RANK_WIDTH,
RANKS => RANKS,
ODT_WIDTH => ODT_WIDTH,
ROW_WIDTH => ROW_WIDTH,
ADDR_WIDTH => ADDR_WIDTH,
APP_DATA_WIDTH => APP_DATA_WIDTH,
APP_MASK_WIDTH => APP_MASK_WIDTH,
SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL,
BYTE_LANES_B0 => BYTE_LANES_B0,
BYTE_LANES_B1 => BYTE_LANES_B1,
BYTE_LANES_B2 => BYTE_LANES_B2,
BYTE_LANES_B3 => BYTE_LANES_B3,
BYTE_LANES_B4 => BYTE_LANES_B4,
DATA_CTL_B0 => DATA_CTL_B0,
DATA_CTL_B1 => DATA_CTL_B1,
DATA_CTL_B2 => DATA_CTL_B2,
DATA_CTL_B3 => DATA_CTL_B3,
DATA_CTL_B4 => DATA_CTL_B4,
PHY_0_BITLANES => PHY_0_BITLANES,
PHY_1_BITLANES => PHY_1_BITLANES,
PHY_2_BITLANES => PHY_2_BITLANES,
CK_BYTE_MAP => CK_BYTE_MAP,
ADDR_MAP => ADDR_MAP,
BANK_MAP => BANK_MAP,
CAS_MAP => CAS_MAP,
CKE_ODT_BYTE_MAP => CKE_ODT_BYTE_MAP,
CKE_MAP => CKE_MAP,
ODT_MAP => ODT_MAP,
CS_MAP => CS_MAP,
PARITY_MAP => PARITY_MAP,
RAS_MAP => RAS_MAP,
WE_MAP => WE_MAP,
DQS_BYTE_MAP => DQS_BYTE_MAP,
DATA0_MAP => DATA0_MAP,
DATA1_MAP => DATA1_MAP,
DATA2_MAP => DATA2_MAP,
DATA3_MAP => DATA3_MAP,
DATA4_MAP => DATA4_MAP,
DATA5_MAP => DATA5_MAP,
DATA6_MAP => DATA6_MAP,
DATA7_MAP => DATA7_MAP,
DATA8_MAP => DATA8_MAP,
DATA9_MAP => DATA9_MAP,
DATA10_MAP => DATA10_MAP,
DATA11_MAP => DATA11_MAP,
DATA12_MAP => DATA12_MAP,
DATA13_MAP => DATA13_MAP,
DATA14_MAP => DATA14_MAP,
DATA15_MAP => DATA15_MAP,
DATA16_MAP => DATA16_MAP,
DATA17_MAP => DATA17_MAP,
MASK0_MAP => MASK0_MAP,
MASK1_MAP => MASK1_MAP,
CALIB_ROW_ADD => CALIB_ROW_ADD,
CALIB_COL_ADD => CALIB_COL_ADD,
CALIB_BA_ADD => CALIB_BA_ADD,
SLOT_0_CONFIG => SLOT_0_CONFIG,
SLOT_1_CONFIG => SLOT_1_CONFIG,
MEM_ADDR_ORDER => MEM_ADDR_ORDER,
STARVE_LIMIT => STARVE_LIMIT,
USE_CS_PORT => USE_CS_PORT,
USE_DM_PORT => USE_DM_PORT,
USE_ODT_PORT => USE_ODT_PORT,
IDELAY_ADJ => "OFF",
FINE_PER_BIT => "OFF",
CENTER_COMP_MODE => "OFF",
PI_VAL_ADJ => "OFF",
MASTER_PHY_CTL => PHY_CONTROL_MASTER_BANK,
TAPSPERKCLK => TAPSPERKCLK,
SKIP_CALIB => "FALSE",
FPGA_VOLT_TYPE => "N"
)
port map (
clk => clk,
clk_div2 => clk_div2,
rst_div2 => rst_div2,
clk_ref => clk_ref,
mem_refclk => mem_refclk, --memory clock
freq_refclk => freq_refclk,
pll_lock => pll_locked,
sync_pulse => sync_pulse,
rst => rst,
rst_phaser_ref => rst_phaser_ref,
ref_dll_lock => ref_dll_lock,
iddr_rst => iddr_rst,
mmcm_ps_clk => mmcm_ps_clk,
poc_sample_pd => poc_sample_pd,
-- Memory interface ports
ddr_dq => ddr2_dq,
ddr_dqs_n => ddr2_dqs_n,
ddr_dqs => ddr2_dqs_p,
ddr_addr => ddr2_addr,
ddr_ba => ddr2_ba,
ddr_cas_n => ddr2_cas_n,
ddr_ck_n => ddr2_ck_n,
ddr_ck => ddr2_ck_p,
ddr_cke => ddr2_cke,
ddr_cs_n => ddr2_cs_n,
ddr_dm => ddr2_dm,
ddr_odt => ddr2_odt,
ddr_ras_n => ddr2_ras_n,
ddr_reset_n => ddr2_reset_n,
ddr_parity => ddr2_parity,
ddr_we_n => ddr2_we_n,
bank_mach_next => bank_mach_next,
-- Application interface ports
app_addr => app_addr,
app_cmd => app_cmd,
app_en => app_en,
app_hi_pri => '0',
app_wdf_data => app_wdf_data,
app_wdf_end => app_wdf_end,
app_wdf_mask => app_wdf_mask,
app_wdf_wren => app_wdf_wren,
app_ecc_multiple_err => app_ecc_multiple_err,
app_ecc_single_err => app_ecc_single_err,
app_rd_data => app_rd_data,
app_rd_data_end => app_rd_data_end,
app_rd_data_valid => app_rd_data_valid,
app_rdy => app_rdy,
app_wdf_rdy => app_wdf_rdy,
app_sr_req => app_sr_req,
app_sr_active => app_sr_active,
app_ref_req => app_ref_req,
app_ref_ack => app_ref_ack,
app_zq_req => app_zq_req,
app_zq_ack => app_zq_ack,
app_raw_not_ecc => all_zeros,
app_correct_en_i => '1',
psen => psen,
psincdec => psincdec,
psdone => psdone,
device_temp => device_temp,
-- Ports to be used when SKIP_CALIB="TRUE"
calib_tap_req => open,
calib_tap_addr => (others => '0'),
calib_tap_load => '0',
calib_tap_val => (others => '0'),
calib_tap_load_done => '0',
-- Debug logic ports
dbg_idel_up_all => dbg_idel_up_all,
dbg_idel_down_all => dbg_idel_down_all,
dbg_idel_up_cpt => dbg_idel_up_cpt,
dbg_idel_down_cpt => dbg_idel_down_cpt,
dbg_sel_idel_cpt => dbg_sel_idel_cpt,
dbg_sel_all_idel_cpt => dbg_sel_all_idel_cpt,
dbg_sel_pi_incdec => dbg_sel_pi_incdec,
dbg_sel_po_incdec => dbg_sel_po_incdec,
dbg_byte_sel => dbg_byte_sel,
dbg_pi_f_inc => dbg_pi_f_inc,
dbg_pi_f_dec => dbg_pi_f_dec,
dbg_po_f_inc => dbg_po_f_inc,
dbg_po_f_stg23_sel => dbg_po_f_stg23_sel,
dbg_po_f_dec => dbg_po_f_dec,
dbg_cpt_tap_cnt => dbg_cpt_tap_cnt,
dbg_dq_idelay_tap_cnt => dbg_dq_idelay_tap_cnt,
dbg_calib_top => dbg_calib_top,
dbg_cpt_first_edge_cnt => dbg_cpt_first_edge_cnt,
dbg_cpt_second_edge_cnt => dbg_cpt_second_edge_cnt,
dbg_rd_data_offset => dbg_rd_data_offset,
dbg_phy_rdlvl => dbg_phy_rdlvl,
dbg_phy_wrcal => dbg_phy_wrcal,
dbg_final_po_fine_tap_cnt => dbg_final_po_fine_tap_cnt,
dbg_final_po_coarse_tap_cnt => dbg_final_po_coarse_tap_cnt,
dbg_rd_data_edge_detect => dbg_rd_data_edge_detect,
dbg_rddata => dbg_rddata,
dbg_rddata_valid => dbg_rddata_valid,
dbg_rdlvl_done => dbg_rdlvl_done,
dbg_rdlvl_err => dbg_rdlvl_err,
dbg_rdlvl_start => dbg_rdlvl_start,
dbg_wrlvl_fine_tap_cnt => dbg_wrlvl_fine_tap_cnt,
dbg_wrlvl_coarse_tap_cnt => dbg_wrlvl_coarse_tap_cnt,
dbg_tap_cnt_during_wrlvl => dbg_tap_cnt_during_wrlvl,
dbg_wl_edge_detect_valid => dbg_wl_edge_detect_valid,
dbg_wrlvl_done => dbg_wrlvl_done,
dbg_wrlvl_err => dbg_wrlvl_err,
dbg_wrlvl_start => dbg_wrlvl_start,
dbg_phy_wrlvl => dbg_phy_wrlvl,
dbg_phy_init => dbg_phy_init,
dbg_prbs_rdlvl => dbg_prbs_rdlvl,
dbg_dqs_found_cal => dbg_dqs_found_cal,
dbg_pi_counter_read_val => dbg_pi_counter_read_val,
dbg_po_counter_read_val => dbg_po_counter_read_val,
dbg_pi_phaselock_start => dbg_pi_phaselock_start,
dbg_pi_phaselocked_done => dbg_pi_phaselocked_done,
dbg_pi_phaselock_err => dbg_pi_phaselock_err,
dbg_pi_phase_locked_phy4lanes => dbg_pi_phase_locked_phy4lanes,
dbg_pi_dqsfound_start => dbg_pi_dqsfound_start,
dbg_pi_dqsfound_done => dbg_pi_dqsfound_done,
dbg_pi_dqsfound_err => dbg_pi_dqsfound_err,
dbg_pi_dqs_found_lanes_phy4lanes => dbg_pi_dqs_found_lanes_phy4lanes,
dbg_calib_rd_data_offset_1 => dbg_calib_rd_data_offset_1,
dbg_calib_rd_data_offset_2 => dbg_calib_rd_data_offset_2,
dbg_data_offset => dbg_data_offset,
dbg_data_offset_1 => dbg_data_offset_1,
dbg_data_offset_2 => dbg_data_offset_2,
dbg_wrcal_start => dbg_wrcal_start,
dbg_wrcal_done => dbg_wrcal_done,
dbg_wrcal_err => dbg_wrcal_err,
dbg_phy_oclkdelay_cal => dbg_phy_oclkdelay_cal,
dbg_oclkdelay_rd_data => dbg_oclkdelay_rd_data,
dbg_oclkdelay_calib_start => dbg_oclkdelay_calib_start,
dbg_oclkdelay_calib_done => dbg_oclkdelay_calib_done,
dbg_prbs_final_dqs_tap_cnt_r => dbg_prbs_final_dqs_tap_cnt_r_int,
dbg_prbs_first_edge_taps => dbg_prbs_first_edge_taps_int,
dbg_prbs_second_edge_taps => dbg_prbs_second_edge_taps_int,
init_calib_complete => init_calib_complete_i,
dbg_poc => open
);
--*********************************************************************
-- Resetting all RTL debug inputs as the debug ports are not enabled
--*********************************************************************
dbg_idel_down_all <= '0';
dbg_idel_down_cpt <= '0';
dbg_idel_up_all <= '0';
dbg_idel_up_cpt <= '0';
dbg_sel_all_idel_cpt <= '0';
dbg_sel_idel_cpt <= (others => '0');
dbg_byte_sel <= (others => '0');
dbg_sel_pi_incdec <= '0';
dbg_pi_f_inc <= '0';
dbg_pi_f_dec <= '0';
dbg_po_f_inc <= '0';
dbg_po_f_dec <= '0';
dbg_po_f_stg23_sel <= '0';
dbg_sel_po_incdec <= '0';
end architecture arch_ddr_mig;
| mit | ee7a2ab5991204cdff466e54d4d0e419 | 0.439334 | 4.263135 | false | false | false | false |
fabioperez/space-invaders-vhdl | lib/pc.vhd | 1 | 3,176 | library ieee;
use ieee.std_logic_1164.all;
library lib;
use lib.general.all;
--------------------------------------------------------------------------------
-- PLAYER CONTROLLER
--------------------------------------------------------------------------------
-- TODO:
-- Remove output clock_o;
-- Define constants/generics instead of magic numbers
-- Change aux_x/aux_y to something more explanatory
--------------------------------------------------------------------------------
entity pc is
generic
(
-- screen limits (follows game resolution)
res_x : integer := 160;
res_y : integer := 120;
-- used to take into account the dimensions of the ship
aux_y : integer := 0;
aux_x : integer := 1;
-- y-position of the player ship
pos_y : integer := 5;
-- clock divider
clock_div : integer := 2
);
port
(
-- input commands for reset or movement
reset_i, enable_i : in std_logic;
-- movement direction ('0' = left, 1' = right)
right_flag_i : in std_logic;
-- clock input
clock_i : in std_logic;
-- player position output
clock_o : out std_logic; -- TODO: remove
position_x_o : buffer integer range 0 to res_x;
position_y_o : out integer range 0 to res_y
);
end entity;
architecture behavior of pc is
-- clock signal for updating x position
signal clock_s : std_logic;
begin
-- counter that generates the clock signal for updating x position
pc_clock: clock_counter
generic map ( clock_div )
port map ( clock_i, clock_s );
-- register: keeps the position of the player ship
pc_movement:
process (reset_i, enable_i,clock_s)
begin
-- asynchronous reset of x coordinate
if reset_i = '1' then
position_x_o <= res_x/2 - aux_x/2;
else
-- move the ship according to right_flag_i input
if rising_edge(clock_s) and enable_i ='1' then
if right_flag_i = '1' then
position_x_o <= position_x_o + 1; -- move right
elsif right_flag_i = '0' then
position_x_o <= position_x_o - 1; -- move left
end if;
-- check boundaries
if position_x_o + aux_x > res_x-5 then
position_x_o <= res_x-aux_x-5;
end if;
if position_x_o < 5 then
position_x_o <= 5;
end if;
end if;
end if;
end process;
-- updates output position with new y-position
position_y_o <= pos_y + aux_y/2;
end architecture;
| mit | 2fe1ffdd3db8475e8d02d06ad5108b47 | 0.422859 | 4.66373 | false | false | false | false |
SLongofono/Senior_Design_Capstone | StupidCore/tb/MMU_tb.vhd | 1 | 5,060 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library config;
use work.config.all;
use IEEE.NUMERIC_STD.ALL;
entity MMU_tb is
end MMU_tb;
architecture Behavioral of MMU_tb is
component MMU is
Port(
clk: in std_logic; -- 100 Mhz Clock
rst: in std_logic; -- Active high reset
addr_in: in doubleword; -- 64-bits address in
data_in: in doubleword; -- 64-bits data in
satp: in doubleword; -- Control register
store: in std_logic; -- High to toggle store
load: in std_logic; -- High to toggle load
busy: out std_logic; -- High when busy
ready_instr: in std_logic; -- Can fetch next instruction (might be redundant)
addr_instr: in doubleword; -- Instruction Address (AKA PC)
alignment: in std_logic_vector(3 downto 0); --Mask
data_out: out doubleword; -- 64-Bits data out
instr_out: out doubleword; -- 64-Bits instruction out
error: out std_logic_vector(5 downto 0);
-- LEDS out
LED: out std_logic_vector(15 downto 0);
-- UART out
UART_TXD: out std_logic;
UART_RXD: in std_logic;
-- DDR2 Signals
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0);
fkuck_vivado_so_much: out std_logic_vector(5 downto 0);
s_internal_address_out: out doubleword;
-- ROM SPI signals
sck: out std_logic; -- Special gated sck for the ROM STARTUPE2 generic
cs_n: out STD_LOGIC;
dq: inout std_logic_vector(3 downto 0));
end component;
signal clk, rst, store, load, busy, ready_instr: std_logic := '0'; -- 100 Mhz Clock
signal addr_in, data_in, satp, addr_instr, data_out, instr_out: doubleword := (others => '0');
signal alignment: std_logic_vector(3 downto 0) := (others => '0');
signal error: std_logic_vector(5 downto 0) := (others => '0');
signal LED: std_logic_vector(15 downto 0) := (others => '0');
-- For the moment all the rest open
signal UART_TXD: std_logic;
signal UART_RXD: std_logic;
signal s_fuck_vivado_so_fucking_much: std_logic_vector(5 downto 0);
signal ddr2_addr : STD_LOGIC_VECTOR (12 downto 0);
signal ddr2_ba : STD_LOGIC_VECTOR (2 downto 0);
signal ddr2_ras_n : STD_LOGIC;
signal ddr2_cas_n : STD_LOGIC;
signal ddr2_we_n : STD_LOGIC;
signal ddr2_ck_p : std_logic_vector(0 downto 0);
signal ddr2_ck_n : std_logic_vector(0 downto 0);
signal ddr2_cke : std_logic_vector(0 downto 0);
signal ddr2_cs_n : std_logic_vector(0 downto 0);
signal ddr2_dm : STD_LOGIC_VECTOR (1 downto 0);
signal ddr2_odt : std_logic_vector(0 downto 0);
signal ddr2_dq : STD_LOGIC_VECTOR (15 downto 0);
signal ddr2_dqs_p : STD_LOGIC_VECTOR (1 downto 0);
signal ddr2_dqs_n : STD_LOGIC_VECTOR (1 downto 0);
signal sck: std_logic; -- Special gated sck for the ROM STARTUPE2 generic
signal cs_n: STD_LOGIC;
signal dq: std_logic_vector(3 downto 0);
signal s_internal_address_out: doubleword;
signal counter: integer := 0;
begin
oom: MMU port map(clk => clk, rst => rst, store => store, load => load, busy => busy, ready_instr => ready_instr,
addr_in => addr_in, data_in => data_in, satp => satp, addr_instr => addr_instr, data_out => data_out,
instr_out => instr_out, alignment => alignment, error => error, LED => LED,
UART_TXD=>UART_TXD,
UART_RXD=>UART_RXD,
ddr2_addr => ddr2_addr,
ddr2_ba => ddr2_ba,
ddr2_ras_n => ddr2_ras_n,
ddr2_cas_n => ddr2_cas_n,
ddr2_we_n => ddr2_we_n,
ddr2_ck_p => ddr2_ck_p,
ddr2_ck_n => ddr2_ck_n,
ddr2_cke => ddr2_cke,
ddr2_cs_n => ddr2_cs_n,
ddr2_dm => ddr2_dm,
ddr2_odt => ddr2_odt,
ddr2_dq => ddr2_dq,
ddr2_dqs_p => ddr2_dqs_p,
ddr2_dqs_n => ddr2_dqs_n,
fkuck_vivado_so_much => s_fuck_vivado_so_fucking_much,
s_internal_address_out => s_internal_address_out,
sck => sck,
cs_n => cs_n,
dq => dq);
process begin
if(counter < 5) then
rst <= '1';
elsif(counter = 5) then
rst <= '0';
elsif(counter = 8) then
load <= '1';
addr_in <= x"0000000080000000";
elsif(counter > 9) then
addr_in <= x"0000000080000000";
load <= '0';
end if;
counter <= counter + 1;
clk <= clk xor '1';
wait for 2 ns;
end process;
--pragma synthesis_off
--current_state <= current_state;
--pragma synthesis_on
end Behavioral; | mit | 74d5f30f201958e133712827a212ecd8 | 0.608893 | 3.0227 | false | false | false | false |
PsiStarPsi/firmware-ethernet | ExampleProject/projectSrc/scrodEthernetExample.vhd | 1 | 8,464 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:21:31 07/23/2015
-- Design Name:
-- Module Name: scrodEthernetExample - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
use work.UtilityPkg.all;
use work.Eth1000BaseXPkg.all;
use work.GigabitEthPkg.all;
library UNISIM;
use UNISIM.VComponents.all;
entity scrodEthernetExample is
generic (
REG_ADDR_BITS_G : integer := 16;
REG_DATA_BITS_G : integer := 16;
NUM_IP_G : integer := 2;
GATE_DELAY_G : time := 1 ns
);
port (
-- Direct GT connections
gtTxP : out sl;
gtTxN : out sl;
gtRxP : in sl;
gtRxN : in sl;
gtClkP : in sl;
gtClkN : in sl;
-- Alternative clock input
fabClkP : in sl;
fabClkN : in sl;
-- SFP transceiver disable pin
txDisable : out sl;
-- Status and diagnostics out
ethSync : out sl;
ethReady : out sl;
led : out slv(15 downto 0)
);
end scrodEthernetExample;
architecture Behavioral of scrodEthernetExample is
signal fabClk : sl;
signal ethClk62 : sl;
signal ethClk125 : sl;
signal userRst : sl;
signal ethRxLinkSync : sl;
signal ethAutoNegDone : sl;
signal ethCoreMacAddr : MacAddrType := MAC_ADDR_DEFAULT_C;
signal ethCoreIpAddr : IpAddrType := IP_ADDR_DEFAULT_C;
signal ethCoreIpAddr1 : IpAddrType := (3 => x"C0", 2 => x"A8", 1 => x"01", 0 => x"21");
signal tpData : slv(31 downto 0);
signal tpDataValid : sl;
signal tpDataLast : sl;
signal tpDataReady : sl;
-- User Data interfaces
signal userTxDataChannels : Word32Array(NUM_IP_G-1 downto 0);
signal userTxDataValids : slv(NUM_IP_G-1 downto 0);
signal userTxDataLasts : slv(NUM_IP_G-1 downto 0);
signal userTxDataReadys : slv(NUM_IP_G-1 downto 0);
signal userRxDataChannels : Word32Array(NUM_IP_G-1 downto 0);
signal userRxDataValids : slv(NUM_IP_G-1 downto 0);
signal userRxDataLasts : slv(NUM_IP_G-1 downto 0);
signal userRxDataReadys : slv(NUM_IP_G-1 downto 0);
-- Register control interfaces
signal regAddr : slv(REG_ADDR_BITS_G-1 downto 0);
signal regWrData : slv(REG_DATA_BITS_G-1 downto 0);
signal regRdData : slv(REG_DATA_BITS_G-1 downto 0);
signal regReq : sl;
signal regOp : sl;
signal regAck : sl;
-- Test registers
-- Default is to send 1000 counter words once per second.
signal waitCyclesHigh : slv(15 downto 0) := x"0773";
signal waitCyclesLow : slv(15 downto 0) := x"5940";
signal numWords : slv(15 downto 0) := x"03E8";
begin
ethSync <= ethRxLinkSync;
ethReady <= ethAutoNegDone;
U_IBUFGDS : IBUFGDS port map ( I => fabClkP, IB => fabClkN, O => fabClk);
--------------------------------
-- Gigabit Ethernet Interface --
--------------------------------
U_S6EthTop : entity work.S6EthTop
generic map (
NUM_IP_G => 2
)
port map (
-- Direct GT connections
gtTxP => gtTxP,
gtTxN => gtTxN,
gtRxP => gtRxP,
gtRxN => gtRxN,
gtClkP => gtClkP,
gtClkN => gtClkN,
-- Alternative clock input from fabric
fabClkIn => fabClk,
-- SFP transceiver disable pin
txDisable => txDisable,
-- Clocks out from Ethernet core
ethUsrClk62 => ethClk62,
ethUsrClk125 => ethClk125,
-- Status and diagnostics out
ethSync => ethRxLinkSync,
ethReady => ethAutoNegDone,
led => led,
-- Core settings in
macAddr => ethCoreMacAddr,
ipAddrs => (0 => ethCoreIpAddr, 1 => ethCoreIpAddr1),
udpPorts => (0 => x"07D0", 1 => x"07D1"), --x7D0 = 2000,
-- User clock inputs
userClk => ethClk125,
userRstIn => '0',
userRstOut => userRst,
-- User data interfaces
userTxData => userTxDataChannels,
userTxDataValid => userTxDataValids,
userTxDataLast => userTxDataLasts,
userTxDataReady => userTxDataReadys,
userRxData => userRxDataChannels,
userRxDataValid => userRxDataValids,
userRxDataLast => userRxDataLasts,
userRxDataReady => userRxDataReadys
);
U_TpGenTx : entity work.TpGenTx
generic map (
-- NUM_WORDS_G => 1000,
-- WAIT_CYCLES_G => 100,
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- User clock and reset
userClk => ethClk125,
userRst => userRst,
-- Configuration
waitCycles => waitCyclesHigh & waitCyclesLow,
numWords => x"0000" & numWords,
-- Connection to user logic
userTxData => tpData,
userTxDataValid => tpDataValid,
userTxDataLast => tpDataLast,
userTxDataReady => tpDataReady
);
-- Channel 0 TX high speed test pattern
-- RX unused
userTxDataChannels(0) <= tpData;
userTxDataValids(0) <= tpDataValid;
userTxDataLasts(0) <= tpDataLast;
tpDataReady <= userTxDataReadys(0);
-- Note that the Channel 0 RX channels are unused here
--userRxDataChannels;
--userRxDataValids;
--userRxDataLasts;
userRxDataReadys(0) <= '1';
-- Channel 1 can be modified to a a simple loopback like this:
-- userTxDataChannels(1) <= userRxDataChannels(1);
-- userTxDataValids(1) <= userRxDataValids(1);
-- userTxDataLasts(1) <= userRxDataLasts(1);
-- userRxDataReadys(1) <= userTxDataReadys(1);
-- ...
-- Instead of this:
-- Channel 1 as a command interpreter
U_CommandInterpreter : entity work.CommandInterpreter
generic map (
REG_ADDR_BITS_G => 16,
REG_DATA_BITS_G => 16,
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- User clock and reset
usrClk => ethClk125,
usrRst => userRst,
-- Incoming data
rxData => userRxDataChannels(1),
rxDataValid => userRxDataValids(1),
rxDataLast => userRxDataLasts(1),
rxDataReady => userRxDataReadys(1),
-- Outgoing response
txData => userTxDataChannels(1),
txDataValid => userTxDataValids(1),
txDataLast => userTxDataLasts(1),
txDataReady => userTxDataReadys(1),
-- This board ID
myId => x"00AB",
-- Register interfaces
regAddr => regAddr,
regWrData => regWrData,
regRdData => regRdData,
regReq => regReq,
regOp => regOp,
regAck => regAck
);
-- A few registers to toy with
process(ethClk125) begin
if rising_edge(ethClk125) then
if userRst = '1' then
regAck <= '0';
regRdData <= (others => '0');
elsif regReq = '1' then
regAck <= regReq;
case regAddr is
when x"0000" => regRdData <= numWords;
if regOp = '1' then
numWords <= regWrData;
end if;
when x"0001" => regRdData <= waitCyclesHigh;
if regOp = '1' then
waitCyclesHigh <= regWrData;
end if;
when x"0002" => regRdData <= waitCyclesLow;
if regOp = '1' then
waitCyclesLow <= regWrData;
end if;
when others =>
regRdData <= (others => '0');
end case;
else
regAck <= '0';
end if;
end if;
end process;
end Behavioral;
| lgpl-2.1 | 71b7fdd94f13d1893ae634caac553548 | 0.519731 | 4.369644 | false | false | false | false |
SLongofono/Senior_Design_Capstone | OLD_CORE/exception.vhd | 1 | 2,250 | ----------------------------------------------------------------------------------
-- Engineer: Longofono
--
-- Create Date: 02/04/2018 04:12:40 PM
-- Module Name: exception - Behavioral
-- Description: Helper module determines if in an exception state
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library config;
use work.config.all;
entity exception is
Port(
mip: in doubleword; -- Machine interrupts pending CSR
mie: in doubleword; -- Machine interrupts enabled CSR
mstatus: in doubleword; -- Machine mode status CSR
sip: in doubleword; -- Supervisor interrupts pending CSR
sie: in doubleword; -- Supervisor interrupts enabled CSR
sstatus: in doubleword; -- Supervisor mod status CSR
mdeleg: in doubleword; -- Mask for supervisor delegated Exceptions
m_enable_interrupts:in std_logic; -- Global machine interrupt enabled
s_enable_interrupts:in std_logic; -- Global supervisor interrupt enabled
interrupt_m: out std_logic; -- Take interrupt machine mode
interrupt_s: out std_logic -- Take interrupt supervisor mode
);
end exception;
architecture Behavioral of exception is
signal s_interrupt_m: std_logic; -- Machine mode output
signal s_interrupt_s: std_logic; -- Supervisor mode output
begin
process(mip,mie,sip,sie)
variable ival_m: doubleword; -- Machine mode value
variable ival_s: doubleword; -- Supervisor mode value
begin
if('1' = m_enable_interrupts) then
ival_m := mip and mie and (not mdeleg);
if(unsigned(ival_m) > 0) then
s_interrupt_m <= '1';
else
s_interrupt_m <= '0';
end if;
end if;
if('1' = s_enable_interrupts) then
ival_s := sip and sie and mdeleg;
if(unsigned(ival_m) > 0) then
s_interrupt_m <= '1';
else
s_interrupt_m <= '0';
end if;
end if;
end process;
interrupt_m <= s_interrupt_m;
interrupt_s <= s_interrupt_s;
end Behavioral;
| mit | 103adacffeaa6df96eab2a145d73b350 | 0.570667 | 4.310345 | false | false | false | false |
wltr/cern-onewire-idtemp | src/rtl/onewire_idtemp_pkg.vhd | 1 | 1,368 | -------------------------------------------------------------------------------
--! @file onewire_idtemp_pkg.vhd
--! @author Johannes Walter <[email protected]>
--! @copyright LGPL v2.1
--! @brief Constants for the 1-wire ID and temperature sensor interface.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--! @brief Package declaration of onewire_idtemp_pkg
package onewire_idtemp_pkg is
-----------------------------------------------------------------------------
-- Types and Constants
-----------------------------------------------------------------------------
--! DS18B20 ID and temperature sensor family code
constant code_ds18b20_c : std_ulogic_vector(7 downto 0) := x"28";
--! DS2401 ID family code
constant code_ds2401_c : std_ulogic_vector(7 downto 0) := x"01";
--! Search command
constant cmd_search_c : std_ulogic_vector(7 downto 0) := x"F0";
--! Match ROM command
constant cmd_match_c : std_ulogic_vector(7 downto 0) := x"55";
--! Skip ROM command
constant cmd_skip_c : std_ulogic_vector(7 downto 0) := x"CC";
--! Convert command
constant cmd_convert_c : std_ulogic_vector(7 downto 0) := x"44";
--! Read scratchpad
constant cmd_read_sp_c : std_ulogic_vector(7 downto 0) := x"BE";
end package onewire_idtemp_pkg;
| lgpl-2.1 | 077ce432061e383830808493b0da1fa5 | 0.521199 | 4.183486 | false | false | false | false |
SLongofono/Senior_Design_Capstone | Demo/Ram2DdrXadc_RefComp/ipcore_dir/ddr/example_design/rtl/example_top.vhd | 1 | 34,078 | --*****************************************************************************
-- (c) Copyright 2009 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 4.0
-- \ \ Application : MIG
-- / / Filename : example_top.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 08:35:03 $
-- \ \ / \ Date Created : Wed Feb 01 2012
-- \___\/\___\
--
-- Device : 7 Series
-- Design Name : DDR2 SDRAM
-- Purpose :
-- Top-level module. This module serves as an example,
-- and allows the user to synthesize a self-contained design,
-- which they can be used to test their hardware.
-- In addition to the memory controller, the module instantiates:
-- 1. Synthesizable testbench - used to model user's backend logic
-- and generate different traffic patterns
-- Reference :
-- Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity example_top is
generic (
--***************************************************************************
-- Traffic Gen related parameters
--***************************************************************************
BL_WIDTH : integer := 10;
PORT_MODE : string := "BI_MODE";
DATA_MODE : std_logic_vector(3 downto 0) := "0010";
ADDR_MODE : std_logic_vector(3 downto 0) := "0011";
TST_MEM_INSTR_MODE : string := "R_W_INSTR_MODE";
EYE_TEST : string := "FALSE";
-- set EYE_TEST = "TRUE" to probe memory
-- signals. Traffic Generator will only
-- write to one single location and no
-- read transactions will be generated.
DATA_PATTERN : string := "DGEN_ALL";
-- For small devices, choose one only.
-- For large device, choose "DGEN_ALL"
-- "DGEN_HAMMER", "DGEN_WALKING1",
-- "DGEN_WALKING0","DGEN_ADDR","
-- "DGEN_NEIGHBOR","DGEN_PRBS","DGEN_ALL"
CMD_PATTERN : string := "CGEN_ALL";
-- "CGEN_PRBS","CGEN_FIXED","CGEN_BRAM",
-- "CGEN_SEQUENTIAL", "CGEN_ALL"
BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000000";
END_ADDRESS : std_logic_vector(31 downto 0) := X"00ffffff";
MEM_ADDR_ORDER : string := "BANK_ROW_COLUMN";
--Possible Parameters
--1.BANK_ROW_COLUMN : Address mapping is
-- in form of Bank Row Column.
--2.ROW_BANK_COLUMN : Address mapping is
-- in the form of Row Bank Column.
--3.TG_TEST : Scrambles Address bits
-- for distributed Addressing.
PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"ff000000";
CMD_WDT : std_logic_vector(31 downto 0) := X"000003ff";
WR_WDT : std_logic_vector(31 downto 0) := X"00001fff";
RD_WDT : std_logic_vector(31 downto 0) := X"000003ff";
--***************************************************************************
-- The following parameters refer to width of various ports
--***************************************************************************
BANK_WIDTH : integer := 3;
-- # of memory Bank Address bits.
COL_WIDTH : integer := 10;
-- # of memory Column Address bits.
CS_WIDTH : integer := 1;
-- # of unique CS outputs to memory.
DQ_WIDTH : integer := 16;
-- # of DQ (data)
DQS_WIDTH : integer := 2;
DQS_CNT_WIDTH : integer := 1;
-- = ceil(log2(DQS_WIDTH))
DRAM_WIDTH : integer := 8;
-- # of DQ per DQS
ECC_TEST : string := "OFF";
RANKS : integer := 1;
-- # of Ranks.
ROW_WIDTH : integer := 13;
-- # of memory Row Address bits.
ADDR_WIDTH : integer := 27;
-- # = RANK_WIDTH + BANK_WIDTH
-- + ROW_WIDTH + COL_WIDTH;
-- Chip Select is always tied to low for
-- single rank devices
--***************************************************************************
-- The following parameters are mode register settings
--***************************************************************************
BURST_MODE : string := "8";
-- DDR3 SDRAM:
-- Burst Length (Mode Register 0).
-- # = "8", "4", "OTF".
-- DDR2 SDRAM:
-- Burst Length (Mode Register).
-- # = "8", "4".
--***************************************************************************
-- Simulation parameters
--***************************************************************************
SIMULATION : string := "FALSE";
-- Should be TRUE during design simulations and
-- FALSE during implementations
--***************************************************************************
-- IODELAY and PHY related parameters
--***************************************************************************
TCQ : integer := 100;
DRAM_TYPE : string := "DDR2";
--***************************************************************************
-- System clock frequency parameters
--***************************************************************************
nCK_PER_CLK : integer := 2;
-- # of memory CKs per fabric CLK
--***************************************************************************
-- Debug parameters
--***************************************************************************
DEBUG_PORT : string := "OFF";
-- # = "ON" Enable debug signals/controls.
-- = "OFF" Disable debug signals/controls.
--***************************************************************************
-- Temparature monitor parameter
--***************************************************************************
TEMP_MON_CONTROL : string := "INTERNAL"
-- # = "INTERNAL", "EXTERNAL"
-- RST_ACT_LOW : integer := 1
-- =1 for active low reset,
-- =0 for active high.
);
port (
-- Inouts
ddr2_dq : inout std_logic_vector(15 downto 0);
ddr2_dqs_p : inout std_logic_vector(1 downto 0);
ddr2_dqs_n : inout std_logic_vector(1 downto 0);
-- Outputs
ddr2_addr : out std_logic_vector(12 downto 0);
ddr2_ba : out std_logic_vector(2 downto 0);
ddr2_ras_n : out std_logic;
ddr2_cas_n : out std_logic;
ddr2_we_n : out std_logic;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out std_logic_vector(1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
-- Inputs
-- Single-ended system clock
sys_clk_i : in std_logic;
tg_compare_error : out std_logic;
init_calib_complete : out std_logic;
-- System reset - Default polarity of sys_rst pin is Active Low.
-- System reset polarity will change based on the option
-- selected in GUI.
sys_rst : in std_logic
);
end entity example_top;
architecture arch_example_top of example_top is
-- clogb2 function - ceiling of log base 2
function clogb2 (size : integer) return integer is
variable base : integer := 1;
variable inp : integer := 0;
begin
inp := size - 1;
while (inp > 1) loop
inp := inp/2 ;
base := base + 1;
end loop;
return base;
end function;function STR_TO_INT(BM : string) return integer is
begin
if(BM = "8") then
return 8;
elsif(BM = "4") then
return 4;
else
return 0;
end if;
end function;
constant RANK_WIDTH : integer := clogb2(RANKS);
function XWIDTH return integer is
begin
if(CS_WIDTH = 1) then
return 0;
else
return RANK_WIDTH;
end if;
end function;
constant CMD_PIPE_PLUS1 : string := "ON";
-- add pipeline stage between MC and PHY
constant tPRDI : integer := 1000000;
-- memory tPRDI paramter in pS.
constant DATA_WIDTH : integer := 16;
constant PAYLOAD_WIDTH : integer := DATA_WIDTH;
constant BURST_LENGTH : integer := STR_TO_INT(BURST_MODE);
constant APP_DATA_WIDTH : integer := 2 * nCK_PER_CLK * PAYLOAD_WIDTH;
constant APP_MASK_WIDTH : integer := APP_DATA_WIDTH / 8;
--***************************************************************************
-- Traffic Gen related parameters (derived)
--***************************************************************************
constant TG_ADDR_WIDTH : integer := XWIDTH + BANK_WIDTH + ROW_WIDTH + COL_WIDTH;
constant MASK_SIZE : integer := DATA_WIDTH/8;
-- Start of User Design top component
component ddr
-- generic (
-- #parameters_user_design_top_component#
-- RST_ACT_LOW : integer
-- );
port(
ddr2_dq : inout std_logic_vector(15 downto 0);
ddr2_dqs_p : inout std_logic_vector(1 downto 0);
ddr2_dqs_n : inout std_logic_vector(1 downto 0);
ddr2_addr : out std_logic_vector(12 downto 0);
ddr2_ba : out std_logic_vector(2 downto 0);
ddr2_ras_n : out std_logic;
ddr2_cas_n : out std_logic;
ddr2_we_n : out std_logic;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out std_logic_vector(1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
app_addr : in std_logic_vector(26 downto 0);
app_cmd : in std_logic_vector(2 downto 0);
app_en : in std_logic;
app_wdf_data : in std_logic_vector(63 downto 0);
app_wdf_end : in std_logic;
app_wdf_mask : in std_logic_vector(7 downto 0);
app_wdf_wren : in std_logic;
app_rd_data : out std_logic_vector(63 downto 0);
app_rd_data_end : out std_logic;
app_rd_data_valid : out std_logic;
app_rdy : out std_logic;
app_wdf_rdy : out std_logic;
app_sr_req : in std_logic;
app_ref_req : in std_logic;
app_zq_req : in std_logic;
app_sr_active : out std_logic;
app_ref_ack : out std_logic;
app_zq_ack : out std_logic;
ui_clk : out std_logic;
ui_clk_sync_rst : out std_logic;
init_calib_complete : out std_logic;
-- System Clock Ports
sys_clk_i : in std_logic;
sys_rst : in std_logic
);
end component ddr;
-- End of User Design top component
component mig_7series_v4_0_traffic_gen_top
generic (
TCQ : integer;
SIMULATION : string;
FAMILY : string;
MEM_TYPE : string;
TST_MEM_INSTR_MODE : string;
--BL_WIDTH : integer;
nCK_PER_CLK : integer;
NUM_DQ_PINS : integer;
MEM_BURST_LEN : integer;
MEM_COL_WIDTH : integer;
DATA_WIDTH : integer;
ADDR_WIDTH : integer;
MASK_SIZE : integer := 8;
DATA_MODE : std_logic_vector(3 downto 0);
BEGIN_ADDRESS : std_logic_vector(31 downto 0);
END_ADDRESS : std_logic_vector(31 downto 0);
PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0);
CMDS_GAP_DELAY : std_logic_vector(5 downto 0) := "000000";
SEL_VICTIM_LINE : integer := 8;
CMD_WDT : std_logic_vector(31 downto 0) := X"000003ff";
WR_WDT : std_logic_vector(31 downto 0) := X"00001fff";
RD_WDT : std_logic_vector(31 downto 0) := X"000003ff";
EYE_TEST : string;
PORT_MODE : string;
DATA_PATTERN : string;
CMD_PATTERN : string
);
port (
clk : in std_logic;
rst : in std_logic;
tg_only_rst : in std_logic;
manual_clear_error : in std_logic;
memc_init_done : in std_logic;
memc_cmd_full : in std_logic;
memc_cmd_en : out std_logic;
memc_cmd_instr : out std_logic_vector(2 downto 0);
memc_cmd_bl : out std_logic_vector(5 downto 0);
memc_cmd_addr : out std_logic_vector(31 downto 0);
memc_wr_en : out std_logic;
memc_wr_end : out std_logic;
memc_wr_mask : out std_logic_vector((DATA_WIDTH/8)-1 downto 0);
memc_wr_data : out std_logic_vector(DATA_WIDTH-1 downto 0);
memc_wr_full : in std_logic;
memc_rd_en : out std_logic;
memc_rd_data : in std_logic_vector(DATA_WIDTH-1 downto 0);
memc_rd_empty : in std_logic;
qdr_wr_cmd_o : out std_logic;
qdr_rd_cmd_o : out std_logic;
vio_pause_traffic : in std_logic;
vio_modify_enable : in std_logic;
vio_data_mode_value : in std_logic_vector(3 downto 0);
vio_addr_mode_value : in std_logic_vector(2 downto 0);
vio_instr_mode_value : in std_logic_vector(3 downto 0);
vio_bl_mode_value : in std_logic_vector(1 downto 0);
vio_fixed_bl_value : in std_logic_vector(9 downto 0);
vio_fixed_instr_value : in std_logic_vector(2 downto 0);
vio_data_mask_gen : in std_logic;
fixed_addr_i : in std_logic_vector(31 downto 0);
fixed_data_i : in std_logic_vector(31 downto 0);
simple_data0 : in std_logic_vector(31 downto 0);
simple_data1 : in std_logic_vector(31 downto 0);
simple_data2 : in std_logic_vector(31 downto 0);
simple_data3 : in std_logic_vector(31 downto 0);
simple_data4 : in std_logic_vector(31 downto 0);
simple_data5 : in std_logic_vector(31 downto 0);
simple_data6 : in std_logic_vector(31 downto 0);
simple_data7 : in std_logic_vector(31 downto 0);
wdt_en_i : in std_logic;
bram_cmd_i : in std_logic_vector(38 downto 0);
bram_valid_i : in std_logic;
bram_rdy_o : out std_logic;
cmp_data : out std_logic_vector(DATA_WIDTH-1 downto 0);
cmp_data_valid : out std_logic;
cmp_error : out std_logic;
wr_data_counts : out std_logic_vector(47 downto 0);
rd_data_counts : out std_logic_vector(47 downto 0);
dq_error_bytelane_cmp : out std_logic_vector((NUM_DQ_PINS/8)-1 downto 0);
error : out std_logic;
error_status : out std_logic_vector((64+(2*DATA_WIDTH))-1 downto 0);
cumlative_dq_lane_error : out std_logic_vector((NUM_DQ_PINS/8)-1 downto 0);
cmd_wdt_err_o : out std_logic;
wr_wdt_err_o : out std_logic;
rd_wdt_err_o : out std_logic;
mem_pattern_init_done : out std_logic
);
end component mig_7series_v4_0_traffic_gen_top;
-- Signal declarations
signal app_ecc_multiple_err : std_logic_vector((2*nCK_PER_CLK)-1 downto 0);
signal app_ecc_single_err : std_logic_vector((2*nCK_PER_CLK)-1 downto 0);
signal app_addr : std_logic_vector(ADDR_WIDTH-1 downto 0);
signal app_addr_i : std_logic_vector(31 downto 0);
signal app_cmd : std_logic_vector(2 downto 0);
signal app_en : std_logic;
signal app_rdy : std_logic;
signal app_rdy_i : std_logic;
signal app_rd_data : std_logic_vector(APP_DATA_WIDTH-1 downto 0);
signal app_rd_data_end : std_logic;
signal app_rd_data_valid : std_logic;
signal app_rd_data_valid_i : std_logic;
signal app_wdf_data : std_logic_vector(APP_DATA_WIDTH-1 downto 0);
signal app_wdf_end : std_logic;
signal app_wdf_mask : std_logic_vector(APP_MASK_WIDTH-1 downto 0);
signal app_wdf_rdy : std_logic;
signal app_wdf_rdy_i : std_logic;
signal app_sr_active : std_logic;
signal app_ref_ack : std_logic;
signal app_zq_ack : std_logic;
signal app_wdf_wren : std_logic;
signal error_status : std_logic_vector((64 + (4*PAYLOAD_WIDTH*nCK_PER_CLK))-1 downto 0);
signal cumlative_dq_lane_error : std_logic_vector((PAYLOAD_WIDTH/8)-1 downto 0);
signal mem_pattern_init_done : std_logic_vector(0 downto 0);
signal modify_enable_sel : std_logic;
signal data_mode_manual_sel : std_logic_vector(2 downto 0);
signal addr_mode_manual_sel : std_logic_vector(2 downto 0);
signal cmp_data : std_logic_vector((PAYLOAD_WIDTH*2*nCK_PER_CLK)-1 downto 0);
signal cmp_data_r : std_logic_vector(63 downto 0);
signal cmp_data_valid : std_logic;
signal cmp_data_valid_r : std_logic;
signal cmp_error : std_logic;
signal tg_wr_data_counts : std_logic_vector(47 downto 0);
signal tg_rd_data_counts : std_logic_vector(47 downto 0);
signal dq_error_bytelane_cmp : std_logic_vector((PAYLOAD_WIDTH/8)-1 downto 0);
signal init_calib_complete_i : std_logic;
signal tg_compare_error_i : std_logic;
signal tg_rst : std_logic;
signal po_win_tg_rst : std_logic;
signal manual_clear_error : std_logic_vector(0 downto 0);
signal clk : std_logic;
signal rst : std_logic;
signal vio_modify_enable : std_logic_vector(0 downto 0);
signal vio_data_mode_value : std_logic_vector(3 downto 0);
signal vio_pause_traffic : std_logic_vector(0 downto 0);
signal vio_addr_mode_value : std_logic_vector(2 downto 0);
signal vio_instr_mode_value : std_logic_vector(3 downto 0);
signal vio_bl_mode_value : std_logic_vector(1 downto 0);
signal vio_fixed_bl_value : std_logic_vector(BL_WIDTH-1 downto 0);
signal vio_fixed_instr_value : std_logic_vector(2 downto 0);
signal vio_data_mask_gen : std_logic_vector(0 downto 0);
signal dbg_clear_error : std_logic_vector(0 downto 0);
signal vio_tg_rst : std_logic_vector(0 downto 0);
signal dbg_sel_pi_incdec : std_logic_vector(0 downto 0);
signal dbg_pi_f_inc : std_logic_vector(0 downto 0);
signal dbg_pi_f_dec : std_logic_vector(0 downto 0);
signal dbg_sel_po_incdec : std_logic_vector(0 downto 0);
signal dbg_po_f_inc : std_logic_vector(0 downto 0);
signal dbg_po_f_stg23_sel : std_logic_vector(0 downto 0);
signal dbg_po_f_dec : std_logic_vector(0 downto 0);
signal vio_dbg_sel_pi_incdec : std_logic_vector(0 downto 0);
signal vio_dbg_pi_f_inc : std_logic_vector(0 downto 0);
signal vio_dbg_pi_f_dec : std_logic_vector(0 downto 0);
signal vio_dbg_sel_po_incdec : std_logic_vector(0 downto 0);
signal vio_dbg_po_f_inc : std_logic_vector(0 downto 0);
signal vio_dbg_po_f_stg23_sel : std_logic_vector(0 downto 0);
signal vio_dbg_po_f_dec : std_logic_vector(0 downto 0);
signal all_zeros1 : std_logic_vector(31 downto 0):= (others => '0');
signal all_zeros2 : std_logic_vector(38 downto 0):= (others => '0');
signal wdt_en_w : std_logic_vector(0 downto 0);
signal cmd_wdt_err_w : std_logic;
signal wr_wdt_err_w : std_logic;
signal rd_wdt_err_w : std_logic;
signal device_temp : std_logic_vector(11 downto 0);
begin
--***************************************************************************
init_calib_complete <= init_calib_complete_i;
tg_compare_error <= tg_compare_error_i;
app_rdy_i <= not(app_rdy);
app_wdf_rdy_i <= not(app_wdf_rdy);
app_rd_data_valid_i <= not(app_rd_data_valid);
app_addr <= app_addr_i(ADDR_WIDTH-1 downto 0);
-- Start of User Design top instance
--***************************************************************************
-- The User design is instantiated below. The memory interface ports are
-- connected to the top-level and the application interface ports are
-- connected to the traffic generator module. This provides a reference
-- for connecting the memory controller to system.
--***************************************************************************
u_ddr : ddr
-- generic map (
-- #parameters_mapping_user_design_top_instance#
-- RST_ACT_LOW => RST_ACT_LOW
-- )
port map (
-- Memory interface ports
ddr2_addr => ddr2_addr,
ddr2_ba => ddr2_ba,
ddr2_cas_n => ddr2_cas_n,
ddr2_ck_n => ddr2_ck_n,
ddr2_ck_p => ddr2_ck_p,
ddr2_cke => ddr2_cke,
ddr2_ras_n => ddr2_ras_n,
ddr2_we_n => ddr2_we_n,
ddr2_dq => ddr2_dq,
ddr2_dqs_n => ddr2_dqs_n,
ddr2_dqs_p => ddr2_dqs_p,
init_calib_complete => init_calib_complete_i,
ddr2_cs_n => ddr2_cs_n,
ddr2_dm => ddr2_dm,
ddr2_odt => ddr2_odt,
-- Application interface ports
app_addr => app_addr,
app_cmd => app_cmd,
app_en => app_en,
app_wdf_data => app_wdf_data,
app_wdf_end => app_wdf_end,
app_wdf_wren => app_wdf_wren,
app_rd_data => app_rd_data,
app_rd_data_end => app_rd_data_end,
app_rd_data_valid => app_rd_data_valid,
app_rdy => app_rdy,
app_wdf_rdy => app_wdf_rdy,
app_sr_req => '0',
app_ref_req => '0',
app_zq_req => '0',
app_sr_active => app_sr_active,
app_ref_ack => app_ref_ack,
app_zq_ack => app_zq_ack,
ui_clk => clk,
ui_clk_sync_rst => rst,
app_wdf_mask => app_wdf_mask,
-- System Clock Ports
sys_clk_i => sys_clk_i,
sys_rst => sys_rst
);
-- End of User Design top instance
--***************************************************************************
-- The traffic generation module instantiated below drives traffic (patterns)
-- on the application interface of the memory controller
--***************************************************************************
tg_rst <= vio_tg_rst(0) or po_win_tg_rst;
u_traffic_gen_top : mig_7series_v4_0_traffic_gen_top
generic map (
TCQ => TCQ,
SIMULATION => SIMULATION,
FAMILY => "VIRTEX7",
MEM_TYPE => DRAM_TYPE,
TST_MEM_INSTR_MODE => TST_MEM_INSTR_MODE,
nCK_PER_CLK => nCK_PER_CLK,
NUM_DQ_PINS => PAYLOAD_WIDTH,
MEM_BURST_LEN => BURST_LENGTH,
MEM_COL_WIDTH => COL_WIDTH,
PORT_MODE => PORT_MODE,
DATA_PATTERN => DATA_PATTERN,
CMD_PATTERN => CMD_PATTERN,
ADDR_WIDTH => TG_ADDR_WIDTH,
DATA_WIDTH => APP_DATA_WIDTH,
BEGIN_ADDRESS => BEGIN_ADDRESS,
DATA_MODE => DATA_MODE,
END_ADDRESS => END_ADDRESS,
PRBS_EADDR_MASK_POS => PRBS_EADDR_MASK_POS,
CMD_WDT => CMD_WDT,
RD_WDT => RD_WDT,
WR_WDT => WR_WDT,
EYE_TEST => EYE_TEST
)
port map (
clk => clk,
rst => rst,
tg_only_rst => tg_rst,
manual_clear_error => manual_clear_error(0),
memc_init_done => init_calib_complete_i,
memc_cmd_full => app_rdy_i,
memc_cmd_en => app_en,
memc_cmd_instr => app_cmd,
memc_cmd_bl => open,
memc_cmd_addr => app_addr_i,
memc_wr_en => app_wdf_wren,
memc_wr_end => app_wdf_end,
memc_wr_mask => app_wdf_mask(((PAYLOAD_WIDTH*2*nCK_PER_CLK)/8)-1 downto 0),
memc_wr_data => app_wdf_data((PAYLOAD_WIDTH*2*nCK_PER_CLK)-1 downto 0),
memc_wr_full => app_wdf_rdy_i,
memc_rd_en => open,
memc_rd_data => app_rd_data((PAYLOAD_WIDTH*2*nCK_PER_CLK)-1 downto 0),
memc_rd_empty => app_rd_data_valid_i,
qdr_wr_cmd_o => open,
qdr_rd_cmd_o => open,
vio_pause_traffic => vio_pause_traffic(0),
vio_modify_enable => vio_modify_enable(0),
vio_data_mode_value => vio_data_mode_value,
vio_addr_mode_value => vio_addr_mode_value,
vio_instr_mode_value => vio_instr_mode_value,
vio_bl_mode_value => vio_bl_mode_value,
vio_fixed_bl_value => vio_fixed_bl_value,
vio_fixed_instr_value=> vio_fixed_instr_value,
vio_data_mask_gen => vio_data_mask_gen(0),
fixed_addr_i => all_zeros1,
fixed_data_i => all_zeros1,
simple_data0 => all_zeros1,
simple_data1 => all_zeros1,
simple_data2 => all_zeros1,
simple_data3 => all_zeros1,
simple_data4 => all_zeros1,
simple_data5 => all_zeros1,
simple_data6 => all_zeros1,
simple_data7 => all_zeros1,
wdt_en_i => wdt_en_w(0),
bram_cmd_i => all_zeros2,
bram_valid_i => '0',
bram_rdy_o => open,
cmp_data => cmp_data,
cmp_data_valid => cmp_data_valid,
cmp_error => cmp_error,
wr_data_counts => tg_wr_data_counts,
rd_data_counts => tg_rd_data_counts,
dq_error_bytelane_cmp => dq_error_bytelane_cmp,
error => tg_compare_error_i,
error_status => error_status,
cumlative_dq_lane_error => cumlative_dq_lane_error,
cmd_wdt_err_o => cmd_wdt_err_w,
wr_wdt_err_o => wr_wdt_err_w,
rd_wdt_err_o => rd_wdt_err_w,
mem_pattern_init_done => mem_pattern_init_done(0)
);
--*****************************************************************
-- Default values are assigned to the debug inputs of the traffic
-- generator
--*****************************************************************
vio_modify_enable(0) <= '0';
vio_data_mode_value <= "0010";
vio_addr_mode_value <= "011";
vio_instr_mode_value <= "0010";
vio_bl_mode_value <= "10";
vio_fixed_bl_value <= "0000010000";
vio_data_mask_gen(0) <= '0';
vio_pause_traffic(0) <= '0';
vio_fixed_instr_value <= "001";
dbg_clear_error(0) <= '0';
po_win_tg_rst <= '0';
vio_tg_rst(0) <= '0';
wdt_en_w(0) <= '1';
dbg_sel_pi_incdec(0) <= '0';
dbg_sel_po_incdec(0) <= '0';
dbg_pi_f_inc(0) <= '0';
dbg_pi_f_dec(0) <= '0';
dbg_po_f_inc(0) <= '0';
dbg_po_f_dec(0) <= '0';
dbg_po_f_stg23_sel(0) <= '0';
end architecture arch_example_top;
| mit | 4b051e8af6c4bdc27ed70afd963ec557 | 0.451963 | 4.107268 | false | false | false | false |
SLongofono/Senior_Design_Capstone | solid_C/stub_ram.vhd | 1 | 1,031 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity stub_ram is
Port (
address : in STD_LOGIC_VECTOR (13 downto 0);
clock : in STD_LOGIC;
we : in STD_LOGIC;
dataIn : in STD_LOGIC_VECTOR (7 downto 0);
dataOut : out STD_LOGIC_VECTOR (7 downto 0));
end stub_ram;
architecture Behavioral of stub_ram is
type RAM is array ( ( 16 * 1024 ) - 1 downto 0 ) of std_logic_vector( 7 downto 0 );
signal sys_RAM : RAM := (
others => ( others => '0')
);
signal read_address : std_logic_vector( 13 downto 0 );
begin
process ( clock )
begin
if ( rising_edge( clock ) ) then
if( we = '1' ) then
sys_RAM( to_integer( unsigned( address ))) <= dataIn;
end if;
read_address <= address;
end if;
end process;
dataOut <= sys_RAM( to_integer( unsigned( read_address )));
end Behavioral;
| mit | 3d8dbcc5f7963c1e07ffc0552bdef9be | 0.519884 | 3.980695 | false | false | false | false |
SLongofono/Senior_Design_Capstone | Demo/Sext.vhd | 1 | 957 | ----------------------------------------------------------------------------------
-- Engineer: Longofono
-- Create Date: 02/11/2018 03:24:43 PM
-- Module Name: sext - Behavioral
-- Description: Sign extender for immediate values
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library config;
use work.config.all;
entity sext is
Port(
imm12: in std_logic_vector(11 downto 0);
imm20: in std_logic_vector(19 downto 0);
output_imm12: out std_logic_vector(63 downto 0);
output_imm20: out std_logic_vector(63 downto 0)
);
end sext;
architecture Behavioral of sext is
begin
output_imm12(63 downto 12) <= (others => imm12(11));
output_imm12(11 downto 0) <= imm12;
output_imm20(63 downto 20) <= (others => imm20(19));
output_imm20(19 downto 0) <= imm20;
end Behavioral;
| mit | 3ae962b2eca1570ba09a195e1c44890c | 0.53396 | 3.752941 | false | true | false | false |
RushangKaria/Xilinx_Spartan6_vModTFT_Nexys3 | Verilog/remote_sources/_/lib/digilent/SSegDisp.vhd | 1 | 3,031 | ----------------------------------------------------------------------------------
-- Company: Digilent Ro
-- Engineer: Elod Gyorgy
--
-- Create Date: 14:55:31 04/22/2011
-- Design Name:
-- Module Name: SSegDisp
-- Project Name: 7-segment Display Controller
-- Target Devices:
-- Tool versions:
-- Description: This module is able to drive a common-cathode multi-digit
-- 7-segment display.
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.math_real.all;
entity SSegDisp is
generic (
CLOCKFREQ : natural := 100; -- in MHz
DIGITS : natural := 4
);
port (
CLK_I: in std_logic;
DATA_I: in std_logic_vector(DIGITS*4-1 downto 0);
DOTS_I: in std_logic_vector(DIGITS-1 downto 0);
AN_O: out std_logic_vector(DIGITS-1 downto 0);
CA_O: out std_logic_vector(7 downto 0)
);
end SSegDisp;
architecture Behavioral of SSegDisp is
constant DISP_FREQ : natural := 20 * DIGITS; --in kHz
constant DISP_FREQ_CYCLES : natural :=
natural(ceil(real(CLOCKFREQ*1_000/DISP_FREQ)));
signal refreshCnt : natural range 0 to DISP_FREQ_CYCLES-1 := 0;
signal DisplayCLK: std_logic;
signal DigitNo: natural range 0 to DIGITS-1 := 0;
signal Digit: std_logic_vector(3 downto 0);
signal HexDigit: std_logic_vector(6 downto 0);
signal Dot: std_logic;
begin
with Digit select
HexDigit <= "1000000" when "0000", --0
"1111001" when "0001", --1
"0100100" when "0010", --2
"0110000" when "0011", --3
"0011001" when "0100", --4
"0010010" when "0101", --5
"0000010" when "0110", --6
"1111000" when "0111", --7
"0000000" when "1000", --8
"0010000" when "1001", --9
"0001000" when "1010", --A
"0000011" when "1011", --b
"1000110" when "1100", --C
"0100001" when "1101", --d
"0000110" when "1110", --E
"0001110" when "1111", --F
"0001001" when others; --H ???
Digit <= DATA_I(DigitNo*4+3 downto DigitNo*4);
-- Let's use Dots as active high and not confuse the user
Dot <= not DOTS_I(DigitNo);
CA_O <= Dot & HexDigit;
DIV_CLK_EN: process(CLK_I)
begin
if Rising_Edge(CLK_I) then
if (refreshCnt = DISP_FREQ_CYCLES-1) then
refreshCnt <= 0;
else
refreshCnt <= refreshCnt + 1;
end if;
end if;
end process;
CycleDIGITS: process(CLK_I)
begin
if Rising_Edge(CLK_I) then
if (refreshCnt = DISP_FREQ_CYCLES-1) then
if (DigitNo+1 = DIGITS) then
DigitNo <= 0;
else
DigitNo <= DigitNo+1;
end if;
end if;
end if;
end process CycleDIGITS;
SetAnodes: process(DigitNo)
begin
AN_O <= (others => '1');
AN_O(DigitNo) <= '0';
end process SetAnodes;
end Behavioral;
| gpl-3.0 | 0d17a40feeddab3d76952ae186d3beaf | 0.56582 | 3.252146 | false | false | false | false |
fabioperez/space-invaders-vhdl | lib/general/clock_counter.vhd | 1 | 668 | library ieee;
use ieee.std_logic_1164.all;
entity clock_counter is
generic
(
f: integer := 50000000
);
port
(
clock_i: in std_logic;
clock_o: out std_logic
);
end entity;
architecture behavior of clock_counter is
begin
process (clock_i)
variable counter: integer := 0;
begin
if rising_edge(clock_i) then
if counter < f/2 then
counter := counter + 1;
clock_o <= '0';
elsif counter < f then
counter := counter + 1;
clock_o <= '1';
end if;
if counter = f then
counter := 0;
end if;
end if;
end process;
end architecture;
| mit | 988dd9d2f8ba43970ba4085cd9554abe | 0.54491 | 3.67033 | false | false | false | false |
gau-veldt/InsideTheBox | Ep0001/my_vga.vhd | 1 | 6,291 | --
-- FPGA logic for simple VGA signal generator
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity my_vga is
port (
clk_125 : in std_logic;
vga_hs : out std_logic;
vga_vs : out std_logic;
vga_r : out unsigned(4 downto 0);
vga_g : out unsigned(5 downto 0);
vga_b : out unsigned(4 downto 0)
);
end my_vga;
architecture driver of my_vga is
subtype tPeriod is unsigned(17 downto 0);
constant pZero : tPeriod := to_unsigned(0,tPeriod'length);
subtype hvCount is unsigned(15 downto 0);
constant hvZero : hvCount := to_unsigned(0,hvCount'length);
--
-- VGA target is 800x600 @ 60 Hz
-- www.tinyvga.com yields:
-- pixel clock: 40 MHz (40000 kHz)
--
constant targetPeriod : tPeriod := to_unsigned(40000,tPeriod'length);
constant refPeriod : tPeriod := to_unsigned(62500,tPeriod'length);
--
-- frame timing:
-- H(pixels) : +sync=128, front=40, visible=800, back=88 (total: 1056)
-- V(lines) : +sync=4, front=1, visible=600, back=23 (total: 628)
-- NB: for 800x600 modes sync is active high hence why I write +sync
--
constant hSyncOn : std_logic := '1';
constant hSyncOff : std_logic := '0';
constant hSyncDur : hvCount := to_unsigned(128,hvCount'length);
constant hBackDur : hvCount := to_unsigned(88,hvCount'length);
constant hBegin : hvCount := to_unsigned(128+88,hvCount'length);
constant hCenterDur : hvCount := to_unsigned(800,hvCount'length);
constant hEnd : hvCount := to_unsigned(128+88+800,hvCount'length);
constant hFrontDur : hvCount := to_unsigned(40,hvCount'length);
constant hTotal : hvCount := to_unsigned(1056,hvCount'length);
constant vSyncOn : std_logic := '1';
constant vSyncOff : std_logic := '0';
constant vSyncDur : hvCount := to_unsigned(4,hvCount'length);
constant vBackDur : hvCount := to_unsigned(23,hvCount'length);
constant vBegin : hvCount := to_unsigned(4+23,hvCount'length);
constant vCenterDur : hvCount := to_unsigned(600,hvCount'length);
constant vEnd : hvCount := to_unsigned(4+23+600,hvCount'length);
constant vFrontDur : hvCount := to_unsigned(1,hvCount'length);
constant vTotal : hvCount := to_unsigned(628,hvCount'length);
signal pxClock : std_logic := '0';
signal p40count : tPeriod := pZero;
signal hPos : hvCount := hvZero;
signal vPos : hvCount := hvZero;
begin
--
-- clock divider to generate pixel clock
-- 125 Mhz (125000 kHz) input clock (Zybo 125 MHz ref clock L16)
--
-- We want to be 0 for half the period, then 1 for half the period.
-- We want the total duty cycle to match the pixel clock rate.
-- Thus we count in steps of 40000 to half the reference period
-- (62500) and whenever we are >= 62500 we subtract 62500, then
-- invert the pixel clock output signal.
--
-- This integer scaling is likely going to jitter like crazy however
-- VGA is somewhat forgiving in this regard and it will realign on
-- every LCM of the target and reference periods. The only way to
-- reduce the jitter is to reference from a faster clock (Zybo does
-- not have anything faster than 125 MHz) or use one of the 7010's
-- MMCM units.
--
pxClock_proc: process(clk_125) is
variable p40var : tPeriod := p40count;
begin
if (rising_edge(clk_125)) then
p40var := p40var + targetPeriod;
if (p40var >= refPeriod) then
p40var := p40var - refPeriod;
pxClock <= not pxClock;
end if;
p40count <= p40var;
end if;
end process pxClock_proc;
--
-- Next we need the H and V counters, which will be advanced on the
-- rising edges of the pixel clock
--
hv_proc: process(pxClock) is
variable hCnt : hvCount := hPos;
variable vCnt : hvCount := vPos;
begin
if (rising_edge(pxClock)) then
hCnt := hCnt + 1;
if (hCnt = hTotal) then
hCnt := hvZero;
vCnt := vCnt + 1;
if (vCnt = vTotal) then
vCnt := hvZero;
end if;
vPos <= vCnt;
end if;
hPos <= hCnt;
end if;
end process hv_proc;
--
-- Next we need to generate the sync pulses
--
-- Syncs pulses are first in the timing sequence thus
-- making it easy to check for sync active range
--
-- H sync:
hsync_proc: process(pxClock) is
begin
if (rising_edge(pxClock)) then
if (hPos < hSyncDur) then
vga_hs <= hSyncOn;
else
vga_hs <= hSyncOff;
end if;
end if;
end process hsync_proc;
-- V sync:
vsync_proc: process(pxClock) is
begin
if (rising_edge(pxClock)) then
if (vPos < vSyncDur) then
vga_vs <= vSyncOn;
else
vga_vs <= vSyncOff;
end if;
end if;
end process vsync_proc;
--
-- With H and V sync pulses taken care of means we could now generate pixel
-- data at the appropriate time, meaning when we are in both the horizontal
-- and vertical visible range.
--
pattern_proc: process(pxClock) is
variable pixH : hvCount := hvZero;
variable pixV : hvCount := hvZero;
variable tempR : unsigned(31 downto 0) := to_unsigned(0,32);
variable tempG : unsigned(31 downto 0) := to_unsigned(0,32);
variable tempB : unsigned(31 downto 0) := to_unsigned(0,32);
begin
if (rising_edge(pxClock)) then
if ((vPos >= vBegin) and (vPos < vEnd)) and ((hPos >= hBegin) and (hPos < hEnd)) then
pixV := vPos - vBegin;
pixH := hPos - hBegin;
-- generates a color gradation pattern
--tempR:=to_unsigned(0,32);
tempR:=(pixH*32)/hCenterDur;
tempG:=to_unsigned(0,32);
--tempG:=pixH*1;
--tempG:=(pixH*64)/hCenterDur;
--tempB:=to_unsigned(0,32);
--tempB:=pixV*1;
tempB:=(pixV*32)/vCenterDur;
vga_r <= tempR(4 downto 0);
vga_g <= tempG(5 downto 0);
vga_b <= tempB(4 downto 0);
else
-- Ensure pixels are zero outside of visible range.
-- We might get away with the porches (for a border color),
-- but NEVER have these nonzero during the sync pulses!
vga_r <= to_unsigned(0,vga_r'length);
vga_g <= to_unsigned(0,vga_g'length);
vga_b <= to_unsigned(0,vga_b'length);
end if;
end if;
end process pattern_proc;
end driver;
| gpl-3.0 | 1c92bc6967381c29f3f07718eb834338 | 0.631219 | 3.199898 | false | false | false | false |
SLongofono/Senior_Design_Capstone | StupidCore/simpler_core.vhd | 1 | 39,658 | ----------------------------------------------------------------------------------
-- Engineer: Longofono Modified by Avalos
--
-- Create Date: 02/10/2018 06:05:22 PM
-- Module Name: simpler_core - Behavioral
-- Description: Even Simpler version of the ALU pipeline for HW testing
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library config;
use work.config.all;
library unisim;
use unisim.VCOMPONENTS.ALL;
entity simpler_core is
Port(
status: out std_logic; -- LED blinkenlites
CLK: in std_logic; -- Tied to switch V10
RST: in std_logic; -- Tied to switch J15
LED: out std_logic_vector(15 downto 0);
PC_Switch: in std_logic;
ALU_Switch: in std_logic;
-- UART Serial I/O
UART_RXD: in std_logic;
UART_TXD: out std_logic;
-- DDR2 signals
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0);
-- Debug Signals
--pragma synthesis_off
address_out: out std_logic_vector(63 downto 0);
instruction_out, instruction_address_out,load_wb_data : out std_logic_vector(63 downto 0);
reggie: out regfile_arr;
opcode: out opcode_t;
o_load_type: out std_logic_vector(7 downto 0);
ALU_result: out doubleword;
MMU_state: out std_logic_vector(5 downto 0);
--pragma synthesis_on
--ROM signals
dq: inout STD_LOGIC_VECTOR(3 downto 0);
cs_n: out STD_LOGIC
);
end simpler_core;
architecture Behavioral of simpler_core is
-- Component instantiation
component ALU is
port(
clk: in std_logic; -- System clock
rst: in std_logic; -- Reset
halt: in std_logic; -- Do nothing
ctrl: in instr_t; -- Operation
rs1: in doubleword; -- Source 1
rs2: in doubleword; -- Source 2
shamt: in std_logic_vector(4 downto 0); -- shift amount
rout: out doubleword; -- Output Result
error: out std_logic; -- signal exception
overflow: out std_logic; -- signal overflow
zero: out std_logic -- signal zero result
);
end component;
component fence is
Port(
clk: in std_logic; -- System clock
rst: in std_logic; -- System reset
halt: in std_logic; -- Do nothing when high
ready_input: in std_logic; -- Control has data to be written back
ready_output: in std_logic; -- MMU is ready to accept data
output_OK: out std_logic; -- Write data and address are valid
input_OK: out std_logic; -- Read data and address recorded
input_data: in doubleword; -- Data from previous stage
input_address: in doubleword; -- MMU Destination for input data
output_data: out doubleword; -- Data to be written to MMU
output_address: out doubleword -- MMU destination for output data
);
end component;
component decode is
Port(
instr : in std_logic_vector(63 downto 0);
instr_code : out instr_t;
funct3 : out funct3_t;
funct6 : out funct6_t;
funct7 : out funct7_t;
imm12 : out std_logic_vector(11 downto 0); -- I, B, and S Immediates
imm20 : out std_logic_vector(19 downto 0); -- U and J Immediates
opcode : out opcode_t;
rs1 : out reg_t;
rs2 : out reg_t;
rs3 : out reg_t;
rd : out reg_t;
shamt : out std_logic_vector(4 downto 0);
csr : out std_logic_vector(31 downto 20)
);
end component;
component regfile is
Port(
clk: in std_logic;
rst: in std_logic;
read_addr_1: in std_logic_vector(4 downto 0); -- Register source read_data_1
read_addr_2: in std_logic_vector(4 downto 0); -- Register source read_data_2
write_addr: in std_logic_vector(4 downto 0); -- Write dest write_data
write_data: in doubleword; -- Data to be written
halt: in std_logic; -- Control, do nothing on high
write_en: in std_logic; -- write_data is valid
read_data_1: out doubleword; -- Data from read_addr_1
read_data_2: out doubleword; -- Data from read_addr_2
write_error: out std_logic; -- Writing to constant, HW exception
debug_out: out regfile_arr -- Copy of regfile contents for debugger
);
end component;
component mux is
Port(
sel: in std_logic; -- Select from zero, one ports
zero_port: in doubleword; -- Data in, zero select port
one_port: in doubleword; -- Data in, one select port
out_port: out doubleword -- Output data
);
end component;
component sext is
Port(
imm12: in std_logic_vector(11 downto 0);
imm20: in std_logic_vector(19 downto 0);
output_imm12: out std_logic_vector(63 downto 0);
output_imm20: out std_logic_vector(63 downto 0)
);
end component;
component MMU is
Port(
clk: in std_logic; -- 100 Mhz Clock
rst: in std_logic;
addr_in: in doubleword;
data_in: in doubleword;
satp: in doubleword;
-- mode: in std_logic_vector(1 downto 0); -- Machine mode, user mode, hypervisor mode or machine mode
store: in std_logic;
load: in std_logic;
busy: out std_logic;
ready_instr: in std_logic;
addr_instr: in doubleword;
alignment: in std_logic_vector(3 downto 0);
data_out: out doubleword;
instr_out: out doubleword;
error: out std_logic_vector(5 downto 0);
LED: out std_logic_vector(15 downto 0);
-- debug_MEM: out doubleword; -- Dummy register that will be written to
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0);
-- UART
UART_RXD: in std_logic;
UART_TXD: out std_logic;
-- Debug Signals
--pragma synthesis_off
fkuck_vivado_so_much: out std_logic_vector(5 downto 0);
s_internal_address_out: out doubleword;
--pragma synthesis_on
-- ROM
sck: out STD_LOGIC;
dq: inout std_logic_vector(3 downto 0);
cs_n: out STD_LOGIC);
end component;
component Debug_Controller is
Port (clk,rst: in STD_LOGIC;
halt: out STD_LOGIC;
REGGIE: in regfile_arr;
PC_IN: in doubleword;
uart_rxd : in STD_LOGIC;
uart_txd : out STD_LOGIC);
end component;
-- Signals and constants
-- Feedback signals
signal s_rst: std_logic; -- internal reset
signal s_halts: std_logic_vector(2 downto 0); -- IM, REG, ALU halt signals
signal s_ALU_op: ctrl_t; -- ALU operation control
signal s_request_IM_in: std_logic; -- Signal pending write to IM
signal s_request_IM_inack: std_logic; -- Acknowledge above write handled
signal s_request_IM_out: std_logic; -- Signal ready for instruction
signal s_request_IM_outack: std_logic; -- Acknowledge instruction data is fresh
signal s_wb_select: std_logic; -- Select from ALU result or MMU data to Regfile write
signal s_PC_next: doubleword; -- Next PC address
signal s_PC_curr: doubleword; -- Preserves current PC for jumps
signal s_MMU_store: std_logic; -- Signal MMU to store
signal s_MMU_load: std_logic; -- Signal MMU to load
signal s_MMU_busy: std_logic; -- MMU is loading, storing, or fetching
signal s_ATU_busy: std_logic; -- Atomic unit is doing its thing
signal s_ATU_stage:std_logic; -- After resuming, need to know what stage of atomic instruction we are in
signal s_ALU_source_select: std_logic_vector(1 downto 0); -- Switch in immediate values
-- Decoded instruction parts
signal s_instr_code: instr_t; -- Exact instruction encoding
signal s_opcode: opcode_t; -- Opcode category abstraction
signal s_rs1: reg_t; -- Regfile read address
signal s_rs2: reg_t; -- Regfile read address
signal s_rs3: reg_t; -- Regfile read address
signal s_rd: reg_t; -- Regfile write address
signal s_shamt: std_logic_vector(4 downto 0); -- Shift amount, immediate shifts
signal s_imm12: std_logic_vector(11 downto 0); -- Immediate value, 12 bit style
signal s_imm20: std_logic_vector(19 downto 0); -- Immediate value, 20 bit style
signal s_csr_bits: std_logic_vector(11 downto 0); -- CSR address for CSR instructions
signal s_functs: std_logic_vector(15 downto 0); -- Holds concatenation of funct3, funct6, funct7
-- ALU connectors
signal s_ALU_input2: doubleword;
signal s_ALU_result: doubleword;
signal s_ALU_Error: std_logic_vector(2 downto 0);
-- Instruction memory connectors
signal s_IM_input_addr: doubleword;
signal s_IM_input_data: doubleword;
signal s_IM_output_addr: doubleword;
signal s_IM_output_data: doubleword;
-- Register file connectors
signal s_REG_raddr1: reg_t;
signal s_REG_raddr2: reg_t;
signal s_REG_rdata1: doubleword;
signal s_REG_rdata2: doubleword;
signal s_REG_wdata: doubleword;
signal s_REG_waddr: reg_t;
signal s_REG_write: std_logic;
signal s_REG_error: std_logic;
signal s_REG_debug: regfile_arr;
-- MMU connectors
signal s_MMU_input_addr: doubleword := (others => '0');
signal s_MMU_input_data: doubleword;
signal s_MMU_alignment: std_logic_vector(3 downto 0); -- One-hot selection in bytes
signal s_MMU_output_data: doubleword;
signal s_MMU_output_instr: doubleword;
signal s_MMU_error: std_logic_vector(5 downto 0);
signal s_MMU_instr_out: doubleword;
signal s_MMU_fetch: std_logic;
signal s_MMU_LED: std_logic_vector(15 downto 0);
signal s_MMU_satp: doubleword := (others => '0');
signal s_MMU_mode: std_logic_vector(1 downto 0); -- Machine mode, user mode, hypervisor mode or machine mode
signal s_MMU_UART_RXD, s_MMU_UART_TXD: std_logic;
signal d_clk: std_logic := '0';
-- Jump and branch connectors
signal s_wb_to_jal: doubleword; -- Connects output of mem/alu wb mux to input of jump mux
signal s_jump_select: std_logic; -- Select from output of mem/alu mux or jump address data
signal s_jump_wdata: doubleword; -- Data representing the jump return address or AUIPC result
--signal s_jump_target: doubleword; -- Address of the jump targer
--signal s_jump_sext: doubleword; -- Intermediate helper variable for clarity's sake
-- Others
signal s_sext_12: doubleword; -- Sign extended immediate value
signal s_sext_20: doubleword; -- Sign extended immediate value
signal privilege_mode: std_logic_vector(1 downto 0) := MACHINE_MODE;
-- Debug
signal fkuck_vivado_so_much: std_logic_vector(5 downto 0);
signal s_internal_address_out: doubleword;
-- Load/Store connectors
-- Changing these signals to variables to avoid losing time
--signal s_load_base: doubleword; -- Base address from regfile
--signal s_load_offset: doubleword; -- Offset from sext(imm12 value)
--signal s_store_base: doubleword; -- Base address from regfile
--signal s_store_offset: doubleword; -- Offset from sext(imm12 value)
signal s_load_type : std_logic_vector(7 downto 0); -- Record type so we can properly extend later
signal s_load_dest : reg_t; -- Record rd so we can access it later
signal s_load_wb_data: doubleword; -- Extended data to be written back to regfile
-- High-level states of operation (distinct from modes)
type state is (fetching, fetching_wait, regs, alus, mem, wb, done);
signal curr_state, next_state: state := fetching;
signal stupid_fucking_vivado: std_logic_vector(5 downto 0);
-- Control status registers followed by scratch
type CSR_t is array (0 to 64) of doubleword;
signal CSR: CSR_t;
signal shifterCounter: integer := 0;
-- Exception flags
-- From privilege specification: MSB 1 => asynchronous, MSB 0 => synchronous
-- Remaining bits are binary-encoded exception code
signal exceptions: std_logic_vector(4 downto 0) := (others => '0');
-- in order to act appropriately on CSr exceptions, drive and track them separately
signal csr_exceptions: std_logic := '0';
signal exception_offending_instr : instr_t := (others => '0');
signal s_decode_instruction: doubleword;
-- If in waiting state, reason determines actions on exit
signal waiting_reason: std_logic_vector(2 downto 0);
signal gated_clock: std_logic;
begin
STARTUPE2_inst : STARTUPE2
generic map (
PROG_USR => "FALSE", -- Activate program event security feature. Requires encrypted bitstreams.
SIM_CCLK_FREQ => 10.0 -- Set the Configuration Clock Frequency(ns) for simulation.
)
port map (
CFGCLK => open, -- 1-bit output: Configuration main clock output
CFGMCLK => open, -- 1-bit output: Configuration internal oscillator clock output
EOS => open, -- 1-bit output: Active high output signal indicating the End Of Startup.
PREQ => open, -- 1-bit output: PROGRAM request to fabric output
CLK => '0', -- 1-bit input: User start-up clock input
GSR => '0', -- 1-bit input: Global Set/Reset input (GSR cannot be used for the port name)
GTS => '0', -- 1-bit input: Global 3-state input (GTS cannot be used for the port name)
KEYCLEARB => '0', -- 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM)
PACK => '0', -- 1-bit input: PROGRAM acknowledge input
USRCCLKO => gated_clock, -- 1-bit input: User CCLK input
USRCCLKTS => '0', -- 1-bit input: User CCLK 3-state enable input
USRDONEO => '1', -- 1-bit input: User DONE pin output control
USRDONETS => '0' -- 1-bit input: User DONE 3-state enable output
);
-- Component instantiations and mapping
myDecode: decode
port map(
instr => s_decode_instruction,
instr_code => s_instr_code,
funct3 => s_functs(15 downto 13),
funct6 => s_functs(12 downto 7),
funct7 => s_functs(6 downto 0),
imm12 => s_imm12,
imm20 => s_imm20,
opcode => s_opcode,
rs1 => s_rs1,
rs2 => s_rs2,
rs3 => s_rs3,
rd => s_rd,
shamt => s_shamt,
csr => s_csr_bits
);
myALU: ALU
port map(
clk => clk,
rst => s_rst,
halt => s_halts(0),
ctrl => s_instr_code,
rs1 => s_REG_rdata1,
rs2 => s_ALU_input2,
shamt => s_shamt,
rout => s_ALU_result,
error => s_ALU_error(2),
overflow => s_ALU_error(1),
zero => s_ALU_error(0)
);
myIM: fence -- MMU writes back instructions and data to core
port map(
clk => clk,
rst => s_rst,
halt => s_halts(2),
ready_input => s_request_IM_in,
ready_output => s_request_IM_out,
output_OK => s_request_IM_outack,
input_OK => s_request_IM_inack,
input_data => s_IM_input_data,
input_address => s_IM_input_addr,
output_data => s_IM_output_data,
output_address => s_IM_output_addr
);
WBMux: mux
port map(
sel => s_WB_select,
zero_port => s_ALU_result,
one_port => s_load_wb_data,
out_port => s_wb_to_jal
);
JumpReturn: mux
port map(
sel => s_jump_select,
zero_port => s_wb_to_jal,
one_port => s_jump_wdata,
out_port => s_REG_wdata
);
--ALUMux: mux
-- port map(
-- sel => s_ALU_source_select,
-- zero_port => s_REG_rdata2,
-- one_port => s_sext_12,
-- out_port => s_ALU_input2
-- );
-- Muxes
--s_REG_wdata <= s_ALU_result when s_WB_select = '0' and s_jump_select = '0' else s_load_wb_data when s_WB_select = '1' and s_jump_select = '0' else s_load_wb_data when s_WB_select = '1';
--s_wb_to_jal <= s_ALU_result when s_WB_select = '0' else s_load_wb_data;
--s_REG_wdata <= s_wb_to_jal when s_jump_select = '0' else s_jump_wdata;
s_ALU_input2 <= s_REG_rdata2 when s_ALU_source_select = "00" else s_sext_12 when s_ALU_source_select = "01" else s_sext_20;
myMMU: MMU port map
(
clk => CLK,
rst => s_rst,
addr_in => s_MMU_input_addr,
data_in => s_MMU_input_data,
satp => s_MMU_satp,
-- mode => s_MMU_mode,
store => s_MMU_store,
load => s_MMU_load,
busy => s_MMU_busy,
ready_instr => s_MMU_fetch,
addr_instr => s_PC_curr,
alignment => s_MMU_alignment,
data_out => s_MMU_output_data,
instr_out => s_MMU_instr_out,
error => s_MMU_error,
UART_RXD => s_MMU_UART_RXD,
UART_TXD => s_MMU_UART_TXD,
LED => s_MMU_LED,
ddr2_addr => ddr2_addr,
ddr2_ba => ddr2_ba,
ddr2_ras_n => ddr2_ras_n,
ddr2_cas_n => ddr2_cas_n,
ddr2_we_n => ddr2_we_n,
ddr2_ck_p => ddr2_ck_p,
ddr2_ck_n => ddr2_ck_n,
ddr2_cke => ddr2_cke,
ddr2_cs_n => ddr2_cs_n,
ddr2_dm => ddr2_dm,
ddr2_odt => ddr2_odt,
ddr2_dq => ddr2_dq,
ddr2_dqs_p => ddr2_dqs_p,
ddr2_dqs_n => ddr2_dqs_n,
-- Debug Signals
--pragma synthesis_off
fkuck_vivado_so_much => fkuck_vivado_so_much,
s_internal_address_out => s_internal_address_out,
--pragma synthesis_on
sck => gated_clock,
cs_n => cs_n,
dq => dq
);
myDebug: Debug_Controller
port map(
clk => clk,
rst => rst,
reggie => s_REG_debug,
PC_IN => s_PC_curr,
halt => d_clk,
uart_rxd => uart_rxd,
uart_txd => uart_txd
);
myREG: regfile
port map(
clk => clk,
rst => s_rst,
read_addr_1 => s_REG_raddr1,
read_addr_2 => s_REG_raddr2,
write_addr => s_REG_waddr,
write_data => s_REG_wdata,
halt => s_halts(1),
write_en => s_REG_write,
read_data_1 => s_REG_rdata1,
read_data_2 => s_REG_rdata2,
write_error => s_REG_error,
debug_out => s_REG_debug
);
mySext: sext
port map(
imm12 => s_imm12,
imm20 => s_imm20,
output_imm12 => s_sext_12,
output_imm20 => s_sext_20
);
advance_state: process(clk,rst, next_state) begin
if(rst = '1') then
curr_state <= fetching;
if(PC_Switch = '0') then
s_PC_curr <= (others => '0');
else
s_PC_curr <= x"0000000080000000";
end if;
elsif(rising_edge(clk)) then
curr_state <= next_state;
if(curr_state = done) then
s_PC_curr <= s_PC_next;
end if;
end if;
end process;
process(clk, rst, curr_state, next_state)
variable s_store_offset, s_load_offset, s_load_base, s_store_base, s_jump_target, s_jump_sext: doubleword;
begin
if(rst = '1') then
next_state <= fetching;
s_rst <= '1';
s_REG_write <= '0';
s_MMU_fetch <= '0';
s_MMU_store <= '0';
s_MMU_load <= '0';
s_halts <= "000";
s_wb_select <= '0';
s_ALU_source_select <= "00";
elsif(rising_edge(clk)) then
case curr_state is
when fetching =>
stupid_fucking_vivado <= "000000";
s_PC_next <= std_logic_vector(unsigned(s_PC_curr) + 8);
s_MMU_fetch <= '1';
s_MMU_store <= '0';
s_MMU_load <= '0';
s_REG_write <= '0';
s_halts <= "000";
s_rst <= '0';
next_state <= fetching_wait;
-- if(d_clk = '1') then
-- next_state <= fetching_wait;
-- else
-- next_state <= fetching;
-- end if;
when fetching_wait =>
next_state <= fetching_wait;
stupid_fucking_vivado <= "000001";
s_MMU_fetch <= '0';
if(s_MMU_busy = '0') then
next_state <= regs;
end if;
when regs =>
s_decode_instruction <= s_MMU_instr_out;
stupid_fucking_vivado <= "000010";
next_state <= alus;
when alus =>
stupid_fucking_vivado <= "000011";
next_state <= mem;
case s_opcode is
when ALU_T => -- Case regular, R-type ALU operations
-- REG signals
s_REG_raddr1 <= s_rs1;
s_REG_raddr2 <= s_rs2;
s_REG_waddr <= s_rd;
-- s_REG_write <= '0';
-- Use rdata2 instead of sign extended immediate
s_ALU_source_select <= "00";
-- Use ALU result instead of MMU data
s_wb_select <= '0';
when LUI_T =>
s_REG_raddr1 <= s_rs1;
s_REG_waddr <= s_rd;
--s_REG_write <= '1';
s_ALU_source_select <= "11";
s_wb_select <= '0';
-- next_state <= wb;
when ALUI_T => -- Case regular, I-type ALU operations
-- REG signals
s_REG_raddr1 <= s_rs1;
s_REG_waddr <= s_rd;
-- s_REG_write <= '1';
-- Use sign extended immediate instead of rdata2
s_ALU_source_select <= "01";
-- Use ALU result instead of MMU data
s_wb_select <= '0';
when LOAD_T =>
-- Little endian byte ordering
-- Need to signal MMU: full word, half word, quarter word
-- effective address is sext(regFile[rs1]) + sext(imm12)
case s_instr_code is
when instr_LB =>
s_MMU_alignment <= "0001";
s_load_type <= instr_LB;
when instr_LBU =>
s_MMU_alignment <= "0001";
s_load_type <= instr_LBU;
when instr_LH =>
s_MMU_alignment <= "0010";
s_load_type <= instr_LH;
when instr_LHU =>
s_MMU_alignment <= "0010";
s_load_type <= instr_LHU;
when instr_LW =>
s_MMU_alignment <= "0100";
s_load_type <= instr_LW;
when instr_LWU =>
s_MMU_alignment <= "0100";
s_load_type <= instr_LWU;
when others =>
s_MMU_alignment <= "1000";
s_load_type <= instr_LD;
end case;
s_load_base := s_REG_debug(to_integer(unsigned(s_rs1)));
if('0' = s_imm12(11)) then
s_load_offset := zero_word & "00000000000000000000" & s_imm12;
--s_load_offset <= zero_word & "00000000000000000000" & s_imm12;
else
s_load_offset := ones_word & "11111111111111111111" & s_imm12;
--s_load_offset <= ones_word & "11111111111111111111" & s_imm12;
end if;
s_load_dest <= s_rd;
s_MMU_input_addr <= std_logic_vector(signed(s_load_base) + signed(s_load_offset));
s_MMU_load <= '1';
waiting_reason <= "001";
when STORE_T =>
-- Little endian byte ordering
s_store_base := s_REG_debug(to_integer(unsigned(s_rs1)));
if('0' = s_imm12(11)) then
s_store_offset := zero_word & "00000000000000000000" & s_imm12;
else
s_store_offset := ones_word & "11111111111111111111" & s_imm12;
end if;
--s_MMU_input_addr <= std_logic_vector(signed(s_load_base) + signed(s_load_offset));
s_MMU_input_addr <= std_logic_vector(signed(s_store_base) + signed(s_store_offset));
case s_instr_code is
when instr_SB =>
s_MMU_input_data <= byte_mask_1 and s_REG_debug(to_integer(unsigned(s_rs2)));
when instr_SH =>
s_MMU_input_data <= byte_mask_2 and s_REG_debug(to_integer(unsigned(s_rs2)));
when instr_SW =>
s_MMU_input_data <= byte_mask_4 and s_REG_debug(to_integer(unsigned(s_rs2)));
when others => -- store doubleword
s_MMU_input_data <= s_REG_debug(to_integer(unsigned(s_rs2)));
end case;
s_MMU_store <= '1';
when BRANCH_T =>
case s_instr_code is
when instr_BEQ =>
if(signed(s_REG_debug(to_integer(unsigned(s_rs1)))) = signed(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "00000000000000000000" & s_imm12)));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "11111111111111111111" & s_imm12)));
end if;
end if;
when instr_BNE =>
if(signed(s_REG_debug(to_integer(unsigned(s_rs1)))) /= signed(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "00000000000000000000" & s_imm12)));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "11111111111111111111" & s_imm12)));
end if;
end if;
when instr_BLT =>
if(signed(s_REG_debug(to_integer(unsigned(s_rs1)))) < signed(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "00000000000000000000" & s_imm12)));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "11111111111111111111" & s_imm12)));
end if;
end if;
when instr_BGE =>
if(signed(s_REG_debug(to_integer(unsigned(s_rs1)))) >= signed(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "00000000000000000000" & s_imm12)));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "11111111111111111111" & s_imm12)));
end if;
end if;
when instr_BLTU =>
if(unsigned(s_REG_debug(to_integer(unsigned(s_rs1)))) < unsigned(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "00000000000000000000" & s_imm12)));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "11111111111111111111" & s_imm12)));
end if;
end if;
when others => --instr_BGEU
if(unsigned(s_REG_debug(to_integer(unsigned(s_rs1)))) >= unsigned(s_REG_debug(to_integer(unsigned(s_rs2))))) then
if('0' = s_imm12(11)) then
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(zero_word & "00000000000000000000" & s_imm12)));
else
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(std_logic_vector'(ones_word & "11111111111111111111" & s_imm12)));
end if;
end if;
end case;
when JAL_T =>
s_jump_select <= '1'; -- switch in jal write data
s_REG_waddr <= s_rd; -- TODO may be problems since rd could be omitted (pp. 152-3)
s_jump_wdata <= s_PC_next;
if('0' = s_imm20(19)) then
s_jump_target := zero_word & "00000000000" & s_imm20 & "0";
else
s_jump_target := ones_word & "11111111111" & s_imm20 & "0";
end if;
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(s_jump_target));
when JALR_T =>
s_jump_select <= '1'; -- switch in jal write data
s_REG_waddr <= s_rd; -- TODO may be problems since rd could be omitted (pp. 152-3)
s_jump_wdata <= s_PC_next;
if('0' = s_imm12(11)) then
-- note type hinting again
-- note wonky ".. set low bit of result to '0' ..."
s_jump_sext := zero_word & "00000000000000000000" & s_imm12;
s_jump_target := std_logic_vector(
signed(s_REG_debug(to_integer(unsigned(s_rs1)))) +
signed(s_jump_sext)
);
s_jump_target(0) := '0';
else
-- note type hinting again
-- note wonky ".. set low bit of result to '0' ..."
s_jump_sext := ones_word & "11111111111111111111" & s_imm12;
s_jump_target := std_logic_vector(
signed(s_REG_debug(to_integer(unsigned(s_rs1)))) +
signed(s_jump_sext)
);
s_jump_target(0) := '0';
end if;
s_PC_next <= std_logic_vector(signed(s_PC_curr) + signed(s_jump_target));
when AUIPC_T =>
s_jump_select <= '1';
s_REG_waddr <= s_rd;
if('0' = s_imm20(19)) then
s_jump_wdata <= std_logic_vector(
signed(s_PC_curr) +
signed(std_logic_vector'( zero_word & s_imm20 & "000000000000" ))
);
else
s_jump_wdata <= std_logic_vector(
signed(s_PC_curr) +
signed(std_logic_vector'( ones_word & s_imm20 & "000000000000" ))
); end if;
when others =>
end case;
when mem =>
next_state <= mem;
stupid_fucking_vivado <= "000100";
s_REG_write <= '0';
s_MMU_store <= '0';
s_MMU_load <= '0'; --Reset these suckers
if(s_mmu_busy = '0') then
if(s_opcode = LOAD_T) then
s_wb_select <= '1';
next_state <= wb;
-- These are the special cases for the writebacks
case s_load_type is
when instr_LB =>
if('0' = s_MMU_output_data(7)) then
s_load_wb_data <= zero_word & "000000000000000000000000" & s_MMU_output_data(7 downto 0);
else
s_load_wb_data <= ones_word & "111111111111111111111111" & s_MMU_output_data(7 downto 0);
end if;
when instr_LBU =>
s_load_wb_data <= zero_word & "000000000000000000000000" & s_MMU_output_data(7 downto 0);
when instr_LH =>
if('0' = s_MMU_output_data(7)) then
s_load_wb_data <= zero_word & "0000000000000000" & s_MMU_output_data(15 downto 0);
else
s_load_wb_data <= ones_word & "1111111111111111" & s_MMU_output_data(15 downto 0);
end if;
when instr_LHU =>
s_load_wb_data <= zero_word & "0000000000000000" & s_MMU_output_data(15 downto 0);
when instr_LW =>
if('0' = s_MMU_output_data(31)) then
s_load_wb_data <= zero_word & s_MMU_output_data(31 downto 0);
else
s_load_wb_data <= ones_word & s_MMU_output_data(31 downto 0);
end if;
when instr_LWU =>
s_load_wb_data <= zero_word & s_MMU_output_data(31 downto 0);
when others =>
s_load_wb_data <= s_MMU_output_data;
end case;
elsif(s_opcode = ALU_T OR s_opcode = ALUI_T or s_opcode = AUIPC_T) then
next_state <= wb;
else
next_state <= done;
end if;
end if;
when wb =>
next_state <= done;
s_REG_write <= '1';
stupid_fucking_vivado <= "000101";
-- shifterCounter <= shifterCounter + 1;
-- if(shifterCounter > 2) then
-- s_REG_write <= '1';
-- next_state <= done;
-- shifterCounter <= 0;
-- end if;
when done =>
if(d_clk = '0') then
next_state <= fetching;
else
next_state <= done;
end if;
stupid_fucking_vivado <= "000110";
s_REG_write <= '0';
when others =>
stupid_fucking_vivado <= "000111";
next_state <= fetching;
end case;
end if;
end process;
s_MMU_satp <= (others => '0');
status <= s_MMU_busy;
s_IM_input_data <= s_MMU_instr_out;
--LED(15 downto 9) <= s_opcode;
--LED(8 downto 0) <= s_MMU_LED(8 downto 0);
LED <= s_MMU_instr_out(15 downto 0) or s_MMU_instr_out(31 downto 16) or s_MMU_instr_out(47 downto 32) when ALU_Switch = '1' else s_MMU_LED;
--Debug signals only
--pragma synthesis_off
address_out <= s_MMU_input_addr;
instruction_out <= s_IM_input_data;
instruction_address_out <= s_PC_curr;
reggie <= s_REG_debug;
load_wb_data <= s_load_wb_data;
opcode <= s_opcode;
MMU_state <= stupid_fucking_vivado;
--o_load_type(4 downto 0) <= s_request_IM_outack & s_request_IM_inack & s_MMU_store & s_MMU_load & s_request_IM_outack;
o_load_type(1 downto 0) <= s_MMU_fetch & s_REG_write;
ALU_result <= s_ALU_result;
--pragma synthesis_on
end Behavioral;
| mit | 10523a4eb779d3c169b16107bb24456a | 0.485652 | 3.920324 | false | false | false | false |
SLongofono/Senior_Design_Capstone | StupidCore/ROM_Controller.vhd | 1 | 6,872 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity ROM_controller_SPI is
Port (clk_25, rst, read: in STD_LOGIC;
si_i: out STD_LOGIC;
cs_n: out STD_LOGIC;
-- so, acc, hold: in STD_LOGIC;
wp: out std_logic;
si_t: out std_logic;
wp_t: out std_logic;
address_in: in STD_LOGIC_VECTOR(23 downto 0);
qd: in STD_LOGIC_VECTOR(3 downto 0);
data_out: out STD_LOGIC_VECTOR(31 downto 0);
--pragma synthesis_off
counter: out integer;
--pragma synthesis_on
-- command_int, address_int, reg_one_int, reg_two_int: inout integer;
done: out STD_LOGIC
);
end ROM_controller_SPI;
architecture Behavioral of ROM_controller_SPI is
signal CFGCLK, CFGMCLK, EOS, PREQ: std_logic;
signal SCLK: std_logic := '0';
signal read_command: std_logic_vector(8 downto 0) := (0=>'0', 1=>'0', 2=>'0', 3=>'0', 4=>'0',5=>'0',6=>'1',7=>'1', 8 => '0');
signal address_signal: std_logic_vector(23 downto 0) := (others => '0');
signal command_ctr, address_ctr, data_1_ctr, data_2_ctr, dummy_ctr: natural := 0;
signal done_1_flag, done_2_flag: std_logic := '1';
signal data_register_1, data_register_2 : std_logic_vector(31 downto 0) := (others => '0');
type spi_states is (idle, command, address, data_out_one_low, data_out_one_high, data_out_two, dummy, deasrt);
signal curr_state, next_state : spi_states := idle;
signal sckl_o,locked : std_logic;
signal s_t_si: std_logic;
signal s_clok_wat: std_logic := '0';
signal data_counter: natural := 0;
signal stop: std_logic := '0';
signal s_done: std_logic := '0';
signal retarded_counter : integer := 0;
signal one_clock_cycle: integer := 0;
signal s_read: std_logic;
signal counter_s : std_logic;
signal counter_o : std_logic_vector(30 downto 0) := (others => '0');
begin
retarded_ctr_adder: process(clk_25, rst) begin
if(rst = '1') then
retarded_counter <= 0;
elsif(rising_edge(clk_25)) then
if(read = '1' and retarded_counter < 55) then
retarded_counter <= retarded_counter + 1;
elsif(retarded_counter > 100) then
retarded_counter <= 0;
end if;
end if;
end process;
read_proc: process(clk_25, rst, read) begin
if(rst = '1') then
one_clock_cycle <= 0;
s_read <= '0';
elsif(rising_edge(clk_25) and read = '1') then
one_clock_cycle <= one_clock_cycle + 1;
if(one_clock_cycle = 0) then
s_read <= '1';
elsif(one_clock_cycle = 1) then
s_read <= '0';
end if;
end if;
end process;
retarded_ctr_fsm: process(clk_25, rst, s_read) begin
if(rst = '1') then
cs_n <= '1';
SCLK <= '0';
si_t <= '0';
wp_t <= '1';
-- data_register_1 <= (others => '0');
elsif(rising_edge(clk_25)) then
if(retarded_counter > 0) then
sclk <= sclk xor '1';
end if;
case retarded_counter is
when 0 =>
si_t <= '0';
s_done <= '0';
si_i <= '0';
wp <= '0';
cs_n <= '1';
if(s_read = '1') then
cs_n <= '0';
end if;
wp_t <= '1';
when 1 => -- Wait for cs_n to propagate (?)
cs_n <= '0';
when 2 =>
si_i <= '0';
when 3 =>
si_i <= '0';
when 4 =>
si_i <= '0';
when 5 =>
si_i <= '0';
when 6 =>
si_i <= '0';
when 7 =>
si_i <= '0';
when 8 =>
si_i <= '1';
when 9 =>
si_i <= '1';
when 10 =>
si_i <= '0';
-- data_register_1(0) <= SO;
when 11 =>
si_i <= '0';
-- data_register_1(1) <= SO;
when 12 =>
si_i <= '0';
-- data_register_1(3) <= SO;
when 13 =>
si_i <= '0';
-- data_register_1(4) <= SO;
when 14 =>
si_i <= '0';
-- data_register_1(5) <= SO;
when 15 =>
si_i <= '0';
-- data_register_1(6) <= SO;
when 16 =>
si_i <= '0';
-- data_register_1(7) <= SO;
when 29 =>
si_i <= address_in(5);
when 30 =>
si_i <= address_in(4);
when 31 =>
si_i <= address_in(3);
when 32 =>
si_i <= address_in(2);
when 33 =>
si_i <= address_in(1);
when 34 =>
si_i <= address_in(0);
when 35 =>
data_register_1(31) <= qd(1);
when 36 =>
data_register_1(30) <= qd(1);
when 37 =>
data_register_1(29) <= qd(1);
when 38 =>
data_register_1(28) <= qd(1);
when 39 =>
data_register_1(27) <= qd(1);
when 40 =>
data_register_1(26) <= qd(1);
when 41 =>
data_register_1(25) <= qd(1);
when 42 =>
data_register_1(24) <= qd(1);
when 43 =>
data_register_1(23) <= qd(1);
when 44 =>
data_register_1(22) <= qd(1);
when 45 =>
data_register_1(21) <= qd(1);
when 46 =>
data_register_1(20) <= qd(1);
when 47 =>
data_register_1(19) <= qd(1);
when 48 =>
data_register_1(18) <= qd(1);
when 49 =>
data_register_1(17) <= qd(1);
when 50 =>
data_register_1(16) <= qd(1);
when 51 =>
data_register_1(16) <= qd(1);
when 52 =>
data_register_1(15) <= qd(1);
when 53 =>
data_register_1(14) <= qd(1);
when 54 =>
data_register_1(13) <= qd(1);
when 55 =>
data_register_1(12) <= qd(1);
when 56 =>
data_register_1(11) <= qd(1);
when 57 =>
data_register_1(10) <= qd(1);
when 58 =>
data_register_1(9) <= qd(1);
when 59 =>
data_register_1(8) <= qd(1);
when 60 =>
data_register_1(7) <= qd(1);
when 61 =>
data_register_1(6) <= qd(1);
when 62 =>
data_register_1(5) <= qd(1);
when 63 =>
data_register_1(4) <= qd(1);
when 64 =>
data_register_1(3) <= qd(1);
when 65 =>
data_register_1(2) <= qd(1);
when 66 =>
data_register_1(1) <= qd(1);
when 67 =>
data_register_1(0) <= qd(1);
when 68 =>
cs_n <= '1';
done <= '1';
when others =>
end case;
end if;
end process;
-- QUAD command if switch 2 is off, SINGLE READ if switch 2 is on
read_command <= (0=>'0', 1=>'0', 2=>'0', 3=>'0', 4=>'0',5=>'0',6=>'1',7=>'1', 8 => '0');
--done <= s_done;
address_signal <= address_in;
data_out <= data_register_1;
--pragma synthesis_off
counter <= retarded_counter;
--pragma synthesis_on
end Behavioral;
| mit | ded349d0b70f432ae603be54067579f0 | 0.468714 | 3.130752 | false | false | false | false |
gau-veldt/InsideTheBox | Progress_2017_12_21/ioport8bit.vhd | 3 | 2,362 | ----------------------------------------------------------------------------------
--
-- 8-bit I/O port
-- Contains kludge for 6510/C64 I/O port behavior
-- (in a real C64 reset sets all DDR bits to input and pullup
-- resistors on the mainboard generate 1's)
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity ioport8bit is
port (
ce : in std_logic;
clk : in std_logic;
res0 : in std_logic;
r1w0 : in std_logic;
a : in std_logic;
din : in std_logic_vector(7 downto 0);
dout : out std_logic_vector(7 downto 0);
ioi : in std_logic_vector(7 downto 0);
ioo : out std_logic_vector(7 downto 0)
);
end ioport8bit;
architecture iop8_impl of ioport8bit is
signal data : std_logic_vector(7 downto 0);
signal ddr : std_logic_vector(7 downto 0); -- 0=in, 1=out
constant d_i : std_logic := '0';
constant d_o : std_logic := '1';
signal r0w1 : std_logic;
begin
r0w1 <= not r1w0;
read: process (a,data,ddr,ioi) is
variable pos : unsigned(2 downto 0);
begin
pos := "000";
case a is
when '1' => -- ioport
for pos in 7 downto 0 loop
if (ddr(pos) = d_o) then
dout(pos) <= data(pos);
else
dout(pos) <= ioi(pos);
end if;
end loop;
when others => -- ddr
dout <= ddr;
end case;
end process read;
write: process(clk,res0,ce,r0w1,a,din) is
begin
if (res0 = '1') then
-- kludge: Setting the first three bits to one
ddr <= "00101111";
data <= "00000111";
elsif (rising_edge(clk)) then
if (r0w1 = '1' and ce = '1') then
case a is
when '0' =>
ddr <= din;
when others =>
data <= din;
end case;
end if;
end if;
end process write;
iolines: process(data,ddr) is
variable pos : unsigned(2 downto 0);
begin
for pos in 7 downto 0 loop
if (ddr(pos) = '1') then
ioo(pos) <= data(pos);
else
ioo(pos) <= 'Z';
end if;
end loop;
end process iolines;
end iop8_impl;
| gpl-3.0 | fdb5ee1ac8f03baf1d3f31e748c829c9 | 0.476715 | 3.488922 | false | false | false | false |
fabioperez/space-invaders-vhdl | lib/io/io.vhd | 1 | 2,368 | library ieee;
use ieee.std_logic_1164.all;
library lib;
use lib.io.all;
--------------------------------------------------------------------------------
-- I/O PACKAGE
--------------------------------------------------------------------------------
package io is
constant ALIENS_PER_LINE : integer := 7;
constant ALIEN_LINES : integer := 5;
type pos_arr_xt is array(ALIENS_PER_LINE*ALIEN_LINES-1 downto 0) of integer range 0 to 160;
type pos_arr_yt is array(ALIENS_PER_LINE*ALIEN_LINES-1 downto 0) of integer range 0 to 120;
type GAME_STATE is (START, PLAYING, GAME_OVER_STATE, WIN);
component kbd_input is
port
(
clock_i : in std_logic;
reset_i : in std_logic;
hold_i : in std_logic;
PS2_DAT : inout STD_LOGIC; -- PS2 Data
PS2_CLK : inout STD_LOGIC; -- PS2 Clock
shot_o : buffer std_logic;
move_o : buffer std_logic;
control_o : buffer std_logic_vector(2 downto 0)
);
end component;
component vga_module IS
generic (
RX : INTEGER := 160; -- Number of horizontal pixels
RY : INTEGER := 120; -- Number of vertical pixels
NUM_OF_ALIENS : INTEGER := 24 -- Number of enemies
);
port (
clk27m : in std_logic;
reset : in std_logic;
game_state_i : GAME_STATE;
nave_x : INTEGER RANGE 0 TO RX;
nave_y : INTEGER RANGE 0 TO RY;
nave_d : std_logic; -- nave destroy
tiro_x : INTEGER RANGE 0 TO RX;
tiro_y : INTEGER RANGE 0 TO RY;
tiro_enemy_x : INTEGER RANGE 0 TO RX;
tiro_enemy_y : INTEGER RANGE 0 TO RY;
cpu_e : std_logic_vector(NUM_OF_ALIENS-1 downto 0);
cpu_d : std_logic_vector(NUM_OF_ALIENS-1 downto 0);
cpu_x : pos_arr_xt;
cpu_y : pos_arr_yt;
red, green, blue : out std_logic_vector (3 downto 0);
hsync, vsync : out std_logic
);
end component;
end package;
| mit | ec0c6d7098e7b001ff1210dde086b15e | 0.443834 | 4.169014 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/General/rtl/IPv4Rx.vhd | 1 | 11,473 | ---------------------------------------------------------------------------------
-- Title : IPv4 Packet RX
-- Project : General Purpose Core
---------------------------------------------------------------------------------
-- File : IPv4Rx.vhd
-- Author : Kurtis Nishimura
---------------------------------------------------------------------------------
-- Description:
-- Connects to Ethernet layer, reads incoming IPv4 packets
---------------------------------------------------------------------------------
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
use work.GigabitEthPkg.all;
entity IPv4Rx is
generic (
GATE_DELAY_G : time := 1 ns
);
port (
-- 125 MHz ethernet clock in
ethRxClk : in sl;
ethRxRst : in sl := '0';
-- Incoming data from Ethernet frame
ethRxData : in slv( 7 downto 0);
ethRxDataValid : in sl;
ethRxDataLast : in sl;
-- Data from the IPv4 header
ipLength : out slv(15 downto 0);
ipId : out slv(15 downto 0);
ipMoreFragments : out sl;
ipFragOffset : out slv(12 downto 0);
ipTtl : out slv( 7 downto 0);
ipProtocol : out slv( 7 downto 0);
ipSrcAddr : out IpAddrType;
ipDstAddr : out IpAddrType;
-- Actual data from the payload
ipData : out slv(31 downto 0);
ipDataValid : out sl;
ipDataLast : out sl
);
end IPv4Rx;
-- Define architecture
architecture rtl of IPv4Rx is
type ReadStateType is (WAIT_S, READ_S);
type StateType is (IDLE_S,
HEADER_1_S, HEADER_2_S, HEADER_3_S, HEADER_4_S,
HEADER_OPTIONS_S,
PAYLOAD_S,
DUMP_S);
type RegType is record
state : StateType;
readState : ReadStateType;
readCnt : slv( 1 downto 0);
data8 : slv( 7 downto 0);
data8Valid : sl;
data8Last : sl;
data32 : slv(31 downto 0);
data32Valid : sl;
data32Last : sl;
headerLength : slv( 3 downto 0);
packetLength : slv(15 downto 0);
packetId : slv(15 downto 0);
ipMoreFragments : sl;
ipFragOffset : slv(12 downto 0);
ipTimeToLive : slv( 7 downto 0);
ipProtocol : slv( 7 downto 0);
ipChecksum : slv(31 downto 0);
ipSrcAddr : IpAddrType;
ipDstAddr : IpAddrType;
ipData : slv(31 downto 0);
ipDataValid : sl;
ipDataLast : sl;
end record RegType;
constant REG_INIT_C : RegType := (
state => IDLE_S,
readState => WAIT_S,
readCnt => (others => '0'),
data8 => (others => '0'),
data8Valid => '0',
data8Last => '0',
data32 => (others => '0'),
data32Valid => '0',
data32Last => '0',
headerLength => (others => '0'),
packetLength => (others => '0'),
packetId => (others => '0'),
ipMoreFragments => '0',
ipFragOffset => (others => '0'),
ipTimeToLive => (others => '0'),
ipProtocol => (others => '0'),
ipChecksum => (others => '0'),
ipSrcAddr => IP_ADDR_INIT_C,
ipDstAddr => IP_ADDR_INIT_C,
ipData => (others => '0'),
ipDataValid => '0',
ipDataLast => '0'
);
signal r : RegType := REG_INIT_C;
signal rin : RegType;
-- ISE attributes to keep signals for debugging
-- attribute keep : string;
-- attribute keep of r : signal is "true";
-- attribute keep of crcOut : signal is "true";
-- Vivado attributes to keep signals for debugging
-- attribute dont_touch : string;
-- attribute dont_touch of r : signal is "true";
-- attribute dont_touch of crcOut : signal is "true";
begin
comb : process(r,ethRxRst,ethRxData,ethRxDataValid,ethRxDataLast) is
variable v : RegType;
begin
v := r;
-- Resets for pulsed outputs
v.data32valid := '0';
-- Register incoming data
v.data8 := ethRxData;
v.data8Valid := ethRxDataValid;
v.data8Last := ethRxDataLast;
v.data32Valid := '0';
v.data32Last := '0';
-- State machine for 8-to-32 translation
case(r.readState) is
when WAIT_S =>
v.readCnt := (others => '1');
v.data32 := (others => '0');
v.data32Valid := '0';
v.data32Last := '0';
if ethRxDataValid = '1' then
v.readState := READ_S;
end if;
when READ_S =>
if r.data8Valid = '1' then
v.data32( (1+conv_integer(r.readCnt))*8-1 downto (conv_integer(r.readCnt))*8) := r.data8;
v.readCnt := r.readCnt - 1;
if r.readCnt = 0 then
v.data32Valid := '1';
end if;
-- Force a valid on data8Last, in case we have
-- non-4-byte divisible data.
if r.data8Last = '1' then
if r.readCnt > 0 then
v.data32(conv_integer(r.readCnt) * 8 - 1 downto 0) := (others => '0');
end if;
v.data32Valid := '1';
v.data32Last := '1';
v.readState := WAIT_S;
end if;
end if;
when others =>
v.readState := WAIT_S;
end case;
-- Reset pulsed signals
v.ipDataValid := '0';
v.ipDataLast := '0';
-- State machine for interpreting 32-bit data
case(r.state) is
-- VERSION, IHL, DSCP, ECN, LENGTH
when IDLE_S =>
v.ipChecksum := (others => '0');
if r.data32Valid = '1' then
v.ipChecksum := conv_std_logic_vector(conv_integer(r.data32(31 downto 16)) + conv_integer(r.data32(15 downto 0)),32);
if (r.data32(31 downto 28) /= IPV4_VERSION_C or
r.data32(23 downto 16) /= IPV4_DSCP_C & IPV4_ECN_C) then
v.state := DUMP_S;
else
v.headerLength := r.data32(27 downto 24);
v.packetLength := r.data32(15 downto 0);
v.state := HEADER_1_S;
end if;
end if;
-- ID, FLAGS, FRAGMENT OFFSET
when HEADER_1_S =>
if r.data32Valid = '1' then
v.ipChecksum := conv_std_logic_vector(conv_integer(r.ipChecksum(31 downto 16)) + conv_integer(r.ipChecksum(15 downto 0)) + conv_integer(r.data32(31 downto 16)) + conv_integer(r.data32(15 downto 0)),32);
v.packetId := r.data32(31 downto 16);
v.ipMoreFragments := r.data32(13);
v.ipFragOffset := r.data32(12 downto 0);
v.state := HEADER_2_S;
end if;
-- TTL, PROTOCOL, HEADER CHECKSUM
when HEADER_2_S =>
if r.data32Valid = '1' then
v.ipChecksum := conv_std_logic_vector(conv_integer(r.ipChecksum(31 downto 16)) + conv_integer(r.ipChecksum(15 downto 0)) + conv_integer(r.data32(31 downto 16)) + conv_integer(r.data32(15 downto 0)),32);
v.ipTimeToLive := r.data32(31 downto 24);
v.ipProtocol := r.data32(23 downto 16);
v.state := HEADER_3_S;
end if;
-- SOURCE IP
when HEADER_3_S =>
if r.data32Valid = '1' then
v.ipChecksum := conv_std_logic_vector(conv_integer(r.ipChecksum(31 downto 16)) + conv_integer(r.ipChecksum(15 downto 0)) + conv_integer(r.data32(31 downto 16)) + conv_integer(r.data32(15 downto 0)),32);
v.ipSrcAddr(3) := r.data32(31 downto 24);
v.ipSrcAddr(2) := r.data32(23 downto 16);
v.ipSrcAddr(1) := r.data32(15 downto 8);
v.ipSrcAddr(0) := r.data32( 7 downto 0);
v.state := HEADER_4_S;
end if;
-- DESTINATION IP
when HEADER_4_S =>
if r.data32Valid = '1' then
v.ipChecksum := conv_std_logic_vector(conv_integer(r.ipChecksum(31 downto 16)) + conv_integer(r.ipChecksum(15 downto 0)) + conv_integer(r.data32(31 downto 16)) + conv_integer(r.data32(15 downto 0)),32);
v.ipDstAddr(3) := r.data32(31 downto 24);
v.ipDstAddr(2) := r.data32(23 downto 16);
v.ipDstAddr(1) := r.data32(15 downto 8);
v.ipDstAddr(0) := r.data32( 7 downto 0);
if r.headerLength > 5 then
v.headerLength := r.headerLength - 5 - 1;
v.state := HEADER_OPTIONS_S;
else
if conv_std_logic_vector(conv_integer(v.ipChecksum(31 downto 16)) + conv_integer(v.ipChecksum(15 downto 0)),32)(15 downto 0) = x"FFFF" then
v.state := PAYLOAD_S;
else
v.state := DUMP_S;
end if;
end if;
end if;
-- HEADER OPTIONS
when HEADER_OPTIONS_S =>
if r.data32Valid = '1' then
v.ipChecksum := conv_std_logic_vector(conv_integer(r.ipChecksum(31 downto 16)) + conv_integer(r.ipChecksum(15 downto 0)) + conv_integer(r.data32(31 downto 16)) + conv_integer(r.data32(15 downto 0)),32);
if r.headerLength = 0 then
if conv_std_logic_vector(conv_integer(v.ipChecksum(31 downto 16)) + conv_integer(v.ipChecksum(15 downto 0)),16)(15 downto 0) = x"FFFF" then
v.state := PAYLOAD_S;
else
v.state := DUMP_S;
end if;
end if;
v.headerLength := r.headerLength - 1;
end if;
-- PAYLOAD DATA
when PAYLOAD_S =>
if r.data32Valid = '1' then
v.ipData := r.data32;
v.ipDataValid := r.data32Valid;
v.ipDataLast := r.data32Last;
if r.data32Last = '1' then
v.state := IDLE_S;
end if;
end if;
-- DUMP
when DUMP_S =>
if r.data32Last = '1' then
v.state := IDLE_S;
end if;
-- Others
when others =>
v.state := IDLE_S;
end case;
-- Reset logic
if (ethRxRst = '1') then
v := REG_INIT_C;
end if;
-- Outputs to ports
ipLength <= r.packetLength;
ipId <= r.packetId;
ipMoreFragments <= r.ipMoreFragments;
ipFragOffset <= r.ipFragOffset;
ipTtl <= r.ipTimeToLive;
ipProtocol <= r.ipProtocol;
ipSrcAddr <= r.ipSrcAddr;
ipDstAddr <= r.ipDstAddr;
ipData <= r.ipData;
ipDataValid <= r.ipDataValid;
ipDataLast <= r.ipDataLast;
-- Assignment of combinatorial variable to signal
rin <= v;
end process;
seq : process (ethRxClk) is
begin
if (rising_edge(ethRxClk)) then
r <= rin after GATE_DELAY_G;
end if;
end process seq;
end rtl;
| lgpl-2.1 | 5bec973b273104bd3e54bc6fa9bbbdc4 | 0.482699 | 3.961671 | false | false | false | false |
fabioperez/space-invaders-vhdl | lib/io/kbdex_ctrl.vhd | 1 | 11,111 | -------------------------------------------------------------------------------
-- Title : MC613
-- Project : Keyboard Controller
-- Details : www.ic.unicamp.br/~corte/mc613/
-- www.computer-engineering.org/ps2protocol/
-------------------------------------------------------------------------------
-- File : kbdext_ctrl.vhd
-- Author : Thiago Borges Abdnur
-- Company : IC - UNICAMP
-- Last update: 2010/03/29
-------------------------------------------------------------------------------
-- Description:
-- The keyboard controller receives serial data input from the device and
-- signals through 'key_on' when a key is pressed. Up to 3 keys can be pressed
-- simultaneously. The key code data is written to 'key_code':
-- First key pressed:
-- . key_code(15 downto 0) is set with data
-- . key_on(0) rises
-- First key released:
-- . key_on(0) falls
--
-- Second key pressed:
-- . key_code(31 downto 16) is set with data
-- . key_on(1) rises
-- Second key released:
-- . key_on(1) falls
--
-- Third key pressed:
-- . key_code(47 downto 32) is set with data
-- . key_on(2) rises
-- Third key released:
-- . key_on(2) falls
--
-- Remarks:
-- .clk: system clock frequency needs to be at least 10 MHz
-- .PrintScreen key signals as if two keys were pressed (E012 and E07C)
-- .Pause/Break key signals as if two keys were pressed (14 and 77), so it
-- is the same as if LCTRL (14) and NUNLOCK(77) were pressed.
-- .Currently it's not possible to write to the keyboard, turning its lights
-- on.
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
entity kbdex_ctrl is
generic(
clkfreq : integer
);
port(
ps2_data : inout std_logic;
ps2_clk : inout std_logic;
clk : in std_logic;
en : in std_logic;
resetn : in std_logic;
lights : in std_logic_vector(2 downto 0) := "000"; -- lights(Caps, Nun, Scroll)
key_on : out std_logic_vector(2 downto 0);
key_code : out std_logic_vector(47 downto 0)
);
end;
architecture rtl of kbdex_ctrl is
component ps2_iobase
generic(
clkfreq : integer -- This is the system clock value in kHz
);
port(
ps2_data : inout std_logic;
ps2_clk : inout std_logic;
clk : in std_logic;
en : in std_logic;
resetn : in std_logic;
idata_rdy : in std_logic;
idata : in std_logic_vector(7 downto 0);
send_rdy : out std_logic;
odata_rdy : out std_logic;
odata : out std_logic_vector(7 downto 0)
);
end component;
type statename is (
IDLE, FETCH, DECODE, CODE,
RELEASE, EXT0, EXT1, CLRDP
);
-- State machine signals
signal state, nstate : statename;
signal sigfetch, sigfetched, sigext0,
sigrelease, sigselect, sigclear : std_logic;
-- Datapath signals
signal newdata, selE0, relbt, selbt,
key0en, key1en, key2en,
key0clearn, key1clearn, key2clearn : std_logic;
signal ps2_code : unsigned( 7 downto 0);
signal fetchdata : unsigned( 7 downto 0);
signal upperdata : unsigned( 7 downto 0);
signal datacode : unsigned(15 downto 0);
signal key0code : unsigned(15 downto 0);
signal key1code : unsigned(15 downto 0);
signal key2code : unsigned(15 downto 0);
-- Lights control
signal hdata : std_logic_vector( 7 downto 0);
signal sigsend, sigsendrdy, sigsending,
siguplights : std_logic;
-- PS2 output signals
signal ps2_dataout : std_logic_vector(7 downto 0);
signal ps2_datardy : std_logic;
begin
ps2_ctrl : ps2_iobase generic map(clkfreq) port map(
ps2_data, ps2_clk, clk, en, resetn, sigsend, hdata,
sigsendrdy, ps2_datardy, ps2_dataout
);
ps2_code <= unsigned(ps2_dataout);
-- State cicle
process(clk, resetn, sigsending)
begin
-- Change state on falling edge of ps2_clk
if(rising_edge(clk) and en = '1') then
state <= nstate;
end if;
if resetn = '0' or sigsending = '1' then
state <= IDLE;
end if;
end process;
-- Select next state
process(state, newdata)
begin
case state is
when IDLE =>
if newdata = '1' then
nstate <= FETCH;
else
nstate <= IDLE;
end if;
when FETCH =>
nstate <= DECODE;
when DECODE =>
if fetchdata = X"F0" then
nstate <= RELEASE;
elsif fetchdata = X"E0" then
nstate <= EXT0;
elsif fetchdata = X"E1" then
nstate <= EXT1;
else
nstate <= CODE;
end if;
when CODE =>
nstate <= CLRDP;
when RELEASE | EXT0 | EXT1 | CLRDP =>
nstate <= IDLE;
end case;
end process;
-- Current state output
process(state)
begin
sigfetch <= '0';
sigfetched <= '0';
sigext0 <= '0';
sigrelease <= '0';
sigselect <= '0';
sigclear <= '0';
case state is
when IDLE | EXT1 =>
NULL;
when FETCH =>
sigfetch <= '1';
when DECODE =>
sigfetched <= '1';
when CODE =>
sigselect <= '1';
when RELEASE =>
sigrelease <= '1';
when EXT0 =>
sigext0 <= '1';
when CLRDP =>
sigclear <= '1';
end case;
end process;
-- Fetched signal register
process(clk, resetn)
begin
if(rising_edge(clk) and sigfetch = '1') then
fetchdata <= ps2_code;
end if;
if resetn = '0' then
fetchdata <= X"00";
end if;
end process;
-- EXT0 selection (SR Latch)
process(sigext0, sigclear, resetn)
begin
if sigclear = '1' or resetn = '0' then
selE0 <= '0';
elsif sigext0 = '1' then
selE0 <= '1';
end if;
end process;
-- Mux for upper value (E0 or 0)
process(selE0)
begin
if selE0 = '1' then
upperdata <= X"E0";
else
upperdata <= X"00";
end if;
end process;
-- datacode data set
datacode <= upperdata & fetchdata;
-- Keys registers
KEY0 : process(clk, key0clearn, resetn)
begin
if(rising_edge(clk) and key0en = '1') then
key0code <= datacode;
end if;
if key0clearn = '0' or resetn = '0' then
key0code <= X"0000";
end if;
end process;
KEY1 : process(clk, key1clearn, resetn)
begin
if(rising_edge(clk) and key1en = '1') then
key1code <= datacode;
end if;
if key1clearn = '0' or resetn = '0' then
key1code <= X"0000";
end if;
end process;
KEY2 : process(clk, key2clearn, resetn)
begin
if(rising_edge(clk) and key2en = '1') then
key2code <= datacode;
end if;
if key2clearn = '0' or resetn = '0' then
key2code <= X"0000";
end if;
end process;
-- Release command (SR Latch)
process(sigrelease, sigclear, resetn)
begin
if sigclear = '1' or resetn = '0' then
relbt <= '0';
elsif sigrelease = '1' then
relbt <= '1';
end if;
end process;
-- Release command (SR Latch)
process(sigselect, sigclear, resetn)
begin
if sigclear = '1' or resetn = '0' then
selbt <= '0';
elsif sigselect = '1' then
selbt <= '1';
end if;
end process;
-- Key replacement and clear selector
SELECTOR : process(relbt, selbt)
begin
key0en <= '0'; key1en <= '0'; key2en <= '0';
key0clearn <= '1'; key1clearn <= '1'; key2clearn <= '1';
-- Select an empty register to record fetched data
if relbt = '0' and selbt = '1' then
if datacode /= key0code and datacode /= key1code and
datacode /= key2code then
if key0code = X"0000" then
key0en <= '1';
elsif key1code = X"0000" then
key1en <= '1';
elsif key2code = X"0000" then
key2en <= '1';
end if;
end if;
-- Clear released key
elsif relbt = '1' and selbt = '1' then
-- Handle fake shifts
if datacode = X"0012" then
if key0code = X"E012" then
key0clearn <= '0';
elsif key1code = X"E012" then
key1clearn <= '0';
elsif key2code = X"E012" then
key2clearn <= '0';
end if;
elsif datacode = X"0059" then
if key0code = X"E059" then
key0clearn <= '0';
elsif key1code = X"E059" then
key1clearn <= '0';
elsif key2code = X"E059" then
key2clearn <= '0';
end if;
end if;
-- Handle normal release
if key0code = datacode then
key0clearn <= '0';
elsif key1code = datacode then
key1clearn <= '0';
elsif key2code = datacode then
key2clearn <= '0';
end if;
end if;
end process;
-- Out with key codes
key_code <= std_logic_vector(key2code & key1code & key0code);
-- Turn buttons on
process(clk, sigclear, resetn)
begin
if(rising_edge(clk) and sigclear = '1') then
if key0code /= X"0000" then
key_on(0) <= '1';
else
key_on(0) <= '0';
end if;
if key1code /= X"0000" then
key_on(1) <= '1';
else
key_on(1) <= '0';
end if;
if key2code /= X"0000" then
key_on(2) <= '1';
else
key_on(2) <= '0';
end if;
end if;
if resetn = '0' then
key_on <= "000";
end if;
end process;
-- Comparator for newdata signal
-- Signals on rising edge of ps2_datardy
process(ps2_datardy, sigfetched, sigsending, resetn)
begin
if(rising_edge(ps2_datardy)) then
newdata <= '1';
end if;
if resetn = '0' or sigfetched = '1' or sigsending = '1' then
newdata <= '0';
end if;
end process;
-- Keyboar lights control
-- Detect ligths state change
process(clk, lights, sigsending, resetn)
variable laststate : std_logic_vector(2 downto 0);
begin
if resetn = '0' or sigsending = '1' then
laststate := "XXX";
siguplights <= '0';
elsif(rising_edge(clk)) then
if laststate /= lights then
siguplights <= '1';
laststate := lights;
else
siguplights <= '0';
end if;
end if;
end process;
-- Send commands to keyboard
process(clk, siguplights, en, resetn)
type cmdstatename is (
SETCMD, SEND, WAITACK, SETLIGHTS, SENDVAL, WAITACK1, CLEAR
);
variable cmdstate : cmdstatename;
begin
if(rising_edge(clk)) then
sigsend <= '0';
sigsending <= '1';
case cmdstate is
when SETCMD =>
hdata <= X"ED";
if sigsendrdy = '1' then
cmdstate := SEND;
end if;
when SEND =>
sigsend <= '1';
cmdstate := WAITACK;
when WAITACK =>
if ps2_datardy = '1' then
if ps2_dataout = X"FE" then
cmdstate := SETCMD;
else
cmdstate := SETLIGHTS;
end if;
end if;
when SETLIGHTS =>
hdata <= "00000" & "000";
if sigsendrdy = '1' then
cmdstate := SENDVAL;
end if;
when SENDVAL =>
sigsend <= '1';
cmdstate := WAITACK1;
when WAITACK1 =>
if ps2_datardy = '1' then
if ps2_dataout = X"FE" then
cmdstate := SETLIGHTS;
else
cmdstate := CLEAR;
end if;
end if;
when CLEAR =>
sigsending <= '0';
end case;
end if;
if resetn = '0' or en = '0' or siguplights = '1' then
sigsending <= '1';
sigsend <= '0';
cmdstate := SETCMD;
end if;
end process;
end rtl; | mit | 7c9b7753df1100e1e7476edce9d59b27 | 0.566736 | 2.963724 | false | false | false | false |
gau-veldt/InsideTheBox | Progress_2017_11_19/chip6502.vhd | 1 | 43,073 | ----------------------------------------------------------------------------------
--
-- Takes all the VHDL bits and makes a 6502 out of them
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity chip6502 is
port (
a : out std_logic_vector(15 downto 0);
di : in std_logic_vector(7 downto 0);
do : out std_logic_vector(7 downto 0);
pi : in std_logic_vector(7 downto 0);
po : out std_logic_vector(7 downto 0);
r1w0 : out std_logic;
sync : out std_logic;
nmi0 : in std_logic;
irq0 : in std_logic;
so0 : in std_logic;
rdy : in std_logic;
res0 : in std_logic;
ph4Xin : in std_logic; -- clock input
ph0 : out std_logic;
ph1 : out std_logic; -- clock on high edge
ph2 : out std_logic -- clock on low edge
);
end chip6502;
architecture interaction of chip6502 is
subtype slv2 is std_logic_vector(1 downto 0);
subtype byte is std_logic_vector(7 downto 0);
subtype word is std_logic_vector(15 downto 0);
constant v_nmi_l : word := x"FFFA";
constant v_nmi_h : word := x"FFFB";
constant v_res_l : word := x"FFFC";
constant v_res_h : word := x"FFFD";
constant v_irq_l : word := x"FFFE";
constant v_irq_h : word := x"FFFF";
component clockgen
port (
ph4Xin : in std_logic;
ph0 : out std_logic;
ph1 : out std_logic;
ph2 : out std_logic;
stg : out slv2;
res0 : in std_logic
);
end component;
subtype clkstg_t is std_logic_vector(1 downto 0);
signal clkStg : clkstg_t;
signal iph0 : std_logic;
signal iph1 : std_logic;
signal iph2 : std_logic;
constant sysclk_PH2_p : clkstg_t := "00";
constant sysclk_PH2_m : clkstg_t := "01";
constant sysclk_PH1_p : clkstg_t := "10";
constant sysclk_PH1_m : clkstg_t := "11";
component ioport8bit is
port (
ce : in std_logic;
clk : in std_logic;
res0 : in std_logic;
r1w0 : in std_logic;
a : in std_logic;
din : in byte;
dout : out byte;
ioi : in byte;
ioo : out byte
);
end component;
signal io_o : byte;
signal io_i : byte;
signal io_ce : std_logic;
signal io_clk : std_logic;
component alu_8bit
port (
a_in : in byte;
b_in : in byte;
c_in : in std_logic;
d_in : in std_logic; -- the dreaded BCD mode
op_in : in unsigned(2 downto 0);
n_out : out std_logic;
v_out : out std_logic;
z_out : out std_logic;
c_out : out std_logic;
r_out : out byte
);
end component;
signal ALUcin : std_logic;
signal ALUdin : std_logic;
signal ALUain : byte;
signal ALUbin : byte;
signal ALUop : unsigned(2 downto 0);
signal ALUrout : byte;
signal ALUnout : std_logic;
signal ALUvout : std_logic;
signal ALUzout : std_logic;
signal ALUcout : std_logic;
signal regbus : byte;
signal outval : byte;
signal abus : word;
signal DBen : std_logic := '1';
signal DBrw : std_logic := '1';
signal dbRE : std_logic;
signal dbWE : std_logic;
signal nDBen : std_logic;
signal aen0 : std_logic := '1';
signal aen1 : std_logic;
alias abus_off is aen0;
subtype seqType is byte;
function countSeq(src : seqType) return seqType is
variable v : unsigned(7 downto 0);
begin
v := unsigned(src);
v := v + 1;
return seqType(v);
end countSeq;
signal seq : seqType := x"00";
subtype dbctl_t is std_logic_vector(2 downto 0);
signal DB_ctl : dbctl_t := "011";
alias dbctl_sync : std_logic is DB_ctl(2);
alias dbctl_off : std_logic is DB_ctl(1);
alias dbctl_r1w0 : std_logic is DB_ctl(0);
subtype aop_t is std_logic_vector(2 downto 0);
signal aop : aop_t := "000";
constant aop_add : aop_t := "000";
constant aop_and : aop_t := "001";
constant aop_or : aop_t := "010";
constant aop_xor : aop_t := "011";
constant aop_lsl : aop_t := "100";
constant aop_lsr : aop_t := "101";
constant aop_rol : aop_t := "110";
constant aop_ror : aop_t := "111";
signal alu_bin_mode : slv2;
constant bin_reg : slv2 := "00";
constant bin_set : slv2 := "01";
constant bin_clr : slv2 := "10";
constant bin_ireg : slv2 := "11";
signal alu_cin_mode : slv2;
constant cin_psw : slv2 := "00";
constant cin_set : slv2 := "01";
constant cin_clr : slv2 := "10";
constant cin_aux : slv2 := "11";
signal alu_din_mode : std_logic;
constant din_clr : std_logic := '0';
constant din_psw : std_logic := '1';
signal alu_bin_reg : byte;
signal alu_bin_tie : byte;
type stage_t is (
stg_reset,
stg_fetch,
stg_sub_incpc, -- pc++
--stg_sub_pc2adr, -- meml=[pc++], memh=[pc++]
stg_sub_pc2buf, -- BUF=[pc++]
stg_sub_pc2a, -- A=[pc++]
stg_sub_pc2x, -- X=[pc++]
stg_sub_pc2y, -- Y=[pc++]
stg_CLC,
stg_SEC,
stg_CLI,
stg_SEI,
stg_CLV,
stg_CLD,
stg_SED,
stg_TXS,
stg_TSX,
stg_PHA,
stg_PLA,
stg_PHP,
stg_PLP,
stg_TAX,
stg_TXA,
stg_TAY,
stg_TYA,
stg_JMP_abs,
stg_tail
);
signal seq_stage : stage_t := stg_reset;
signal ret_stage : stage_t := stg_fetch; -- return stage for sub stage
signal ir : byte := x"00";
signal reg_a : byte := x"00";
signal reg_x : byte := x"00";
signal reg_y : byte := x"00";
signal reg_pc : word := x"0000";
signal reg_sp : byte := x"00";
signal reg_p : byte := x"00";
alias psw_n is reg_p(7);
alias psw_v is reg_p(6);
alias psw_b is reg_p(4);
alias psw_d is reg_p(3);
alias psw_i is reg_p(2);
alias psw_z is reg_p(1);
alias psw_c is reg_p(0);
signal buf_data : byte := x"00";
signal buf_addr : word := x"0000";
alias reg_pcl : byte is reg_pc(7 downto 0);
alias reg_pch : byte is reg_pc(15 downto 8);
alias buf_addr_l : byte is buf_addr(7 downto 0);
alias buf_addr_h : byte is buf_addr(15 downto 8);
signal private_c : std_logic;
function isZero(src: byte) return std_logic is
begin
return ((src(0) nor src(1)) and (src(2) nor src(3))) and
((src(4) nor src(5)) and (src(6) nor src(7)));
end isZero;
begin
clock: clockgen port map(
ph4Xin => ph4Xin,
ph0 => iph0,
ph1 => iph1,
ph2 => iph2,
stg => clkStg,
res0 => res0
);
ph0 <= iph0;
ph1 <= iph1;
ph2 <= iph2;
io8bit: ioport8bit port map(
ce => io_ce,
clk => io_clk,
res0 => res0,
r1w0 => dbRW,
a => abus(0),
din => io_i,
dout => io_o,
ioi => pi,
ioo => po
);
io_clk <= (not clkStg(1)) and clkStg(0);
io_ce <= not ( (((abus(15) or abus(14)) or (abus(13) or abus(12))) or
((abus(11) or abus(10)) or (abus( 9) or abus( 8)))) or
(((abus( 7) or abus( 6)) or (abus( 5) or abus( 4))) or
((abus( 3) or abus( 2)) or abus( 1) )) );
io_i <= regbus;
alunit: alu_8bit port map(
a_in => ALUain,
b_in => ALU_bin_tie,
c_in => ALUcin,
d_in => ALUdin,
op_in => ALUop,
n_out => ALUnout,
v_out => ALUvout,
z_out => ALUzout,
c_out => ALUcout,
r_out => ALUrout
);
alu_cin_mux: process(alu_cin_mode,psw_c,private_c) is
begin
case alu_cin_mode is
when cin_set => ALUcin <= '1';
when cin_clr => ALUcin <= '0';
when cin_aux => ALUcin <= private_c;
when others => ALUcin <= psw_c;
end case;
end process alu_cin_mux;
alu_din_mux: process(alu_din_mode,psw_d) is
begin
case alu_din_mode is
when din_clr => ALUdin <= '0';
when others => ALUdin <= psw_d;
end case;
end process alu_din_mux;
alu_bin_mux: process(alu_bin_mode,alu_bin_reg) is
begin
case alu_bin_mode is
when bin_clr => alu_bin_tie <= "00000000";
when bin_set => alu_bin_tie <= "11111111";
when bin_ireg => alu_bin_tie <= not alu_bin_reg;
when others => alu_bin_tie <= alu_bin_reg;
end case;
end process alu_bin_mux;
sync <= DB_ctl(2);
DBen <= DB_ctl(1);
DBrw <= DB_ctl(0);
nDBen <= not DBen;
DBre <= DBrw;
DBwe <= not DBrw;
aen1 <= not aen0;
r1w0 <= DBrw;
ALUop <= unsigned(aop);
-- Allow connection of data bus as output during write operations or disconnected
-- (high-Z) otherwise allowing other devices to use data bus while the CPU is halted.
db_ogate: process(nDBen,DBwe,outval) iS
begin
if ((nDBen and DBwe) = '1') then
if (io_ce='1') then
do <= io_o;
else
do <= outval;
end if;
else
do <= "ZZZZZZZZ";
end if;
end process db_ogate;
-- Allow connection of data bus as input during read operations or disconnected
-- (high-Z) otherwise allowing other devices to use data bus while the CPU is halted.
db_igate: process(nDBen,DBre,di) is
begin
if ((nDBen and DBre) = '1') then
regbus <= di;
else
regbus <= "ZZZZZZZZ";
end if;
end process db_igate;
addr_gate: process(aen1,abus) is
begin
if (aen1='1') then
a <= abus;
else
a <= "ZZZZZZZZZZZZZZZZ";
end if;
end process addr_gate;
main_proc: process(res0,ph4Xin) is
begin
-- Status register stuff
reg_p(5) <= '0';
if (so0 = '0') then
psw_V <= '1';
end if;
if (res0 = '0') then
seq <= x"00";
seq_stage <= stg_reset;
ret_stage <= stg_reset;
dbctl_r1w0 <= '1';
dbctl_off <= '1';
dbctl_sync <= '0';
abus_off <= '1';
elsif (rising_edge(ph4Xin)) then
-- reset stage
if (seq_stage = stg_reset) then
if ((not (clkStg = sysclk_PH2_m)) and seq=x"00") then
else
-- we enter here at seq x00 on PH1+
case seq is
when x"00" => seq <= countSeq(seq); -- PH1+: put RES vector L on abus
dbctl_off <= '0';
dbctl_r1w0 <= '1';
abus_off <= '0';
abus <= v_res_l;
when x"01" => seq <= countSeq(seq); -- PH1-: (valid addr) pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" => -- PH2-: (valid data) write to PCL
reg_pcl <= regbus;
seq <= countSeq(seq);
when x"04" => -- PH1+: put RES vector H on abus
abus <= v_res_h;
seq <= countSeq(seq);
when x"05" => seq <= countSeq(seq); -- PH1-: (valid addr) pass
when x"06" => seq <= countSeq(seq); -- PH2+: pass
seq <= countSeq(seq);
when x"07" => -- PH2-: (valid data) write to PCH
reg_pch <= regbus;
seq_stage <= stg_fetch; -- change to instruction decode
seq <= x"00";
when others => null;
end case;
end if;
end if;
-- instruction fetch/decode stage
if (seq_stage = stg_fetch) then
case seq is
when x"00" => -- PH1+: sync on, PC to abus
dbctl_off <= '0';
dbctl_r1w0 <= '1'; -- dbus on for read
dbctl_sync <= '1'; -- sync on for instruction decode
abus_off <= '0';
abus <= reg_pc; -- PC on abus
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: (valid addr) pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" => -- PH2-: (valid data) get instruction
ir <= regbus;
seq <= countSeq(seq);
when x"04" => -- PH1+: sync off
dbctl_sync <= '0';
ALUain <= reg_pcl;
alu_cin_mode <= cin_set;
alu_bin_mode <= bin_clr;
alu_din_mode <= din_clr;
aop <= aop_add;
seq <= countSeq(seq);
when x"05" => -- PH1-: store PCL=1+PCL
reg_pcl <= ALUrout;
private_c <= ALUcout;
seq <= countSeq(seq);
when x"06" => -- PH2+:
ALUain <= reg_pch;
alu_cin_mode <= cin_aux; -- apply carry from PCL+1
seq <= countSeq(seq);
when x"07" => -- PH2-:
reg_pch <= ALUrout; -- store PCH=PCH+C
if (rdy = '1') then
abus_off <= '0';
dbctl_off <= '0';
seq <= x"00";
case ir is
when x"4C" =>
seq_stage <= stg_JMP_abs; -- JMP abs
when x"18" =>
seq_stage <= stg_CLC; -- CLC
when x"38" =>
seq_stage <= stg_SEC; -- SEC
when x"58" =>
seq_stage <= stg_CLI; -- CLI
when x"78" =>
seq_stage <= stg_SEI; -- SEI
when x"B8" =>
seq_stage <= stg_CLV; -- CLV
when x"D8" =>
seq_stage <= stg_CLD; -- CLD
when x"F8" =>
seq_stage <= stg_SED; -- SED
-- LDA
when x"A9" => -- imm
ret_stage <= stg_tail;
seq_stage <= stg_sub_pc2a;
-- LDX
when x"A2" => -- imm
ret_stage <= stg_tail;
seq_stage <= stg_sub_pc2x;
-- LDY
when x"A0" => -- imm
ret_stage <= stg_tail;
seq_stage <= stg_sub_pc2y;
when x"9A" => -- TXS sp=x
seq_stage <= stg_TXS;
when x"BA" => -- TSX x=sp
seq_stage <= stg_TSX;
when x"48" => -- PHA [sp--]=a
seq_stage <= stg_PHA;
when x"68" => -- PLA a=[++sp]
seq_stage <= stg_PLA;
when x"08" => -- PHP [sp--]=P
seq_stage <= stg_PHP;
when x"28" => -- PLP P=[++sp]
seq_stage <= stg_PLP;
when x"AA" => -- TAX X=A
seq_stage <= stg_TAX;
when x"8A" => -- TXA A=X
seq_stage <= stg_TXA;
when x"A8" => -- TAY Y=A
seq_stage <= stg_TAY;
when x"98" => -- TYA A=Y
seq_stage <= stg_TYA;
when others =>
seq_stage <= stg_tail; -- NOP
end case;
else
abus_off <= '1'; -- burn a full PH1/PH2 cycle if RDY=0
dbctl_off <= '1';
seq <= x"08";
end if;
when x"08" => seq <= countSeq(seq); -- PH1+: burn
when x"09" => seq <= countSeq(seq); -- PH1-: burn
when x"0A" => seq <= x"07"; -- PH2+: will check RDY again on PH2-
when others => null;
end case;
end if;
-- epilogue stage (also handles NOP)
-- checks for interrupts, and RDY
if (seq_stage = stg_tail) then
case seq is
when x"00" => -- PH1+: burn
abus_off <= '0'; -- abus enabled
dbctl_off <= '0'; -- dbus enabled
dbctl_r1w0 <= '1'; -- dbus to read
seq <= countSeq(seq);
when x"01" => -- PH1-: burn
seq <= countSeq(seq);
when x"02" => -- PH2+: burn
seq <= countSeq(seq);
when x"03" =>
seq_stage <= stg_fetch; -- PH2-: return to fetch (on PH1+)
seq <= x"00";
when others => null;
end case;
end if;
if (seq_stage = stg_sub_incpc) then
case seq is
when x"00" => -- PH1+:
ALUain <= reg_pcl;
alu_cin_mode <= cin_set;
alu_bin_mode <= bin_clr;
alu_din_mode <= din_clr;
aop <= aop_add;
seq <= countSeq(seq);
when x"01" => -- PH1-: store PCL=PCL+1
reg_pcl <= ALUrout;
private_c <= ALUcout;
seq <= countSeq(seq);
when x"02" => -- PH2+:
ALUain <= reg_pch;
alu_cin_mode <= cin_aux; -- apply carry from PCL+1
seq <= countSeq(seq);
when x"03" => -- PH2-:
reg_pch <= ALUrout; -- store PCH=PCH+C
seq <= x"00";
seq_stage <= ret_stage; -- PH2+: set return-to stage (on PH1+)
when others => null;
end case;
end if;
if (seq_stage = stg_sub_pc2buf) then
case seq is
when x"00" => -- PH1+: sync on, PC to abus
abus_off <= '0';
abus <= reg_pc;
dbctl_off <= '0';
dbctl_r1w0 <= '1'; -- dbus on for read
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: (valid addr) pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" => -- PH2-: (valid data) store data to BUF
buf_data <= regbus;
seq <= x"00";
seq_stage <= stg_sub_incpc;
when others => null;
end case;
end if;
if (seq_stage = stg_sub_pc2a) then
case seq is
when x"00" => -- PH1+: sync on, PC to abus
abus_off <= '0';
abus <= reg_pc;
dbctl_off <= '0';
dbctl_r1w0 <= '1'; -- dbus on for read
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: (valid addr) pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" => -- PH2-: (valid data) store data to A
reg_a <= regbus;
psw_z <= isZero(regbus); -- Z flag
psw_n <= regbus(7); -- N flag
seq <= x"00";
seq_stage <= stg_sub_incpc;
when others => null;
end case;
end if;
if (seq_stage = stg_sub_pc2x) then
case seq is
when x"00" => -- PH1+: sync on, PC to abus
abus_off <= '0';
abus <= reg_pc;
dbctl_off <= '0';
dbctl_r1w0 <= '1'; -- dbus on for read
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: (valid addr) pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" => -- PH2-: (valid data) store data to X
reg_x <= regbus;
psw_z <= isZero(regbus); -- Z flag
psw_n <= regbus(7); -- N flag
seq <= x"00";
seq_stage <= stg_sub_incpc;
when others => null;
end case;
end if;
if (seq_stage = stg_sub_pc2y) then
case seq is
when x"00" => -- PH1+: sync on, PC to abus
abus_off <= '0';
abus <= reg_pc;
dbctl_off <= '0';
dbctl_r1w0 <= '1'; -- dbus on for read
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: (valid addr) pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" => -- PH2-: (valid data) store data to Y
reg_y <= regbus;
psw_z <= isZero(regbus); -- Z flag
psw_n <= regbus(7); -- N flag
seq <= x"00";
seq_stage <= stg_sub_incpc;
when others => null;
end case;
end if;
-- JMP abs
if (seq_stage = stg_JMP_abs) then
case seq is
when x"00" => -- PH1+: put PC on abus
dbctl_off <= '0';
dbctl_r1w0 <= '1';
abus_off <= '0';
abus <= reg_pc;
ALUain <= reg_pcl;
alu_bin_mode <= bin_clr;
alu_cin_mode <= cin_set;
seq <= countSeq(seq);
when x"01" => -- PH1-: (valid addr)
reg_pcl <= ALUrout;
private_c <= ALUcout; -- ++PC
seq <= countSeq(seq);
when x"02" => -- PH2+: pass
ALUain <= reg_pch;
alu_bin_mode <= bin_clr;
alu_cin_mode <= cin_aux;
seq <= countSeq(seq);
when x"03" => -- PH2-: (valid data) write to MEML
buf_addr_l <= regbus; -- (we can't store to PC as we're using it)
reg_pch <= ALUrout;
seq <= countSeq(seq);
when x"04" => -- PH1+: put PC (+1) on abus
abus <= reg_pc;
seq <= countSeq(seq);
when x"05" => seq <= countSeq(seq); -- PH1-: (valid addr) pass
when x"06" => seq <= countSeq(seq); -- PH2+: pass
seq <= countSeq(seq);
when x"07" => -- PH2-: (valid data) write to PCH
reg_pch <= regbus;
reg_pcl <= buf_addr_l; -- copy buffered lobyte to PCL
seq_stage <= stg_tail; -- instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- CLC
if (seq_stage = stg_CLC) then
case seq is
when x"00" => -- PH1+: C=0
psw_c <= '0';
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- SEC
if (seq_stage = stg_SEC) then
case seq is
when x"00" => -- PH1+: C=1
psw_c <= '1';
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- CLI
if (seq_stage = stg_CLI) then
case seq is
when x"00" => -- PH1+: I=0
psw_i <= '0';
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- SEI
if (seq_stage = stg_SEI) then
case seq is
when x"00" => -- PH1+: I=1
psw_i <= '1';
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- CLV
if (seq_stage = stg_CLV) then
case seq is
when x"00" => -- PH1+: V=0
psw_v <= '0';
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- CLD
if (seq_stage = stg_CLD) then
case seq is
when x"00" => -- PH1+: D=0
psw_d <= '0';
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- SED
if (seq_stage = stg_SED) then
case seq is
when x"00" => -- PH1+: D=1
psw_d <= '1';
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- TXS
if (seq_stage = stg_TXS) then
case seq is
when x"00" => -- PH1+:
reg_sp <= reg_x; -- store SP=X
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- TSX
if (seq_stage = stg_TSX) then
case seq is
when x"00" => -- PH1+:
reg_x <= reg_sp; -- store X=SP
psw_z <= isZero(reg_sp); -- Z flag
psw_n <= reg_sp(7); -- N flag
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- PHA
if (seq_stage = stg_PHA) then
case seq is
when x"00" => -- PH1+:
abus <= (x"01" & reg_sp); -- 01:sp to abus
abus_off <= '0';
dbctl_off <= '0'; -- dbus to write
dbctl_r1w0 <= '0';
ALUain <= reg_sp;
alu_bin_mode <= bin_set;
alu_cin_mode <= cin_clr;
alu_din_mode <= din_clr;
seq <= countSeq(seq);
when x"01" => -- PH1-: (valid addr) sp=sp-1
reg_sp <= ALUrout;
seq <= countSeq(seq);
when x"02" => -- PH2+: place a on dbus
outval <= reg_a;
seq <= countSeq(seq);
when x"03" => -- PH2-: (valid data) instruction done
seq_stage <= stg_tail;
seq <= x"00";
when others => null;
end case;
end if;
-- PHP
if (seq_stage = stg_PHP) then
case seq is
when x"00" => -- PH1+:
abus <= (x"01" & reg_sp); -- 01:sp to abus
abus_off <= '0';
dbctl_off <= '0'; -- dbus to write
dbctl_r1w0 <= '0';
ALUain <= reg_sp;
alu_bin_mode <= bin_set;
alu_cin_mode <= cin_clr;
alu_din_mode <= din_clr;
seq <= countSeq(seq);
when x"01" => -- PH1-: (valid addr) sp=sp-1
reg_sp <= ALUrout;
seq <= countSeq(seq);
when x"02" => -- PH2+: place a on dbus
outval <= (reg_p(7 downto 6) & "01" &
reg_p(3 downto 0)); -- 6502 quirk: B always set on pushed psw
seq <= countSeq(seq);
when x"03" => -- PH2-: (valid data) instruction done
seq_stage <= stg_tail;
seq <= x"00";
when others => null;
end case;
end if;
-- PLA
if (seq_stage = stg_PLA) then
case seq is
when x"00" => -- PH1+:
abus_off <= '0';
dbctl_off <= '0';
dbctl_r1w0 <= '1'; -- dbus to read
ALUain <= reg_sp;
alu_bin_mode <= bin_clr; -- sp pre-increment
alu_cin_mode <= cin_set;
alu_din_mode <= din_clr;
seq <= countSeq(seq);
when x"01" => -- PH1-: (valid addr)
reg_sp <= ALUrout; -- we didn't have addr ready this PH1+
seq <= countSeq(seq); -- so we'll need to wait for next PH1+
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" => seq <= countSeq(seq); -- PH2-: pass
when x"04" => -- PH1+: now we have address for bus
abus <= (x"01" & reg_sp); -- 01:sp to abus
seq <= countSeq(seq);
when x"05" => seq <= countSeq(seq); -- PH1-: (valid addr)
when x"06" => seq <= countSeq(seq); -- PH2+: pass
when x"07" => -- PH2-: (valid data) instruction done
reg_a <= regbus;
psw_z <= isZero(regbus); -- Z flag
psw_n <= regbus(7); -- N flag
seq_stage <= stg_tail;
seq <= x"00";
when others => null;
end case;
end if;
-- PLP
if (seq_stage = stg_PLP) then
case seq is
when x"00" => -- PH1+:
abus_off <= '0';
dbctl_off <= '0';
dbctl_r1w0 <= '1'; -- dbus to read
ALUain <= reg_sp;
alu_bin_mode <= bin_clr; -- sp pre-increment
alu_cin_mode <= cin_set;
alu_din_mode <= din_clr;
seq <= countSeq(seq);
when x"01" => -- PH1-: (valid addr)
reg_sp <= ALUrout; -- we didn't have addr ready this PH1+
seq <= countSeq(seq); -- so we'll need to wait for next PH1+
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" => seq <= countSeq(seq); -- PH2-: pass
when x"04" => -- PH1+: now we have address for bus
abus <= (x"01" & reg_sp); -- 01:sp to abus
seq <= countSeq(seq);
when x"05" => seq <= countSeq(seq); -- PH1-: (valid addr)
when x"06" => seq <= countSeq(seq); -- PH2+: pass
when x"07" => -- PH2-: (valid data) instruction done
reg_p <= (regbus(7 downto 6) & "0" &
regbus(4 downto 0)); -- store status value (unused bit forced to 0)
seq_stage <= stg_tail;
seq <= x"00";
when others => null;
end case;
end if;
-- TAX
if (seq_stage = stg_TAX) then
case seq is
when x"00" => -- PH1+:
reg_x <= reg_a; -- store X=A
psw_z <= isZero(reg_a); -- Z flag
psw_n <= reg_a(7); -- N flag
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- TXA
if (seq_stage = stg_TXA) then
case seq is
when x"00" => -- PH1+:
reg_a <= reg_x; -- store A=X
psw_z <= isZero(reg_x); -- Z flag
psw_n <= reg_x(7); -- N flag
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- TAY
if (seq_stage = stg_TAY) then
case seq is
when x"00" => -- PH1+:
reg_y <= reg_a; -- store Y=A
psw_z <= isZero(reg_a); -- Z flag
psw_n <= reg_a(7); -- N flag
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
-- TYA
if (seq_stage = stg_TYA) then
case seq is
when x"00" => -- PH1+:
reg_a <= reg_y; -- store A=Y
psw_z <= isZero(reg_y); -- Z flag
psw_n <= reg_y(7); -- N flag
seq <= countSeq(seq);
when x"01" => seq <= countSeq(seq); -- PH1-: pass
when x"02" => seq <= countSeq(seq); -- PH2+: pass
when x"03" =>
seq_stage <= stg_tail; -- PH2-: instruction done
seq <= x"00";
when others => null;
end case;
end if;
end if;
end process main_proc;
end interaction;
| gpl-3.0 | 512b846185308e73b676dfac933ba18a | 0.337102 | 4.511207 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/1000BASE-X/sim/CoreTwoIpDataSim.vhd | 1 | 8,869 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 00:36:20 08/28/2015
-- Design Name:
-- Module Name: C:/Users/Kurtis/Google Drive/mTC/svn/src/Ethernet/General/sim/IPv4Test.vhd
-- Project Name: ethernet
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: IPv4Tx
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
use work.GigabitEthPkg.all;
use work.Eth1000BaseXPkg.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY CoreTwoIpDataSim IS
END CoreTwoIpDataSim;
ARCHITECTURE behavior OF CoreTwoIpDataSim IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT IPv4Tx
PORT(
ethTxClk : IN std_logic;
ethTxRst : IN std_logic;
ipPacketLength : IN std_logic_vector(15 downto 0);
ipPacketId : IN std_logic_vector(15 downto 0);
ipMoreFragments : IN std_logic;
ipFragOffset : IN std_logic_vector(12 downto 0);
ipProtocol : IN std_logic_vector(7 downto 0);
ipSrcAddr : IN IpAddrType;
ipDstAddr : IN IpAddrType;
ipData : IN std_logic_vector(31 downto 0);
ipDataValid : IN std_logic;
ipDataReady : OUT std_logic;
ethTxDataIn : OUT std_logic_vector(7 downto 0);
ethTxDataValid : OUT std_logic;
ethTxDataLastByte : OUT std_logic;
ethTxDataReady : IN std_logic
);
END COMPONENT;
--Inputs
signal ethClk125 : std_logic := '0';
signal ethClk125Rst : std_logic := '0';
signal ethClk62 : std_logic := '0';
signal ethClk62Rst : std_logic := '0';
signal ethCoreMacAddr : MacAddrType := MAC_ADDR_DEFAULT_C;
signal ethCoreIpAddr : IpAddrType := IP_ADDR_DEFAULT_C;
signal ch2IpAddr : IpAddrType := IP_ADDR_DEFAULT_C;
signal phyRxLaneIn : EthRxPhyLaneInType;
signal phyTxLaneOut : EthTxPhyLaneOutType;
signal dummyPhyRxLaneIn : EthRxPhyLaneInType;
signal dummyPhyTxLaneOut : EthTxPhyLaneOutType;
signal ethRxLinkSync : sl;
signal ethAutoNegDone : sl;
-- User Data signals
signal tpData : slv(31 downto 0);
signal tpDataValid : sl;
signal tpDataLast : sl := '0';
signal tpDataReady : sl;
signal tpData1 : slv(31 downto 0);
signal tpDataValid1 : sl;
signal tpDataLast1 : sl := '0';
signal tpDataReady1 : sl;
-- Clock period definitions
constant ethClk125_period : time := 8 ns;
constant ethClk62_period : time := 16 ns;
constant GATE_DELAY_C : time := 1 ns;
BEGIN
ch2IpAddr(0) <= x"15";
--------------------------------
-- Gigabit Ethernet Interface --
--------------------------------
U_Eth1000BaseXCore : entity work.Eth1000BaseXCore
generic map (
NUM_IP_G => 2,
EN_AUTONEG_G => true,
SIM_SPEEDUP_G => true,
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- 125 MHz clock and reset
eth125Clk => ethClk125,
eth125Rst => ethClk125Rst,
-- 62 MHz clock and reset
eth62Clk => ethClk62,
eth62Rst => ethClk62Rst,
-- Addressing
macAddr => ethCoreMacAddr,
ipAddrs => (0 => ethCoreIpAddr, 1 => ch2IpAddr),
udpPorts => (0 => x"08D0", 1 => x"08D1"), --x7D0 = 2000
-- Data to/from GT
phyRxData => phyRxLaneIn,
phyTxData => phyTxLaneOut,
-- Status signals
statusSync => ethRxLinkSync,
statusAutoNeg => ethAutoNegDone,
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst,
-- User data
userTxData => (0 => tpData, 1 => tpData1),
userTxDataValid => (0 => tpDataValid, 1 => tpDataValid1),
userTxDataLast => (0 => tpDataLast, 1 => tpDataLast1),
userTxDataReady(1) => tpDataReady1,
userTxDataReady(0) => tpDataReady,
userRxData => open,
userRxDataValid => open,
userRxDataLast => open,
userRxDataReady => (others => '1')
);
U_TpGenTx : entity work.TpGenTx
generic map (
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst or not(ethAutoNegDone),
-- Configuration
waitCycles => conv_std_logic_vector(1000,32),
numWords => conv_std_logic_vector(100,32),
-- Connection to user logic
userTxData => tpData,
userTxDataValid => tpDataValid,
userTxDataLast => tpDataLast,
userTxDataReady => tpDataReady
);
U_TpGenTx1 : entity work.TpGenTx
generic map (
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst or not(ethAutoNegDone),
-- Configuration
waitCycles => conv_std_logic_vector(100,32),
numWords => conv_std_logic_vector(2500,32),
-- Connection to user logic
userTxData => tpData1,
userTxDataValid => tpDataValid1,
userTxDataLast => tpDataLast1,
userTxDataReady => tpDataReady1
);
--------------------------------
-- DummyCore Ethernet Interface --
--------------------------------
U_DummyCore : entity work.Eth1000BaseXCore
generic map (
NUM_IP_G => 1,
EN_AUTONEG_G => true,
SIM_SPEEDUP_G => true,
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- 125 MHz clock and reset
eth125Clk => ethClk125,
eth125Rst => ethClk125Rst,
-- 62 MHz clock and reset
eth62Clk => ethClk62,
eth62Rst => ethClk62Rst,
-- Addressing
macAddr => ethCoreMacAddr,
ipAddrs => (0 => ethCoreIpAddr),
udpPorts => (0 => x"07D0"), --x7D0 = 2000
-- Data to/from GT
phyRxData => dummyPhyRxLaneIn,
phyTxData => dummyPhyTxLaneOut,
-- Status signals
statusSync => ethRxLinkSync,
statusAutoNeg => ethAutoNegDone,
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst,
-- User data
userTxData => (0 => tpData),
userTxDataValid => (0 => tpDataValid),
userTxDataLast => (0 => tpDataLast),
userTxDataReady(0) => tpDataReady,
userRxData => open,
userRxDataValid => open,
userRxDataLast => open,
userRxDataReady => (others => '1')
);
-- Match up B TX to A RX
phyRxLaneIn.data <= dummyPhyTxLaneOut.data;
phyRxLaneIn.dataK <= dummyPhyTxLaneOut.dataK;
phyRxLaneIn.dispErr <= (others => '0');
phyRxLaneIn.decErr <= (others => '0');
-- Match up A TX to B RX
dummyPhyRxLaneIn.data <= phyTxLaneOut.data;
dummyPhyRxLaneIn.dataK <= phyTxLaneOut.dataK;
dummyPhyRxLaneIn.dispErr <= (others => '0');
dummyPhyRxLaneIn.decErr <= (others => '0');
-- Clock process definitions
ethClk125_process : process
begin
ethClk125 <= '0';
wait for ethClk125_period/2;
ethClk125 <= '1';
wait for ethClk125_period/2;
end process;
ethClk62_process : process
begin
ethClk62 <= '0';
wait for ethClk62_period/2;
ethClk62 <= '1';
wait for ethClk62_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
ethClk125Rst <= '1';
ethClk62Rst <= '1';
wait for 100 ns;
ethClk125Rst <= '0';
ethClk62Rst <= '0';
wait for ethClk125_period*10;
-- insert stimulus here
wait;
end process;
END;
| lgpl-2.1 | d61d29f17cdaa32c4d2a5a1ebb5a8f90 | 0.551922 | 4.423441 | false | false | false | false |
SLongofono/Senior_Design_Capstone | hdl/top_system_simulation.vhd | 1 | 10,080 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 04/28/2018 05:30:29 PM
-- Design Name:
-- Module Name: top_system_simulation - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library config;
use work.config.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top_system_simulation is
-- Port ( );
end top_system_simulation;
architecture Behavioral of top_system_simulation is
component simple_core is
Port(
status: out std_logic; -- LED blinkenlites
clk: in std_logic; -- System clock (100 MHz)
rst: in std_logic; -- Tied to switch SW0
reggie: out regfile_arr;
pc_curr: out doubleword;
DEBUG_halt: in std_logic;
MMU_addr_in: out doubleword; -- 64-bits address for load/store
MMU_data_in: out doubleword; -- 64-bits data for store
MMU_satp: out doubleword; -- Signals address translation privilege
MMU_mode: out std_logic_vector(1 downto 0); -- Current operating mode (Machine, Supervisor, Etc)
MMU_store: out std_logic; -- High to toggle store
MMU_load: out std_logic; -- High to toggle load
MMU_busy: in std_logic; -- High when busy
MMU_ready_instr: out std_logic; -- Ready for a new instruction (initiates fetch)
MMU_addr_instr: out doubleword; -- Instruction Address (AKA PC)
MMU_alignment: out std_logic_vector(3 downto 0);-- alignment in bytes
MMU_data_out: in doubleword; -- 64-Bits data out for load
MMU_instr_out: in word; -- 64-Bits instruction out for fetch
MMU_error: in std_logic_vector(5 downto 0) -- Error bits from MMU
);
end component;
component MMU is
Port(
clk: in std_logic; -- 100 Mhz Clock
rst: in std_logic; -- Active high reset
addr_in: in doubleword; -- 64-bits address in
data_in: in doubleword; -- 64-bits data in
satp: in doubleword; -- Control register
mode: in std_logic_vector(1 downto 0); -- Current mode (Machine, Supervisor, Etc)
store: in std_logic; -- High to toggle store
load: in std_logic; -- High to toggle load
busy: out std_logic := '0'; -- High when busy
ready_instr: in std_logic; -- Can fetch next instruction (might be redundant)
addr_instr: in doubleword; -- Instruction Address (AKA PC)
alignment: in std_logic_vector(3 downto 0); --Mask
data_out: out doubleword; -- 64-Bits data out
instr_out: out word; -- 64-Bits instruction out
error: out std_logic_vector(5 downto 0);-- Error
-- LEDS out
LED: out std_logic_vector(15 downto 0);
-- UART out
UART_TXD: out std_logic;
UART_RXD: in std_logic;
-- DDR2 Signals
ddr2_addr : out STD_LOGIC_VECTOR (12 downto 0);
ddr2_ba : out STD_LOGIC_VECTOR (2 downto 0);
ddr2_ras_n : out STD_LOGIC;
ddr2_cas_n : out STD_LOGIC;
ddr2_we_n : out STD_LOGIC;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out STD_LOGIC_VECTOR (1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout STD_LOGIC_VECTOR (15 downto 0);
ddr2_dqs_p : inout STD_LOGIC_VECTOR (1 downto 0);
ddr2_dqs_n : inout STD_LOGIC_VECTOR (1 downto 0);
-- ROM SPI signals
sck: out std_logic; -- Special gated sck for the ROM STARTUPE2 generic
cs_n: out STD_LOGIC;
dq: inout std_logic_vector(3 downto 0));
end component;
component debug_controller is
port (clk,RST: in STD_LOGIC;
HALT: out STD_LOGIC;
REGGIE: in regfile_arr;
PC_IN: in doubleword;
INSTRUCTION_IN: in word;
UART_RXD: in STD_LOGIC;
UART_TXD : out STD_LOGIC);
end component;
signal s_MMU_addr_in: doubleword; -- 64-bits address for load/store
signal s_MMU_data_in: doubleword; -- 64-bits data for store
signal s_MMU_satp: doubleword := (others => '0'); -- Signals address translation privilege
signal s_MMU_mode: std_logic_vector(1 downto 0); -- Current operating mode (Machine, Supervisor, Etc)
signal s_MMU_store: std_logic; -- High to toggle store
signal s_MMU_load: std_logic; -- High to toggle load
signal s_MMU_busy: std_logic; -- High when busy
signal s_MMU_ready_instr: std_logic; -- Ready for a new instruction (initiates fetch)
signal s_MMU_addr_instr: doubleword; -- Instruction Address (AKA PC)
signal s_MMU_alignment: std_logic_vector(3 downto 0); -- alignment in bytes
signal s_MMU_data_out: doubleword; -- 64-Bits data out for load
signal s_MMU_instr_out: word; -- 64-Bits instruction out for fetch
signal s_MMU_error: std_logic_vector(5 downto 0); -- Error bits from MMU
signal s_MMU_txd : std_logic;
signal status, clk: std_logic := '0';
signal rst: std_logic := '1';
signal LED: std_logic_vector(15 downto 0);
signal UART_TXD: std_logic;
signal UART_RXD: std_logic;
signal ddr2_addr : STD_LOGIC_VECTOR (12 downto 0);
signal ddr2_ba : STD_LOGIC_VECTOR (2 downto 0);
signal ddr2_ras_n : STD_LOGIC;
signal ddr2_cas_n : STD_LOGIC;
signal ddr2_we_n : STD_LOGIC;
signal ddr2_ck_p : std_logic_vector(0 downto 0);
signal ddr2_ck_n : std_logic_vector(0 downto 0);
signal ddr2_cke : std_logic_vector(0 downto 0);
signal ddr2_cs_n : std_logic_vector(0 downto 0);
signal ddr2_dm : STD_LOGIC_VECTOR (1 downto 0);
signal ddr2_odt : std_logic_vector(0 downto 0);
signal ddr2_dq : STD_LOGIC_VECTOR (15 downto 0);
signal ddr2_dqs_p : STD_LOGIC_VECTOR (1 downto 0);
signal ddr2_dqs_n : STD_LOGIC_VECTOR (1 downto 0);
signal sck: std_logic; -- Special gated sck for the ROM STARTUPE2 generic
signal cs_n: STD_LOGIC;
signal dq: std_logic_vector(3 downto 0);
signal s_DEBUG_halt: std_logic; -- Halt signal from Debugger
signal s_DEBUG_pc_in: doubleword;
signal s_DEBUG_reggie: regfile_arr;
signal s_DEBUG_txd: std_logic;
signal counter: integer := 0;
begin
bestCore: simple_core
port map(
status => status,
clk => clk,
rst => rst,
reggie => s_DEBUG_reggie,
pc_curr => s_DEBUG_pc_in,
DEBUG_halt => s_DEBUG_halt,
MMU_addr_in => s_MMU_addr_in,
MMU_data_in => s_MMU_data_in,
MMU_satp => s_MMU_satp,
MMU_mode => s_MMU_mode,
MMU_store => s_MMU_store,
MMU_load => s_MMU_load,
MMU_busy => s_MMU_busy,
MMU_ready_instr => s_MMU_ready_instr,
MMU_addr_instr => s_MMU_addr_instr,
MMU_alignment => s_MMU_alignment,
MMU_data_out => s_MMU_data_out,
MMU_instr_out => s_MMU_instr_out,
MMU_error => s_MMU_error
);
memmy: MMU
port map(
clk => clk,
rst => rst,
addr_in => s_MMU_addr_in,
data_in => s_MMU_data_in,
satp => s_MMU_satp,
mode => s_MMU_mode,
store => s_MMU_store,
load => s_MMU_load,
busy => s_MMU_busy,
ready_instr => s_MMU_ready_instr,
addr_instr => s_MMU_addr_instr,
alignment => s_MMU_alignment,
data_out => s_MMU_data_out,
instr_out => s_MMU_instr_out,
error => s_MMU_error,
LED => LED,
UART_TXD => s_MMU_txd,
UART_RXD => UART_RXD,
ddr2_addr => ddr2_addr,
ddr2_ba => ddr2_ba,
ddr2_ras_n => ddr2_ras_n,
ddr2_cas_n => ddr2_cas_n,
ddr2_we_n => ddr2_we_n,
ddr2_ck_p => ddr2_ck_p,
ddr2_ck_n => ddr2_ck_n,
ddr2_cke => ddr2_cke,
ddr2_cs_n => ddr2_cs_n,
ddr2_dm => ddr2_dm,
ddr2_odt => ddr2_odt,
ddr2_dq => ddr2_dq,
ddr2_dqs_p => ddr2_dqs_p,
ddr2_dqs_n => ddr2_dqs_n,
sck => sck,
cs_n => cs_n,
dq => dq
);
debugger: debug_controller port map(
clk => clk,
rst => rst,
halt => s_DEBUG_halt,
reggie => s_DEBUG_reggie,
pc_in => s_DEBUG_pc_in,
INSTRUCTION_IN => s_MMU_instr_out,
UART_RXD => UART_RXD,
UART_TXD => s_DEBUG_txd);
process begin
counter <= counter + 1;
if(counter > 2) then
rst <= '0';
end if;
clk <= clk xor '1';
wait for 10 ns;
end process;
end Behavioral;
| mit | 2a2de180cc2ba9264f02af2817c1339f | 0.527778 | 3.652174 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/1000BASE-X/rtl/Eth1000BaseXAutoNeg.vhd | 1 | 9,333 | ---------------------------------------------------------------------------------
-- Title : 1000 BASE X link autonegotiation
-- Project : General Purpose Core
---------------------------------------------------------------------------------
-- File : Eth1000BaseXAutoNeg.vhd
-- Author : Kurtis Nishimura
---------------------------------------------------------------------------------
-- Description:
-- Autonegotiation for 1000 BASE-X.
---------------------------------------------------------------------------------
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
use work.Eth1000BaseXPkg.all;
entity Eth1000BaseXAutoNeg is
generic (
GATE_DELAY_G : time := 1 ns;
PIPE_STAGES_G : integer range 1 to 8 := 2;
SIM_SPEEDUP_G : boolean := false
);
port (
-- GT user clock and reset (62.5 MHz)
ethRx62Clk : in sl;
ethRx62Rst : in sl;
-- Autonegotiation is done
autonegDone : out sl;
-- Link is synchronized
rxLinkSync : in sl;
-- Physical Interface Signals
phyRxData : in EthRxPhyLaneInType;
phyTxData : out EthTxPhyLaneOutType
);
end Eth1000BaseXAutoNeg;
architecture rtl of Eth1000BaseXAutoNeg is
type AutoNegStateType is (S_IDLE, S_AUTONEG_RESTART, S_ABILITY_DETECT,
S_ACK_DETECT, S_COMPLETE_ACK, S_FIRST_IDLE,
S_IDLE_DETECT, S_LINK_UP);
type PhyRxDataArray is array (PIPE_STAGES_G-1 downto 0) of EthRxPhyLaneInType;
type RegType is record
autoNegState : AutoNegStateType;
rxDataPipe : PhyRxDataArray;
txData : slv(15 downto 0);
toggleC1C2 : sl;
toggleWord : sl;
timerCnt : slv(19 downto 0);
sendIdle : sl;
useI1 : sl;
linkUp : sl;
newState : sl;
end record RegType;
constant REG_INIT_C : RegType := (
autoNegState => S_IDLE,
rxDataPipe => (others => ETH_RX_PHY_LANE_IN_INIT_C),
txData => (others => '0'),
toggleC1C2 => '0',
toggleWord => '0',
timerCnt => (others => '0'),
sendIdle => '0',
useI1 => '0',
linkUp => '0',
newState => '0'
);
signal r : RegType := REG_INIT_C;
signal rin : RegType;
-- Signals for outputs from the match unit
signal abilityMatch : sl;
signal acknowledgeMatch : sl;
signal consistencyMatch : sl;
signal idleMatch : sl;
signal ability : slv(15 downto 0);
constant LINK_TIMER_SIM_C : natural := 625; -- 10 us at 62.5 MHz
constant THIS_LINK_TIMER_C : natural := sel(SIM_SPEEDUP_G,LINK_TIMER_SIM_C,LINK_TIMER_C);
-- ISE attributes to keep signals for debugging
-- attribute keep : string;
-- attribute keep of r : signal is "true";
-- attribute keep of crcOut : signal is "true";
-- Vivado attributes to keep signals for debugging
-- attribute dont_touch : string;
-- attribute dont_touch of r : signal is "true";
-- attribute dont_touch of crcOut : signal is "true";
begin
-- Match unit
U_AbMatch : entity work.Eth1000BaseXAbilityMatch
generic map (
GATE_DELAY_G => GATE_DELAY_G)
port map (
ethRx62Clk => ethRx62Clk,
ethRx62Rst => ethRx62Rst,
rxLinkSync => rxLinkSync,
newState => r.newState,
abilityMatch => abilityMatch,
ability => ability,
acknowledgeMatch => acknowledgeMatch,
consistencyMatch => consistencyMatch,
idleMatch => idleMatch,
phyRxData => r.rxDataPipe(PIPE_STAGES_G-1)
);
comb : process(r,phyRxData,ethRx62Rst,rxLinkSync,abilityMatch,
acknowledgeMatch,consistencyMatch,idleMatch,ability) is
variable v : RegType;
begin
v := r;
-- Pipeline for incoming data
for i in PIPE_STAGES_G-1 downto 0 loop
if (i /= 0) then
v.rxDataPipe(i) := v.rxDataPipe(i-1);
else
v.rxDataPipe(0) := phyRxData;
end if;
end loop;
-- Toggle the configuration bit if toggleWord is 1
if (r.toggleWord = '1') then
v.toggleC1C2 := not(r.toggleC1C2);
end if;
-- Always switch between /C(1,2)/ and ConfigReg
v.toggleWord := not(r.toggleWord);
-- Choose what to send here (idle or configuration)
if (r.sendIdle = '0') then
if (r.toggleWord = '0') then
if (r.toggleC1C2 = '0') then
phyTxData.data <= OS_C1_C;
phyTxData.dataK <= "01";
else
phyTxData.data <= OS_C2_C;
phyTxData.dataK <= "01";
end if;
else
phyTxData.data <= r.txData;
phyTxData.dataK <= "00";
end if;
else
phyTxData.dataK <= "01";
if (r.useI1 = '1') then
phyTxData.data <= OS_I1_C;
else
phyTxData.data <= OS_I2_C;
end if;
end if;
-- Regardless of what you're sending, the data is valid
phyTxData.valid <= '1';
-- Combinatorial state logic
case(r.autoNegState) is
-- Just transmit breaklink until you get a restart
when S_IDLE =>
v.txData := OS_BL_C;
v.sendIdle := '0';
v.timerCnt := (others => '0');
v.linkUp := '0';
if (rxLinkSync = '1') then
v.autoNegState := S_AUTONEG_RESTART;
end if;
-- Transmit breaklink for 10 ms
when S_AUTONEG_RESTART =>
v.sendIdle := '0';
v.txData := OS_BL_C;
v.timerCnt := r.timerCnt + 1;
if (r.timerCnt > THIS_LINK_TIMER_C) then
v.timerCnt := (others => '0');
v.autoNegState := S_ABILITY_DETECT;
end if;
-- Transmit own configuration with no ack
-- Exit when we see 3 consistent non-breaklink configs
when S_ABILITY_DETECT =>
v.sendIdle := '0';
v.txData := OS_CN_C;
if (abilityMatch = '1' and ability /= 0) then
v.autoNegState := S_ACK_DETECT;
end if;
-- Send configuration with ack bit
-- Back to start on ackMatch and not(consistMatch)
-- Success if we get ackMatch and consistencyMatch
when S_ACK_DETECT =>
v.sendIdle := '0';
v.txData := OS_CA_C;
if ( (acknowledgeMatch = '1' and consistencyMatch = '0') or
(abilityMatch = '1' and ability = 0) ) then
v.autoNegState := S_IDLE;
elsif (acknowledgeMatch = '1' and consistencyMatch = '1') then
v.autoNegState := S_COMPLETE_ACK;
end if;
-- Just send configuration with ack bit for timeout period
-- (we're not trying to do next pages [yet])
when S_COMPLETE_ACK =>
v.sendIdle := '0';
v.txData := OS_CA_C;
if (abilityMatch = '1' and ability = 0) then
v.autoNegState := S_IDLE;
end if;
if (r.timerCnt < THIS_LINK_TIMER_C) then
v.timerCnt := r.timerCnt + 1;
elsif (abilityMatch = '0' or ability /= 0) then
v.timerCnt := (others => '0');
--v.autoNegState := S_FIRST_IDLE;
v.autoNegState := S_IDLE_DETECT;
end if;
-- Send one I1 to flip disparity
when S_FIRST_IDLE =>
v.sendIdle := '1';
v.useI1 := '1';
v.autoNegState := S_IDLE_DETECT;
-- Send idles
when S_IDLE_DETECT =>
v.sendIdle := '1';
v.useI1 := '0';
if (abilityMatch = '1' and ability = 0) then
v.autoNegState := S_IDLE;
end if;
if (r.timerCnt < THIS_LINK_TIMER_C) then
v.timerCnt := r.timerCnt + 1;
elsif (idleMatch = '1') then
v.timerCnt := (others => '0');
v.autoNegState := S_LINK_UP;
end if;
when S_LINK_UP =>
v.sendIdle := '1';
v.linkUp := '1';
if (abilityMatch = '1') then
v.autoNegState := S_IDLE;
end if;
when others =>
end case;
-- If we lose sync, always go back to the start
if (rxLinkSync = '0') then
v.autoNegState := S_IDLE;
end if;
-- Check for new state condition
if (v.autoNegState /= r.autoNegState) then
v.newState := '1';
else
v.newState := '0';
end if;
-- Reset logic
if (ethRx62Rst = '1') then
v := REG_INIT_C;
end if;
-- Connections to output ports
autonegDone <= r.linkUp;
rin <= v;
end process;
seq : process (ethRx62Clk) is
begin
if (rising_edge(ethRx62Clk)) then
r <= rin after GATE_DELAY_G;
end if;
end process seq;
end rtl;
| lgpl-2.1 | be76ec422b1730df48ca62fe7b4bf0e8 | 0.496196 | 4.104222 | false | false | false | false |
SLongofono/Senior_Design_Capstone | StupidCore/debug_controller.vhd | 1 | 18,399 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10/31/2017 03:31:33 PM
-- Design Name:
-- Module Name: Debug_Controller - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library config;
use work.config.all;
entity Debug_Controller is
port (clk,RST: in STD_LOGIC;
HALT: out STD_LOGIC;
REGGIE: in regfile_arr;
PC_IN: in doubleword;
UART_RXD: in STD_LOGIC;
UART_TXD : out STD_LOGIC);
end Debug_Controller;
architecture Behavioral of Debug_Controller is
component UART_RX_CTRL is
port (UART_RX: in STD_LOGIC;
CLK: in STD_LOGIC;
DATA: out STD_LOGIC_VECTOR (7 downto 0);
READ_DATA: out STD_LOGIC;
RESET_READ: in STD_LOGIC
);
end component;
component UART_TX_CTRL is
port( SEND : in STD_LOGIC;
DATA : in STD_LOGIC_VECTOR (7 downto 0);
CLK : in STD_LOGIC;
READY : out STD_LOGIC;
UART_TX : out STD_LOGIC);
end component;
-- Types
type CHAR_ARRAY is array (integer range<>) of std_logic_vector(7 downto 0);
type UART_STATE_TYPE is (IDLE, RECEIVE, UNPAUSE, DECODE, REGISTERS, PC, STEP, STEP_HI, STEP_LO, SEND_CHAR, REGFILE, SEND_CHAR_2, SEND_CHAR_3, SEND_CHAR_4, WAIT_CHAR, KEEP_WAITING_CHAR, LD_REGISTERS_STR, RESET_LO, RESET_HI);
type BOUNDS is array (integer range<>) of integer;
-- Constants
constant MAX_STR_LEN : integer := 750;
constant MAX_REGISTER_LEN : integer := 23;
constant RESET_CNTR_MAX : std_logic_vector(17 downto 0) := "110000110101000000";-- 100,000,000 * 0.002 = 200,000 = clk cycles per 2 ms
-- Signals
signal uart_curr_state, uart_next_state : UART_STATE_TYPE := idle;
signal uartRdy, uartSend ,uartTX: std_logic;
signal uartData: std_logic_vector(7 downto 0);
signal sendStr : CHAR_ARRAY(0 to (MAX_STR_LEN - 1)) := ( others => (others => '0'));
signal reset_cntr : std_logic_vector (17 downto 0) := (others=>'0');
-- String counters
signal reggie_counter : integer := 0;
signal reggie_str_counter : integer := 12;
signal reggie_counter_counter : integer := 0;
signal strEnd, strIndex: natural := 0;
signal strConcatCtr: integer := 0;
signal pc_str_counter: integer := 0;
signal pc_reg: doubleword := (others => '0');
-- CPU halt interface
signal halt_l : std_logic := '1';
-- UART RX and TX signals
signal uart_data_in: STD_LOGIC_VECTOR(7 DOWNTO 0);
signal data_available, reset_read: STD_LOGIC;
signal rx_str : CHAR_ARRAY(30 DOWNTO 0);
signal rx_str_ctr : integer := 0;
signal d_clk: std_logic := '0';
begin
DEBUG_UART_TX: UART_TX_CTRL port map(SEND => uartSend,
DATA => uartData,
CLK => CLK,
READY => uartRdy,
UART_TX => UART_TXD );
DEBUG_UART_RX: UART_RX_CTRL
port map(
UART_RX => UART_RXD,
CLK => CLK,
DATA => uart_data_in,
READ_DATA => data_available,
RESET_READ => reset_read
);
--State Machine transition
DEBUG_FSM: process(clk, rst) begin
if(rst = '1') then
uart_curr_state <= IDLE;
elsif(rising_edge(clk)) then
uart_curr_state <= uart_next_state;
end if;
end process;
HALT <= halt_l;
-- Generate the debug clock d_clk
D_CLK_GEN: process(clk) begin
if(rising_edge(clk)) then
if(halt_l = '0') then
d_clk <= d_clk xor '1';
end if;
end if;
end process;
DEBUG_FSM_TRANSITION: process(clk, rst) begin
if(rst = '1') then
strConcatCtr <= 0;
reggie_str_counter <= 0;
reset_read <= '1';
uart_next_state <= IDLE;
strIndex <= 0;
halt_l <= '1';
elsif(rising_edge(clk)) then
case uart_curr_state is
-- State IDLE: Nothing happening
when IDLE =>
reggie_counter_counter <= 0;
reggie_str_counter <= 0;
strConcatCtr <= 0;
strEnd <= 735;
uartSend <= '0';
strIndex <= 0;
reset_read <= '0';
reggie_counter <= 0;
pc_str_counter <= 0;
uart_next_state <= IDLE;
-- Default go to IDLE
if(data_available = '1' AND uartRdy = '1' ) then -- If we have data and not outputing anything
rx_str(0) <= uart_data_in; -- Save the data
uart_next_state <= DECODE;
end if;
-- State DECODE: Decode what function the user is accessing
when DECODE =>
if(rx_str(0) = X"72") then
uart_next_state <= REGFILE;
elsif(rx_str(0) = X"73") then
uart_next_state <= STEP;
elsif(rx_str(0) = X"75") then
uart_next_state <= UNPAUSE;
elsif(rx_str(0) = X"70") then
uart_next_state <= PC;
strEnd <= 23;
pc_reg <= PC_IN;
else
uart_next_state <= IDLE;
end if;
-- State REGFILE: Print out the entire register file
-- TODO: change this to make it less crappy
-- reggie_counter indicates how many registers should be printed
-- reggie_counter_counter is the length of the string printed per register
when REGFILE =>
uart_next_state <= REGFILE;
if( reggie_counter_counter = 23) then
reggie_counter <= reggie_counter + 1;
end if;
if(reggie_counter >= 31) then
uart_next_state <= REGISTERS;
else
reggie_str_counter <= reggie_str_counter + 1;
case reggie_str_counter is
when 0 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= X"72";
reggie_counter_counter <= 0;
when 1 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(std_logic_vector(to_unsigned(reggie_counter, 4)));
reggie_counter_counter <= 1;
when 2 => if(reggie_counter = 32) then
sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(X"2");
elsif(reggie_counter > 15) then
sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(X"1");
else
sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(X"0");
end if;
reggie_counter_counter <= 2;
when 3 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= X"78";
reggie_counter_counter <= 2;
when 4 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(63 downto 60));
reggie_counter_counter <= 3;
when 5 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(59 downto 56));
reggie_counter_counter <= 4;
when 6 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(55 downto 52));
reggie_counter_counter <= 5;
when 7 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(51 downto 48));
reggie_counter_counter <= 6;
when 8 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(47 downto 44));
reggie_counter_counter <= 7;
when 9 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(43 downto 40));
reggie_counter_counter <= 8;
when 10 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(39 downto 36));
reggie_counter_counter <= 9;
when 11 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(35 downto 32));
reggie_counter_counter <= 10;
when 12 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(31 downto 28));
reggie_counter_counter <= 11;
when 13 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(27 downto 24));
reggie_counter_counter <= 12;
when 14 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(23 downto 20));
reggie_counter_counter <= 13;
when 15 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(19 downto 16));
reggie_counter_counter <= 14;
when 16 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(15 downto 12));
reggie_counter_counter <= 15;
when 17 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(11 downto 8));
reggie_counter_counter <= 16;
when 18 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(7 downto 4));
reggie_counter_counter <= 17;
when 19 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= HEX_TO_ASCII(reggie(reggie_counter)(3 downto 0));
reggie_counter_counter <= 18;
when 20 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= X"20";
reggie_counter_counter <= 19;
when 21 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= X"0A";
reggie_counter_counter <= 20;
when 22 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= X"0A";
reggie_counter_counter <= 21;
when 23 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= X"0A";
reggie_counter_counter <= 22;
when 24 => sendStr(reggie_counter * MAX_REGISTER_LEN + reggie_str_counter) <= X"0A";
reggie_counter_counter <= 23;
reggie_str_counter <= 0;
when others => sendStr(24) <= X"20";
end case;
end if;
when PC =>
uart_next_state <= PC;
if(pc_str_counter > 21) then
uart_next_state <= SEND_CHAR;
else
pc_str_counter <= pc_str_counter + 1;
case pc_str_counter is
when 1 => sendStr(pc_str_counter) <= X"50";
when 2 => sendStr(pc_str_counter) <= X"43";
when 3 => sendStr(pc_str_counter) <= X"3A";
when 4 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(63 downto 60));
when 5 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(59 downto 56));
when 6 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(55 downto 52));
when 7 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(51 downto 48));
when 8 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(47 downto 44));
when 9 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(43 downto 40));
when 10 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(39 downto 36));
when 11 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(35 downto 32));
when 12 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(31 downto 28));
when 13 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(27 downto 24));
when 14 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(23 downto 20));
when 15 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(19 downto 16));
when 16 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(15 downto 12));
when 17 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(11 downto 8));
when 18 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(7 downto 4));
when 19 => sendStr(pc_str_counter) <= HEX_TO_ASCII(PC_reg(3 downto 0));
when 20 => sendStr(pc_str_counter) <= X"20";
when 21 => sendStr(pc_str_counter) <= X"0A";
when 22 => sendStr(pc_str_counter) <= X"0A";
when 23 => sendStr(pc_str_counter) <= X"0A";
when 24 => sendStr(pc_str_counter) <= X"0A";
when others => sendStr(24) <= X"20";
end case;
end if;
-- State STEP: Step one clock cycle
-- halt_l is 0, allows the CPU to continue for one clock cycle
when STEP =>
halt_l <= '0';
uart_next_state <= STEP_HI;
-- State STEP_HI: One step done
-- halt_l is 1, halts the processor
when STEP_HI =>
halt_l <= '1';
uart_next_state <= STEP_LO;
-- State STEP_LO: One step done
-- If the user wants to skip 2 clock cycles instead of one,
-- STEP_HI can set halt_l to 0 and STEP_LO can be set to 1
-- This can be
when STEP_LO =>
halt_l <= '1';
uart_next_state <= RESET_LO;
-- State REGISTERS: Once the strings are prepared, send the characters
when REGISTERS =>
uart_next_state <= SEND_CHAR;
-- State SEND_CHAR: Tell the UART controller to print things
when SEND_CHAR =>
strIndex <= strIndex + 1;
uartSend <= '1';
uartData <= sendStr(strIndex);
uart_next_state <= WAIT_CHAR;
-- State WAIT_CHAR: Checks if the entirety of the string
-- has been sent
when WAIT_CHAR =>
uart_next_state <= WAIT_CHAR;
if(strEnd <= strIndex) then
uart_next_state <= RESET_LO;
elsif(uartRdy = '1') then
uart_next_state <= SEND_CHAR;
end if;
-- State RESET_LO: Resets the RX_UART to flush whatever it
-- had as an input to prepare for the next function
when RESET_LO =>
reset_read <= '1';
uart_next_state <= RESET_HI;
-- State RESET_HI:
when RESET_HI =>
reset_read <= '0';
uart_next_state <= IDLE;
-- State UNPAUSE: Lifts the halt_l, allowing the CPU to run normally
when UNPAUSE =>
halt_l <= '0';
uart_next_state <= RESET_LO;
when OTHERS =>
uart_next_state <= IDLE;
end case;
end if;
end process;
end Behavioral;
| mit | 6ab137bfd5156fe68513ffb90eb1008a | 0.454318 | 4.547454 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/General/rtl/EthTx.vhd | 1 | 6,095 | -------------------------------------------------------------------------------
-- Title : Ethernet Lane
-- Project : General Purpose Core
-------------------------------------------------------------------------------
-- File : EthTx.vhd
-- Author : Kurtis Nishimura
-------------------------------------------------------------------------------
-- Description:
-- Ethernet interface TX
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
use work.UtilityPkg.all;
use work.Eth1000BaseXPkg.all;
use work.GigabitEthPkg.all;
entity EthTx is
generic (
GATE_DELAY_G : time := 1 ns
);
port (
-- 125 MHz clock and reset
ethClk : in sl;
ethRst : in sl;
-- Addressing
macAddr : in MacAddrType := MAC_ADDR_DEFAULT_C;
-- Connection to GT
macData : out EthMacDataType;
-- Connection to upper level ARP
arpTxSenderMac : in MacAddrType;
arpTxSenderIp : in IpAddrType;
arpTxTargetMac : in MacAddrType;
arpTxTargetIp : in IpAddrType;
arpTxOp : in slv(15 downto 0);
arpTxReq : in sl;
arpTxAck : out sl;
-- Connection to IPv4 interface
ipTxDestMac : in MacAddrType;
ipTxData : in slv(7 downto 0);
ipTxDataValid : in sl;
ipTxDataLastByte : in sl;
ipTxDataReady : out sl
);
end EthTx;
architecture Behavioral of EthTx is
-- Communication between MAC and Ethernet framer
signal macTxData : slv(7 downto 0);
signal macTxDataValid : sl;
signal macTxDataLastByte : sl;
signal macTxDataReady : sl;
-- Communication between Ethernet framer and higher level protocols
signal ethTxEtherType : EtherType;
signal ethTxData : slv(7 downto 0);
signal ethTxDataValid : sl;
signal ethTxDataLastByte : sl;
signal ethTxDataReady : sl;
-- Local connection to ARP interface
signal arpTxData : slv(7 downto 0);
signal arpTxDataValid : sl;
signal arpTxDataLastByte : sl;
signal arpTxDataReady : sl;
signal iArpTxAck : sl;
--
signal ethTxDestMac : MacAddrType;
begin
-- Transmit data from Tx
U_MacTx : entity work.Eth1000BaseXMacTx
generic map (
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- 125 MHz ethernet clock in
ethTxClk => ethClk,
ethTxRst => ethRst,
-- User data to be sent
userDataIn => macTxData,
userDataValid => macTxDataValid,
userDataLastByte => macTxDataLastByte,
userDataReady => macTxDataReady,
-- Data out to the GT
macDataOut => macData
);
-- Ethernet Type II Frame Transmitter
U_EthFrameTx : entity work.EthFrameTx
generic map (
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- 125 MHz ethernet clock in
ethTxClk => ethClk,
ethTxRst => ethRst,
-- Data for the header
ethTxDestMac => ethTxDestMac,
ethTxSrcMac => macAddr,
ethTxEtherType => ethTxEtherType,
-- User data to be sent
ethTxDataIn => ethTxData,
ethTxDataValid => ethTxDataValid,
ethTxDataLastByte => ethTxDataLastByte,
ethTxDataReady => ethTxDataReady,
-- Data output
macTxDataOut => macTxData,
macTxDataValid => macTxDataValid,
macTxDataLastByte => macTxDataLastByte,
macTxDataReady => macTxDataReady
);
-- ARP Packet Transmitter
U_ArpPacketTx : entity work.ArpPacketTx
generic map (
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- 125 MHz ethernet clock in
ethTxClk => ethClk,
ethTxRst => ethRst,
-- Data to send
arpSenderMac => arpTxSenderMac,
arpSenderIp => arpTxSenderIp,
arpTargetMac => arpTxTargetMac,
arpTargetIp => arpTxTargetIp,
arpOp => arpTxOp,
arpReq => arpTxReq,
arpAck => iArpTxAck,
-- User data to be sent
ethTxData => arpTxData,
ethTxDataValid => arpTxDataValid,
ethTxDataLastByte => arpTxDataLastByte,
ethTxDataReady => arpTxDataReady
);
-- Arbiter to MUX between ARP requests and IP data
U_ArpIpArbiter : entity work.ArpIpArbiter
generic map (
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- 125 MHz ethernet clock in
ethTxClk => ethClk,
ethTxRst => ethRst,
-- ARP request/ack, data interface
arpTxReq => arpTxReq,
arpTxAck => iArpTxAck,
arpTxData => arpTxData,
arpTxDataValid => arpTxDataValid,
arpTxDataLastByte => arpTxDataLastByte,
arpTxDataReady => arpTxDataReady,
-- IPv4 data interface
ipTxData => ipTxData,
ipTxDataValid => ipTxDataValid,
ipTxDataLastByte => ipTxDataLastByte,
ipTxDataReady => ipTxDataReady,
-- Output MUXed data
ethTxEtherType => ethTxEtherType,
ethTxData => ethTxData,
ethTxDataValid => ethTxDataValid,
ethTxDataLastByte => ethTxDataLastByte,
ethTxDataReady => ethTxDataReady
);
arpTxAck <= iArpTxAck;
process(ethClk) begin
if rising_edge(ethClk) then
if ethRst = '1' then
ethTxDestMac <= MAC_ADDR_INIT_C;
elsif arpTxDataValid = '1' then
ethTxDestMac <= arpTxTargetMac;
elsif ipTxDestMac /= MAC_ADDR_INIT_C then
ethTxDestMac <= ipTxDestMac;
end if;
end if;
end process;
end Behavioral;
| lgpl-2.1 | 34cacf215f7b50b454d8614274f11eb9 | 0.529943 | 5.389036 | false | false | false | false |
PsiStarPsi/firmware-ethernet | Ethernet/1000BASE-X/rtl/Eth1000BaseXCore.vhd | 1 | 6,933 | -------------------------------------------------------------------------------
-- Title : Ethernet Interface
-- Project : General Purpose Core
-------------------------------------------------------------------------------
-- File : Eth1000BaseXCore.vhd
-- Author : Kurtis Nishimura
-------------------------------------------------------------------------------
-- Description:
-- Ethernet interface
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
use work.UtilityPkg.all;
use work.Eth1000BaseXPkg.all;
use work.GigabitEthPkg.all;
entity Eth1000BaseXCore is
generic (
NUM_IP_G : integer := 1;
MTU_SIZE_G : integer := 1500;
LITTLE_ENDIAN_G : boolean := true;
EN_AUTONEG_G : boolean := true;
SIM_SPEEDUP_G : boolean := false;
GATE_DELAY_G : time := 1 ns
);
port (
-- 125 MHz clock and reset
eth125Clk : in sl;
eth125Rst : in sl;
-- 62 MHz clock and reset
eth62Clk : in sl;
eth62Rst : in sl;
-- Addressing
macAddr : in MacAddrType := MAC_ADDR_DEFAULT_C;
ipAddrs : in IpAddrArray(NUM_IP_G-1 downto 0) := (others => IP_ADDR_DEFAULT_C);
udpPorts : in Word16Array(NUM_IP_G-1 downto 0) := (others => (others => '0'));
-- Data to/from GT
phyRxData : in EthRxPhyLaneInType;
phyTxData : out EthTxPhyLaneOutType;
-- Status signals
statusSync : out sl;
statusAutoNeg : out sl;
statusArpDone : out slv(NUM_IP_G-1 downto 0);
-- User clock and reset
userClk : in sl;
userRst : in sl;
-- User data interfaces
userTxData : in Word32Array(NUM_IP_G-1 downto 0);
userTxDataValid : in slv(NUM_IP_G-1 downto 0);
userTxDataLast : in slv(NUM_IP_G-1 downto 0);
userTxDataReady : out slv(NUM_IP_G-1 downto 0);
userRxData : out Word32Array(NUM_IP_G-1 downto 0);
userRxDataValid : out slv(NUM_IP_G-1 downto 0);
userRxDataLast : out slv(NUM_IP_G-1 downto 0);
userRxDataReady : in slv(NUM_IP_G-1 downto 0)
);
end Eth1000BaseXCore;
architecture Behavioral of Eth1000BaseXCore is
signal macTxData : EthMacDataType;
signal macRxData : EthMacDataType;
signal anPhyTxData : EthTxPhyLaneOutType;
signal ethPhyTxData : EthTxPhyLaneOutType;
signal autonegDone : sl;
signal linkSynced : sl;
begin
statusSync <= linkSynced;
statusAutoNeg <= autonegDone when EN_AUTONEG_G = true else '1';
-----------------------------
-- Core Ethernet Interface --
-----------------------------
U_EthCore : entity work.EthCore
generic map (
NUM_IP_G => NUM_IP_G,
MTU_SIZE_G => MTU_SIZE_G,
LITTLE_ENDIAN_G => LITTLE_ENDIAN_G,
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- 125 MHz clock and reset
ethClk => eth125Clk,
ethRst => eth125Rst,
-- Addressing
macAddr => macAddr,
ipAddrs => ipAddrs,
udpPorts => udpPorts,
-- Connection to physical interface (GT or otherwise)
macTxData => macTxData,
macRxData => macRxData,
-- User clock and reset
userClk => userClk,
userRst => userRst,
-- Connection to user logic
userTxData => userTxData,
userTxDataValid => userTxDataValid,
userTxDataLast => userTxDataLast,
userTxDataReady => userTxDataReady,
userRxData => userRxData,
userRxDataValid => userRxDataValid,
userRxDataLast => userRxDataLast,
userRxDataReady => userRxDataReady
);
-----------------------------
-- Width translation --
-----------------------------
-- TX data width translation
U_Mux8to16 : entity work.Eth1000BaseX8To16Mux
generic map (
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- Clocking to deal with the GT data out (62.5 MHz)
eth62Clk => eth62Clk,
eth62Rst => eth62Rst,
-- 125 MHz clock for 8 bit inputs
eth125Clk => eth125Clk,
eth125Rst => eth125Rst,
-- PHY (16 bit) data interface out
ethPhyDataOut => ethPhyTxData,
-- MAC (8 bit) data interface out
ethMacDataIn => macTxData
);
-- RX data width translation
U_Mux16to8 : entity work.Eth1000BaseX16To8Mux
generic map (
GATE_DELAY_G => GATE_DELAY_G
)
port map (
-- Clocking to deal with the GT data out (62.5 MHz)
eth62Clk => eth62Clk,
eth62Rst => eth62Rst,
-- 125 MHz clock for 8 bit inputs
eth125Clk => eth125Clk,
eth125Rst => eth125Rst,
-- PHY (16 bit) data interface
ethPhyDataIn => phyRxData,
-- MAC (8 bit) data interface
ethMacDataOut => macRxData
);
--------------------------
-- Link synchronization --
--------------------------
U_LinkSync : entity work.Eth1000BaseXRxSync
generic map (
GATE_DELAY_G => GATE_DELAY_G,
PIPE_STAGES_G => 2
)
port map (
-- GT user clock and reset (62.5 MHz)
ethRx62Clk => eth62Clk,
ethRx62Rst => eth62Rst,
-- Local side has synchronization
rxLinkSync => linkSynced,
-- Incoming data from GT
phyRxData => phyRxData
);
---------------------
-- Autonegotiation --
---------------------
U_AutoNeg : entity work.Eth1000BaseXAutoNeg
generic map (
GATE_DELAY_G => GATE_DELAY_G,
PIPE_STAGES_G => 2,
SIM_SPEEDUP_G => SIM_SPEEDUP_G
)
port map (
-- GT user clock and reset (62.5 MHz)
ethRx62Clk => eth62Clk,
ethRx62Rst => eth62Rst,
-- Autonegotiation is done
autonegDone => autonegDone,
-- Link is synchronized
rxLinkSync => linkSynced,
-- Physical Interface Signals
phyRxData => phyRxData,
phyTxData => anPhyTxData
);
----------------------------------------------------------------
-- Multiplex data source between autonegotiation and MAC data --
----------------------------------------------------------------
process(eth62Clk)
begin
if rising_edge(eth62Clk) then
if (autonegDone = '1' and ethPhyTxData.valid = '1') or (EN_AUTONEG_G = false) then
phyTxData <= ethPhyTxData after GATE_DELAY_G;
else
phyTxData <= anPhyTxData after GATE_DELAY_G;
end if;
end if;
end process;
end Behavioral;
| lgpl-2.1 | f74239195ea3d2fe1fe6ad6b02aecaa8 | 0.504255 | 4.555191 | false | false | false | false |
schelleg/pynq_tutorial | Pynq-Z1/vivado/pynq_tutorial/ip/trace_cntrl_1_2/hdl/vhdl/trace_cntrl_trace_cntrl_s_axi.vhd | 4 | 15,361 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2016.1
-- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity trace_cntrl_trace_cntrl_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
interrupt :out STD_LOGIC;
-- user signals
ap_start :out STD_LOGIC;
ap_done :in STD_LOGIC;
ap_ready :in STD_LOGIC;
ap_idle :in STD_LOGIC;
data_compare_V :out STD_LOGIC_VECTOR(63 downto 0);
length_r :out STD_LOGIC_VECTOR(31 downto 0);
sample_rate :out STD_LOGIC_VECTOR(31 downto 0)
);
end entity trace_cntrl_trace_cntrl_s_axi;
-- ------------------------Address Info-------------------
-- 0x00 : Control signals
-- bit 0 - ap_start (Read/Write/COH)
-- bit 1 - ap_done (Read/COR)
-- bit 2 - ap_idle (Read)
-- bit 3 - ap_ready (Read)
-- bit 7 - auto_restart (Read/Write)
-- others - reserved
-- 0x04 : Global Interrupt Enable Register
-- bit 0 - Global Interrupt Enable (Read/Write)
-- others - reserved
-- 0x08 : IP Interrupt Enable Register (Read/Write)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x0c : IP Interrupt Status Register (Read/TOW)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x10 : Data signal of data_compare_V
-- bit 31~0 - data_compare_V[31:0] (Read/Write)
-- 0x14 : Data signal of data_compare_V
-- bit 31~0 - data_compare_V[63:32] (Read/Write)
-- 0x18 : reserved
-- 0x1c : Data signal of length_r
-- bit 31~0 - length_r[31:0] (Read/Write)
-- 0x20 : reserved
-- 0x24 : Data signal of sample_rate
-- bit 31~0 - sample_rate[31:0] (Read/Write)
-- 0x28 : reserved
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of trace_cntrl_trace_cntrl_s_axi is
type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states
signal wstate, wnext, rstate, rnext: states;
constant ADDR_AP_CTRL : INTEGER := 16#00#;
constant ADDR_GIE : INTEGER := 16#04#;
constant ADDR_IER : INTEGER := 16#08#;
constant ADDR_ISR : INTEGER := 16#0c#;
constant ADDR_DATA_COMPARE_V_DATA_0 : INTEGER := 16#10#;
constant ADDR_DATA_COMPARE_V_DATA_1 : INTEGER := 16#14#;
constant ADDR_DATA_COMPARE_V_CTRL : INTEGER := 16#18#;
constant ADDR_LENGTH_R_DATA_0 : INTEGER := 16#1c#;
constant ADDR_LENGTH_R_CTRL : INTEGER := 16#20#;
constant ADDR_SAMPLE_RATE_DATA_0 : INTEGER := 16#24#;
constant ADDR_SAMPLE_RATE_CTRL : INTEGER := 16#28#;
constant ADDR_BITS : INTEGER := 6;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- internal registers
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_done : STD_LOGIC;
signal int_ap_start : STD_LOGIC;
signal int_auto_restart : STD_LOGIC;
signal int_gie : STD_LOGIC;
signal int_ier : UNSIGNED(1 downto 0);
signal int_isr : UNSIGNED(1 downto 0);
signal int_data_compare_V : UNSIGNED(63 downto 0);
signal int_length_r : UNSIGNED(31 downto 0);
signal int_sample_rate : UNSIGNED(31 downto 0);
begin
-- ----------------------- Instantiation------------------
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wridle;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdidle;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (ar_hs = '1') then
case (TO_INTEGER(raddr)) is
when ADDR_AP_CTRL =>
rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0');
when ADDR_GIE =>
rdata_data <= (0 => int_gie, others => '0');
when ADDR_IER =>
rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0');
when ADDR_ISR =>
rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0');
when ADDR_DATA_COMPARE_V_DATA_0 =>
rdata_data <= RESIZE(int_data_compare_V(31 downto 0), 32);
when ADDR_DATA_COMPARE_V_DATA_1 =>
rdata_data <= RESIZE(int_data_compare_V(63 downto 32), 32);
when ADDR_LENGTH_R_DATA_0 =>
rdata_data <= RESIZE(int_length_r(31 downto 0), 32);
when ADDR_SAMPLE_RATE_DATA_0 =>
rdata_data <= RESIZE(int_sample_rate(31 downto 0), 32);
when others =>
rdata_data <= (others => '0');
end case;
end if;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
interrupt <= int_gie and (int_isr(0) or int_isr(1));
ap_start <= int_ap_start;
int_ap_idle <= ap_idle;
int_ap_ready <= ap_ready;
data_compare_V <= STD_LOGIC_VECTOR(int_data_compare_V);
length_r <= STD_LOGIC_VECTOR(int_length_r);
sample_rate <= STD_LOGIC_VECTOR(int_sample_rate);
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_start <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_ap_start <= '1';
elsif (int_ap_ready = '1') then
int_ap_start <= int_auto_restart; -- clear on handshake/auto restart
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_done <= '0';
elsif (ACLK_EN = '1') then
if (ap_done = '1') then
int_ap_done <= '1';
elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then
int_ap_done <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_auto_restart <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then
int_auto_restart <= WDATA(7);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_gie <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then
int_gie <= WDATA(0);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ier <= "00";
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then
int_ier <= UNSIGNED(WDATA(1 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(0) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(0) = '1' and ap_done = '1') then
int_isr(0) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(1) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(1) = '1' and ap_ready = '1') then
int_isr(1) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_DATA_COMPARE_V_DATA_0) then
int_data_compare_V(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_data_compare_V(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_DATA_COMPARE_V_DATA_1) then
int_data_compare_V(63 downto 32) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_data_compare_V(63 downto 32));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_LENGTH_R_DATA_0) then
int_length_r(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_length_r(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_SAMPLE_RATE_DATA_0) then
int_sample_rate(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_sample_rate(31 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- Memory logic ------------------
end architecture behave;
| bsd-3-clause | c6532b21250696bbe24e662e084891e1 | 0.46553 | 3.754828 | false | false | false | false |
wltr/cern-onewire-idtemp | src/rtl/onewire_dongle_top.vhd | 1 | 9,240 | -------------------------------------------------------------------------------
--! @file onewire_dongle_top.vhd
--! @author Johannes Walter <[email protected]>
--! @copyright LGPL v2.1
--! @brief 1-wire ID and temperature sensor USB dongle.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library proasic3;
use proasic3.all;
--! @brief Entity declaration of onewire_dongle_top
--! @details
--! When push-button is pressed, read 1-wire bus and transmit all values over
--! UART at 115200 baud.
entity onewire_dongle_top is
port (
--! @name Clock and resets
--! @{
--! System clock
clk_pad_i : in std_ulogic;
--! Asynchronous active-low reset
rst_asy_n_i : in std_ulogic;
--! @}
--! @name Push-button and LEDs
--! @{
--! Push-button (active-low)
pb_n_i : in std_ulogic;
--! LEDs
leds_o : out std_ulogic_vector(2 downto 0);
--! @}
--! @name UART
--! @{
--! Receive signal
uart_rx_i : in std_ulogic;
--! Transmit signal
uart_tx_o : out std_ulogic;
--! @}
--! @name 1-wire bus
--! @{
--! Receive signal
ow_rx_i : in std_ulogic;
--! Transmit signal
ow_tx_o : out std_ulogic;
--! Strong pull-up
ow_spup_o : out std_ulogic);
--! @}
end entity onewire_dongle_top;
--! RTL implementation of onewire_dongle_top
architecture rtl of onewire_dongle_top is
-----------------------------------------------------------------------------
--! @name Components
-----------------------------------------------------------------------------
--! @{
-- Input buffer to force INBUF on clock (can't use pin 10 otherwise)
component INBUF_LVCMOS33
port (
PAD : in std_logic;
Y : out std_logic);
end component;
--! @}
-----------------------------------------------------------------------------
--! @name Types and Constants
-----------------------------------------------------------------------------
--! @{
type state_t is (IDLE, FULL_RUN, GET_DATA, DATA);
type reg_t is record
state : state_t;
rd : std_ulogic;
addr : unsigned(4 downto 0);
end record;
constant init_c : reg_t := (
state => IDLE,
rd => '0',
addr => "00000");
--! @}
-----------------------------------------------------------------------------
--! @name Internal Registers
-----------------------------------------------------------------------------
--! @{
signal reg : reg_t;
--! @}
-----------------------------------------------------------------------------
--! @name Internal Wires
-----------------------------------------------------------------------------
--! @{
signal clk_i : std_ulogic;
signal rst_n : std_ulogic;
signal pb_n : std_ulogic;
signal ow_rx : std_ulogic;
signal uart_rx : std_ulogic;
signal ow_discover : std_ulogic;
signal ow_get_temp : std_ulogic;
signal ow_busy : std_ulogic;
signal ow_done : std_ulogic;
signal ow_device_count : std_ulogic_vector(4 downto 0);
signal ow_error_too_many : std_ulogic;
signal ow_rd_addr : std_ulogic_vector(4 downto 0);
signal ow_rd_en : std_ulogic;
signal ow_rd_data : std_ulogic_vector(63 downto 0);
signal ow_rd_data_en : std_ulogic;
signal tx_done : std_ulogic;
signal uart_data : std_ulogic_vector(7 downto 0);
signal uart_data_en : std_ulogic;
signal uart_done : std_ulogic;
signal uart_cmd : std_ulogic_vector(7 downto 0);
signal uart_cmd_en : std_ulogic;
signal trigger_discover : std_ulogic;
signal trigger_get_temp : std_ulogic;
signal nxt_reg : reg_t;
--! @}
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Outputs
-----------------------------------------------------------------------------
leds_o(0) <= ow_busy;
leds_o(1) <= '0' when ow_device_count = "00000" else '1';
leds_o(2) <= ow_error_too_many;
-----------------------------------------------------------------------------
-- Signal Assignments
-----------------------------------------------------------------------------
trigger_discover <= '1' when uart_cmd = x"01" and uart_cmd_en = '1' else '0';
trigger_get_temp <= '1' when uart_cmd = x"10" and uart_cmd_en = '1' else '0';
-----------------------------------------------------------------------------
-- Instantiations
-----------------------------------------------------------------------------
-- Input buffer to force INBUF on clock (can't use pin 10 otherwise)
INBUF_inst : INBUF_LVCMOS33
port map (
PAD => clk_pad_i,
Y => clk_i);
reset_gen_inst : entity work.reset_generator
port map (
clk_i => clk_i,
rst_asy_i => rst_asy_n_i,
rst_o => rst_n);
ext_inputs_inst : entity work.external_inputs
generic map (
init_value_g => '1',
num_inputs_g => 3,
filter_g => false)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
sig_i(0) => pb_n_i,
sig_i(1) => ow_rx_i,
sig_i(2) => uart_rx_i,
sig_o(0) => pb_n,
sig_o(1) => ow_rx,
sig_o(2) => uart_rx);
onewire_idtemp_inst : entity work.onewire_idtemp
generic map (
clk_frequency_g => 40e6,
max_devices_g => 16,
invert_bus_g => true,
invert_pullup_g => true)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
discover_i => ow_discover,
get_temp_i => ow_get_temp,
busy_o => ow_busy,
done_o => ow_done,
device_count_o => ow_device_count,
error_too_many_o => ow_error_too_many,
rd_addr_i => ow_rd_addr,
rd_en_i => ow_rd_en,
rd_data_o => ow_rd_data,
rd_data_en_o => ow_rd_data_en,
rd_busy_o => open,
strong_pullup_o => ow_spup_o,
rx_i => ow_rx,
tx_o => ow_tx_o);
array_tx_inst : entity work.array_tx
generic map (
data_count_g => 8,
data_width_g => 8)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
data_i => ow_rd_data,
data_en_i => ow_rd_data_en,
busy_o => open,
done_o => tx_done,
tx_data_o => uart_data,
tx_data_en_o => uart_data_en,
tx_done_i => uart_done);
uart_tx_inst : entity work.uart_tx
generic map (
data_width_g => 8,
parity_g => 0,
stop_bits_g => 1,
num_ticks_g => 347)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
data_i => uart_data,
data_en_i => uart_data_en,
busy_o => open,
done_o => uart_done,
tx_o => uart_tx_o);
uart_rx_inst : entity work.uart_rx
generic map (
data_width_g => 8,
parity_g => 0,
stop_bits_g => 1,
num_ticks_g => 347)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
rx_i => uart_rx,
data_o => uart_cmd,
data_en_o => uart_cmd_en,
error_o => open);
-----------------------------------------------------------------------------
-- Registers
-----------------------------------------------------------------------------
regs : process (clk_i, rst_n) is
procedure reset is
begin
reg <= init_c;
end procedure reset;
begin -- process regs
if rst_n = '0' then
reset;
elsif rising_edge(clk_i) then
reg <= nxt_reg;
end if;
end process regs;
-----------------------------------------------------------------------------
-- Combinatorics
-----------------------------------------------------------------------------
comb : process (reg, pb_n, ow_busy, ow_done, tx_done, trigger_discover,
trigger_get_temp) is
begin -- process comb
-- Defaults
nxt_reg <= reg;
nxt_reg.rd <= '0';
ow_discover <= '0';
ow_get_temp <= '0';
ow_rd_addr <= std_ulogic_vector(reg.addr);
ow_rd_en <= reg.rd;
case reg.state is
when IDLE =>
if pb_n = '0' and ow_busy = '0' then
ow_discover <= '1';
nxt_reg.state <= FULL_RUN;
elsif trigger_discover = '1' and ow_busy = '0' then
ow_discover <= '1';
nxt_reg.state <= GET_DATA;
elsif trigger_get_temp = '1' and ow_busy = '0' then
ow_get_temp <= '1';
nxt_reg.state <= GET_DATA;
end if;
when FULL_RUN =>
if ow_done = '1' then
ow_get_temp <= '1';
nxt_reg.state <= GET_DATA;
end if;
when GET_DATA =>
if ow_done = '1' then
nxt_reg.rd <= '1';
nxt_reg.state <= DATA;
end if;
when DATA =>
if tx_done = '1' then
if reg.addr = "11111" then
nxt_reg <= init_c;
else
nxt_reg.addr <= reg.addr + 1;
nxt_reg.rd <= '1';
end if;
end if;
end case;
end process comb;
end architecture rtl;
| lgpl-2.1 | 448092e52d3a936ade6a735f6ecf7020 | 0.432792 | 3.666667 | false | false | false | false |
satputeaditya/vernier-ring-oscillator-tdc | counter.vhd | 1 | 1,589 | -- counter.vhd
--**********************************************************************
-- Program to detect if STOP pulse is leading and lagging edge wrt START
--**********************************************************************
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.std_logic_unsigned.all ;
use ieee.std_logic_arith.all ;
entity counter is
port (
rst : in std_logic;
slow_clk : in std_logic;
fast_clk : in std_logic;
latch : in std_logic;
count : out std_logic_Vector(15 downto 0):=x"0000"
);
end entity;
architecture behave of counter is
signal slow_counter: std_logic_vector(15 downto 0):=x"0000";
signal fast_counter: std_logic_vector(15 downto 0):=x"0000";
begin
process(rst,slow_clk,fast_clk,latch)
begin
-- PROCESS 1 : SLOW COUNTER
if rst = '1' then
slow_counter <= (others =>'0');
elsif slow_clk'event and slow_clk = '1' then
if latch = '0' then
slow_counter <= slow_counter + '1';
else
slow_counter <= slow_counter;
end if;
end if;
-- PROCESS 2 : FAST COUNTER
if rst = '1' then
fast_counter <= (others =>'0');
elsif fast_clk'event and fast_clk = '1' then
if latch = '0' then
fast_counter <= fast_counter + '1';
else
fast_counter <= fast_counter;
end if;
end if;
-- PROCESS 3 : COUNTER LATCHING
if rst = '1' then
count <= (others =>'0');
elsif latch'event and latch = '1' then
count <= (slow_counter-fast_counter);
end if;
end process;
end behave; | mit | 7a14549e54fe4ac591d0ad92cb78fd80 | 0.539333 | 3.317328 | false | false | false | false |
SLongofono/Senior_Design_Capstone | OLD_CORE/testbench/tb_decoder.vhd | 1 | 9,447 | ----------------------------------------------------------------------------------
-- Engineer: Longofono
--
-- Create Date: 11/13/2017 11:22:26 AM
-- Module Name: tb_decoder - Behavioral
-- Description: Test bench for decoder
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library config;
use work.config.all;
entity tb_decoder is
-- Port ( );
end tb_decoder;
architecture Behavioral of tb_decoder is
-- Components
component decode is
Port(
instr : in std_logic_vector(63 downto 0);
instr_code : out instr_t;
funct3 : out funct3_t;
funct6 : out funct6_t;
funct7 : out funct7_t;
imm12 : out std_logic_vector(11 downto 0); -- I, B, and S Immediates
imm20 : out std_logic_vector(19 downto 0); -- U and J Immediates
opcode : out opcode_t;
rs1 : out reg_t;
rs2 : out reg_t;
rs3 : out reg_t;
rd : out reg_t;
shamt : out std_logic_vector(4 downto 0);
csr : out std_logic_vector(31 downto 20)
);
end component;
-- Types for input
type test_input is array (0 to 163) of std_logic_vector(31 downto 0);
constant t_per: time := 1ns;
constant z_vec: std_logic_vector(31 downto 0) := (others => '0');
-- Signals
signal clk: std_logic := '0';
signal rst: std_logic := '1';
signal bin: std_logic_vector(63 downto 0) := (others => '0');
signal bin32: std_logic_vector(31 downto 0);
signal s_instr: instr_t;
signal s_funct3 : funct3_t;
signal s_funct6 : funct6_t;
signal s_funct7 : funct7_t;
signal s_imm12 : std_logic_vector(11 downto 0);
signal s_imm20 : std_logic_vector(19 downto 0);
signal s_opcode : opcode_t;
signal s_rs1 : reg_t;
signal s_rs2 : reg_t;
signal s_rs3 : reg_t;
signal s_rd : reg_t;
signal s_shamt : std_logic_vector(4 downto 0);
signal s_csr : std_logic_vector(31 downto 20);
signal inputs : test_input :=
(
"00000000000000000000000000110111",
"00000000000000000000000000010111",
"00000000000000000000000001101111",
"00000000000000000000000001100111",
"00000000000000000000000001100011",
"00000000000000000001000001100011",
"00000000000000000100000001100011",
"00000000000000000101000001100011",
"00000000000000000110000001100011",
"00000000000000000111000001100011",
"00000000000000000000000000000011",
"00000000000000000001000000000011",
"00000000000000000010000000000011",
"00000000000000000100000000000011",
"00000000000000000101000000000011",
"00000000000000000000000000100011",
"00000000000000000001000000100011",
"00000000000000000010000000100011",
"00000000000000000000000000010011",
"00000000000000000010000000010011",
"00000000000000000011000000010011",
"00000000000000000100000000010011",
"00000000000000000110000000010011",
"00000000000000000111000000010011",
"00000000000000000001000000010011",
"00000000000000000101000000010011",
"01000000000000000101000000010011",
"00000000000000000000000000110011",
"01000000000000000000000000110011",
"00000000000000000001000000110011",
"00000000000000000010000000110011",
"00000000000000000011000000110011",
"00000000000000000100000000110011",
"00000000000000000101000000110011",
"01000000000000000101000000110011",
"00000000000000000110000000110011",
"00000000000000000111000000110011",
"00000000000000000000000000001111",
"00000000000000000001000000001111",
"00000000000000000000000001110011",
"00000000000100000000000001110011",
"00000000000000000001000001110011",
"00000000000000000010000001110011",
"00000000000000000011000001110011",
"00000000000000000101000001110011",
"00000000000000000110000001110011",
"00000000000000000111000001110011",
"00000000000000000110000000000011",
"00000000000000000011000000000011",
"00000000000000000011000000100011",
"00000000000000000001000000010011",
"00000000000000000101000000010011",
"01000000000000000101000000010011",
"00000000000000000000000000011011",
"00000000000000000001000000011011",
"00000000000000000101000000011011",
"01000000000000000101000000011011",
"00000000000000000000000000111011",
"01000000000000000000000000111011",
"00000000000000000001000000111011",
"00000000000000000101000000111011",
"01000000000000000101000000111011",
"00000010000000000000000000110011",
"00000010000000000001000000110011",
"00000010000000000010000000110011",
"00000010000000000011000000110011",
"00000010000000000100000000110011",
"00000010000000000101000000110011",
"00000010000000000110000000110011",
"00000010000000000111000000110011",
"00000010000000000000000000111011",
"00000010000000000100000000111011",
"00000010000000000101000000111011",
"00000010000000000110000000111011",
"00000010000000000111000000111011",
"00010000000000000010000000101111",
"00011000000000000010000000101111",
"00001000000000000010000000101111",
"00000000000000000010000000101111",
"00100000000000000010000000101111",
"01100000000000000010000000101111",
"01000000000000000010000000101111",
"10000000000000000010000000101111",
"10100000000000000010000000101111",
"11000000000000000010000000101111",
"11100000000000000010000000101111",
"00010000000000000011000000101111",
"00011000000000000011000000101111",
"00001000000000000011000000101111",
"00000000000000000011000000101111",
"00100000000000000011000000101111",
"01100000000000000011000000101111",
"01000000000000000011000000101111",
"10000000000000000011000000101111",
"10100000000000000011000000101111",
"11000000000000000011000000101111",
"11100000000000000011000000101111",
"00000000000000000010000000000111",
"00000000000000000010000000100111",
"00000000000000000000000001000011",
"00000000000000000000000001000111",
"00000000000000000000000001001011",
"00000000000000000000000001001111",
"00000000000000000000000001010011",
"00001000000000000000000001010011",
"00010000000000000000000001010011",
"00011000000000000000000001010011",
"01011000000000000000000001010011",
"00100000000000000000000001010011",
"00100000000000000001000001010011",
"00100000000000000010000001010011",
"00101000000000000000000001010011",
"00101000000000000001000001010011",
"11000000000000000000000001010011",
"11000000000100000000000001010011",
"11100000000000000000000001010011",
"10100000000000000010000001010011",
"10100000000000000001000001010011",
"10100000000000000000000001010011",
"11100000000000000001000001010011",
"11010000000000000000000001010011",
"11010000000100000000000001010011",
"11110000000000000000000001010011",
"11000000001000000000000001010011",
"11000000001100000000000001010011",
"11010000001000000000000001010011",
"11010000001100000000000001010011",
"00000000000000000011000000000111",
"00000000000000000011000000100111",
"00000010000000000000000001000011",
"00000010000000000000000001000111",
"00000010000000000000000001001011",
"00000010000000000000000001001111",
"00000010000000000000000001010011",
"00001010000000000000000001010011",
"00010010000000000000000001010011",
"00011010000000000000000001010011",
"01011010000000000000000001010011",
"00100010000000000000000001010011",
"00100010000000000001000001010011",
"00100010000000000010000001010011",
"00101010000000000000000001010011",
"00101010000000000001000001010011",
"01000000000100000000000001010011",
"01000010000000000000000001010011",
"10100010000000000010000001010011",
"10100010000000000001000001010011",
"10100010000000000000000001010011",
"11100010000000000001000001010011",
"11000010000000000000000001010011",
"11000010000100000000000001010011",
"11010010000000000000000001010011",
"11010010000100000000000001010011",
"11000010001000000000000001010011",
"11000010001100000000000001010011",
"11100010000000000000000001010011",
"11010010001000000000000001010011",
"11010010001100000000000001010011",
"11110010000000000000000001010011",
"00000000001000000000000001110011",
"00010000001000000000000001110011",
"00110000001000000000000001110011",
"00010000010100000000000001110011",
"00010010000000000000000001110011"
);
begin
-- Declare components
dcode: decode
port map(
instr => bin,
instr_code => s_instr,
funct3 => s_funct3,
funct6 => s_funct6,
funct7 => s_funct7,
imm12 => s_imm12,
imm20 => s_imm20,
opcode => s_opcode,
rs1 => s_rs1,
rs2 => s_rs2,
rs3 => s_rs3,
rd => s_rd,
shamt => s_shamt,
csr => s_csr
);
-- Clock generation
tiktok: process
begin
wait for t_per/2;
clk <= '0';
wait for t_per/2;
clk <= '1';
end process; -- end tiktok
main: process
begin
bin32 <= bin(31 downto 0);
wait for t_per;
rst <= '0';
for I in 0 to 163 loop
bin <= z_vec & inputs(I);
wait for t_per;
end loop;
wait;
end process; --end main
end Behavioral;
| mit | 2487d95381d60a91f623a73cb1fca953 | 0.719064 | 5.145425 | false | false | false | false |
wltr/cern-onewire-idtemp | src/rtl/onewire_idtemp/onewire_discover.vhd | 1 | 9,439 | -------------------------------------------------------------------------------
--! @file onewire_discover.vhd
--! @author Johannes Walter <[email protected]>
--! @copyright LGPL v2.1
--! @brief Perform a search algorithm to discover devices on the bus.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.lfsr_pkg.all;
use work.onewire_idtemp_pkg.all;
--! @brief Entity declaration of onewire_discover
entity onewire_discover is
port (
--! @name Clock and resets
--! @{
--! System clock
clk_i : in std_ulogic;
--! Asynchronous active-low reset
rst_asy_n_i : in std_ulogic;
--! Synchronous active-high reset
rst_syn_i : in std_ulogic;
--! @}
--! @name Internal signals
--! @{
--! Start search algorithm
discover_i : in std_ulogic;
--! Discovered device ID
id_o : out std_ulogic_vector(63 downto 0);
--! Discovered device ID enable
id_en_o : out std_ulogic;
--! Done flag
done_o : out std_ulogic;
--! @}
--! @name Bus interface signals
--! @{
--! Send a bus reset command
bus_rst_o : out std_ulogic;
--! Send data bit
bit_send_o : out std_ulogic;
--! The data bit to be sent
bit_o : out std_ulogic;
--! Receive data bit
bit_recv_o : out std_ulogic;
--! The received data bit
bit_i : in std_ulogic;
--! The received data bit enable
bit_en_i : in std_ulogic;
--! Done flag
bit_done_i : in std_ulogic);
--! @}
end entity onewire_discover;
--! RTL implementation of onewire_discover
architecture rtl of onewire_discover is
-----------------------------------------------------------------------------
--! @name Types and Constants
-----------------------------------------------------------------------------
--! @{
constant lfsr_len_c : natural := lfsr_length(cmd_search_c'length);
subtype lfsr_t is std_ulogic_vector(lfsr_len_c - 1 downto 0);
constant lfsr_seed_c : lfsr_t := lfsr_seed(lfsr_len_c);
constant lfsr_max_c : lfsr_t := lfsr_shift(lfsr_seed_c, cmd_search_c'length - 1);
type state_t is (IDLE, RESET_DONE, SEARCH_COMMAND, READ_ID_BIT,
READ_CMP_ID_BIT, COMPARE, CHECK);
type reg_t is record
state : state_t;
lfsr : lfsr_t;
done : std_ulogic;
id : std_ulogic_vector(id_o'high + 1 downto id_o'low + 1);
id_en : std_ulogic;
cmd : std_ulogic_vector(7 downto 0);
bus_rst : std_ulogic;
bit_send : std_ulogic;
bit_recv : std_ulogic;
crc_reset : std_ulogic;
id_bit : std_ulogic;
cmp_id_bit : std_ulogic;
search : std_ulogic;
id_bit_number : unsigned(6 downto 0);
marker : unsigned(6 downto 0);
last_discrepancy : unsigned(6 downto 0);
end record;
constant init_c : reg_t := (
state => IDLE,
lfsr => lfsr_seed_c,
done => '0',
id => (others => '0'),
id_en => '0',
cmd => cmd_search_c,
bus_rst => '0',
bit_send => '0',
bit_recv => '0',
crc_reset => '0',
id_bit => '0',
cmp_id_bit => '0',
search => '0',
id_bit_number => to_unsigned(1, 7),
marker => to_unsigned(0, 7),
last_discrepancy => to_unsigned(0, 7));
--! @}
-----------------------------------------------------------------------------
--! @name Internal Registers
-----------------------------------------------------------------------------
--! @{
signal reg : reg_t;
--! @}
-----------------------------------------------------------------------------
--! @name Internal Wires
-----------------------------------------------------------------------------
--! @{
signal nxt_reg : reg_t;
signal crc_valid : std_ulogic;
--! @}
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Outputs
-----------------------------------------------------------------------------
id_o <= reg.id;
id_en_o <= reg.id_en;
done_o <= reg.done;
bus_rst_o <= reg.bus_rst;
bit_send_o <= reg.bit_send;
bit_recv_o <= reg.bit_recv;
bit_o <= reg.search;
-----------------------------------------------------------------------------
-- Instantiations
-----------------------------------------------------------------------------
crc_inst : entity work.onewire_crc
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
reset_i => reg.crc_reset,
data_i => reg.search,
data_en_i => reg.bit_send,
valid_o => crc_valid);
-----------------------------------------------------------------------------
-- Registers
-----------------------------------------------------------------------------
regs : process (clk_i, rst_asy_n_i) is
procedure reset is
begin
reg <= init_c;
end procedure reset;
begin -- process regs
if rst_asy_n_i = '0' then
reset;
elsif rising_edge(clk_i) then
if rst_syn_i = '1' then
reset;
else
reg <= nxt_reg;
end if;
end if;
end process regs;
-----------------------------------------------------------------------------
-- Combinatorics
-----------------------------------------------------------------------------
comb : process (reg, discover_i, bit_i, bit_en_i, bit_done_i, crc_valid) is
begin -- process comb
-- Defaults
nxt_reg <= reg;
nxt_reg.done <= init_c.done;
nxt_reg.id_en <= init_c.id_en;
nxt_reg.bus_rst <= init_c.bus_rst;
nxt_reg.bit_send <= init_c.bit_send;
nxt_reg.bit_recv <= init_c.bit_recv;
nxt_reg.crc_reset <= init_c.crc_reset;
case reg.state is
when IDLE =>
if discover_i = '1' then
nxt_reg.bus_rst <= '1';
nxt_reg.state <= RESET_DONE;
end if;
when RESET_DONE =>
if bit_done_i = '1' then
if bit_i = '1' then
nxt_reg <= init_c;
nxt_reg.done <= '1';
else
nxt_reg.bit_send <= '1';
nxt_reg.search <= reg.cmd(reg.cmd'low);
nxt_reg.cmd <= '0' & reg.cmd(reg.cmd'high downto reg.cmd'low + 1);
nxt_reg.state <= SEARCH_COMMAND;
end if;
end if;
when SEARCH_COMMAND =>
if bit_done_i = '1' then
if reg.lfsr = lfsr_max_c then
nxt_reg.bit_recv <= '1';
nxt_reg.crc_reset <= '1';
nxt_reg.state <= READ_ID_BIT;
else
nxt_reg.bit_send <= '1';
nxt_reg.search <= reg.cmd(reg.cmd'low);
nxt_reg.cmd <= '0' & reg.cmd(reg.cmd'high downto reg.cmd'low + 1);
nxt_reg.lfsr <= lfsr_shift(reg.lfsr);
end if;
end if;
when READ_ID_BIT =>
if bit_en_i = '1' then
nxt_reg.id_bit <= bit_i;
nxt_reg.bit_recv <= '1';
nxt_reg.state <= READ_CMP_ID_BIT;
end if;
when READ_CMP_ID_BIT =>
if bit_en_i = '1' then
nxt_reg.cmp_id_bit <= bit_i;
nxt_reg.state <= COMPARE;
end if;
when COMPARE =>
nxt_reg.state <= CHECK;
nxt_reg.bit_send <= '1';
if reg.id_bit = '1' and reg.cmp_id_bit = '1' then
nxt_reg <= init_c;
nxt_reg.done <= '1';
elsif reg.id_bit = '0' and reg.cmp_id_bit = '0' then
if reg.id_bit_number = reg.last_discrepancy then
nxt_reg.id(to_integer(reg.id_bit_number)) <= '1';
nxt_reg.search <= '1';
elsif reg.id_bit_number > reg.last_discrepancy then
nxt_reg.id(to_integer(reg.id_bit_number)) <= '0';
nxt_reg.search <= '0';
nxt_reg.marker <= reg.id_bit_number;
else
nxt_reg.search <= reg.id(to_integer(reg.id_bit_number));
if reg.id(to_integer(reg.id_bit_number)) = '0' then
nxt_reg.marker <= reg.id_bit_number;
end if;
end if;
else
nxt_reg.id(to_integer(reg.id_bit_number)) <= reg.id_bit;
nxt_reg.search <= reg.id_bit;
end if;
when CHECK =>
if bit_done_i = '1' then
if to_integer(reg.id_bit_number) < reg.id'length then
nxt_reg.id_bit_number <= reg.id_bit_number + 1;
nxt_reg.bit_recv <= '1';
nxt_reg.state <= READ_ID_BIT;
else
if to_integer(reg.marker) = 0 then
nxt_reg <= init_c;
nxt_reg.done <= '1';
else
nxt_reg <= init_c;
nxt_reg.last_discrepancy <= reg.marker;
nxt_reg.bus_rst <= '1';
nxt_reg.state <= RESET_DONE;
end if;
if crc_valid = '0' then
nxt_reg.id <= (others => '1');
else
nxt_reg.id <= reg.id;
end if;
nxt_reg.id_en <= '1';
end if;
end if;
end case;
end process comb;
end architecture rtl;
| lgpl-2.1 | cf62ed192599dcae48b59a25da72a93e | 0.43119 | 3.741181 | false | false | false | false |
Subsets and Splits